From 02fe0439e7597f1d618a749e760218aee2c581aa Mon Sep 17 00:00:00 2001 From: Jaron Kent-Dobias Date: Thu, 24 Aug 2023 11:26:40 +0200 Subject: Figure updates. --- when_annealed.nb | 132170 +++++++++++++++++++++++++++++++++++++++++----------- 1 file changed, 104300 insertions(+), 27870 deletions(-) (limited to 'when_annealed.nb') diff --git a/when_annealed.nb b/when_annealed.nb index 3d95b29..b8e3839 100644 --- a/when_annealed.nb +++ b/when_annealed.nb @@ -10,10 +10,10 @@ NotebookFileLineBreakTest NotebookFileLineBreakTest NotebookDataPosition[ 158, 7] -NotebookDataLength[ 13418100, 252147] -NotebookOptionsPosition[ 13391520, 251779] -NotebookOutlinePosition[ 13391916, 251795] -CellTagsIndexPosition[ 13391873, 251792] +NotebookDataLength[ 17781287, 328577] +NotebookOptionsPosition[ 17754769, 328210] +NotebookOutlinePosition[ 17755163, 328226] +CellTagsIndexPosition[ 17755120, 328223] WindowFrame->Normal*) (* Beginning of Notebook Content *) @@ -661,97 +661,97 @@ Cell[BoxData[ TemplateBox[{ "Solve", "nongen", "\"There may be values of the parameters for which some or all solutions \ -are not valid.\"", 2, 22, 1, 31997890174209376947, "Local"}, +are not valid.\"", 2, 22, 1, 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.8955681397949457`*^9, 3.895568153832407*^9}, - 3.895577219135521*^9, 3.896010685867153*^9, 3.896413183857345*^9}, + 3.895577219135521*^9, 3.896010685867153*^9, 3.896413183857345*^9, + 3.901786785911014*^9}, CellLabel-> "During evaluation of \ -In[22]:=",ExpressionUUID->"2afb6b48-654f-4524-b4a1-3466d5eb362b"], +In[22]:=",ExpressionUUID->"dce0b840-2042-4c29-9fe3-1df16452fe0d"], Cell[BoxData[ RowBox[{"-", - RowBox[{ - FractionBox["1", - RowBox[{ + FractionBox[ + RowBox[{ + RowBox[{"2", " ", RowBox[{ - SuperscriptBox["f", "\[Prime]\[Prime]", + SuperscriptBox["f", "\[Prime]", MultilineFunction->None], "[", "1", "]"}], " ", - RowBox[{"(", - RowBox[{ - RowBox[{ - SuperscriptBox["f", "\[Prime]", - MultilineFunction->None], "[", "1", "]"}], "+", - RowBox[{ - SuperscriptBox["f", "\[Prime]\[Prime]", - MultilineFunction->None], "[", "1", "]"}]}], ")"}]}]], - RowBox[{"(", - RowBox[{ - RowBox[{"2", " ", + SuperscriptBox[ RowBox[{ - SuperscriptBox["f", "\[Prime]", - MultilineFunction->None], "[", "1", "]"}], " ", + SuperscriptBox["f", "\[Prime]\[Prime]", + MultilineFunction->None], "[", "1", "]"}], + RowBox[{"3", "/", "2"}]]}], "+", + SqrtBox[ + RowBox[{ SuperscriptBox[ RowBox[{ SuperscriptBox["f", "\[Prime]\[Prime]", - MultilineFunction->None], "[", "1", "]"}], - RowBox[{"3", "/", "2"}]]}], "+", - SqrtBox[ - RowBox[{ - SuperscriptBox[ - RowBox[{ - SuperscriptBox["f", "\[Prime]\[Prime]", - MultilineFunction->None], "[", "1", "]"}], "2"], " ", - RowBox[{"(", + MultilineFunction->None], "[", "1", "]"}], "2"], " ", + RowBox[{"(", + RowBox[{ + RowBox[{"-", + SuperscriptBox[ + RowBox[{ + SuperscriptBox["f", "\[Prime]", + MultilineFunction->None], "[", "1", "]"}], "2"]}], "+", RowBox[{ - RowBox[{"-", - SuperscriptBox[ + RowBox[{"f", "[", "1", "]"}], " ", + RowBox[{"(", + RowBox[{ RowBox[{ SuperscriptBox["f", "\[Prime]", - MultilineFunction->None], "[", "1", "]"}], "2"]}], "+", - RowBox[{ - RowBox[{"f", "[", "1", "]"}], " ", - RowBox[{"(", + MultilineFunction->None], "[", "1", "]"}], "+", RowBox[{ - RowBox[{ - SuperscriptBox["f", "\[Prime]", - MultilineFunction->None], "[", "1", "]"}], "+", - RowBox[{ - SuperscriptBox["f", "\[Prime]\[Prime]", - MultilineFunction->None], "[", "1", "]"}]}], ")"}]}]}], ")"}], - " ", - RowBox[{"(", + SuperscriptBox["f", "\[Prime]\[Prime]", + MultilineFunction->None], "[", "1", "]"}]}], ")"}]}]}], ")"}], + " ", + RowBox[{"(", + RowBox[{ + RowBox[{"2", " ", + RowBox[{"(", + RowBox[{ + RowBox[{ + SuperscriptBox["f", "\[Prime]", + MultilineFunction->None], "[", "1", "]"}], "-", + RowBox[{ + SuperscriptBox["f", "\[Prime]\[Prime]", + MultilineFunction->None], "[", "1", "]"}]}], ")"}]}], "+", RowBox[{ - RowBox[{"2", " ", - RowBox[{"(", + RowBox[{"Log", "[", + FractionBox[ RowBox[{ - RowBox[{ - SuperscriptBox["f", "\[Prime]", - MultilineFunction->None], "[", "1", "]"}], "-", - RowBox[{ - SuperscriptBox["f", "\[Prime]\[Prime]", - MultilineFunction->None], "[", "1", "]"}]}], ")"}]}], "+", - RowBox[{ - RowBox[{"Log", "[", - FractionBox[ - RowBox[{ - SuperscriptBox["f", "\[Prime]\[Prime]", - MultilineFunction->None], "[", "1", "]"}], - RowBox[{ - SuperscriptBox["f", "\[Prime]", - MultilineFunction->None], "[", "1", "]"}]], "]"}], " ", - RowBox[{"(", + SuperscriptBox["f", "\[Prime]\[Prime]", + MultilineFunction->None], "[", "1", "]"}], RowBox[{ - RowBox[{ - SuperscriptBox["f", "\[Prime]", - MultilineFunction->None], "[", "1", "]"}], "+", - RowBox[{ - SuperscriptBox["f", "\[Prime]\[Prime]", - MultilineFunction->None], "[", "1", "]"}]}], ")"}]}]}], - ")"}]}]]}], ")"}]}]}]], "Output", + SuperscriptBox["f", "\[Prime]", + MultilineFunction->None], "[", "1", "]"}]], "]"}], " ", + RowBox[{"(", + RowBox[{ + RowBox[{ + SuperscriptBox["f", "\[Prime]", + MultilineFunction->None], "[", "1", "]"}], "+", + RowBox[{ + SuperscriptBox["f", "\[Prime]\[Prime]", + MultilineFunction->None], "[", "1", "]"}]}], ")"}]}]}], + ")"}]}]]}], + RowBox[{ + RowBox[{ + SuperscriptBox["f", "\[Prime]\[Prime]", + MultilineFunction->None], "[", "1", "]"}], " ", + RowBox[{"(", + RowBox[{ + RowBox[{ + SuperscriptBox["f", "\[Prime]", + MultilineFunction->None], "[", "1", "]"}], "+", + RowBox[{ + SuperscriptBox["f", "\[Prime]\[Prime]", + MultilineFunction->None], "[", "1", "]"}]}], ")"}]}]]}]], "Output", CellChangeTimes->{{3.895568139803577*^9, 3.895568153883366*^9}, - 3.895577219195222*^9, 3.896010685929926*^9, 3.8964131839216843`*^9}, - CellLabel->"Out[22]=",ExpressionUUID->"b182c659-6df0-4e49-bcbd-6dc74e3bac8e"] + 3.895577219195222*^9, 3.896010685929926*^9, 3.8964131839216843`*^9, + 3.9017867859711523`*^9}, + CellLabel->"Out[22]=",ExpressionUUID->"e4efd316-9f7b-4d19-b709-d1587f830d1a"] }, Open ]], Cell[BoxData[ @@ -907,104 +907,99 @@ Cell[BoxData[ SuperscriptBox["f", "\[Prime]\[Prime]", MultilineFunction->None], "[", "1", "]"}]]}], ")"}]}], ",", "0", ",", - RowBox[{ - RowBox[{"(", + FractionBox[ + RowBox[{ RowBox[{ - RowBox[{ - RowBox[{"-", "8"}], " ", - RowBox[{"f", "[", "1", "]"}], " ", - RowBox[{"(", + RowBox[{"-", "8"}], " ", + RowBox[{"f", "[", "1", "]"}], " ", + RowBox[{"(", + RowBox[{ + RowBox[{"f", "[", "1", "]"}], "-", RowBox[{ - RowBox[{"f", "[", "1", "]"}], "-", - RowBox[{ - SuperscriptBox["f", "\[Prime]", - MultilineFunction->None], "[", "1", "]"}]}], ")"}], " ", - RowBox[{"(", + SuperscriptBox["f", "\[Prime]", + MultilineFunction->None], "[", "1", "]"}]}], ")"}], " ", + RowBox[{"(", + RowBox[{ RowBox[{ - RowBox[{ - SuperscriptBox["f", "\[Prime]", - MultilineFunction->None], "[", "1", "]"}], "-", - RowBox[{ - SuperscriptBox["f", "\[Prime]\[Prime]", - MultilineFunction->None], "[", "1", "]"}]}], ")"}], " ", - SuperscriptBox[ + SuperscriptBox["f", "\[Prime]", + MultilineFunction->None], "[", "1", "]"}], "-", RowBox[{ SuperscriptBox["f", "\[Prime]\[Prime]", - MultilineFunction->None], "[", "1", "]"}], "2"]}], "+", - RowBox[{ - RowBox[{"(", - RowBox[{ - RowBox[{"3", " ", - SuperscriptBox[ - RowBox[{"(", + MultilineFunction->None], "[", "1", "]"}]}], ")"}], " ", + SuperscriptBox[ + RowBox[{ + SuperscriptBox["f", "\[Prime]\[Prime]", + MultilineFunction->None], "[", "1", "]"}], "2"]}], "+", + RowBox[{ + RowBox[{"(", + RowBox[{ + RowBox[{"3", " ", + SuperscriptBox[ + RowBox[{"(", + RowBox[{ + RowBox[{"f", "[", "1", "]"}], "-", RowBox[{ - RowBox[{"f", "[", "1", "]"}], "-", - RowBox[{ - SuperscriptBox["f", "\[Prime]", - MultilineFunction->None], "[", "1", "]"}]}], ")"}], "2"], - " ", - SuperscriptBox[ + SuperscriptBox["f", "\[Prime]", + MultilineFunction->None], "[", "1", "]"}]}], ")"}], "2"], " ", + SuperscriptBox[ + RowBox[{ + SuperscriptBox["f", "\[Prime]", + MultilineFunction->None], "[", "1", "]"}], "2"]}], "+", + RowBox[{"2", " ", + RowBox[{"f", "[", "1", "]"}], " ", + RowBox[{ + SuperscriptBox["f", "\[Prime]", + MultilineFunction->None], "[", "1", "]"}], " ", + RowBox[{"(", + RowBox[{ + RowBox[{"-", + RowBox[{"f", "[", "1", "]"}]}], "+", RowBox[{ SuperscriptBox["f", "\[Prime]", - MultilineFunction->None], "[", "1", "]"}], "2"]}], "+", - RowBox[{"2", " ", - RowBox[{"f", "[", "1", "]"}], " ", + MultilineFunction->None], "[", "1", "]"}]}], ")"}], " ", + RowBox[{ + SuperscriptBox["f", "\[Prime]\[Prime]", + MultilineFunction->None], "[", "1", "]"}]}], "+", + RowBox[{"3", " ", + SuperscriptBox[ + RowBox[{"f", "[", "1", "]"}], "2"], " ", + SuperscriptBox[ RowBox[{ - SuperscriptBox["f", "\[Prime]", - MultilineFunction->None], "[", "1", "]"}], " ", + SuperscriptBox["f", "\[Prime]\[Prime]", + MultilineFunction->None], "[", "1", "]"}], "2"]}]}], ")"}], " ", + RowBox[{ + SuperscriptBox["f", + TagBox[ + RowBox[{"(", "3", ")"}], + Derivative], + MultilineFunction->None], "[", "1", "]"}]}]}], + RowBox[{"4", " ", + SuperscriptBox[ + RowBox[{"(", + RowBox[{ + RowBox[{ + SuperscriptBox[ RowBox[{"(", RowBox[{ - RowBox[{"-", - RowBox[{"f", "[", "1", "]"}]}], "+", + RowBox[{"f", "[", "1", "]"}], "-", RowBox[{ SuperscriptBox["f", "\[Prime]", - MultilineFunction->None], "[", "1", "]"}]}], ")"}], " ", + MultilineFunction->None], "[", "1", "]"}]}], ")"}], "2"], " ", + SuperscriptBox[ RowBox[{ - SuperscriptBox["f", "\[Prime]\[Prime]", - MultilineFunction->None], "[", "1", "]"}]}], "+", - RowBox[{"3", " ", - SuperscriptBox[ - RowBox[{"f", "[", "1", "]"}], "2"], " ", - SuperscriptBox[ - RowBox[{ - SuperscriptBox["f", "\[Prime]\[Prime]", - MultilineFunction->None], "[", "1", "]"}], "2"]}]}], ")"}], - " ", - RowBox[{ - SuperscriptBox["f", - TagBox[ - RowBox[{"(", "3", ")"}], - Derivative], - MultilineFunction->None], "[", "1", "]"}]}]}], ")"}], "/", - RowBox[{"(", - RowBox[{"4", " ", - SuperscriptBox[ - RowBox[{"(", - RowBox[{ + SuperscriptBox["f", "\[Prime]", + MultilineFunction->None], "[", "1", "]"}], "2"], " ", RowBox[{ - SuperscriptBox[ - RowBox[{"(", - RowBox[{ - RowBox[{"f", "[", "1", "]"}], "-", - RowBox[{ - SuperscriptBox["f", "\[Prime]", - MultilineFunction->None], "[", "1", "]"}]}], ")"}], "2"], - " ", - SuperscriptBox[ - RowBox[{ - SuperscriptBox["f", "\[Prime]", - MultilineFunction->None], "[", "1", "]"}], "2"], " ", + SuperscriptBox["f", "\[Prime]\[Prime]", + MultilineFunction->None], "[", "1", "]"}]}], "-", + RowBox[{ + SuperscriptBox[ + RowBox[{"f", "[", "1", "]"}], "2"], " ", + SuperscriptBox[ RowBox[{ SuperscriptBox["f", "\[Prime]\[Prime]", - MultilineFunction->None], "[", "1", "]"}]}], "-", - RowBox[{ - SuperscriptBox[ - RowBox[{"f", "[", "1", "]"}], "2"], " ", - SuperscriptBox[ - RowBox[{ - SuperscriptBox["f", "\[Prime]\[Prime]", - MultilineFunction->None], "[", "1", "]"}], "3"]}]}], ")"}], - "2"]}], ")"}]}]}], "}"}], ",", + MultilineFunction->None], "[", "1", "]"}], "3"]}]}], ")"}], + "2"]}]]}], "}"}], ",", RowBox[{"{", RowBox[{"0", ",", FractionBox[ @@ -1109,8 +1104,9 @@ Cell[BoxData[ 3.895574741408188*^9, {3.895577242948268*^9, 3.8955772642599*^9}, 3.895577361649914*^9, 3.8955774437803802`*^9, 3.8956257781148977`*^9, 3.89562713289892*^9, 3.895627739723374*^9, 3.895627993214181*^9, - 3.895719315552804*^9, 3.896010688021435*^9, 3.896413185996993*^9}, - CellLabel->"Out[25]=",ExpressionUUID->"250fe027-778b-495a-ac34-edf8afd0708e"] + 3.895719315552804*^9, 3.896010688021435*^9, 3.896413185996993*^9, + 3.901786788193242*^9}, + CellLabel->"Out[25]=",ExpressionUUID->"ae1cdb90-dbc8-4e68-9787-a0584d775405"] }, Open ]], Cell[CellGroupData[{ @@ -1235,8 +1231,8 @@ Cell[BoxData[ 3.895577467525186*^9, {3.895577506844928*^9, 3.895577521959042*^9}, 3.895625783227125*^9, 3.895627062232143*^9, {3.895627756680859*^9, 3.895627782279387*^9}, 3.895627994035304*^9, 3.895719415070957*^9, - 3.8960106880539017`*^9, 3.896413186032343*^9}, - CellLabel->"Out[26]=",ExpressionUUID->"0e48ae36-fa55-48ee-a013-898ac11da013"] + 3.8960106880539017`*^9, 3.896413186032343*^9, 3.901786788257753*^9}, + CellLabel->"Out[26]=",ExpressionUUID->"ebec24e6-767f-4790-bc68-82b66a226bd3"] }, Open ]], Cell[BoxData[ @@ -1383,13 +1379,7 @@ Cell[BoxData[ RowBox[{"b", " ", "g"}]}], ")"}], " ", "h"}]}], ")"}]}]]}], ")"}]}]}]]}]]}]}], ",", RowBox[{"\[Epsilon]", "\[Rule]", - RowBox[{ - FractionBox["1", - RowBox[{"c", " ", "e", " ", - RowBox[{"(", - RowBox[{ - RowBox[{"d", " ", "e"}], "+", - RowBox[{"b", " ", "g"}]}], ")"}]}]], + FractionBox[ RowBox[{ RowBox[{"(", RowBox[{ @@ -1458,7 +1448,12 @@ Cell[BoxData[ RowBox[{ RowBox[{"d", " ", "e"}], "+", RowBox[{"b", " ", "g"}]}], ")"}], " ", "h"}]}], - ")"}]}]]}], ")"}]}]}]]}]]}]}]}]}], "}"}], ",", + ")"}]}]]}], ")"}]}]}]]}]]}], + RowBox[{"c", " ", "e", " ", + RowBox[{"(", + RowBox[{ + RowBox[{"d", " ", "e"}], "+", + RowBox[{"b", " ", "g"}]}], ")"}]}]]}]}], "}"}], ",", RowBox[{"{", RowBox[{ RowBox[{"y", "\[Rule]", @@ -1508,13 +1503,7 @@ Cell[BoxData[ ")"}]}]]}], ")"}]}]}]]}]]}], ",", RowBox[{"\[Epsilon]", "\[Rule]", RowBox[{"-", - RowBox[{ - FractionBox["1", - RowBox[{"c", " ", "e", " ", - RowBox[{"(", - RowBox[{ - RowBox[{"d", " ", "e"}], "+", - RowBox[{"b", " ", "g"}]}], ")"}]}]], + FractionBox[ RowBox[{ RowBox[{"(", RowBox[{ @@ -1583,7 +1572,12 @@ Cell[BoxData[ RowBox[{ RowBox[{"d", " ", "e"}], "+", RowBox[{"b", " ", "g"}]}], ")"}], " ", "h"}]}], - ")"}]}]]}], ")"}]}]}]]}]]}]}]}]}]}], "}"}], ",", + ")"}]}]]}], ")"}]}]}]]}]]}], + RowBox[{"c", " ", "e", " ", + RowBox[{"(", + RowBox[{ + RowBox[{"d", " ", "e"}], "+", + RowBox[{"b", " ", "g"}]}], ")"}]}]]}]}]}], "}"}], ",", RowBox[{"{", RowBox[{ RowBox[{"y", "\[Rule]", @@ -1640,13 +1634,7 @@ Cell[BoxData[ RowBox[{ SuperscriptBox["b", "2"], " ", "h"}]}], ")"}]}]}]]]}]}], ",", RowBox[{"\[Epsilon]", "\[Rule]", - RowBox[{ - FractionBox["1", - RowBox[{"c", " ", "e", " ", - RowBox[{"(", - RowBox[{ - RowBox[{"d", " ", "e"}], "+", - RowBox[{"b", " ", "g"}]}], ")"}]}]], + FractionBox[ RowBox[{ RowBox[{"(", RowBox[{ @@ -1714,7 +1702,12 @@ Cell[BoxData[ RowBox[{ RowBox[{"d", " ", "e"}], "+", RowBox[{"b", " ", "g"}]}], ")"}], " ", "h"}]}], - ")"}]}]]}]}]]}]]}]}]}]}], "}"}], ",", + ")"}]}]]}]}]]}]]}], + RowBox[{"c", " ", "e", " ", + RowBox[{"(", + RowBox[{ + RowBox[{"d", " ", "e"}], "+", + RowBox[{"b", " ", "g"}]}], ")"}]}]]}]}], "}"}], ",", RowBox[{"{", RowBox[{ RowBox[{"y", "\[Rule]", @@ -1770,13 +1763,7 @@ Cell[BoxData[ RowBox[{ SuperscriptBox["b", "2"], " ", "h"}]}], ")"}]}]}]]]}], ",", RowBox[{"\[Epsilon]", "\[Rule]", - RowBox[{ - FractionBox["1", - RowBox[{"c", " ", "e", " ", - RowBox[{"(", - RowBox[{ - RowBox[{"d", " ", "e"}], "+", - RowBox[{"b", " ", "g"}]}], ")"}]}]], + FractionBox[ RowBox[{ RowBox[{"(", RowBox[{ @@ -1845,14 +1832,19 @@ Cell[BoxData[ RowBox[{ RowBox[{"d", " ", "e"}], "+", RowBox[{"b", " ", "g"}]}], ")"}], " ", "h"}]}], - ")"}]}]]}]}]]}]]}]}]}]}], "}"}]}], "}"}]], "Output", + ")"}]}]]}]}]]}]]}], + RowBox[{"c", " ", "e", " ", + RowBox[{"(", + RowBox[{ + RowBox[{"d", " ", "e"}], "+", + RowBox[{"b", " ", "g"}]}], ")"}]}]]}]}], "}"}]}], "}"}]], "Output", CellChangeTimes->{ 3.8956263902519608`*^9, 3.895627199970378*^9, 3.895627520895281*^9, 3.895627834433557*^9, 3.895627881144912*^9, {3.895627950816749*^9, 3.895627966387554*^9}, 3.895628037563859*^9, 3.895665904428228*^9, 3.895719122348387*^9, 3.895719201514207*^9, 3.895719523936378*^9, - 3.8960106913313417`*^9, 3.8964131893087177`*^9}, - CellLabel->"Out[29]=",ExpressionUUID->"1b3f94f7-aeda-4206-8860-60b42630b3d2"] + 3.8960106913313417`*^9, 3.8964131893087177`*^9, 3.901786791729856*^9}, + CellLabel->"Out[29]=",ExpressionUUID->"bd395c7d-ab76-4f67-819c-c43e51105eed"] }, Open ]], Cell[CellGroupData[{ @@ -1983,73 +1975,74 @@ Cell[BoxData[ MultilineFunction->None], "[", "1", "]"}]}], ")"}]}]}], ")"}], "2"]]}], ",", RowBox[{"a", "\[Rule]", - RowBox[{ - RowBox[{"(", + FractionBox[ + RowBox[{ RowBox[{ - RowBox[{ - RowBox[{"-", "8"}], " ", - RowBox[{"f", "[", "1", "]"}], " ", - RowBox[{"(", + RowBox[{"-", "8"}], " ", + RowBox[{"f", "[", "1", "]"}], " ", + RowBox[{"(", + RowBox[{ + RowBox[{"f", "[", "1", "]"}], "-", RowBox[{ - RowBox[{"f", "[", "1", "]"}], "-", - RowBox[{ - SuperscriptBox["f", "\[Prime]", - MultilineFunction->None], "[", "1", "]"}]}], ")"}], " ", - RowBox[{"(", + SuperscriptBox["f", "\[Prime]", + MultilineFunction->None], "[", "1", "]"}]}], ")"}], " ", + RowBox[{"(", + RowBox[{ RowBox[{ - RowBox[{ - SuperscriptBox["f", "\[Prime]", - MultilineFunction->None], "[", "1", "]"}], "-", - RowBox[{ - SuperscriptBox["f", "\[Prime]\[Prime]", - MultilineFunction->None], "[", "1", "]"}]}], ")"}], " ", - SuperscriptBox[ + SuperscriptBox["f", "\[Prime]", + MultilineFunction->None], "[", "1", "]"}], "-", RowBox[{ SuperscriptBox["f", "\[Prime]\[Prime]", - MultilineFunction->None], "[", "1", "]"}], "2"]}], "+", - RowBox[{ - RowBox[{"(", - RowBox[{ - RowBox[{"3", " ", - SuperscriptBox[ - RowBox[{"(", - RowBox[{ - RowBox[{"f", "[", "1", "]"}], "-", - RowBox[{ - SuperscriptBox["f", "\[Prime]", - MultilineFunction->None], "[", "1", "]"}]}], ")"}], "2"], " ", - SuperscriptBox[ - RowBox[{ - SuperscriptBox["f", "\[Prime]", - MultilineFunction->None], "[", "1", "]"}], "2"]}], "+", - RowBox[{"2", " ", - RowBox[{"f", "[", "1", "]"}], " ", - RowBox[{ - SuperscriptBox["f", "\[Prime]", - MultilineFunction->None], "[", "1", "]"}], " ", + MultilineFunction->None], "[", "1", "]"}]}], ")"}], " ", + SuperscriptBox[ + RowBox[{ + SuperscriptBox["f", "\[Prime]\[Prime]", + MultilineFunction->None], "[", "1", "]"}], "2"]}], "+", + RowBox[{ + RowBox[{"(", + RowBox[{ + RowBox[{"3", " ", + SuperscriptBox[ RowBox[{"(", RowBox[{ - RowBox[{"-", - RowBox[{"f", "[", "1", "]"}]}], "+", + RowBox[{"f", "[", "1", "]"}], "-", RowBox[{ SuperscriptBox["f", "\[Prime]", - MultilineFunction->None], "[", "1", "]"}]}], ")"}], " ", + MultilineFunction->None], "[", "1", "]"}]}], ")"}], "2"], " ", + + SuperscriptBox[ RowBox[{ - SuperscriptBox["f", "\[Prime]\[Prime]", - MultilineFunction->None], "[", "1", "]"}]}], "+", - RowBox[{"3", " ", - SuperscriptBox[ - RowBox[{"f", "[", "1", "]"}], "2"], " ", - SuperscriptBox[ + SuperscriptBox["f", "\[Prime]", + MultilineFunction->None], "[", "1", "]"}], "2"]}], "+", + RowBox[{"2", " ", + RowBox[{"f", "[", "1", "]"}], " ", + RowBox[{ + SuperscriptBox["f", "\[Prime]", + MultilineFunction->None], "[", "1", "]"}], " ", + RowBox[{"(", + RowBox[{ + RowBox[{"-", + RowBox[{"f", "[", "1", "]"}]}], "+", RowBox[{ - SuperscriptBox["f", "\[Prime]\[Prime]", - MultilineFunction->None], "[", "1", "]"}], "2"]}]}], ")"}], " ", - RowBox[{ - SuperscriptBox["f", - TagBox[ - RowBox[{"(", "3", ")"}], - Derivative], - MultilineFunction->None], "[", "1", "]"}]}]}], ")"}], "/", + SuperscriptBox["f", "\[Prime]", + MultilineFunction->None], "[", "1", "]"}]}], ")"}], " ", + RowBox[{ + SuperscriptBox["f", "\[Prime]\[Prime]", + MultilineFunction->None], "[", "1", "]"}]}], "+", + RowBox[{"3", " ", + SuperscriptBox[ + RowBox[{"f", "[", "1", "]"}], "2"], " ", + SuperscriptBox[ + RowBox[{ + SuperscriptBox["f", "\[Prime]\[Prime]", + MultilineFunction->None], "[", "1", "]"}], "2"]}]}], ")"}], " ", + + RowBox[{ + SuperscriptBox["f", + TagBox[ + RowBox[{"(", "3", ")"}], + Derivative], + MultilineFunction->None], "[", "1", "]"}]}]}], SuperscriptBox[ RowBox[{"(", RowBox[{ @@ -2075,7 +2068,7 @@ Cell[BoxData[ RowBox[{ SuperscriptBox["f", "\[Prime]\[Prime]", MultilineFunction->None], "[", "1", "]"}], "3"]}]}], ")"}], - "2"]}]}], ",", + "2"]]}], ",", RowBox[{"g", "\[Rule]", RowBox[{"-", RowBox[{"(", @@ -2143,8 +2136,8 @@ Cell[BoxData[ ")"}]}]]}]}], "}"}]], "Output", CellChangeTimes->{3.8956281162218857`*^9, 3.89571904670049*^9, 3.895719541613922*^9, 3.895719624658167*^9, 3.896010691384027*^9, - 3.896413189348621*^9}, - CellLabel->"Out[30]=",ExpressionUUID->"e930b368-bd52-448d-86e0-7aac5579d02b"] + 3.896413189348621*^9, 3.901786791810899*^9}, + CellLabel->"Out[30]=",ExpressionUUID->"76546958-91ef-4b5c-b49c-aba0133edd6e"] }, Open ]], Cell[BoxData[ @@ -2483,7 +2476,7 @@ Cell[BoxData[ 3.895886027250085*^9, 3.895886028099128*^9}, {3.895886255186899*^9, 3.895886259689878*^9}, {3.895886392185588*^9, 3.895886414489423*^9}, { 3.896413546932119*^9, 3.896413569284439*^9}}, - CellLabel->"In[52]:=",ExpressionUUID->"cd91e275-03f3-4461-8501-2205a73bd05e"], + CellLabel->"In[32]:=",ExpressionUUID->"cd91e275-03f3-4461-8501-2205a73bd05e"], Cell[BoxData[{ RowBox[{ @@ -2741,7 +2734,7 @@ Cell[BoxData[{ RowBox[{"regions", ",", "lines"}], "}"}]}], "]"}], ",", RowBox[{"Sequence", "@@", RowBox[{"Options", "[", "cp", "]"}]}]}], "]"}]}]}], "]"}]}], - "\[IndentingNewLine]"}], "\n", + "\n"}], "\[IndentingNewLine]", RowBox[{ RowBox[{"cleanContourPlot", "[", RowBox[{"Legended", "[", @@ -3127,36 +3120,165 @@ Cell[BoxData[ Cell[BoxData[ RowBox[{"-", FractionBox[ - RowBox[{"2", " ", - SqrtBox["\[Lambda]"], " ", - RowBox[{"Hypergeometric2F1", "[", - RowBox[{ - RowBox[{"-", - FractionBox["1", "2"]}], ",", - FractionBox["3", - RowBox[{"2", " ", - RowBox[{"(", - RowBox[{ - RowBox[{"-", "3"}], "+", "s"}], ")"}]}]], ",", - FractionBox[ - RowBox[{"3", "-", - RowBox[{"2", " ", "s"}]}], - RowBox[{"6", "-", - RowBox[{"2", " ", "s"}]}]], ",", + RowBox[{ + SqrtBox[ + RowBox[{"-", FractionBox[ RowBox[{ RowBox[{"(", RowBox[{ - RowBox[{"-", "1"}], "+", "s"}], ")"}], " ", "s", " ", + RowBox[{"-", "1"}], "+", "s"}], ")"}], " ", + RowBox[{"(", + RowBox[{ + RowBox[{"-", "1"}], "+", "\[Lambda]"}], ")"}]}], "s"]}]], " ", + RowBox[{"(", + RowBox[{ + RowBox[{ + SuperscriptBox["6", + FractionBox["s", + RowBox[{"2", " ", + RowBox[{"(", + RowBox[{ + RowBox[{"-", "3"}], "+", "s"}], ")"}]}]]], " ", + SuperscriptBox[ RowBox[{"(", + RowBox[{"-", + FractionBox["\[Lambda]", + RowBox[{ + RowBox[{"(", + RowBox[{ + RowBox[{"-", "1"}], "+", "s"}], ")"}], " ", "s", " ", + RowBox[{"(", + RowBox[{ + RowBox[{"-", "1"}], "+", "\[Lambda]"}], ")"}]}]]}], ")"}], + FractionBox["s", + RowBox[{"2", " ", + RowBox[{"(", + RowBox[{ + RowBox[{"-", "3"}], "+", "s"}], ")"}]}]]], " ", + RowBox[{"Gamma", "[", + FractionBox["3", + RowBox[{"2", " ", + RowBox[{"(", + RowBox[{ + RowBox[{"-", "3"}], "+", "s"}], ")"}]}]], "]"}], " ", + RowBox[{"Gamma", "[", + FractionBox[ RowBox[{ - RowBox[{"-", "1"}], "+", "\[Lambda]"}], ")"}]}], - RowBox[{"6", " ", "\[Lambda]"}]]}], "]"}]}], - SqrtBox["3"]]}]], "Output", + RowBox[{"-", "6"}], "+", "s"}], + RowBox[{"2", " ", + RowBox[{"(", + RowBox[{ + RowBox[{"-", "3"}], "+", "s"}], ")"}]}]], "]"}]}], "+", + RowBox[{"2", " ", + SqrtBox["\[Pi]"], " ", + RowBox[{"Hypergeometric2F1", "[", + RowBox[{ + RowBox[{"-", + FractionBox["1", "2"]}], ",", + FractionBox["s", + RowBox[{"6", "-", + RowBox[{"2", " ", "s"}]}]], ",", + FractionBox[ + RowBox[{ + RowBox[{"-", "6"}], "+", "s"}], + RowBox[{"2", " ", + RowBox[{"(", + RowBox[{ + RowBox[{"-", "3"}], "+", "s"}], ")"}]}]], ",", + FractionBox[ + RowBox[{"6", " ", "\[Lambda]"}], + RowBox[{ + RowBox[{"(", + RowBox[{ + RowBox[{"-", "1"}], "+", "s"}], ")"}], " ", "s", " ", + RowBox[{"(", + RowBox[{ + RowBox[{"-", "1"}], "+", "\[Lambda]"}], ")"}]}]]}], "]"}]}]}], + ")"}]}], + SqrtBox[ + RowBox[{"2", " ", "\[Pi]"}]]]}]], "Output", CellChangeTimes->{{3.896010886652629*^9, 3.896010895744253*^9}, 3.896011088043912*^9, 3.896011148845498*^9, 3.896011208673132*^9, - 3.896413195472299*^9}, - CellLabel->"Out[43]=",ExpressionUUID->"fc8b5119-4854-4212-9289-903b29fcf963"] + 3.896413195472299*^9, 3.901786808966236*^9}, + CellLabel->"Out[43]=",ExpressionUUID->"ae8152ae-e161-492b-b4ba-f8d43e8a9ae4"] +}, Open ]], + +Cell[CellGroupData[{ + +Cell[BoxData[ + RowBox[{"FindRoot", "[", + RowBox[{ + RowBox[{"{", + RowBox[{ + RowBox[{ + RowBox[{"Emax", "[", + RowBox[{ + RowBox[{"fps", "[", + RowBox[{"3", ",", "s"}], "]"}], "[", "\[Lambda]", "]"}], "]"}], "-", + + RowBox[{ + RowBox[{"E1RSBp", "[", + RowBox[{ + RowBox[{"fps", "[", + RowBox[{"3", ",", "s"}], "]"}], "[", "\[Lambda]", "]"}], "]"}], "[", + RowBox[{"[", "1", "]"}], "]"}]}], ",", + RowBox[{ + RowBox[{"D", "[", + RowBox[{ + RowBox[{ + RowBox[{"Emax", "[", + RowBox[{ + RowBox[{"fps", "[", + RowBox[{"3", ",", "s"}], "]"}], "[", "\[Lambda]", "]"}], "]"}], + "-", + RowBox[{ + RowBox[{"E1RSBp", "[", + RowBox[{ + RowBox[{"fps", "[", + RowBox[{"3", ",", "s"}], "]"}], "[", "\[Lambda]", "]"}], "]"}], + "[", + RowBox[{"[", "1", "]"}], "]"}]}], ",", "\[Lambda]"}], "]"}], "/.", + RowBox[{ + RowBox[{ + RowBox[{"Sign", "'"}], "[", "_", "]"}], ":>", "0"}]}]}], "}"}], ",", + RowBox[{"{", + RowBox[{ + RowBox[{"{", + RowBox[{"\[Lambda]", ",", "0.5", ",", + SuperscriptBox["10", + RowBox[{"-", "2"}]], ",", + RowBox[{"1", "-", + SuperscriptBox["10", + RowBox[{"-", "2"}]]}]}], "}"}], ",", + RowBox[{"{", + RowBox[{"s", ",", "10"}], "}"}]}], "}"}], ",", + RowBox[{"WorkingPrecision", "->", "50"}]}], "]"}]], "Input", + CellChangeTimes->{{3.901813348129744*^9, 3.901813350268499*^9}, { + 3.9018133870730143`*^9, 3.9018134473566723`*^9}, {3.901813486476801*^9, + 3.901813600353917*^9}, {3.9018136445421762`*^9, 3.901813699608247*^9}, { + 3.901813772172374*^9, 3.9018137723051357`*^9}, {3.901813806277356*^9, + 3.901813822308625*^9}}, + CellLabel-> + "In[273]:=",ExpressionUUID->"fc2c504f-7389-43b0-97f2-e34c4e79950a"], + +Cell[BoxData[ + RowBox[{"{", + RowBox[{ + RowBox[{ + "\[Lambda]", "\[Rule]", + "0.90465970433514558049325252851837904237317549900116856208404603877213092\ +293128`50."}], ",", + RowBox[{ + "s", "\[Rule]", + "12.4305814167917177208159302856961986922530148400877647365410366632081808\ +2378587`50."}]}], "}"}]], "Output", + CellChangeTimes->{{3.901813396899633*^9, 3.9018134053225317`*^9}, { + 3.901813507727406*^9, 3.901813600609984*^9}, {3.9018136465513687`*^9, + 3.901813699950741*^9}, 3.9018137727639227`*^9, {3.901813807014379*^9, + 3.901813822830092*^9}}, + CellLabel-> + "Out[273]=",ExpressionUUID->"746f976b-ddd1-4458-8ef0-400a402e15ee"] }, Open ]] }, Open ]], @@ -3195,24 +3317,30 @@ Cell[BoxData[ RowBox[{"s", ",", "12.2"}], "}"}], ",", RowBox[{"{", RowBox[{"\[Lambda]", ",", "0.86"}], "}"}]}], "}"}], ",", - RowBox[{"WorkingPrecision", "->", "20"}]}], "]"}]}]], "Input", + RowBox[{"WorkingPrecision", "->", "50"}]}], "]"}]}]], "Input", CellChangeTimes->{{3.895462191731439*^9, 3.895462312401428*^9}, { 3.8954624087150173`*^9, 3.895462412788554*^9}, {3.895554210369363*^9, - 3.89555421972118*^9}}, - CellLabel->"In[53]:=",ExpressionUUID->"c11486ac-d1a4-496c-8e21-069bf0e12242"], + 3.89555421972118*^9}, {3.901813802377489*^9, 3.9018138261935253`*^9}}, + CellLabel-> + "In[274]:=",ExpressionUUID->"c11486ac-d1a4-496c-8e21-069bf0e12242"], Cell[BoxData[ RowBox[{"{", RowBox[{ - RowBox[{"s", "\[Rule]", "12.43058141679171855973602863510740059422`20."}], - ",", RowBox[{ - "\[Lambda]", "\[Rule]", "0.9046597043351452861393085531886159323`20."}]}], - "}"}]], "Output", + "s", "\[Rule]", + "12.4305814167917241581325319284580336991460904725195656965359330248506079\ +3747045`50."}], ",", + RowBox[{ + "\[Lambda]", "\[Rule]", + "0.90465970433514526710575138626798568970444923965662466620175430780563133\ +995784`50."}]}], "}"}]], "Output", CellChangeTimes->{{3.8954621697040787`*^9, 3.895462205893667*^9}, { 3.895462292663806*^9, 3.895462312620418*^9}, 3.8954624136274967`*^9, - 3.8955542607411327`*^9, 3.8963642720911713`*^9, 3.8964135933471622`*^9}, - CellLabel->"Out[53]=",ExpressionUUID->"24105e6a-dc40-4574-8703-e65dc26daa54"] + 3.8955542607411327`*^9, 3.8963642720911713`*^9, 3.8964135933471622`*^9, + 3.9017868092243547`*^9, {3.9018138033850517`*^9, 3.901813826441123*^9}}, + CellLabel-> + "Out[274]=",ExpressionUUID->"9a481ee7-ebf4-412e-a369-1f28ff15cd6e"] }, Open ]], Cell[BoxData[ @@ -3292,7 +3420,7 @@ Cell[BoxData[ 3.895470499083143*^9}, {3.8954705338227367`*^9, 3.895470549341217*^9}, { 3.895470587321986*^9, 3.895470650015164*^9}, {3.895554266458956*^9, 3.8955542808746233`*^9}, {3.8955545308967*^9, 3.895554530927647*^9}}, - CellLabel->"In[54]:=",ExpressionUUID->"4839e59d-cdcc-49eb-a682-11a0b3eea261"], + CellLabel->"In[45]:=",ExpressionUUID->"4839e59d-cdcc-49eb-a682-11a0b3eea261"], Cell[BoxData[ RowBox[{ @@ -3339,7 +3467,7 @@ Cell[BoxData[ CellChangeTimes->{{3.89546260850655*^9, 3.895462612829816*^9}, 3.8954693997239847`*^9, 3.895470443763932*^9, {3.895554294387472*^9, 3.895554335523786*^9}, 3.8955543754556227`*^9}, - CellLabel->"In[55]:=",ExpressionUUID->"9ca16708-b69c-4475-b70e-81dc91ed2764"], + CellLabel->"In[46]:=",ExpressionUUID->"9ca16708-b69c-4475-b70e-81dc91ed2764"], Cell[CellGroupData[{ @@ -3362,7 +3490,7 @@ Cell[BoxData[ CellChangeTimes->{{3.895561254968219*^9, 3.895561297720627*^9}, { 3.895561430259482*^9, 3.895561433283255*^9}, {3.895975325220688*^9, 3.895975328691399*^9}}, - CellLabel->"In[56]:=",ExpressionUUID->"78686c83-7306-4ba3-97bb-55cf72c3c687"], + CellLabel->"In[47]:=",ExpressionUUID->"78686c83-7306-4ba3-97bb-55cf72c3c687"], Cell[BoxData[ RowBox[{"{", @@ -3371,8 +3499,9 @@ Cell[BoxData[ CellChangeTimes->{{3.895561272018899*^9, 3.895561298077024*^9}, 3.895561433618682*^9, 3.8958859258096313`*^9, 3.8958860335842943`*^9, { 3.895886361908064*^9, 3.89588637465512*^9}, 3.895886444574993*^9, - 3.895975330434822*^9, 3.896364275940008*^9, 3.896413595857337*^9}, - CellLabel->"Out[56]=",ExpressionUUID->"aa890872-b96e-44b3-a490-5e3d2facc23c"] + 3.895975330434822*^9, 3.896364275940008*^9, 3.896413595857337*^9, + 3.9017868115586443`*^9}, + CellLabel->"Out[47]=",ExpressionUUID->"7a06d093-46e2-4c97-be00-1388366620ae"] }, Open ]], Cell[BoxData[ @@ -3428,7 +3557,7 @@ Cell[BoxData[ CellChangeTimes->{{3.8955612314876347`*^9, 3.8955612449355183`*^9}, { 3.895561307800565*^9, 3.895561438195469*^9}, {3.895561518549691*^9, 3.895561541685356*^9}, {3.8955615784942923`*^9, 3.895561598078465*^9}}, - CellLabel->"In[57]:=",ExpressionUUID->"51b13c51-892c-41c0-a649-06bf690ed7fa"], + CellLabel->"In[48]:=",ExpressionUUID->"51b13c51-892c-41c0-a649-06bf690ed7fa"], Cell[CellGroupData[{ @@ -3580,10 +3709,12 @@ CkyXLfqbyg2kP8unp4PobYZTNfWAtMhdWy0Q/Xi5bakhkJZOLQbTl5ZK14Bo MY7t9SBaZ238XBBdYjh9IZj+I7IZRP840rULRDNzql5nZ3/rGPTmDphumWm0 YCeQ3pa9bQOI3uw8YxuIVpsVvgNEAwC+CMDm "], - CellLabel->"In[58]:=",ExpressionUUID->"eed16e71-b1cf-401d-88ba-69416f991e28"], + CellLabel->"In[49]:=",ExpressionUUID->"eed16e71-b1cf-401d-88ba-69416f991e28"], Cell[BoxData[ - GraphicsBox[{{}, GraphicsComplexBox[CompressedData[" + GraphicsBox[{{}, + InterpretationBox[{ + TagBox[GraphicsComplexBox[CompressedData[" 1:eJw013dcjX8fx/GMZI/23uOMxhkZWeeNiMjOzoxCEkISycooWYmGURQZCUkq RUO7bCpK0h6Unyjjvu6H7+f+5358H+mc61yv63nevwxWbpy9urucnNxADTm5 ////3ZyhRcIBipBj/0u98G7sWjVF1F2UaHk43pQ93bYrLtpAEdtC2v5z9kuS @@ -5002,9 +5133,9 @@ FAF6kJDN156sKgL0IM5yTbVeRYAeRO5C/pHEIkAPMsJe3+lUEaAHGd+dN/px EaAHkXH6WgNFgB5EFKC9WrEY0IMM6nd9NKcY0IOoV1YsWFsM6EGsjRUydxYD epClZhltKcWAHkTJyWr8uWJADxI3xNq4sRjQg0ysLLHpKgb0IEOaLO2USiTv /9+XBhnw/7w0SFbm/7y+s+8L8Px/ANvR/pQ= - "], {{{}, - {RGBColor[0.368417, 0.506779, 0.709798], Opacity[0.1], EdgeForm[None], - GraphicsGroupBox[PolygonBox[CompressedData[" + "], {{{}, + {RGBColor[0.368417, 0.506779, 0.709798], Opacity[0.1], EdgeForm[ + None], GraphicsGroupBox[PolygonBox[CompressedData[" 1:eJwl2FPQGEi3BdAvtm3btu1MbNu2bduY2LZt28ZMbE3uyv0fVp1+7qpT3Xsn atS+UrvAAQEBgSgc/H9zRNiAgELOBSlAfvKRlzzkJhc5yUF2spGVLGQmExnJ QHrSkZY0pCYVKUlBcpKRlCQkJhEJSUB84hGXOMQmFjGJQXSiEZUoRCYSEYlA @@ -5057,13 +5188,13 @@ phY1qUF1qlGVKlSmEn9RkQqUpxxlKUNpSlGSEhSnGEUpQmEKUZAC5CcfeclD bnKRkxxkJxtZyUJmMpGRDKQnHWlJQ2pSkZIUJCcZSUlCYhKRkATEJx5xiUNs YhGTGEQnGlGJQmQiEZEIhCccYQlDaEIRkhAB/1/z/6n6/sT9P1/+P89+wP8B FXwYrA== - "]]]}, {}, {}, {}, {}, {}, - {RGBColor[0.880722, 0.611041, 0.142051], Opacity[0.1], EdgeForm[None], - GraphicsGroupBox[ - PolygonBox[{{3204, 3205, 3206, - 3207}}]]}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, - {RGBColor[0.560181, 0.691569, 0.194885], Opacity[0.1], EdgeForm[None], - GraphicsGroupBox[PolygonBox[CompressedData[" + "]]]}, {}, {}, {}, {}, {}, + {RGBColor[0.880722, 0.611041, 0.142051], Opacity[0.1], EdgeForm[ + None], GraphicsGroupBox[ + PolygonBox[{{3204, 3205, 3206, + 3207}}]]}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, + {RGBColor[0.560181, 0.691569, 0.194885], Opacity[0.1], EdgeForm[ + None], GraphicsGroupBox[PolygonBox[CompressedData[" 1:eJwl1tMSGIqCAMHYtm3btm3btm3btm3btm3bObm9tQ/9CVM1CRu1r9QuUIAA AQJSKNj/K0wRilKM4pSgJKUoTRnKUo7yVKAilahMFapSjerUoCa1qE0d6lKP +jSgIY1oTBOa0ozmtKAlrWhNG9rSjvZ0oCOd6EwXutKN7vSgJ73oTR/60o/+ @@ -5115,10 +5246,11 @@ vOUNr3nFS17wnGc85QmPecRDHnCfe9zlDre5xU1ucJ1rXOUKl7nERS5wnnOc DatZxUpWsJxlLGUJi1nEQhYwn3nMZQ6zmcVMZjCdaUxlCpOZxEQmMJ5xjGUM oxnFSEYwnGEMZcj//T+DGMgA+tOPvvShN73oSQ+6042udKEznehIB9rTjra0 oTWtaEkLmtOMpjShMf8D9k1QNA== - "]]]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, - {RGBColor[0.368417, 0.506779, 0.709798], PointSize[ - 0.003666666666666667], AbsoluteThickness[1.6], LineBox[CompressedData[" - + "]]]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + TagBox[ + {RGBColor[0.368417, 0.506779, 0.709798], PointSize[ + 0.003666666666666667], AbsoluteThickness[2], + LineBox[CompressedData[" 1:eJwl2VMQGMoOANDatm3btt1b27bbW9u2rVvbtm3bdvtO532c2Zl8Zia72SRh w3aV2gYMECBAwWABAvw9AxGYIARFOEBwQhCSUIQmDGEJR3giEJFIRCYKUYlG dGIQk1jEJg5xiUd8EpCQRCQmCUlJRnJSkJJUpCYNaUlHejKQkUxkJgtZyUZ2 @@ -5170,10 +5302,12 @@ znCWc5znAhe5xGWucJVrXOcGN7nFbe5wl3vc5wEPecRjnvCUZzznBS95xWve 8JZ3vOcDH/nEZ77wlW985wc/+cVv/vB30B+QQAQmCEEJRnBCEJJQhCYMYQlH eCIQkUhEJgpRiUZ0YhCTWMQmDnGJR3wSkJBEJCYJSUlGclKQklSkJg1pSUd6 MpCRTGQmC1nJRnZykJNc5CYPeclHfgoE+/8O5H/4eBgV - "]]}, - {RGBColor[0.560181, 0.691569, 0.194885], PointSize[ - 0.003666666666666667], AbsoluteThickness[1.6], LineBox[CompressedData[" - + "]]}, + Annotation[#, "Charting`Private`Tag#1"]& ], + TagBox[ + {RGBColor[0.560181, 0.691569, 0.194885], PointSize[ + 0.003666666666666667], AbsoluteThickness[2], + LineBox[CompressedData[" 1:eJwN00OCIAYAALDp2rZt27Zt27Zt27btdm3btm01hzwhCRu1r9Tun4CAgISB AwIKBQsIKEwRilKM4pSgJKUoTRnKUo7yVKAilahMFapSjerUoCa1qE0d6lKP +jSgIY1oTBOa0ozmtKAlrWhNG9rSjvZ0oCOd6EwXutKN7vSgJ73oTR/60o/+ @@ -5200,10 +5334,12 @@ gpe84jVveMs73vOBj3ziM1/4yje+84Of/OI3f/hLQEj/CURgghCUYAQnBCEJ RWjCEJZwhCcCEYlEZKIQlWhEJwYxiUVs4hCXeMQnAQlJRGKSkJRkJCcFKUlF atKQlnSkJwMZyURmspCVbGQnBznJRW7ykJd85KcABSlEYYpQlGIUpwQlKUVp ylCWcpSnAhWpRGWqUJVqVKcGNalFbepQl3rUpwENacT/n5Oh6A== - "]]}, - {RGBColor[0.560181, 0.691569, 0.194885], PointSize[ - 0.003666666666666667], AbsoluteThickness[1.6], LineBox[CompressedData[" - + "]]}, + Annotation[#, "Charting`Private`Tag#2"]& ], + TagBox[ + {RGBColor[0.560181, 0.691569, 0.194885], PointSize[ + 0.003666666666666667], AbsoluteThickness[2], + LineBox[CompressedData[" 1:eJwN09OCGAYAALBbbdu27Xa1bdu2vdq2bdu2bdt2l4d8QhI2bFex7T8BAQEJ AwcENAoZENCYJjSlGc1pQUta0Zo2tKUd7elARzrRmS50pRvd6UFPetGbPvSl H/0ZwEAGMZj/GMJQhjGcEYxkFKMZw1jGMZ4JTGQSk5nCVKYxnRnMZBazmcNc @@ -5230,993 +5366,9000 @@ oQhNGMISjvBEICKRiEwUohKN6MQgJrGITRziEo/4JCAhiUhMEpKSjOSkICWp SE0a0pKO9GQgI5nITBayko3s5CAnuchNHvKSj/wU4F8KUojCFKEoxShOCUpS itKUoSzlKE8FKlKJylShKtWoTg1qUova1KEu9ahPAxrSiMY0oSnNaE4LWtKK 1rShLe1oTwc60onOdKEr3ehOD3rSi970oS/96M8ABjKI/wEwmK/v - "]]}, - {RGBColor[0.880722, 0.611041, 0.142051], PointSize[ - 0.003666666666666667], AbsoluteThickness[1.6], - LineBox[{3204, 3207}]}}}], {{}, {}}}, - AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], - Axes->{True, True}, - AxesLabel->{None, None}, - AxesOrigin->{3.0000000000000067`, 0}, - DisplayFunction->Identity, - Epilog->{ - InsetBox[ - FormBox[ - StyleBox["\"RSB\"", - Directive[ - RGBColor[0.368417, 0.506779, 0.709798], FontFamily -> "Times", - FontSize -> 10], StripOnInput -> False], TraditionalForm], {6.32, - 0.43500000000000005`}], - InsetBox[ - FormBox[ - StyleBox["\"complexity\"", - Directive[ - RGBColor[0.368417, 0.506779, 0.709798], FontFamily -> "Times", - FontSize -> 10], StripOnInput -> False], TraditionalForm], {6.32, - 0.365}], - InsetBox[ - FormBox[ - StyleBox[ - "\"Non\[Hyphen]convex \ -\\!\\(\\*StyleBox[\\\"\[Chi]\\\",FontSlant->\\\"Italic\\\"]\\)\"", - Directive[ - RGBColor[0.880722, 0.611041, 0.142051], FontFamily -> "Times", - FontSize -> 10], StripOnInput -> False], TraditionalForm], {12.5, - 0.4}], - InsetBox[ - FormBox[ - StyleBox[ - "\"RSB \\!\\(\\*StyleBox[\\\"T\\\",FontSlant->\\\"Italic\\\"]\\) = 0\"", - Directive[ - RGBColor[0.560181, 0.691569, 0.194885], FontFamily -> "Times", - FontSize -> 10], StripOnInput -> False], TraditionalForm], { - 15, 0.85}]}, - Frame->{{True, True}, {True, True}}, - FrameLabel->{{ - FormBox[ - TagBox["\[Lambda]", HoldForm], TraditionalForm], None}, { - FormBox[ - TagBox["s", HoldForm], TraditionalForm], None}}, - FrameStyle->GrayLevel[0], - FrameTicks->{{Automatic, Automatic}, {Automatic, Automatic}}, - GridLines->{None, None}, - GridLinesStyle->Directive[ - GrayLevel[0.5, 0.4]], - ImageSize->270, - LabelStyle->Directive[ - GrayLevel[0], FontFamily -> "Times", FontSize -> 10, - Filling -> {{1} -> 0}], - Method->{ - "AxisPadding" -> Scaled[0.02], "DefaultBoundaryStyle" -> Automatic, - "DefaultGraphicsInteraction" -> { - "Version" -> 1.2, "TrackMousePosition" -> {True, False}, - "Effects" -> { - "Highlight" -> {"ratio" -> 2}, "HighlightPoint" -> {"ratio" -> 2}, - "Droplines" -> { - "freeformCursorMode" -> True, - "placement" -> {"x" -> "All", "y" -> "None"}}}}, "DefaultMeshStyle" -> - AbsolutePointSize[6], "DefaultPlotStyle" -> { - Directive[ - RGBColor[0.368417, 0.506779, 0.709798], - AbsoluteThickness[1.6]], - Directive[ - RGBColor[0.880722, 0.611041, 0.142051], - AbsoluteThickness[1.6]], - Directive[ - RGBColor[0.560181, 0.691569, 0.194885], - AbsoluteThickness[1.6]], - Directive[ - RGBColor[0.922526, 0.385626, 0.209179], - AbsoluteThickness[1.6]], - Directive[ - RGBColor[0.528488, 0.470624, 0.701351], - AbsoluteThickness[1.6]], - Directive[ - RGBColor[0.772079, 0.431554, 0.102387], - AbsoluteThickness[1.6]], - Directive[ - RGBColor[0.363898, 0.618501, 0.782349], - AbsoluteThickness[1.6]], - Directive[ - RGBColor[1, 0.75, 0], - AbsoluteThickness[1.6]], - Directive[ - RGBColor[0.647624, 0.37816, 0.614037], - AbsoluteThickness[1.6]], - Directive[ - RGBColor[0.571589, 0.586483, 0.], - AbsoluteThickness[1.6]], - Directive[ - RGBColor[0.915, 0.3325, 0.2125], - AbsoluteThickness[1.6]], - Directive[ - RGBColor[0.40082222609352647`, 0.5220066643438841, 0.85], - AbsoluteThickness[1.6]], - Directive[ - RGBColor[0.9728288904374106, 0.621644452187053, 0.07336199581899142], - AbsoluteThickness[1.6]], - Directive[ - RGBColor[0.736782672705901, 0.358, 0.5030266573755369], - AbsoluteThickness[1.6]], - Directive[ - RGBColor[0.28026441037696703`, 0.715, 0.4292089322474965], - AbsoluteThickness[1.6]]}, "DomainPadding" -> Scaled[0.02], - "PointSizeFunction" -> "SmallPointSize", "RangePadding" -> Scaled[0.05], - "OptimizePlotMarkers" -> True, "OptimizePlotMarkers" -> True, - "CoordinatesToolOptions" -> {"DisplayFunction" -> ({ - Identity[ - Part[#, 1]], - Identity[ - Part[#, 2]]}& ), "CopiedValueFunction" -> ({ - Identity[ - Part[#, 1]], - Identity[ - Part[#, 2]]}& )}}, - PlotRange->{{3., 17.}, {0., 1.}}, - PlotRangeClipping->True, - PlotRangePadding->{{0, 0}, {0, 0}}, - RotateLabel->False, - Ticks->{Automatic, Automatic}]], "Output", - CellChangeTimes->{{3.895469926406382*^9, 3.895469944566043*^9}, { - 3.895470054863389*^9, 3.8954701588877*^9}, {3.895470191676058*^9, - 3.895470214113411*^9}, 3.895470403213738*^9, {3.895470458643279*^9, - 3.895470507296228*^9}, {3.895470547983416*^9, 3.895470598036323*^9}, - 3.895470631485018*^9, {3.895470661718041*^9, 3.895470704160346*^9}, - 3.895471626387981*^9, 3.895483203353963*^9, {3.895554378157557*^9, - 3.895554391613358*^9}, 3.895554539480854*^9, 3.8955611521828938`*^9, - 3.89556121410914*^9, 3.895561485672566*^9, 3.895561604548561*^9, { - 3.895561652342114*^9, 3.895561684589978*^9}, 3.895886037660762*^9, - 3.896000192218379*^9, {3.896364299426577*^9, 3.8963644221359386`*^9}, { - 3.896364477086321*^9, 3.896364483034493*^9}, 3.8964135991973677`*^9}, - CellLabel->"Out[58]=",ExpressionUUID->"770c90e2-f859-4186-837c-b5ff7da78be2"] -}, Open ]], - -Cell[BoxData[ - RowBox[{ - RowBox[{"Export", "[", - RowBox[{"\"\\"", ",", "plot3s"}], "]"}], - ";"}]], "Input", - CellChangeTimes->{{3.8955544980388193`*^9, 3.895554509958931*^9}}, - CellLabel-> - "In[107]:=",ExpressionUUID->"82314c9e-cbb2-4525-b8ed-399ce71b653e"] -}, Open ]], - -Cell[CellGroupData[{ - -Cell["Complexity figure", "Subsection", - CellChangeTimes->{{3.895554835654717*^9, - 3.895554838629046*^9}},ExpressionUUID->"43cfe949-fa48-4a47-bf76-\ -0ef4c9cf1e6a"], - -Cell[BoxData[ - RowBox[{ - RowBox[{"f35", "=", - RowBox[{"Function", "[", - RowBox[{"q", ",", - RowBox[{ - FractionBox["1", "2"], - RowBox[{"(", - RowBox[{ - RowBox[{ - FractionBox["1", "2"], " ", - SuperscriptBox["q", "3"]}], "+", - RowBox[{ - RowBox[{"(", - RowBox[{"1", "-", - FractionBox["1", "2"]}], ")"}], - SuperscriptBox["q", "5"]}]}], ")"}]}]}], "]"}]}], ";"}]], "Input", - CellChangeTimes->{{3.8955618366035223`*^9, 3.89556186970009*^9}, { - 3.895562038974803*^9, 3.895562041254703*^9}}, - CellLabel-> - "In[1175]:=",ExpressionUUID->"1978b734-521c-4a20-afa3-c8f35f90f937"], - -Cell[BoxData[ - RowBox[{ - RowBox[{"Eini", "=", - RowBox[{ - RowBox[{ - RowBox[{"E1RSBp", "[", "f35", "]"}], "[", - RowBox[{"[", "2", "]"}], "]"}], "-", - SuperscriptBox["10", - RowBox[{"-", "3"}]]}]}], ";"}]], "Input", - CellChangeTimes->{{3.895561885404233*^9, 3.895561921717133*^9}, { - 3.8955620436395607`*^9, 3.895562044614595*^9}, {3.895562374613471*^9, - 3.8955623835649014`*^9}, {3.895905249156866*^9, 3.895905285237419*^9}}, - CellLabel-> - "In[1176]:=",ExpressionUUID->"7f71768c-5c8d-4d76-ac10-93b67f425d9a"], - -Cell[BoxData[ - RowBox[{ - RowBox[{"zeroComplexityEqs", "=", - RowBox[{"Append", "[", - RowBox[{ - RowBox[{"D", "[", - RowBox[{ - RowBox[{ - RowBox[{ - RowBox[{"\[ScriptCapitalS]", "[", "f35", "]"}], "[", - RowBox[{"\[Epsilon]", ",", "\[Mu]"}], "]"}], "[", - RowBox[{"q1", ",", "x"}], "]"}], ",", - RowBox[{"{", - RowBox[{"{", - RowBox[{"q1", ",", "x"}], "}"}], "}"}]}], "]"}], ",", - RowBox[{ - RowBox[{ - RowBox[{"\[ScriptCapitalS]", "[", "f35", "]"}], "[", - RowBox[{"\[Epsilon]", ",", "\[Mu]"}], "]"}], "[", - RowBox[{"q1", ",", "x"}], "]"}]}], "]"}]}], ";"}]], "Input", - CellChangeTimes->{{3.895562101464458*^9, 3.895562158800868*^9}}, - CellLabel-> - "In[1177]:=",ExpressionUUID->"dbb20d14-8a14-4eda-896e-11c71393c1d2"], - -Cell[CellGroupData[{ - -Cell[BoxData[ - RowBox[{ - RowBox[{ - RowBox[{"\[Mu]0", "[", "f35", "]"}], "[", "Eini", "]"}], "//", - "N"}]], "Input", - CellChangeTimes->{{3.895562478585575*^9, 3.8955624879746933`*^9}}, - CellLabel-> - "In[1178]:=",ExpressionUUID->"e61a45c5-9d12-40d0-b148-d5de65cf95e3"], - -Cell[BoxData["3.219086797417426`"], "Output", - CellChangeTimes->{{3.895562484929249*^9, 3.8955624881521463`*^9}, - 3.895562584917552*^9, 3.895563253895794*^9, {3.895905237259828*^9, - 3.895905315506276*^9}}, - CellLabel-> - "Out[1178]=",ExpressionUUID->"9b0b0d3a-dad7-4599-af6c-864f11552c2c"] -}, Open ]], - -Cell[CellGroupData[{ - -Cell[BoxData[ - RowBox[{ - RowBox[{"iniComplexityZero", "=", - RowBox[{"Select", "[", - RowBox[{ - RowBox[{"Table", "[", - RowBox[{ - RowBox[{"FindRoot", "[", - RowBox[{ - RowBox[{"zeroComplexityEqs", "/.", - RowBox[{"\[Epsilon]", "->", "Eini"}]}], ",", - RowBox[{"{", - RowBox[{ - RowBox[{"{", - RowBox[{"\[Mu]", ",", - RowBox[{ - RowBox[{"\[Mu]0", "[", "f35", "]"}], "[", "Eini", "]"}]}], - "}"}], ",", - RowBox[{"{", - RowBox[{"q1", ",", - RowBox[{"1", "-", - RowBox[{"0.01", - RowBox[{"RandomReal", "[", "]"}]}]}], ",", "0", ",", "1"}], - "}"}], ",", - RowBox[{"{", - RowBox[{"x", ",", - RowBox[{"1", "-", - RowBox[{"0.01", - RowBox[{"RandomReal", "[", "]"}]}]}], ",", "0", ",", "1"}], - "}"}]}], "}"}], ",", - RowBox[{"WorkingPrecision", "->", "15"}]}], "]"}], ",", - RowBox[{"{", "100", "}"}]}], "]"}], ",", - RowBox[{ - RowBox[{ - RowBox[{ - RowBox[{ - RowBox[{"Norm", "[", - RowBox[{"zeroComplexityEqs", "/.", - RowBox[{"\[Epsilon]", "->", "Eini"}]}], "]"}], "<", - SuperscriptBox["10", - RowBox[{"-", "4"}]]}], "&&", - RowBox[{"x", "<", "1"}]}], "/.", "#"}], "&"}]}], "]"}]}], - ";"}]], "Input", - CellChangeTimes->{{3.895384603950324*^9, 3.895384767562564*^9}, { - 3.8953848471900263`*^9, 3.8953849550567627`*^9}, {3.895384993816868*^9, - 3.895385167102223*^9}, {3.8953852532759438`*^9, 3.895385301060913*^9}, { - 3.895385333873493*^9, 3.895385334192377*^9}, {3.895385373861883*^9, - 3.895385374020372*^9}, {3.895386119899769*^9, 3.895386122537833*^9}, { - 3.8954724269792347`*^9, 3.895472459038459*^9}, {3.895472625757042*^9, - 3.8954726497067842`*^9}, 3.8954727466279297`*^9, {3.895472804037191*^9, - 3.8954728085148067`*^9}, {3.8954732198785763`*^9, 3.895473265034766*^9}, { - 3.895488259656597*^9, 3.895488272033279*^9}, {3.8955619408133297`*^9, - 3.8955619442131042`*^9}, {3.8955619809259653`*^9, 3.895562100680335*^9}, { - 3.895562168569542*^9, 3.895562219794333*^9}, {3.895562275668519*^9, - 3.895562276019599*^9}, 3.895562336262527*^9, {3.895562387360338*^9, - 3.895562398221315*^9}, {3.895562590099226*^9, 3.895562590592595*^9}, { - 3.895562705756238*^9, 3.8955627081870613`*^9}, {3.895563203079307*^9, - 3.895563206332131*^9}, {3.895563249909992*^9, 3.895563250060794*^9}}, - CellLabel-> - "In[1179]:=",ExpressionUUID->"244182a6-0bc2-440d-9bad-8c02ec6afd5c"], - -Cell[BoxData[ - TemplateBox[{ - "Power", "infy", - "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \\\"0.`\\\"]\\) \ -encountered.\"", 2, 1179, 717, 31992412955784148511, "Local"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, - 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, - 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, - 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, - 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, - 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, - 3.895905322738254*^9}, - CellLabel-> - "During evaluation of \ -In[1179]:=",ExpressionUUID->"e763fd8b-6ccd-458c-a825-41b18be474c0"], - -Cell[BoxData[ - TemplateBox[{ - "Power", "infy", - "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \ -SuperscriptBox[\\\"0.`\\\", \\\"2\\\"]]\\) encountered.\"", 2, 1179, 718, - 31992412955784148511, "Local"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, - 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, - 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, - 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, - 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, - 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, - 3.895905322745515*^9}, - CellLabel-> - "During evaluation of \ -In[1179]:=",ExpressionUUID->"4359e67a-7bb9-4733-ac57-918be069f258"], - -Cell[BoxData[ - TemplateBox[{ - "Infinity", "indet", - "\"Indeterminate expression \\!\\(\\*RowBox[{\\\"0.`\\\", \\\" \\\", \ -\\\"ComplexInfinity\\\"}]\\) encountered.\"", 2, 1179, 719, - 31992412955784148511, "Local"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, - 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, - 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, - 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, - 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, - 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, - 3.895905322752859*^9}, - CellLabel-> - "During evaluation of \ -In[1179]:=",ExpressionUUID->"158021ae-ea54-4f24-a08e-de51e3ff4e15"], - -Cell[BoxData[ - TemplateBox[{ - "Power", "infy", - "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \\\"0.`\\\"]\\) \ -encountered.\"", 2, 1179, 720, 31992412955784148511, "Local"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, - 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, - 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, - 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, - 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, - 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, - 3.8959053227598248`*^9}, - CellLabel-> - "During evaluation of \ -In[1179]:=",ExpressionUUID->"1e66a2e7-a31b-4d06-9b50-6be7ea7046b3"], - -Cell[BoxData[ - TemplateBox[{ - "General", "stop", - "\"Further output of \\!\\(\\*StyleBox[RowBox[{\\\"Power\\\", \\\"::\\\", \ -\\\"infy\\\"}], \\\"MessageName\\\"]\\) will be suppressed during this \ -calculation.\"", 2, 1179, 721, 31992412955784148511, "Local"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, - 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, - 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, - 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, - 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, - 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, - 3.895905322766911*^9}, - CellLabel-> - "During evaluation of \ -In[1179]:=",ExpressionUUID->"6ae8cdfb-a7a5-419e-b813-3d4e18a37b63"], - -Cell[BoxData[ - TemplateBox[{ - "Infinity", "indet", - "\"Indeterminate expression \\!\\(\\*RowBox[{\\\"0.`\\\", \\\" \\\", \ -\\\"ComplexInfinity\\\"}]\\) encountered.\"", 2, 1179, 722, - 31992412955784148511, "Local"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, - 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, - 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, - 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, - 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, - 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, - 3.8959053227737713`*^9}, - CellLabel-> - "During evaluation of \ -In[1179]:=",ExpressionUUID->"a944780f-4411-43c1-9392-ae87675594e5"], - -Cell[BoxData[ - TemplateBox[{ - "FindRoot", "nlnum", - "\"The function value \\!\\(\\*RowBox[{\\\"{\\\", \ -RowBox[{\\\"Indeterminate\\\", \\\",\\\", \\\"Indeterminate\\\", \\\",\\\", \ -\\\"Indeterminate\\\"}], \\\"}\\\"}]\\) is not a list of numbers with \ -dimensions \\!\\(\\*RowBox[{\\\"{\\\", \\\"3\\\", \\\"}\\\"}]\\) at \ -\\!\\(\\*RowBox[{\\\"{\\\", RowBox[{\\\"\[Mu]\\\", \\\",\\\", \\\"q1\\\", \ -\\\",\\\", \\\"x\\\"}], \\\"}\\\"}]\\) = \\!\\(\\*RowBox[{\\\"{\\\", RowBox[{\ -\\\"3.219086797437133`\\\", \\\",\\\", \\\"1.`\\\", \\\",\\\", \ -\\\"0.9989840324096916`\\\"}], \\\"}\\\"}]\\).\"", 2, 1179, 723, - 31992412955784148511, "Local"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, - 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, - 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, - 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, - 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, - 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, - 3.895905322780568*^9}, - CellLabel-> - "During evaluation of \ -In[1179]:=",ExpressionUUID->"92733b10-79d1-4a74-a713-8b1ef052850b"], - -Cell[BoxData[ - TemplateBox[{ - "Infinity", "indet", - "\"Indeterminate expression \\!\\(\\*RowBox[{\\\"0.`\\\", \\\" \\\", \ -\\\"ComplexInfinity\\\"}]\\) encountered.\"", 2, 1179, 724, - 31992412955784148511, "Local"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, - 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, - 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, - 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, - 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, - 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, - 3.895905323306633*^9}, - CellLabel-> - "During evaluation of \ -In[1179]:=",ExpressionUUID->"0d65828c-ee59-4bb4-8d20-7e0082e68645"], - -Cell[BoxData[ - TemplateBox[{ - "General", "stop", - "\"Further output of \\!\\(\\*StyleBox[RowBox[{\\\"Infinity\\\", \ -\\\"::\\\", \\\"indet\\\"}], \\\"MessageName\\\"]\\) will be suppressed \ -during this calculation.\"", 2, 1179, 725, 31992412955784148511, "Local"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, - 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, - 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, - 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, - 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, - 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, - 3.8959053233148746`*^9}, - CellLabel-> - "During evaluation of \ -In[1179]:=",ExpressionUUID->"b6072731-f230-4d2b-940b-dc383d4a01b0"], - -Cell[BoxData[ - TemplateBox[{ - "FindRoot", "nlnum", - "\"The function value \\!\\(\\*RowBox[{\\\"{\\\", \ -RowBox[{\\\"Indeterminate\\\", \\\",\\\", \\\"Indeterminate\\\", \\\",\\\", \ -\\\"Indeterminate\\\"}], \\\"}\\\"}]\\) is not a list of numbers with \ -dimensions \\!\\(\\*RowBox[{\\\"{\\\", \\\"3\\\", \\\"}\\\"}]\\) at \ -\\!\\(\\*RowBox[{\\\"{\\\", RowBox[{\\\"\[Mu]\\\", \\\",\\\", \\\"q1\\\", \ -\\\",\\\", \\\"x\\\"}], \\\"}\\\"}]\\) = \\!\\(\\*RowBox[{\\\"{\\\", RowBox[{\ -\\\"3.2190867974318214`\\\", \\\",\\\", \\\"1.`\\\", \\\",\\\", \ -\\\"0.9996634166096726`\\\"}], \\\"}\\\"}]\\).\"", 2, 1179, 726, - 31992412955784148511, "Local"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, - 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, - 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, - 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, - 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, - 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, - 3.895905323322081*^9}, - CellLabel-> - "During evaluation of \ -In[1179]:=",ExpressionUUID->"6433ac15-e54e-4729-b9f3-1d97f6789843"], - -Cell[BoxData[ - TemplateBox[{ - "FindRoot", "reged", - "\"The point \\!\\(\\*RowBox[{\\\"{\\\", \ -RowBox[{RowBox[{RowBox[{\\\"3.2190867974168995`\\\", \ -\\\"\[VeryThinSpace]\\\"}], \\\"+\\\", RowBox[{\\\"0.`\\\", \\\" \\\", \\\"\ -\[ImaginaryI]\\\"}]}], \\\",\\\", \ -RowBox[{RowBox[{\\\"0.9988740166214389`\\\", \\\"\[VeryThinSpace]\\\"}], \ -\\\"+\\\", RowBox[{\\\"0.`\\\", \\\" \\\", \\\"\[ImaginaryI]\\\"}]}], \\\",\\\ -\", RowBox[{RowBox[{\\\"1.`\\\", \\\"\[VeryThinSpace]\\\"}], \\\"+\\\", \ -RowBox[{\\\"0.`\\\", \\\" \\\", \\\"\[ImaginaryI]\\\"}]}]}], \\\"}\\\"}]\\) \ -is at the edge of the search region \\!\\(\\*RowBox[{\\\"{\\\", RowBox[{\\\"0\ -\\\", \\\",\\\", \\\"1.`15.\\\"}], \\\"}\\\"}]\\) in coordinate \ -\\!\\(\\*RowBox[{\\\"3\\\"}]\\) and the computed search direction points \ -outside the region.\"", 2, 1179, 727, 31992412955784148511, "Local"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, - 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, - 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, - 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, - 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, - 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, - 3.8959053243709307`*^9}, - CellLabel-> - "During evaluation of \ -In[1179]:=",ExpressionUUID->"75ce24e3-1653-4cd4-be7d-51e2170f8e4b"], - -Cell[BoxData[ - TemplateBox[{ - "FindRoot", "nlnum", - "\"The function value \\!\\(\\*RowBox[{\\\"{\\\", \ -RowBox[{\\\"Indeterminate\\\", \\\",\\\", \\\"Indeterminate\\\", \\\",\\\", \ -\\\"Indeterminate\\\"}], \\\"}\\\"}]\\) is not a list of numbers with \ -dimensions \\!\\(\\*RowBox[{\\\"{\\\", \\\"3\\\", \\\"}\\\"}]\\) at \ -\\!\\(\\*RowBox[{\\\"{\\\", RowBox[{\\\"\[Mu]\\\", \\\",\\\", \\\"q1\\\", \ -\\\",\\\", \\\"x\\\"}], \\\"}\\\"}]\\) = \\!\\(\\*RowBox[{\\\"{\\\", RowBox[{\ -\\\"3.219086797437994`\\\", \\\",\\\", \\\"1.`\\\", \\\",\\\", \ -\\\"0.9996019217368693`\\\"}], \\\"}\\\"}]\\).\"", 2, 1179, 728, - 31992412955784148511, "Local"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, - 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, - 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, - 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, - 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, - 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, - 3.89590532463275*^9}, - CellLabel-> - "During evaluation of \ -In[1179]:=",ExpressionUUID->"58e18bcd-1162-4af8-b5e6-ddf85aa71599"], - -Cell[BoxData[ - TemplateBox[{ - "General", "stop", - "\"Further output of \\!\\(\\*StyleBox[RowBox[{\\\"FindRoot\\\", \ -\\\"::\\\", \\\"nlnum\\\"}], \\\"MessageName\\\"]\\) will be suppressed \ -during this calculation.\"", 2, 1179, 729, 31992412955784148511, "Local"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, - 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, - 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, - 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, - 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, - 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, - 3.8959053246403522`*^9}, - CellLabel-> - "During evaluation of \ -In[1179]:=",ExpressionUUID->"abb13cf9-d87f-42ae-8974-01462dc94544"], - -Cell[BoxData[ - TemplateBox[{ - "FindRoot", "reged", - "\"The point \\!\\(\\*RowBox[{\\\"{\\\", \ -RowBox[{RowBox[{RowBox[{\\\"3.2190867974211166`\\\", \ -\\\"\[VeryThinSpace]\\\"}], \\\"+\\\", RowBox[{\\\"0.`\\\", \\\" \\\", \\\"\ -\[ImaginaryI]\\\"}]}], \\\",\\\", \ -RowBox[{RowBox[{\\\"0.9988512006094026`\\\", \\\"\[VeryThinSpace]\\\"}], \ -\\\"+\\\", RowBox[{\\\"0.`\\\", \\\" \\\", \\\"\[ImaginaryI]\\\"}]}], \\\",\\\ -\", RowBox[{RowBox[{\\\"1.`\\\", \\\"\[VeryThinSpace]\\\"}], \\\"+\\\", \ -RowBox[{\\\"0.`\\\", \\\" \\\", \\\"\[ImaginaryI]\\\"}]}]}], \\\"}\\\"}]\\) \ -is at the edge of the search region \\!\\(\\*RowBox[{\\\"{\\\", RowBox[{\\\"0\ -\\\", \\\",\\\", \\\"1.`15.\\\"}], \\\"}\\\"}]\\) in coordinate \ -\\!\\(\\*RowBox[{\\\"3\\\"}]\\) and the computed search direction points \ -outside the region.\"", 2, 1179, 730, 31992412955784148511, "Local"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, - 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, - 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, - 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, - 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, - 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, - 3.8959053254171762`*^9}, - CellLabel-> - "During evaluation of \ -In[1179]:=",ExpressionUUID->"0df2d60c-65a4-4af9-896b-8c20da5bdcb8"], - -Cell[BoxData[ - TemplateBox[{ - "FindRoot", "reged", - "\"The point \\!\\(\\*RowBox[{\\\"{\\\", \ -RowBox[{RowBox[{RowBox[{\\\"3.219086797426993`\\\", \ -\\\"\[VeryThinSpace]\\\"}], \\\"+\\\", RowBox[{\\\"0.`\\\", \\\" \\\", \\\"\ -\[ImaginaryI]\\\"}]}], \\\",\\\", \ -RowBox[{RowBox[{\\\"0.9988519172373153`\\\", \\\"\[VeryThinSpace]\\\"}], \ -\\\"+\\\", RowBox[{\\\"0.`\\\", \\\" \\\", \\\"\[ImaginaryI]\\\"}]}], \\\",\\\ -\", RowBox[{RowBox[{\\\"1.`\\\", \\\"\[VeryThinSpace]\\\"}], \\\"+\\\", \ -RowBox[{\\\"0.`\\\", \\\" \\\", \\\"\[ImaginaryI]\\\"}]}]}], \\\"}\\\"}]\\) \ -is at the edge of the search region \\!\\(\\*RowBox[{\\\"{\\\", RowBox[{\\\"0\ -\\\", \\\",\\\", \\\"1.`15.\\\"}], \\\"}\\\"}]\\) in coordinate \ -\\!\\(\\*RowBox[{\\\"3\\\"}]\\) and the computed search direction points \ -outside the region.\"", 2, 1179, 731, 31992412955784148511, "Local"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, - 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, - 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, - 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, - 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, - 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, - 3.895905326998314*^9}, - CellLabel-> - "During evaluation of \ -In[1179]:=",ExpressionUUID->"c76b1b18-0443-4284-bbd3-b0f83ce297e5"], - -Cell[BoxData[ - TemplateBox[{ - "General", "stop", - "\"Further output of \\!\\(\\*StyleBox[RowBox[{\\\"FindRoot\\\", \ -\\\"::\\\", \\\"reged\\\"}], \\\"MessageName\\\"]\\) will be suppressed \ -during this calculation.\"", 2, 1179, 732, 31992412955784148511, "Local"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, - 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, - 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, - 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, - 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, - 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, - 3.8959053270059566`*^9}, - CellLabel-> - "During evaluation of \ -In[1179]:=",ExpressionUUID->"1d2f95f2-cc97-4996-8b8f-dc1602f29c19"], - -Cell[BoxData[ - TemplateBox[{ - "FindRoot", "bddir", - "\"The search direction \\!\\(\\*RowBox[{\\\"{\\\", \ -RowBox[{\\\"4.03607529377334`15.*^-13\\\", \\\",\\\", \ -\\\"1.29127198786938`15.*^-8\\\", \\\",\\\", \ -\\\"2.16341555284785`15.*^-8\\\"}], \\\"}\\\"}]\\) is not a descent direction \ -for the merit function. The step will be taken without the line search.\"", 2, - 1179, 733, 31992412955784148511, "Local"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, - 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, - 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, - 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, - 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, - 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, - 3.8959053404719563`*^9}, - CellLabel-> - "During evaluation of \ -In[1179]:=",ExpressionUUID->"3389c0a8-0c61-444f-8344-569acdad5b8f"], - -Cell[BoxData[ - TemplateBox[{ - "Less", "nord2", - "\"Comparison of \\!\\(\\*SqrtBox[RowBox[{\\\"5.545489315440726`*^-15\\\", \ -\\\"+\\\", SuperscriptBox[RowBox[{\\\"(\\\", RowBox[{RowBox[{\\\"0.`\\\", \ -\\\"\[VeryThinSpace]\\\"}], \\\"+\\\", RowBox[{\\\"Im\\\", \\\"[\\\", \ -RowBox[{\\\"-\\\", RowBox[{\\\"(\\\", RowBox[{RowBox[{\\\"(\\\", \ -RowBox[{RowBox[{\\\"Log\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \ -\\\"1\\\", \\\"\[RightSkeleton]\\\"}], \\\"]\\\"}], \\\"+\\\", \ -RowBox[{\\\"Times\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \\\"2\\\", \ -\\\"\[RightSkeleton]\\\"}], \\\"]\\\"}]}], \\\")\\\"}], \\\" \\\", RowBox[{\\\ -\"UnitStep\\\", \\\"[\\\", RowBox[{RowBox[{\\\"-\\\", \\\"15.637480190427826`\ -\\\"}], \\\"+\\\", RowBox[{\\\"0.`\\\", \\\" \\\", \\\"\[ImaginaryI]\\\"}]}], \ -\\\"]\\\"}]}], \\\")\\\"}]}], \\\"]\\\"}]}], \\\")\\\"}], \\\"2\\\"], \\\"+\\\ -\", SuperscriptBox[RowBox[{\\\"(\\\", \ -RowBox[{\\\"5.4688031880800736`*^-11\\\", \\\"+\\\", RowBox[{\\\"Re\\\", \ -\\\"[\\\", RowBox[{\\\"-\\\", RowBox[{\\\"(\\\", RowBox[{RowBox[{\\\"(\\\", \ -RowBox[{RowBox[{\\\"Log\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \ -\\\"1\\\", \\\"\[RightSkeleton]\\\"}], \\\"]\\\"}], \\\"+\\\", \ -RowBox[{\\\"Times\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \\\"2\\\", \ -\\\"\[RightSkeleton]\\\"}], \\\"]\\\"}]}], \\\")\\\"}], \\\" \\\", RowBox[{\\\ -\"UnitStep\\\", \\\"[\\\", RowBox[{RowBox[{\\\"-\\\", \\\"15.637480190427826`\ -\\\"}], \\\"+\\\", RowBox[{\\\"0.`\\\", \\\" \\\", \\\"\[ImaginaryI]\\\"}]}], \ -\\\"]\\\"}]}], \\\")\\\"}]}], \\\"]\\\"}]}], \\\")\\\"}], \\\"2\\\"]}]]\\) \ -and \\!\\(\\*FractionBox[\\\"1\\\", \\\"10000\\\"]\\) is invalid.\"", 2, 1179, - 734, 31992412955784148511, "Local"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, - 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, - 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, - 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, - 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, - 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, - 3.895905348475292*^9}, - CellLabel-> - "During evaluation of \ -In[1179]:=",ExpressionUUID->"147baf17-4634-4bc6-8bc5-8f227d5ccd7e"], - -Cell[BoxData[ - TemplateBox[{ - "Less", "nord2", - "\"Comparison of \ -\\!\\(\\*SqrtBox[RowBox[{\\\"3.2899448772415584`*^-16\\\", \\\"+\\\", \ -SuperscriptBox[RowBox[{\\\"(\\\", RowBox[{RowBox[{\\\"0.`\\\", \\\"\ -\[VeryThinSpace]\\\"}], \\\"+\\\", RowBox[{\\\"Im\\\", \\\"[\\\", \ -RowBox[{\\\"-\\\", RowBox[{\\\"(\\\", RowBox[{RowBox[{\\\"(\\\", \ -RowBox[{RowBox[{\\\"Log\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \ -\\\"1\\\", \\\"\[RightSkeleton]\\\"}], \\\"]\\\"}], \\\"+\\\", \ -RowBox[{\\\"Times\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \\\"2\\\", \ -\\\"\[RightSkeleton]\\\"}], \\\"]\\\"}]}], \\\")\\\"}], \\\" \\\", RowBox[{\\\ -\"UnitStep\\\", \\\"[\\\", RowBox[{RowBox[{\\\"-\\\", \ -\\\"15.63748019071643`\\\"}], \\\"+\\\", RowBox[{\\\"0.`\\\", \\\" \\\", \\\"\ -\[ImaginaryI]\\\"}]}], \\\"]\\\"}]}], \\\")\\\"}]}], \\\"]\\\"}]}], \ -\\\")\\\"}], \\\"2\\\"], \\\"+\\\", SuperscriptBox[RowBox[{\\\"(\\\", \ -RowBox[{RowBox[{\\\"-\\\", \\\"1.344546696202542`*^-11\\\"}], \\\"+\\\", \ -RowBox[{\\\"Re\\\", \\\"[\\\", RowBox[{\\\"-\\\", RowBox[{\\\"(\\\", \ -RowBox[{RowBox[{\\\"(\\\", RowBox[{RowBox[{\\\"Log\\\", \\\"[\\\", RowBox[{\\\ -\"\[LeftSkeleton]\\\", \\\"1\\\", \\\"\[RightSkeleton]\\\"}], \\\"]\\\"}], \\\ -\"+\\\", RowBox[{\\\"Times\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \ -\\\"2\\\", \\\"\[RightSkeleton]\\\"}], \\\"]\\\"}]}], \\\")\\\"}], \\\" \\\", \ -RowBox[{\\\"UnitStep\\\", \\\"[\\\", RowBox[{RowBox[{\\\"-\\\", \ -\\\"15.63748019071643`\\\"}], \\\"+\\\", RowBox[{\\\"0.`\\\", \\\" \\\", \\\"\ -\[ImaginaryI]\\\"}]}], \\\"]\\\"}]}], \\\")\\\"}]}], \\\"]\\\"}]}], \ -\\\")\\\"}], \\\"2\\\"]}]]\\) and \\!\\(\\*FractionBox[\\\"1\\\", \\\"10000\\\ -\"]\\) is invalid.\"", 2, 1179, 735, 31992412955784148511, "Local"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, - 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, - 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, - 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, - 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, - 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, - 3.895905348528523*^9}, - CellLabel-> - "During evaluation of \ -In[1179]:=",ExpressionUUID->"95897349-5f38-4f6d-9e28-f3225feace23"], - -Cell[BoxData[ - TemplateBox[{ - "Less", "nord2", - "\"Comparison of \ -\\!\\(\\*SqrtBox[RowBox[{\\\"1.9521228254575576`*^-17\\\", \\\"+\\\", \ -SuperscriptBox[RowBox[{\\\"(\\\", RowBox[{RowBox[{\\\"0.`\\\", \\\"\ -\[VeryThinSpace]\\\"}], \\\"+\\\", RowBox[{\\\"Im\\\", \\\"[\\\", \ -RowBox[{\\\"-\\\", RowBox[{\\\"(\\\", RowBox[{RowBox[{\\\"(\\\", \ -RowBox[{RowBox[{\\\"Log\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \ -\\\"1\\\", \\\"\[RightSkeleton]\\\"}], \\\"]\\\"}], \\\"+\\\", \ -RowBox[{\\\"Times\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \\\"2\\\", \ -\\\"\[RightSkeleton]\\\"}], \\\"]\\\"}]}], \\\")\\\"}], \\\" \\\", RowBox[{\\\ -\"UnitStep\\\", \\\"[\\\", RowBox[{RowBox[{\\\"-\\\", \\\"15.637480190696209`\ -\\\"}], \\\"+\\\", RowBox[{\\\"0.`\\\", \\\" \\\", \\\"\[ImaginaryI]\\\"}]}], \ -\\\"]\\\"}]}], \\\")\\\"}]}], \\\"]\\\"}]}], \\\")\\\"}], \\\"2\\\"], \\\"+\\\ -\", SuperscriptBox[RowBox[{\\\"(\\\", RowBox[{RowBox[{\\\"-\\\", \ -\\\"8.686384944667225`*^-13\\\"}], \\\"+\\\", RowBox[{\\\"Re\\\", \\\"[\\\", \ -RowBox[{\\\"-\\\", RowBox[{\\\"(\\\", RowBox[{RowBox[{\\\"(\\\", \ -RowBox[{RowBox[{\\\"Log\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \ -\\\"1\\\", \\\"\[RightSkeleton]\\\"}], \\\"]\\\"}], \\\"+\\\", \ -RowBox[{\\\"Times\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \\\"2\\\", \ -\\\"\[RightSkeleton]\\\"}], \\\"]\\\"}]}], \\\")\\\"}], \\\" \\\", RowBox[{\\\ -\"UnitStep\\\", \\\"[\\\", RowBox[{RowBox[{\\\"-\\\", \\\"15.637480190696209`\ -\\\"}], \\\"+\\\", RowBox[{\\\"0.`\\\", \\\" \\\", \\\"\[ImaginaryI]\\\"}]}], \ -\\\"]\\\"}]}], \\\")\\\"}]}], \\\"]\\\"}]}], \\\")\\\"}], \\\"2\\\"]}]]\\) \ -and \\!\\(\\*FractionBox[\\\"1\\\", \\\"10000\\\"]\\) is invalid.\"", 2, 1179, - 736, 31992412955784148511, "Local"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, - 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, - 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, - 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, - 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, - 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, - 3.895905348591037*^9}, - CellLabel-> - "During evaluation of \ -In[1179]:=",ExpressionUUID->"fb19785e-8a79-42a2-82bf-1dddaba74383"], - -Cell[BoxData[ - TemplateBox[{ - "General", "stop", - "\"Further output of \\!\\(\\*StyleBox[RowBox[{\\\"Less\\\", \\\"::\\\", \ -\\\"nord2\\\"}], \\\"MessageName\\\"]\\) will be suppressed during this \ -calculation.\"", 2, 1179, 737, 31992412955784148511, "Local"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, - 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, - 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, - 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, - 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, - 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, - 3.8959053485990667`*^9}, - CellLabel-> - "During evaluation of \ -In[1179]:=",ExpressionUUID->"677afbc6-e076-449e-84ae-dc5d3c35db5c"] -}, Open ]], - -Cell[CellGroupData[{ - -Cell[BoxData[ - RowBox[{"Length", "[", "iniComplexityZero", "]"}]], "Input", - CellChangeTimes->{{3.8955622662196703`*^9, 3.89556227279499*^9}}, - CellLabel-> - "In[201]:=",ExpressionUUID->"1721e026-968c-44ef-9b61-08adc7106fde"], - -Cell[BoxData["16"], "Output", - CellChangeTimes->{{3.895562272986423*^9, 3.89556228248216*^9}, - 3.895562411767189*^9, {3.8955626041680117`*^9, 3.895562647299787*^9}, - 3.895562726574486*^9, 3.8955632287169*^9, 3.895563278945394*^9}, - CellLabel-> - "Out[201]=",ExpressionUUID->"1f8aff12-466d-4370-9937-dc5ca40426fd"] -}, Open ]], - -Cell[CellGroupData[{ - -Cell[BoxData[ - RowBox[{"iniComplexityZero2", "=", - RowBox[{"FindRoot", "[", - RowBox[{ - RowBox[{"zeroComplexityEqs", "/.", - RowBox[{"\[Epsilon]", "->", "Eini"}]}], ",", - RowBox[{ - RowBox[{"(", - RowBox[{ - RowBox[{ - RowBox[{"#1", "->", - RowBox[{"Re", "[", "#2", "]"}]}], "&"}], "@@@", - RowBox[{"RandomChoice", "[", "iniComplexityZero", "]"}]}], ")"}], "/.", - RowBox[{"Rule", "->", "List"}]}], ",", - RowBox[{"WorkingPrecision", "->", "30"}]}], "]"}]}]], "Input", - CellChangeTimes->{{3.89538547345794*^9, 3.895385496118298*^9}, { - 3.895386235918009*^9, 3.895386259366094*^9}, {3.895386531771027*^9, - 3.895386539598543*^9}, {3.8954728141600513`*^9, 3.8954728199238997`*^9}, { - 3.895473380754571*^9, 3.895473381221682*^9}, {3.895474755055328*^9, - 3.895474774284453*^9}, {3.895487347421875*^9, 3.8954873475568247`*^9}, { - 3.8955622282502728`*^9, 3.8955622591315317`*^9}, {3.895563290014204*^9, - 3.895563291189548*^9}}, - CellLabel-> - "In[1240]:=",ExpressionUUID->"aac3cfcd-e231-49b2-81ec-d2983cedb5ef"], - -Cell[BoxData[ - RowBox[{"{", - RowBox[{ - RowBox[{ - "\[Mu]", "\[Rule]", - "3.2190867974252569582434831272047547889335018600187893371092`30."}], ",", - RowBox[{ - "q1", "\[Rule]", - "0.9996192222644624700117291681437586352218774218929759044788`30."}], ",", - RowBox[{ - "x", "\[Rule]", - "0.999760519908872787883196884227472338920247808392093573057`30."}]}], - "}"}]], "Output", - CellChangeTimes->{ - 3.8953854968476562`*^9, {3.8953861981061583`*^9, 3.895386259575141*^9}, { - 3.895386526511806*^9, 3.8953865398672323`*^9}, 3.8954728268821917`*^9, - 3.895473381967312*^9, {3.8954747474484377`*^9, 3.8954747822497473`*^9}, - 3.895475171777603*^9, {3.8954754928895082`*^9, 3.89547550126532*^9}, { - 3.895487327293674*^9, 3.895487348363305*^9}, {3.895488108225655*^9, - 3.8954881101175632`*^9}, {3.895488434999949*^9, 3.895488456094118*^9}, - 3.8955622633777122`*^9, {3.8955632854448853`*^9, 3.895563308685294*^9}, { - 3.8959053608914433`*^9, 3.895905380861594*^9}, {3.895905546502946*^9, - 3.895905585096096*^9}}, - CellLabel-> - "Out[1240]=",ExpressionUUID->"ea2f2874-931d-4481-b56b-99d38820a0a7"] -}, Open ]], - -Cell[CellGroupData[{ - -Cell[BoxData[ - RowBox[{ - RowBox[{"solsComplexityZero", "=", - RowBox[{"Most", "[", - RowBox[{"FoldWhileList", "[", - RowBox[{ - RowBox[{"Function", "[", - RowBox[{ - RowBox[{"{", - RowBox[{"sol", ",", "\[Epsilon]\[Epsilon]"}], "}"}], ",", - RowBox[{"Join", "[", - RowBox[{ - RowBox[{"{", - RowBox[{ - RowBox[{"f", "->", "f35"}], ",", - RowBox[{"\[Epsilon]", "->", "\[Epsilon]\[Epsilon]"}]}], "}"}], - ",", - RowBox[{"FindRoot", "[", - RowBox[{ - RowBox[{"zeroComplexityEqs", "/.", - RowBox[{"\[Epsilon]", "->", "\[Epsilon]\[Epsilon]"}]}], ",", - RowBox[{ - RowBox[{"Drop", "[", - RowBox[{"sol", ",", "2"}], "]"}], "/.", - RowBox[{"Rule", "->", "List"}]}], ",", - RowBox[{"WorkingPrecision", "->", "20"}]}], "]"}]}], "]"}]}], - "]"}], ",", "\[IndentingNewLine]", - RowBox[{"Join", "[", - RowBox[{ - RowBox[{"{", - RowBox[{ - RowBox[{"f", "->", "f35"}], ",", - RowBox[{"\[Epsilon]", "->", "Eini"}]}], "}"}], ",", - "iniComplexityZero2"}], "]"}], ",", - RowBox[{"Eini", "-", - RowBox[{"Rest", "@", - RowBox[{"Range", "[", - RowBox[{"0", ",", "1", ",", - SuperscriptBox["10", - RowBox[{"-", "4"}]]}], "]"}]}]}], ",", - RowBox[{ - RowBox[{ - RowBox[{ - RowBox[{"0", "<=", "x", "<=", "1"}], "&&", - RowBox[{"0", "<=", "q1", "<=", "1"}], "&&", - RowBox[{ - RowBox[{"Norm", "[", "zeroComplexityEqs", "]"}], "<", - SuperscriptBox["10", - RowBox[{"-", "6"}]]}]}], "//.", "#"}], "&"}]}], "]"}], "]"}]}], - ";"}]], "Input", - CellChangeTimes->{{3.895385595138008*^9, 3.895385797709292*^9}, { - 3.895386263899571*^9, 3.895386263990285*^9}, {3.895386297642288*^9, - 3.895386297881617*^9}, {3.895386776869574*^9, 3.895386779789452*^9}, { - 3.895472837731352*^9, 3.89547286124477*^9}, {3.895473021570595*^9, - 3.895473025814328*^9}, {3.895473127335647*^9, 3.895473127549554*^9}, { - 3.895473416587288*^9, 3.895473418511155*^9}, {3.8954736888655367`*^9, - 3.8954736890227337`*^9}, {3.895475505980495*^9, 3.895475507424962*^9}, { - 3.895487991842914*^9, 3.895488005105464*^9}, {3.89548846334536*^9, - 3.895488467004986*^9}, 3.8954885168207817`*^9, {3.8954885641724*^9, - 3.895488564928694*^9}, {3.895563318870599*^9, 3.8955634174640512`*^9}, { - 3.895564563190371*^9, 3.895564567901512*^9}, {3.895905349502722*^9, - 3.895905495913521*^9}, {3.895905596699622*^9, 3.895905596763801*^9}, { - 3.895905640780857*^9, 3.895905725519087*^9}, {3.895905797623934*^9, - 3.895905836304905*^9}}, - CellLabel-> - "In[1260]:=",ExpressionUUID->"7279ba58-9a6b-46fd-ad27-102138f08732"], - -Cell[BoxData[ - TemplateBox[{ - "FindRoot", "jsing", - "\"Encountered a singular Jacobian at the point \ -\\!\\(\\*RowBox[{\\\"{\\\", RowBox[{\\\"\[Mu]\\\", \\\",\\\", \\\"q1\\\", \ -\\\",\\\", \\\"x\\\"}], \\\"}\\\"}]\\) = \\!\\(\\*RowBox[{\\\"{\\\", RowBox[{\ -\\\"4.6771711648349577972`20.\\\", \\\",\\\", \ -\\\"0.99995952294545703424`20.\\\", \\\",\\\", \\\"0.99947421260536551518`20.\ -\\\"}], \\\"}\\\"}]\\). Try perturbing the initial point(s).\"", 2, 1260, 740, - 31992412955784148511, "Local"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{3.895906157580741*^9}, - CellLabel-> - "During evaluation of \ -In[1260]:=",ExpressionUUID->"4cf657a6-d9e1-4763-b902-4b6c99cd615b"] -}, Open ]], - -Cell[CellGroupData[{ - -Cell[BoxData[ - RowBox[{"Length", "[", "solsComplexityZero", "]"}]], "Input", - CellChangeTimes->{{3.895905488154058*^9, 3.8959054911772833`*^9}}, - CellLabel-> - "In[1261]:=",ExpressionUUID->"3e2e1f17-6b13-44e5-aa3d-08e6299ad40b"], - -Cell[BoxData["2859"], "Output", - CellChangeTimes->{{3.895905491395603*^9, 3.895905505312117*^9}, - 3.895905652139653*^9, {3.895905699269932*^9, 3.895905735356051*^9}, - 3.895906160340198*^9}, - CellLabel-> - "Out[1261]=",ExpressionUUID->"3afceb76-a434-46f6-adc2-14673f6fa68b"] -}, Open ]], - -Cell[BoxData[ - RowBox[{ - RowBox[{"transitionEqs", "=", - RowBox[{"Simplify", "[", - RowBox[{"{", - RowBox[{ - RowBox[{ - RowBox[{"D", "[", - RowBox[{ - RowBox[{ - RowBox[{ - RowBox[{"\[ScriptCapitalS]", "[", "f35", "]"}], "[", - RowBox[{"\[Epsilon]", ",", "\[Mu]"}], "]"}], "[", - RowBox[{"q1", ",", "x"}], "]"}], ",", "x"}], "]"}], "/.", - RowBox[{"x", "->", "1"}]}], ",", - RowBox[{"SeriesCoefficient", "[", - RowBox[{ - RowBox[{"D", "[", - RowBox[{ - RowBox[{ - RowBox[{ - RowBox[{"\[ScriptCapitalS]", "[", "f35", "]"}], "[", - RowBox[{"\[Epsilon]", ",", "\[Mu]"}], "]"}], "[", - RowBox[{"q1", ",", "x"}], "]"}], ",", "q1"}], "]"}], ",", - RowBox[{"{", - RowBox[{"x", ",", "1", ",", "1"}], "}"}]}], "]"}]}], "}"}], "]"}]}], - ";"}]], "Input", + "]]}, + Annotation[#, "Charting`Private`Tag#3"]& ], + TagBox[ + {RGBColor[0.880722, 0.611041, 0.142051], PointSize[ + 0.003666666666666667], AbsoluteThickness[2], LineBox[{3204, 3207}]}, + Annotation[#, "Charting`Private`Tag#4"]& ]}}], + {"WolframDynamicHighlight", <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>}], + DynamicBox[(Charting`HighlightActionBox["DynamicHighlight", {}, + Slot["HighlightElements"], + Slot["LayoutOptions"], + Slot["Meta"], + Charting`HighlightActionFunction["DynamicHighlight", + GraphicsComplex[CompressedData[" +1:eJw013dcjX8fx/GMZI/23uOMxhkZWeeNiMjOzoxCEkISycooWYmGURQZCUkq +RUO7bCpK0h6Unyjjvu6H7+f+5358H+mc61yv63nevwxWbpy9urucnNxADTm5 +////3ZyhRcIBipBj/0u98G7sWjVF1F2UaHk43pQ93bYrLtpAEdtC2v5z9kuS +PXMw0K8WKuJdkfb4FsvHsnLjrOMGwxTx/K5r8ZmuTFlNl2u3ZVCEj72tT5Bj +juzL8/6bw+0V0cNL59utBfmyzmu3q97NVcRnf9uHampFMnm/uXPUlimid/2t +WfHTS2SDFvzImLtWEct28VSWej+TaViFW5/cooinU7809D75XGbUC1eKdylC +5bnfoFVnX8gs339SGXBIEYVvnBqOB72Ujbjnf9D+pCKq+vV2nrHjlWz8UWGH +f7gi0rZUrhow/7Vs2spil6xoReyoKEz/zX8jm2ez5W33eEU8G1s61Lj9jWzF +YLUpSFbEi6cm7UvvvpWtr32YtCtLEdcWhjzbsP6dbOujpYLkYkWcHnfxdYdW +qcw3uHvYj3eKkMhV7ArKKpUdcYvuN6xaEfaHv2ncdy2TnZ5g77OlRRE/LWYc +/CBfLjuv2dJ0+4ciJl5YOmdjRLns6tcTTi3dlTAo98jRTKv3sn89lNBTd7OZ +OPW97F8PJcz76jRebtIH1kMJXmXFWtvyPrAeSjjZpfilxL6C9VDCnK6DcVOe +VrAeSnjXb6FDX/1K1kMJL9zbPt1eVMl6KMF1nUH+rVOVrIcS3ldcOqSeX8l6 +KEGaEWzUrdtH1kMJmqdh7z30I+uhhNj3altOu35kPZSg8evtz6WhH1kPJRza +MsymLu8j66GEjfVnLo3p/Mh6KGGm9rTC9bwq1kMJH1XOntztWMV6KOHV8Vwl +P78q1kMJScE3C3fdqGI9lNA8/Ojl3a+rWA8lvMl43Rrwt4r1UMLd2Rn7480+ +sR5K6L30Zdq36Z9YDyWccQkIXLr1E+uhDLf/Zm3+HvqJ9VBG/4qLJzLSPrEe +yqjTC7tX8OkT66EMnW59Z6orVLMeyshc9uHwDX4166EM3pAxY4OmVrMeythV +Ydgz262a9VDGf5NixfMCq1kPZcR/66qwvVnNeigjsHdby5mCatZDGddGbu09 +q7Ga9VDGjHGhUdv7fGY9lBFrdkBhkNln1kMZirfUpmvZfmY9lDHNbuOai8s/ +sx7K+FQ8TC3S5zProYy194rljM9+Zj2UEfHB8QL/7mfWg/s8G/2m3S/8zHoo +Y6rc2nGFtZ9ZD2XMbzI9u6lbDeuhjBVvVzlEa9awHspwDsoZ5y6tYT24+9l3 +4aiCqTWshzKcljysebKqhvVQwdKeq9/O3VnDeqigxjArcP/JGtZDBZUFU9zn +XqthPVRwJNG5IDuthvVQgfqsnTXvX9WwHiqwsMurDWusYT24s8vo+u7dalkP +FYTGD21TVq1lPVQQ4Trn5wtBLeuhAs/nBp/HyWpZDxWIF+UELJ9Ty3qowO3G +jQdil1rWQwV3VgwwSvSuZT1U8DD1tFdbYC3roQIfueoFny/Wsh4qmJmqNv/E +3VrWQwWfoiZ2dmTVsh4qMNH7lqr5tpb1UMFmswDFrvpa1kMF185nOYV21bIe +KvhzcGDXz/51rIcKGpc1j9LSrWM9uPdvXer617KO9VDBlSSrtBhZHeuhgtaV +s7apzKxjPVRRNtw8ecryOtZDFZEDm+9M9KhjPVRxOqHYu++eOtZDFYY6a3jn +gupYD1UUrC3PbTtfx3qoYqLayDXqt+pYD1Vkzrn4u29qHeuhil+JBw7n59ex +HqpQ3t36a1FpHeuhivr5V20f1tWxHqro1mOrXcP3OtZDFWn3J9U09qxnPbjr +91//K02xnvVQhW9pyjIX/XrWQxV/O/MrqyzqWQ9VFLb3nyodVc96qOJ3wl/n +hZPrWQ9VBF2d/8XRsZ71UIVXvEEsf2U966GKwLw6+1fu9ayHKmyl7w4u3FnP +eqhi24yqAYn+9ayHKtzHvznacqqe9VBF8txjV+Uu1rMeqvCU/FVouV7Peqjh +z8Dl9omJ9ayHGi49HNffKaOe9VBDVEJsTUVRPeuhht7TxBtRWs96qGFfpvII +38/1rIcaLt9wLAn/Us96qCFzamHGua561kMNBRNtw7b1amA91LBu26Fy6ZAG +1kMN7f339n2u1cB6qCH5i92VWaYNrIcaFm6VCu6KGlgPNbSsblfqGNnAeqjB +3P18qc7EBtZDDUG1me1mMxpYDzW0Dd77XGVhA+uhhgX3A2prVzawHmrYOd3u +0nm3BtZDDQdPFa8fua2B9VCDfPiA+GTfBtZDDR1eT94aHm5gPdQQ7HRmssfJ +BtZDDcsdTZ0vhzWwHmoICRgSmHa5gfVQw2irXkZPbjawHurYW1W478b9BtZD +HS7lC/v5pjWwHur4GV6kNDKngfVQR8G0oP/elzSwHup4mjFZYf27BtZDHf6j +fkV8/NjAeqgDt/sMGN/QwHqoQ6XdJjqgjXqoI8gjJ+lxJ/VQx3833c587N7I +eqij03fV4aa+jayHOkb/3v6hSrGR9VBHlWX/ykzNRtZDHVf9DN6cNGxkPdTh +/nN5PwdBI+uhjvV8o4x2cSProY6utu0T/G0aWQ917nmwLFEY18h6qMPRsfT6 +1smNrIc6jFo/qD2b0ch6qKOP5ea5mvMbWQ917Jt15eKspY2shzoUhqwfuX11 +I+vB3a/OiKVH3RpZD3Vsju415diWRtZDA86pK6z3eDeyHhoIfbd60Qq/RtZD +A49G57aLDzWyHhrYs9xuRNuxRtZDA/tXRC+NDG5kPTTQNTElfHx4I+uhAWUD +T7MXkY2shwbmKb+QzL3WyHpooMXq99esuEbWQwPtkpEhvPvUg7se8845PinU +QwMT/75b8PgJ9dCAU3bMq44c6qGBEj2Xn3rF1EMD/Rc5ttm8oh4amOLf3Dyx +jHpooGZbk7rtR+qhgZjguResa6mHBg4kbLqh1kw9NJCRWr6uuY16aEDe1rfn +/R/UQwN9B4VEbvpDPTQQtS9pg37PJtZDA5G/Xgc+6dPEemhAMnCsYMGgJtZD +EwsSzq/9qNzEemiip+eAzUs1m1gPTSxa1GttsV4T66GJ6783brE2aWI9NHHF +6XxCoKCJ9dCE+IHjnDKrJtZDE37TCjfqDG1iPTSRMeCOxdyRTayHJj5N5Mf5 +yppYD0284r1QuWjbxHpo4umCwr0JU5pYD03cXpygmj69ifXQRHD361/T5jSx +HpqofzJbmrCgifXQxPrubzsvODWxHpp469i6Zs/KJtZDE1l33gbPc2liPTTR +L3jFFUO3JtZDE7/Vau9UezSxHpoo7rGgLHxrE+uhic3Fw0ZN9W5iPbjPHxLW +0rq7ifXQxIrew4Yc3Uc9NLF0/IxH2oeohyb4N/MHXwmgHlr4mGVuZHyCemhh +yceTg0KDqYcW9D8/au0VSj208OO70vt156mHFlYYnfuSFUk9tDCoq3O8egz1 +0MJ9s8CmFdephxacfkyVj4qjHlpw/fz+ctld6qGFo/2Dq/o9oB5aKL/s8Uqa +Qj20MHq97uk56dRDC9YKv6zXZ1IPLRx2VS/yzqEeWriqM3Tr3gLqoQXVqKey +vSXUQwsqNzrHe7+kHlq46Bx3at1b6qGFLzd2T5pTTj20cGn6w43WldRDCwlC +d6OB1dRDC7tdVmytrKUeWrhxWG3H9UbqoYVTYc/GbWylHlp4JguqErZTD21s +yRzk/vE79dBGqtWL70Gd1EMbcXZaQcP/UA9trDvdNPltt2bWQxsnnQYN2yzf +zHpooyBysKt8n2bWg/v3gyZ8OdG/mfXQxrb6tAq1wc2shza0f7nYhSg1sx7a +iNxVPXywWjProY2eX2Me79VsZj20IevR+q1Fp5n10MZ258cf5xo0sx7akIto +C7ln3Mx6aGPDB0Ozgbxm1kMbt0vSzq8QNrMe3PUtlh8YZ9nMemjDZ/2ffd/F +zayHNlruHRg0Ymgz66GN8ZsmpW0Z0cx6aMNOM/XStVHNrAf3+wYjst6NbWY9 +tKF2+e3wnuObWQ9tZGmdUuBPbGY9tDGiv2j85MnNrIcO/G5O+2/F1GbWQwdr +Ty223Da9mfXQQeKsK537ZzWzHjo4ojPF5dhc6qGDwvVj/E7Opx46eBMw0/HE +Iuqhg8kT+V+OOFEPHQic7Zb6LqceOtgyr+cN91XUQwfViac+L1hDPXSw/GMP +tbFrqYcOcjzHTtN1ox46WFDT+8RPd+qhgzs95L8Wb6IeOtjjFb/9kif10EHJ +zHUi9+3UQwfiHWtNh3lTDx2Ejn7q/NOHeujAKN37e6Iv9dBBjM+imk17qYcO +pt3XlpkdoB46UGib3++tP/XQgcbafY77j1APHUR5d5iZB1IPHdhptQQUB1EP +XdSN33fM/ST10EXwgoRhfYKphy6uhq8OuhBCPXShdmJBpDiUeuhCcfmwHWnh +1EMXNTiqM+UC9dDF8LKnZ4suUQ9dnLs67tuMy9RDF4fnSm0Ko6mHLl5qpnpM +ukY9dLHd+HNU8nXqoYtvVSFl5reohy4s1l3VD71NPXSRn1Oys8dd6qGLocvu +/OeaQD10oTf09Zm8ROqhiySHmy68h9RDF3s7j3vsTaEeuig0z0h6+4h66KI5 +y32q8DH10AWvJsbCO4N66GLpSDfXrCzqoYudk6N6DMihHrq4+VBXYVYe9dDF +lmuh3icKqIcefKpTVxcVUQ89LB+pWaLwjHroYXya+eMxL6iHHqYqLoXHK+qh +B+3I7o4X3lAPPfC/LOqV/4566GHAi1OL28uohx6eJ2YvVv9APfQwrurngJGV +1EMPvBv6OxZUUQ893MvQurylmnroocQ1KfhoDfXQQ8i1hLkX66iHHl5HPKyN +b6Aeeoi/tNwxvYl66GFekOXl/BbqoYeKjnOlL75QDz3MWqzw920b9dBD1rI8 +tbJv1EMPyQqmwtLv1EMP0bXTZK9/UA89RCxasai4k3roYfb9rXuzflEPPdjs +Dkh98Id66KE9+OyQa3Itspc/ciy3d9PH98279p/p3iJbWK1ZG9xLH7sdBmn7 +9WyRfSh2u3Cvnz6yZip9cO3VInNOfjT/xWB97MmTlTj0bpHVRw8e3Kaij7sL +R/+w6tsicz+5Mmewlj6eaUcuHtS/Rda+694eK319iCq6/jYOaJF5re1lM91E +H68nZjdkDWqR/Z674KubQB/hXRsNIoa0yPYi9tpRK30klC+8tkmpRaZg/mtF +rLU+Ag3e+E9QaZEFqk3XzLXRR9/DFk8U1Vpkij0uPq8dqw+bvcFOFeotspCW +r0d62epjiePIZdc0W2TapRMmmEzRx6ppIws8tFtkl7KCuyZM10dJafS1obot +MtP42rsr5+hjYNb+Xx16LbLr4TZufgv0MdyuIDfRoEUmOnTU+KKTPuxHrVXd +atQiS9jyvvzRSn2UjR1Va2XSIhu1zCr4vYs+Rr9VGVdn2iJLt/dz+OWmD82w +dN55Xots4rAX8lqb9XFxWkfkLEGLLM/A5JHNdn0MUFh3r7t5i2zGgO3bFvjo +49WS1BXxFqyHnz6w80ickxXrcZD7/NOWRSqIWY+j+jh/7tHYOAnrcVwfFkL9 +w3OtWY9gfRxs+HKgYyjrEaaP0m6nbM4OZz0u6mPwL6erw2xYjyv6kFaff/18 +JOsRqw8357M5bqNZjzh9nK7eu7fnWNbjnj7mXA3oHSpjPZL0Udn0bqnFONbj +kT4cex04/Gg865HJ9fU5H+Bgy3rk6qPTzMKtdCLrUaSPkQ6W5qvtWI8X+nBP +Ci5pnsx6vNWH03sHJ0971uM9dz/qhr/+MZX1qNJH/SehbKcD61GrD+2CH2Fd +01mPJu5+XHf+smMm69Gmj3d3zfF9FuvRoY/18+WDPOawHr/0YbAytLJuLvkw +gLJi0Ihl88iHAcqtdoe+mE8+DBBg2tln0kLyYYBFWoFH7i8iHwb40zNJz2QJ ++TBAypOOAu6bkPkwgKk0OfjXUvJhgCD7DbtWLycfBhjB9/AvWEE+DGAp1k0U +ryIfBviklTco2Jl8GGDPoLTT31eTDwM03cWUeS7kwwDn7I6K7rmSD+767r5w +GLyOfBjg3SW7y+vWkw8DCAMHDM9wIx8GaH9r20fTnXwY4GqVvO7GjeTDANtV +Vno98SAfBhj7e5WW8mbyYYBpCwf1dd5CPgxQY7nC7o4n+eA+f9mM13+2kg/u +/pvlJ03ZTj4MsNQx5dtJL/JhgIEjeh0p3UE+DFApO+Cjv5N8GOBF4KBiZx/y +YQDtnlv3x+wiHwZomOd7oW43+eCuR7vNkLeHfBjgeMWRIWv8yIcBFgu7uUfu +JR8GCLvQa9T7feTDAJP+TNqpeoB8GGDnKFfx9IPkwwClAX2X7/cnHwa455XT +J+kQ+TBAt8/DxE2HyQfX2/TzW52j5MMAyQOcekwPIB8GiP1heNcnkHwYIOd9 +QsO1Y+TDAGmLg268CiIfBpB/atT19zj5MMD54VVveSfJB3c9Z6wnzzxFPgzQ +44bv1G2nyYcB9IRGn0ODyYchjqXvUHt0hnwY4pHuu+qKEPJhiGd9T86QO0c+ +DDFh7ZeFeqHkwxBr7Uf1GhNGPgyR+/3evIXh5MMQc7pCHTwjyIch1g01bQ48 +Tz4M8fLDkeHRF8iHIfac+2GZepF8GIK/M/TV80vkwxDv1EMsaiPJhyFsTw62 +6YwiH4boH2Hc2e8K+TDEi6CmzdrR5MMQYzfsuiKMIR+GyGupPWVzlXwYwj9o +BCZdIx+GuHNm+41ZseTDEFaG10oXXycfhqgXFRY43yAfhlC4WbHf7Sb5MITq +trc9t9wiH4botv76dK848mGIP1smO/vcJh+GcAgIs/ONJx+GaMoP6Nxzh3wY +Qm+TnK/fXfLBvX/969d77pEPQ+77tqmHbwL5MES1tVZvn/vkwxDzTYZ/3p5I +PgzRb4RixOYH5IPrP8db7JZEPgzhlmVz2fkh+eD+/RS1jsXJ5MMQPMXXwtkp +5MMQ214OtbVLJR/c/S5oGzfqEfkwREJ2lqllGvkwxDiB8ze9dPJhiAt/fG8M +fkw+DPHj29OZck/IhyEqN9ZWtTwhH4awPnrQuTyDfBii1cj+TU4m+TBEVcWL +0feyyIch5g19cuZ8NvkwROjm2zX+T8mHIfyyhll65JAPI6SOb3Cfn0s+jDC8 +eUnsmDzyYYSJqTpVhvnkwwgvYh6oKRSQDyN4Xbk/raGAfBhhY1LE3oJC8mGE +wB9fHt4sIh9GGHxc1BFYTD6M4F7wbsSGEvJhhB76Ln5Tn5EPIyTwZz7nPScf +RtiT3MdC/gX5MMKPSvlTlS/IhxHiP9+VT35JPowQsnjPwdOvyIcRRhu8Ut3w +mnwYob+rxgPbN+TDCFsexq/Xeks+jPC9m5z061vyYQTrwFWDst+RDyNUqth0 +Dy0lH0aIyi7tv6GMfBihHRdFsnLyYYS3kR/cB78nH0a4FFCXU/mefBhxez0Q +tz+QDyMsNY14tbuCfBih6WXlkWmV5MMIp5QMV2h8JB9GiF4Rs/DzR/JhhNyP +97beriIfRsCygPven8iHEaRzNujYVpMPI5TXn77e/zP5MEKcwtiVLz+TDyN4 +TL80PqyGfBhhnOF/DitqyYcRzt3acMC0jnwYwaZxdENDHfkwQr9LJ3fdqicf +RvjtHo5NDeTDCFlFB6yljeSDuz8aXou+NZIPIygEht6910Q+jDBthuI4z2by +wT2/nt17SlvIB3d/ugX8/tJCPoxws73Q8lYr+TBC/rjPZ9d9IR9GOFHVNNr0 +K/kwhkvJb62PX8mHMbIHWA0PayMfxthzLThobjv5MEaPDFvTAd/IhzH2H3P4 +lfmNfBhDsDBN0ec/8mHM/fdLzDrJd/JhjIqEIfJ138mHMTL3KXwK7yAf3PuV +HJef9YN8GONV5N0NPX+SD2P4Szy1E3+SD2PIml4qru0kH8ZYuvX1LM0u8mGM +orjtpXld5MMY6akJN71/kQ9juMoHFPB/kw9j3LL+Nvztb/JhjBLbmi8H/pAP +Y/TaveCH5C/5MIbiTtmMir/kw5j7e/7Y9yNyrcyHMa6/H9o6tFsr82GMoUNN +R1Zy538+jLF9lMPbw91bmQ9jbFwSkifp0cp8cNe3vnVIGXf+58MY86PHxu3t +2cp8GMM0yS2KL9/KfBjjb/qy1mLu/M+HMQJm/Dq1tVcr82EMK3eTk5oKrcyH +MSwupTQ84s7/fBjjfcOl8yt7tzIfXJ+iu7fk+7QyH8aIm1usdZU7//PB/bxf +Sc2Uvq3MB/d+A44PbuTO/3wY45tc3bmj/VqZD2NM1YnbJ+zfynwYQ2KRXJTH +nf/5MMbRE5U71w5oZT6Mscy27ajCwFbmwxjJZY//XubO/3xwz0OA3ttxg1qZ +D2MstihV+cCd//kwhq1NWtKOwaxHmzGOPA/NVB7CenQYY0PW8OFxQ1iPX8Yo +O7JMcYoi69HNBLpTS+dVKbIevUzgX+kuv1OJ9ehnAsXSKl0lZdZjsAnkdndG +xSqzHiom2FjgFTxOhfXQMkGDn963Nyqsh74JvmvEpW9QZT1MTBD0rKaruxrr +ITDB7Wv7o0PUWA8rE2SunfhYqM56WJtAJ7J6Wpo662FjAqdb2vazNViPsSb4 +mBidVK3BetiawODByPBtmqzHFBP03xnUpqDFekznfv/SotSzWqzHHBO0RM78 +zdNmPRaYQP6Z8d0H2qyHkwlCFhyusNNhPVaa4JCt1b7XOqyHiwmafbPPO+uy +Hm4m3N/rvyVtuuTDBH5/98JXj3yY4Mix7jn99MmHCfop8PJC9MmHCbJfRdgZ +GZAPE0TPHTDulgH5MMHLAt3EEYbkwwQlXjtinxiSDxP4HszQmmZEPkwg0Yjs +98qIfHD3e2qSt5Mx+TCBVHJj7Wdj8mGCteXa79xMyIcJ1sy/kdtuQj5McCGu +c5S3Kfng3r8tWiRnRj5M8FU84+oBM/JhgiH7jkf15ZEPE4zroWAUxCMf3PPz +1sFMiU8+TDBW+vf2GT75MEHZzHvpGgLyYYJnPvLzwwXkwwRvFI946grJhwlO +7nmrcVFIPkyw0u6gnYE5+TCB/h+zP5fMyQf3+rNnjTW0IB/c7/cP7h1pQT5M +Udf/gJOBJfkwxdtZd8ZctCQfpljRceOarhX5MIXYpmdkuBX5MIWD5yQzTRH5 +MEU8r9vIEBH5MMWXzvRSJTH5MMXzhCF9j4vJB/f+U3fn9JOQD1OYvDuu6i8h +H6Zwu/z8Wzcp+TCFrkHBKh8p+TDF1S89nb9LyYcpulf3+L7RmnyYYvTv6Zr1 +1uTDFN891j9bMZR8mOJTzHut0qHkwxQAv3PWMPJhiimDnrnlDiMfprhtON8T +w8mHKfo9GT0kcTj54H7fo7utxQjyYYq2JrMBUSPIhyk+DzXfoG5DPkyx6lCg +c6AN+TDF+ZW57d1Gkg9TDDiwRGvbSPJhiqz2J2X1I8mHKV51bB/qNIp8mCJE +/zuvZBT5MEWviYn3x48mH9z1HrCuuDeafJgi374m0nQM+eB6TbXtfnYM+TDF +1yNZv3uPJR+mcFxQGbxjLPkwRcecvvn1Y8mHKSa4P4hYKCMfpthSv3Rgrox8 +mCJv0SadESAf3Pn6yvxokA9TuGpdUVcZRz5MIZPFyu8bRz5M8bjnp5NfxpEP +U6y8k5buNJ58cD+viwvKG08+TPEyylBu+ATyYYqBow8qRk0gH6bY5TL56UBb +8sF9/pGvdb1tyYcZlIThGp9tyYcZjg3o9WDGRPJhBk1N386kieTDDOcbN300 +mkQ+zDDOb/KGwEnkwwy7ZW7nv08iH2YY9nXi1mV25MMMqTlj2p7akQ8zfF6e +rCKaTD7MMPCRSWXIZPJhBt6MT7P+TiYfZkhpWbh+zRTyYYavn1rMC6eQDzMc +/dQrRGpPPszQOLhX7Dl78mGGQX9nrPlrTz64zxtvV+A8lXxw54gZ5blTyYcZ +HsXmnrGcRj7MMM1a7dfJaeTDDG/7nOvfMY18mGGNR1zOIgfyYYbpRg9FjxzI +hxkEjuoTDaaTDzM8uc3rtX86+TDD94VTPGumkw8zxLS8CZo8g3yYIcxfvDB2 +Bvkwg0vSnZJ+M8kHd33Bid/dZpIPM2jHhuUXziQfZlCXfzjDchb5MEORZMO+ +Y7PIhxnaZINcWmaRDzPIHv7sdJhNPswwOf6A7OZs8mGGOYn9hvafQz7MIKz6 +VLZuDvkww8/81da5c8gHd/9P1401m0s+zJBc8aRr/1zywV1fmf36qrnkwwyv +bV4HyhzJhxn6jHi4KtyRfHDXGzq1+Ycj+TBDVvwHgeM88mGGLfOeasfPIx9m +cGtZkNF/Pvng7t/6Gl3X+eSDu1/TnlhlzCcfPAyMGPtdZwH54GHCzxubvRaQ +Dx56HV165fkC8sHDpf6Xj5kvJB88qOcWWh5cSD54qAoXHqxYSD54iPreP3jE +IvLBw4c36YtPLCIfPMS/Ci6tX0Q+ePDTKB0yfjH54OHOirJuoYvJBw/zutfG +fl1MPngo+zxlwJQl5IOHR4Ez+ReXkA8eJEp2f78vIR88PDPbEeTgRD54GKcq +KY1yIh88fH6SUvHTiXzw4HNj1cUZS8kHD4VNHtpXlpIPHuxtLOd2LiUfPDz4 +VG03Yxn54CG/9H1H1DLywUNR7ArnH8vIB/f+ux8ETltOPnjYpivwvLicfPAg +/fZb7dty8sGDQ++dO+xWkA8ebHvWnQ1dQT64+yE5uq15Bfng4f6eWEWsJB88 +fPE5uuHkSvLB3f+FW/yrV5IPHjKbopcPW0U+eLC6ve6n/yrywf38SffZ71aR +Dx7u1t1ZJ3AmHzzoBjyasNOZfPAQm+r6Pt+ZfPDQd+i34dqryQcPp2ZFzXFb +TT6419d8IEpZTT54eAfP4n5ryAcPM3Q0RIvXkA8eNt+tnR27hnzwUH9bf8TP +NeSDh+HuPSvtXMgH16v1uf0ZF/LBw+9P+V7VLuSDh/CrluskruSDjw2TRurt +cSUffIg9Lc4WupIPPi6fmfRKcy354KPH44zXLmvJBx9HEqrC760lH3y0f640 +67aOfPDRsaVru8M68sFHnnhj4Ll15IOPs5Ferp/XkQ8+unXN6SNeTz74OGMw +xsNnPfngY1bcxoin68kHH4O+mJ5QdCMffCh8ipjq5EY++Jg4alBhjBv54EN3 +eYJKmxv54OPqhjyT0RvIBx/CoYd+H9hAPvgIHcOLKN5APviQz/zUU8OdfPDR +J6XdeqU7+eDDtuS4xXV38sFHzsr2lnZ38sHHwcA5O0ZvJB98pBvWF+zfSD74 +2Hmzsb5gI/ngo2bTyRJlD/LBR+EGZb8lHuSDD7mFV7uiPMgHH5lX9qPRg3zw +MdKvYoZkE/ngI/dFC3/HJvLBx+FxdUVpm8gHH7GCbrJem8kHHx+uu3tP20w+ ++Eg5v9rv5Gbywd2vUM25bzeTD+792x636WwhH3yMSA5yWrWFfPCRkJl4+uoW +8sHHlAsbzjZvIR/c/QutdpV4kg8+wjJn9NruST74sG/+6JHsST74uBtVePWv +J/ng4+/wibcmbCUffOxK9vbz30o++Oje7aJh/lbywYddRM2JgdvIB/f+tb7F +s7aRDwGO/wgvO72NfAiQdNP9/ptt5EOA6GiT5ZrbyYcATZPa3i7ZTj4EENzv +aXBhO/kQYHVlwIiP28mHAEu2PdQz8iIfAtQ0p7x29iIfAtjtzXCK9iIfAhy0 +/n2v1ot8CJCbf7act4N8CBD5KvPl2h3kQ4CN0XERsTvIhwBXFvoPb9xBPgQw +f74jUuhNPgS49fDeh/Xe5EOA5gOrm657kw8BlDYm5Td6kw8BFLzf+wh3kg8B +Urb8+btuJ/kQYF/bZMfYneRDgMXz6n3qd5IPAcbn9tvO8yEfAuyVlU9w8SEf +AnTzOvjpig/5EODkr6Hzqn3IhwBjDFXDDXeRD+7nfWYnLN9FPgT4el458vwu +8iHAu9BDK8t3kQ8BpHvfftfYTT4ECI+2XDZ/N/kQ4I5pQtjp3eSD69USfOPZ +bvIhgHJi54mBvuRDAEnvAdOm+pIP7vWHfy/19yUf3OdxrRib6Us+BBgZ+HW7 +3B7yIYC3q+PB0XvIB9fziHSd1x7yIYD63HtG9/aQDwFU//t7p3UP+RCgbsNY +daEf+RBgaFDInDV+5EOAcZ2S1Zf8yAd3fQYjHMr9yIcAC+yK+qntJR8CrPHT +vjRrL/kQIDVhyoCAveRDiJvhPjOz95IPIRLSy9bJ7SMfQmTsDlwych/5EGJn +rwcmnvvIhxAbF/ll39xHPoRwnTlIVruPfAhx4YR/kP5+8iHE79BBDxbuJx9C +LE8teHByP/kQ4plO9Yn8/eRDiKcJ3hN6HiAfQqyek1A4+gD54K733APR1gPk +Q4gauWtuNw+QDyH8X8f6fT5APoTIHlO1Uecg+RAi1mrLcMeD5EMIh51+bwMO +kg8hNLJFczIPkg8hugVcjuk6SD6ESNOTey3xJx9CyF9f82GtP/kQ4ollV/pF +f/IhxOx9lbve+JMPIZIX2KgMPEQ+hKgaxvO3PUQ+hMjZVfzC+xD54N5v9ayu +24fIhxARf4t/1xwiH0L8st5Qpn2YfAjhkmN/evZh8iHEWccjvEOHyYcQ12Y5 +nE09TD6EmNov4VPbYfLBvV5sVV/eEfIhxEhpxwCnI+RDiKQ9Ss0njpAPIc7Y +L7iafYR8CHHMsEbWdYR8CGG+9f1dq6Pkg7ufR5b2dD5KPoR4te+w+OxR8iFE +6fLdowqOkg8hyjuXGMoFkA8htitMrJEGkA8hJqrP83cJIB9CKIbFyYcFkA8h ++pV6rCgKIB9CtHY9CO0WSD6EQM+YeOtA8mGOzS8WRrsEkg9z6Jp98QoNJB/m +MA89xC8MJB/mULgy7sHfQPJhjvwIGxPJMfJhjo0Pjnk4HyMf5ujqtSz0zDHy +YY64HTmROcfIhzmGVdQd7jxGPsxRXP1+hnkQ+TDHbtWsb05B5OP//z7ZKyiI +fJij9+GKsvQg8mEOhzEOum1B5MMcL3oawug4+TBH3xzvcXOPkw9zWMzwNDxw +nHyYY9N44aeE4+TDHAJByr6a4+TDHH/cJ/RUO0E+uPux6L2z3QnyYY7uKtFX +tp8gH+bwjk3KjjlBPszRJG+d8+YE+TDHj3ZRrMJJ8mGOfYYFbsNPkg9zVOsb +DHY5ST7MMXD51NNnTpIPc9yVrPmZdZJ8cNdfGCD77yT5MMfPSaVrjE+RD3MM +Pbt245xT5MMcHuGOC/eeIh/m8B2SZBx/inyYoybk1rOKU+TDHH/vzFw+8DT5 +MIdBblLJ6NPkwxw5Rj2N1p8mH+a43zpl/rnT5MMcemOubHh6mnyYI/ev1Zr/ +TpMP7voG9RxnFEw+zJFlOurPzGDyYc79vdkSsTuYfJijV8kI/RvB5IN7fh0k +B94Fkw/uebz2raDXGfJhjlKVcx3SM+SDu/8xI+RXnCEf5hi3qOm/wDPkwwKC +/oW5D8+QDwvM2Nu+p/YM+bDA8TVeWsoh5MMCQyd7nkMI+bCASvjfTrcQ8mGB +uykSnAshHxZY2WCyNiuEfFjAZerXrV9DyIcFtJpurNE5Sz4sMCDNY9SUs+TD +AoYRc795niUfFkic6HHi4lnyYYHHS8sUC86SDwt88bzp1XGWfFigoOv3E8Nz +5MMCpQ7VXx3OkQ/u9W5sl99xjnxYYPS44t9R58iHBSK0upcVnSMfFjgoNYv4 +eY58WGCsq6OtcSj5sEDmnqiS6aHkg7seIW/8jlDyYYFjcp1no0LJhwV+uFi9 +KgwlHxbY/O3D945Q8sF9vgrdPwZh5MMCKSvkG6eGkQ8LFIZeTd0aRj4sIH9a +d9uFMPJhgfGyXcq5YeTDApO3l55tCyMfFqj8O7WHdjj5sMC3A7VzJoaTDwvc +uvDkiHs4+eCeh4K2mJBw8mGBc1sDr6eHkw8LzG+OCa4PJx9cT4/5axQjyIcF +co3idUZFkA8L+KnnJa+KIB8WkExOREAE+bBAx5nAG/ciyIcFeC9W/C2PIB8W +2JIw2Ub+PPmwQGflvEUW58mHBdZ/urjS8Tz54J63oaNm7zpPPixgbDOSf+U8 ++bCAz5g7tQXnyYclFHcmBn47Tz4sUaOyVEv7AvmwhJHOw+MTLpAPS4w9WtG8 +7gL5sITCpCrJyQvkwxJ5uq+XJV0gH5ZweV7kWXmBfFjCtU/lJoWL5MMSegt0 +5lteJB+WeDg21NjxIvmwxEr+utKdF8mHJRw8LnpFXiQfliifP/NvzkXyYYk7 +gwPdWy+SD+56Etdmq1wiH5Y4Pe9nr9GXyAd3/Z+mi1ZeIh+WSJPtGn/oEvmw +xJDRIWNuXSIfljA+HWfw8hL54H7/69uWn5fIhyUK60yu6EWSD0uc/3PVdmIk ++bDE80jPwnWR5MMSvaVhsuOR5MMSozstwxIiyYclPojGV5ZGkg9L1P+qGSgX +RT4s0bHJyswkinxYYskJC759FPmwxEj7duWNUeTDEsE7TjaciiIflnBr0I59 +EEU+LFHkeHnO+yjyYYmvK0fWdrtMPixhG/PF2fQy+bCEf/TbAvvL5MMSn573 +0Nt4mXxYoitg3+JTl8mHJbobr92beJl8cPfjVdapssvkwxJ/i2KD/l4mH5Zo +FfC3GV0hH9znb59lZ3eFfFjCymhYj/VXyAfX61LNtWNXyAf3eraeo+5cIR+W +3N+vrYmvrpAPSzT0Xqf38wr5sARvf/sm7WjyYQXd8vA4WTT5sIJK8La3K6PJ +hxVaDE80HYgmH1YYVf+r8Wo0+bCC/ai81/nR5MMKE5b0udkSTT6sIPbNcx8S +Qz6soP1UR9s6hnxYQctOKWFeDPmwwqruyTY7YsiHFZY1GceGxZAPK6TVLe/1 +KIZ8WCEof/f0yhjyYQXbhcf2dr9KPqzwfNKFSOOr5MMKj2we35p0lXxYQZTT +I8b1KvmwQs8d244euUo+rFCZwVty4yr5sIJsiECj6Cr5sMKRh4eftF4lH1Yw +7Tt/wZBr5MMK/qMulUqukQ/u93dunzL3GvmwgnJt3eWt18iHFQYe69l85hr5 +sMIhj3cGD66RDytM3LNtwrtr5MMKPhcbZnVeIx9cryu2Dlqx5MMK7fNODR0d +Sz6sELD+c1+nWPJhhW2BUwp3xZIPKwxfUORzPpZ8WEGycK9GWiz5sELCIM+o +iljyYYW+zfGactfJB3e9c+199a+TDytEhU19huvkwwq/+mQOXnGdfFhh07vH +Y/yukw8rmA+bs+DSdfJhhftjDy5/fJ18WKF7z7XzPl4nH1bYd7jPyG43yIcV +rLO29jO4QT6sEHchOQ83yAf3+b7VbV9+g3xYIe94P6U9N8gH9zwME4df4M7r +fJxDkuVE6HfWRSmNO1tsDmy37yFC1ZIUrw/cudXl/oxSeRGG644p+M2d7zhV +XF/bW4Tjrh0DdG62yjzn9O79s68Ih5J/jBnNnYdPETsfGiBCxeHpSxZz559j +F6WrDRbB36bXWm/unGK9TztGUYR7RhbO57izr+CG1zAVEepPlzg84M7j9F+9 +zFIToXfhb5M33Lmn6h+Ro6YIK/4+bvqPO2f3Mwus1hYhZbYgUvlWq+xwt5n1 +W/RE8Pg4YZKUO0/t8JrYw1CENUla72Zx54HNly6dNBZBVP5okQd3LqnK+21g +JoKKbGT+Me586m37wni+CNr5oYKb3HlekfZ9mHNnp2avfO6snjlRscRShPys +sffruXNZkrv7MrEIublhVQpxrbKIuJC8FqkIdw2G/DHhzsuvpJvuHiaCmff1 +3rbc2TCsfu8AGxE+bNnefSV3/nxcsSJ8lAg3o/wafbnz1YOjRpmPFSHi5OuM +iDjWAyJ8Td17NDmO9ZggwoiQk+PfxbEeE0WYv1+x/nsc6zFZhJCKgb7Kt1kP +exESOk71lNxmPRxEaBx0a8eM26zHTBF+L1v7we026zFbhCnKzyVHbrMejiK8 +dPi2LeY26zFfhFmG5bGZt1mPRSIMDQ8u+nib9VgiQtpD86o/t1mPZSLIb775 +WSue9VgpQvfrRu9GxLMeziJETQhLcYxnPVxEKBmof3xzPOuxVoSdL1PnBMWz +Hm4i3Jq1R+FGPOvhLsKJEVuu58SzHptE2CWKlH2OZz22iNDjtXpmtzusxzYR +uh5WjdC9w3rsECFoTb/zI++wHjtFmOR4vn3eHdZjtwhDfkbbbLnDeuzh7neS +yCPoDuuxTwS59kkh1++wHgdE2P3j262nd1iPQyJUDpmU+OkO63GEu58+E2// +vcN6BIrw0O3POa27rMdxEW709fEcfpf1OCnCka25sjl3WY9g7n4++drlfpf1 +CBHhWuffq0fush6hIjTr/Z0UfZf1COeeF17nq8d3WY8LInz52+X4/i7rESni +vk8GPf1xl/W4LMLmCBlf+R7rESPCsr4hPlb3WI9rIigH6z+2v8d63ODu34+a +76vvsR63RBhY803H7x7rES+CmrzjsPB7rMddEQ60D0HiPdbjvginJg4b9fwe +65EkQvWBArPme6xHMvf6QyvkeyewHo9E4Bd4vTJMYD3SueclMerMmATWI4N7 +Hp3WTVmQwHpkiRCt9qZ5cwLrkSOCus23fYEJrEeeCOK+Bf2uJrAehSI45rke +eJLAepSIEBv38kt5AuvxXARercb0jgTW45UIl06Pjxhyn/V4w30fPF/wQXif +9SgVYfzdNUMm3Wc9ykW4PHbbsOX3WY8KEY56nnDwvs96VIkQNvXJvNP3WY9q +EQLiFWffus961Iow7GiALOc+61Evwpl7NnpV91mPJhHeyxl/7brPerSIsGWk +Y4JKIuvxVQQFozfrrRJZj3YRTm65qzwlkfX4LoJreXvcykTW46cINvKRY3wS +WY8uEfQCn6YGJ7Ief0SI6esuikuk/RCjrN+F4JxE2g8xJqk6N39MpP0Qo0dM +9vCuRNoPMTIkJZ7KD2g/xHhjcuSyxQPaDzFsqn9nT3pA+yHG6Vzr8mUPaD/E +mMYfUe31gPZDjCPTh1SceED7IcZ4n9yC2Ae0H2KMbl57M+MB7YcY+i+79pQ/ +oP0Qw2vxIbv/HtB+iJF2Rb3bwCTaDzFcChNumibRfohRWe3qIEui/RAj6vvI +yvlJtB/c9csPXeORRPshxsR+yysOJdF+cNfblTn1UhLthxj/pbtdT0qi/eBe +b+zyP8+SaD/EaJlx1bYhifZDDI8ch93dH9J+iDHAc+F1zYe0H2L8UX2ZL3lI ++yHG8w3ZFfYPaT/E8LWwqV35kPZDjFU9hlZ5P6T9EGN68JOSkw9pP8QI8Gu4 +E/uQ9kOMxqUJh548pP0Q43GKcHbpQ9oPMX4YzhvU9pD2QwwlxTHpfZJpP8QY +1qPe2SCZ9kMMC9eVv0ck035wPa7HH56ZTPshxlRRRR/XZNoPMSJmt+/yTab9 +EEPo8v3zmWTaDzH8kr+Mu5VM+yFG9zMNJ7KSaT+4+zm06VV5Mu2HGOtf/B7w +LZn2Q4yjF8xG9kuh/RBjTuamRYYptB9iZDtXu9uk0H6IURvuv31mCu0H93z7 +rfJ0SaH94D6PwZ7Vu1NoP8QYu++zfXAK7YcYrjFhxjdSaD/E8PSPbXuSQvsh +Rl9VzYR3KbQfYijI2tZ/SaH9EONA7Ug1hVTaDzHeN3fd10ml/RAjgT9mqnUq +7YcY3dx6vbRPpf0Qo7/vslkrUmk/xNhtOjNjeyrtB/f861Xyj6XSfnDXr692 +4HIq7YcYX97+evkwlfZDjIq2sxrPUmk/uJ8Pbp9dm0r7wd3fYkW/36m0H2Jc +zfwbpfSI9kOMEt/HyfxHtB9imBxZliN7RPshxoY1H3MdH9F+iDHkxPT09Y9o +P8Tg77l13e8R7YcYe6N6B4Q8ov0Q46Kz84qbj2g/xMgzzRVmPKL9EGOg3djG +t49oP8Sw1c6/0PKI9kMM7Y5tU3qm0X6IoWM4pU4jjfZDjBsfJ/tYpdF+iKHh +uUt+YhrthxjhQ1r2LUqj/RBjZdOljo1ptB9iHBNdXH4gjfaD+/7o+eVRaBrt +B/f6/mcVb6fRfojR8fLi4qw02g/ueZIbHFqaRvshxiL15sLWNNoPMcaZyDp6 +ptN+iJHIH6CqmU77IYaP4VKBVTrtB/d90cvG2jad9kOMT9mXpQvTaT/EkJ9x +leeeTvvB3e/99kr70mk/xBhld7I9JJ32Q4ylmw7m3kin/ZDA9Y1F8ON02g8J +LCYHzXudTvshwYeA+AGN6bQfEvRfG/bwbzrthwTxoXOclB/TfkhgXVr5H+8x +7YcEbqVT9o95TPshwfXJwb1nP6b9kCC9IHfvmse0HxJ09m5p835M+yGBNLT3 +wqDHtB8SvFTTT4h6TPshwSulUQoPHtN+SJCvuXxGwWPaDwlq7wYHVj6m/ZCg +a3nV42+PaT8kOHh+WlPvJ7Qf3Of5VNpf5wnthwSLI08ZiZ/QfkigabdLNPEJ +7YcEsDkvXfiE9kOC6tJf5hue0H5I8OnQBW2/J7QfEjSEBnQPfkL7IYHnqrwP +V5/Qfkjw2mpZfMoT2g/u9SfP8i55QvshQVZrtE31E9oP7vqc17R2PKH94D7v +h+Cwfhm0HxKMPjVqrF4G7YcEhred3kgyaD8kcFrW3WVSBu2HBHFZVs0LM2g/ +uP7dm9ZuyKD9kOCBeMz7PRm0H9z9cOXbnc6g/ZCg/f79mJgM2g8J7AWNfx5m +0H5wnye3YFpRBu2HBOpnVpz4mEH7wV3vsbiCbxm0HxLIYlP+KGTSfkhQ9f6Y +mVYm7Qf3/urmdpaZtB8SqE0IdRqXSfshwXjbinVzM2k/JJj8S26jSybthwTa +dvLrvDNpP7jno+vr4sBM2g8JPJoLbC9m0n5IsLQ+zPhuJu0H9+8fOHdlZdJ+ +cPdL3yL3bSbthwS/KzsDGzNpPyS4d+/FlD+ZtB8S5M1O/TU4i/aDex42pEYb +ZdF+SLDozju7YVm0HxKE5alVTs6i/ZDgxaJdGxdn0X5IoNVj8PcNWbQfEigv +e7VlTxbthwQ18i/qTmbRfkgw8chAxytZtB8SeHkHPkjMov3g3n+5o1JeFu2H +BMsfr19dnkX7IUGE7utbLVm0HxJkfzvXKpdN+yHBsJQUM6Vs2g/u+aibMN8k +m/aD89ZgvXt4Nu0H9/ybB4dPyab9kGCP6ro7i7NpPzivfZPSNmTTfnA/d/HP +9M2m/ZDgqX9p+ols2g8JfB4n3ovKpv2Q4Mga44sJ2bQfEtzINNn3NJv2QwJ3 +k8dO77JpP7jrL+qyasym/eCeJ7myn7+yaT84Lz9WJQ98Svshwa4vYZ76T2k/ +JDitfchE8pT2Q4KMmxZFE57SfnDfVyUn3B2f0n5wnm8l9XJ5SvvBPZ/rY894 +PaX9kOCW2VrdI09pP7izXFdE2FPaDwlSB65WufmU9kOC3Wtu7H/0lPZDggvq +rxuLn9J+SPBWXGv/8SnthwTfHlZfantK+yFByYMXX3rk0H5IsMI8abhKDu2H +FImKodtMc2g/pOi2eufN4Tm0H1IcV19ZPjmH9kOKct05PRbl0H5IcXD1HMP1 +ObQfUix57WLjk0P7IYXT/ODJgTm0H1Kk5lXOOJ9D+yFF3cAZM+JyaD+k2P+n +elJ6Du2HFNs9YoY9y6H9kKJ+eJhuVQ7thxSTjbP+tOXQfkgxrZvgTY9c2g8p +fp4pilHOpf2Q4vK1RA+TXNoPKb79rBcPy6X94F7fdl3jpFzaDyneTxgTMT+X +9kMK/xsudq65tB9SyIlb6r1yaT+kyPJ9tf9wLu2HFMkTDNVDc2k/pJAYv4uK +zaX9kKIh849Zci7thxRK2SGX83NpP6Tcfz9f1SjPpf2Q4umCYf5NubQfUvTV +mNj8K5f2QwppyLupA/JoP7jrX/EjUieP9kMKXs/oNos82g/u+uRrR47No/2Q +Ir8hbef0PNoPKbSshyYszaP9kOL30qm17nm0H1zvz70UffNoP7jXG+M2NCiP +9kOKoWW+sy7k0X5wz4vFpDVxebQfUvS/lLElLY/2Q4qc8792FOfRfkixKuA/ +r4o82g/u/hXc8WjNo/2QYljqiBV/82g/pDBIPmo/KJ/2Q4rFurfN9fJpP7he +o2MVrPJpP6SoXb27bGw+7Qf3fDSIrk7Pp/2Q4ohK9oal+bQfUsRZjjd3z6f9 +kOKL97VPu/JpP7jzKLnTgfm0H1Lo37YfE5FP+yFFimJgxY182g8pXoXle6fk +035I4bCn76CCfNoPKSq/OoSX5dN+cJ9PL9SwMZ/2Q4p90vZLnfm0H1LMne+k +2beA9oO7n3HlRzUKaD+k6Fi69TuvgPaDe/19wkUjCmg/pPAY2SPRroD2Q4pd +YXID5hfQfkhRkmK2ZE0B7YcUJvE+l7cW0H5IYX6oR83+AtoPKbg/L/RPF9B+ +SPF9QOLcqALaD+77prDR704B7Qf3vAetvPq4gPZDitOOejklBbQf3PXpmH6s +KKD9kCLio3d7SwHthxRl5wz+/i6g/eD8j9TtOaCQ9kOK1/c9u2sX0n5I4fyL +1ykopP2Q4nrH2EabQtoPKQpPPHw1uZD2Q4oe8ReS5hfSfkgRM6LtzJpC2g/u ++exzf8PWQtoPKWRyrWP2F9J+cN+nPy4qnCqk/ZBiYF5x3qVC2g8p8qb4+t8u +pP2QQt7+7pi0QtoPKSxCNzYXFtJ+SFHd68GZ8kLaDyn+Ljxu01hI+yHFoUW/ +Xv0spP3gvp8K/q7vXUT7IcXwzee7VItoP6QI71O+36SI9kOK9ukpCtZFtB9S ++HaN3z++iPbDGjlZWzpnFtF+WCNsxex1y4poP6yhvKfqxYYi2g9rhBSbDfcp +ov2wRlmXyekjRbQf1qi+Wdlwtoj2wxoDAxaPiimi/bBG75GhBxKKaD+sUbrg +Ym5GEe2HNWoPblJ4XkT7YY0vy4bIKotoP6yhtGufR0sR7Yc1nnnmh/0qov2w +xuCypvS+xbQf1tAc11ihXkz7YY1LQ/N+mBbTflgj2zaw39Bi2g9rKP4Yrj6h +mPbDGr6vC3VnFdN+WMPbebbesmLaD2tUGeVqbCim/bDGgNNDB+4spv2wxlNx +2K9DxbQf1liw/Xf1mWLaD2scKF/29HIx7Yc1jr/OirpTTPthjbFvJd7pxbQf +1ng1K9a+qJj2wxoG8VYq5cW0H9bYtiL7XX0x7Yc14vM3ne0opv2whs28obPk +S2g/rNFrtXJPpRLaD2tELFSM1y+h/bCG2inJAssS2g9r6Ll7/RxVQvthjQ7b +2tNTSmg/rOGzca9gfgnthzX0Jzg8dC6h/bDGd8WptptLaD+scdPKN8e3hPbD +GmdqmyYFltB+WCPyYEhaaAnthzXWzdsruVpC+2EN4fnbFxJKaD+sURBpopBR +QvthjQ/R1a4lJbQf1jjf8CXzfQnthzV+XnfQaiyh/bBGgOmf9T9KaD+sEXNK +PlH+Ge2HNRwELr8UufP+rA+CreU8+Grvr/vR1iTrGy/6dOojD++sXt2Tv9gk +CwrfG3anhodbyy9OmujTJFM+9HLOs0YeXoYGZE1b3iQ7t8W0/5cvPIyfI2fk +NLlJprvMK3Pgdx4mvIx61F3aJIuyz/Ox6OLh7173lt16TTLeMO2h0+T4kH+v +6bx8QJPspoF78zp5PkbkzZno9KtRJh2QfuVwXz5uTY7H+KZG2YMfQ5ZeHcTH +FzWb/+rLG2VjqlepPlXmw+njBN6IokbZk+KEos8afCweZFGvmt4os0tW8O+p +x0fz070ZnncaZQXRC2VGxnxY9Mw8NuFKo2zWyesd4/h8PPM9fn772UbZ612/ +45Zb8pFZukSkENAoW7J2hquvlA///KxuDb6Nso9zL+mfH8H9++D93TU8G2Vr +0P42ZQwfs7dc1zjm2ihrFE48UTaeD+O1l39McGqUeaiFTOm040OlTvpj6OxG +2X/d67tpOPAxdmfNr2V2jTLvlpEPh8/mQ3Wp0opHoxtlf98FbJ43nw/bPQaf +HCSN/3os4cNniVpIP17jvx4r+JhZnlr6TafxX481fKxXKpiqoNz4r8d6PnIj +7qShb+O/Hh585MQkjL4g1/ivxzY+zl1T/abf0fCvx04+ktdqj8lsbvjXYw8f +bUbPj/tXN/zrcYCPPWeWHlxb1vCvxxE+/nvxrtj1ecO/HkF8rNkVOHx/bsO/ +Hqf52J13LOJhesO/Huf4MEy7/F/fBw3/elzgw3XGxomecQ3/elzmo04rO/K/ +6IZ/Pa7x4fJrkuTY+YZ/PW7x8bJkgOmYMw3/etzlQ139eEq3Yw3/ejzgo/1y +iKzsQMO/Hql8WPos6JG7q+Ffjyd8yHzOr87b2vCvx1M+vBoGFX7Y0PCvRyH3 +edfuvSq/puFfj+d8pA9f7j52acO/Hm/4qHimFX5oXgPzwccvt9sJn6Y3MB98 +LH9uMXGGXQPzwccbt+mlebIG5oOPuZtV+84b0cB88HHGZqf7F1ED88HH89oh +0ef4rEcXd3+SM+fMMmQ95AQYOf2YrpoW6yEvgNyYkfENSqxHXwHmxwse5vdn +PQYJ4LvIsDFJnvVQFmDxBsWf9/7UMx8CCGY0haV01DMfAiif3Xas5Es98yHA +BCOTY1/q65kPAWLr2xdpf6pnPgSwX2+bOKe8nvkQ4J5HqsuZV/XMhwCZ7ebS +6qJ65kMAkx5uVaNz6pkPAXZ72eLi43rmQ4BHSxZoDEyuZz4EWPGu7+iD9+qZ +DwH2nV3n1ftWPfPB3Q8vnYunY+qZDwH0vBwOCi7VMx8CaOWd+ZsbWs98CLDz +6/ePm0/XMx8CDHmf0tPkWD3zIUB2ww5U+tczHwJszmx3v+xXz3wIoKigtn7T +znrmQ4Dk6IbBdlvrmQ8BrnXfP8ZkYz3zIUB+RFdRv7X1zAd3fzetvNy5sp75 +EOBuYWLE1yWsxzkBvmdVhH2Zx3pcEGCTcuiejpmsx2UB9u7YYdlrKutxTYBW +/7JD2hNZj1sClL2r3DJSxnrcFSAvZvXLZTasxwMBPiR3BgVIWY9UASqTPwSk +W7AeTwToldUR32XGejwV4PHcwpoxhqxHoQBW3nuV/LVZj+cCDJxWov9GlfV4 +w13vBbkfFkNYj3IBjnbV7T3aj/X4yD1PTz9fb5VnPWoE2HrzldMiOdajUYCP +1ir78zvrmA8BNA97953wXx3zIUDRplnv01vrmA8BBm2bX2/bUMd8CDGd/0Cr +uLqO+RCi4kKflcsq6pgPIRT7TIn9710d8yFEl9ubqhMv65gPIVQDtnVKi+uY +DyGsKiZWluXWMR9C1CSk7TucWcd8COGy+f7L0Wl1zAf3fpWzMv5LqmM+hHC+ +1h/37tUxH0IMKosf5RVXx3wIoRa0KGZcbB3zIURZ5HrPwVfqmA8hUvxPna6+ +UMd8CPHMLOdnamgd8yFEwAfbM+HBdcyHEEv2H1qy53gd8yHE9oA5srVH65gP +IfpYR4vnH6xjPrjP//iRqb1fHfMhRMRrNcXxPnXMhxA+eWtqx25nPTyEeKEV +GorNrMc2IT7Uv9Gz28B67BTCf5fP+tmurMceIWo/qG9euYr1OMC937ZNvO1L +WY8jQhil+uw7vpD1CPofXXcaTuUe/v1fUqSUzDONEpY1oDQ5U5IiDRQqQkgk +pUiIQjIlCiFkSInmedCskZRSGs2zdX1LaSD1X7///l7n77jv47j3s+vIzrLe +6/R59NpbH5qI247T9rTHIX3YXhf854Ud7ZGpDxWH5w37tYj2yNOHpVHB+yYs +oD2K9OH0n6+hK+bSHiX6MNPrZm3sLNrjtOjnGyudeGca7XFBHypnTUsb5NMe +V/VhmtLj9jkc2qNcH9489t4ZrUd73NOHy8nt855PpD0e6UPdi9kzNXRojyp9 +kDaPWeWvTnvU6EOI+J/kB0q0x1t9eNou/lFLjvb4qA8eaRqCcBnao1Efnj3s +SayXoj3a9OFR0rRGSwnao1sfNDMbdM/+a6f3oQ9nI7sctQba6X2IXo9SkN+B +H+30PkSfn1t3nYf3ttP7MICoR1M0IoXt9D4MgF85vmSwo53ehwGMUpnXv6ul +nd6HASwfM11KoqGd3ocB8I48fZb4oZ3ehwE8zPs0W/VtO70PAxjjvWlVSU07 +vQ8DKJyQPHb283Z6HwagtSHA8/WTdnofoq8/sdJmc0U7vQ8DcL2Re1vmbju9 +DwP4t/7m1TM32+l9GEDNRzOew9V2eh8GcGXNlfF/L7TT+zCA6LNde0+eaaf3 +YQBiT3JXOZe20/swgNxlF/bLHG+n92EAPxdqTH5Q0E7vwwBIdqPyrtx2eh8G +4HJfy2VmVju9DwOYu17820BaO70PA7DqrXpxO4X2CDCA6/a1X/cm0R5BBlCS +tGvpsjjaI9QAwGNuu1YM7RFpAJYjj58lkbRHjOj11Vkfvx9Ge8QbQEPX64qs +HbRHsgHcrx8quX0b7XHIAPbsLfBcHkB7ZBqAdFriR74f7ZFnAN9cTnkpbaA9 +igxAb/+/v388aI8SA1j6KfFomyvtcdoALr/atODVatrjggF07XnSfW8V7XHV +AF5a1iZcWkF7lBvAjN2vx5Xa0R73DKDTU6WkcDHt8cgAhl38p5FnRXtUGUBb +xI8dOfNojxoDmPVr+9Vcc9rjrQGEGEq8LZhJe3wU9XwveFEyjfZoNICjnKK8 +CwLao80AEg+Lzb1jRHt0G0B5qtjZan32PgygMsC7o1GXvQ8D6DCUaPsxgb0P +A/Cbda5otA57H4YQuNJeR0+DvQ9D2Gr32X6BCnsfhvC6bdEcLwX2PgwhIvto +3T5Z9j4MwTnyi/bpUex9GELJ2JWyb6TY+zAEl5E/j4kNY+/DEMxg6CfDIex9 +GMLjLRfPrR1so/dhCKZ6S3QO/G6j92EInQc0J1X0tdH7MIS2JSE3/3xto/dh +CD9d7zaZMm30Pgwh9ZvLocCuNnofhrB94YOq821t9D4MYTDOL+F7Uxu9D0OY +fPrDk+n1bfQ+RK/PPjcm4kMbvQ9D0C2yvvH4bRu9D0OI5IR6K7xuo/dhCKOr +6va6v2ij92EIMtGyShcq2+h9GMIPj7qxw5+00fswhGZfJmh1RRu9D0OI9lOx +uHC3jd6HIeR2TwiQudVG78MQVKXaBn2ut9H7MIRkl5kdjy+30fswBG9G0mDq +hTZ6H4aw4Z/pw6QzbfQ+DKFG8saFb6Vt9D4MoSs16evqE230PgxBYsqZsIdF +bfQ+RM983eWCfNrjtCFYtw/dXJBDe1wwhDF85xfyWbTHVUMwz7QMjE2nPcoN +4YReh/1gKu1xzxDyXTdu3Z5MezwSvT9jBx6TBNqjSvT6VlU7+O2jPWoMITts +vFJ3NO3x1hDkm0wk/XbTHh9FP9+XpZNJOO3RaAjTh9wN2LaT9mgzhBeL3zUP +BNEe3Ybw9GVvWEwg7fFF1GOxn9nYANrjhyEUKp9UyvOjPQYMgTdRSc7Ih/YQ +48BAg7beXU/aYxgH4vRnOTm40x7SHJCb05rX7UJ7jOGAXW5Wf9Rq2kOBA/Gj +Gr20HGkPVQ5IBlo0XbenPbQ5cKzWdqPTMtpjIgeq5mb8+W1Le+hxoPDWlfQj +i9j74EDwbFvTuVbsfXAgPL6/rn0eex8cuDnbO+wAsPfBAasyc62Zs9n74EDp +O+Ob7WbsfXDgsM+fFemm7H1woDplafMCAXsfHIBRX7x/G7H3wYF1I3LrTxmw +98GBB+PHL1yvx94HB+ptF+ZpTGbvgwMS1Q1Nb8az98GBTIM7sge12fvgQND4 +25OXabD3wYHdadfHj1Vl74MD22IPir9SZO+DA3XSRg/S5dj74IDetCDPNWPY +++AAV3Nly4RR7H1wIPrG7TlCKfY+OGD+7fjWq8PY+xD9fWGSu2LE2fvggMGn +9y72/1rpfXDglPN4+Ul/Wul9cKCit/Hwz1+t9D44sMxuHPOsr5XeBwccbnbL +FvS20vvgQKXm0n8hpJXeBwcKWtddWdHTSu+DA69HGE8z6myl98GBy/1NYaPa +Wul9cMA5M35vd1MrvQ8OaHMWL6+sb6X3wQF314WfT39spffBAdspJVMOvmul +9yH6/tUZRiFvWul9cOB4/dyv61610vvgwAX1Ot9FL1rpfXBAP2R/jklVK70P +DkxSOhI9/mkrvQ8OTObN1B77qJXehxG80SnxHfKgld6HEdQsnrzh251Weh9G +//PfP5NrL2+l92EEB2RcN3683krvwwhmhZz0e3Wlld6HEQRcn6hSebGV3ocR +XJXV9H14jvaYaATVR1o97p2mPfT+xzu8ErtTSntwjOCF3By4fYL2EBhBlVuk +zp1jtMd0I/Au+Jl3r4D2mG0E3cKh5Q/zaA8LI3gsLxVUeYT2sDKC66k+T15l +0h62RiCReuDSx3TaY7no9bxvn95+kPZYZQSpLz8u+3aA9lhjBJmb6/+K7ac9 +3Iwgb5b7HNkE2sPLCCIWvh89bh/t4WsE3yfe9hfE0B4BRrCzPn6N1R7aI8gI +jAeuvVkTQXuEGsGPjc8+BIbRHpFGsDIBNiaG0B4xRvBOIyeyOIj2iDcCwzne +mvcCaY9kIwj10jWvD6A9Dol+ftcNLYObaI9MI/CZe2Wkli/tkWcE+zcWXjDf +wN6HEdSG3Xrv7sneh+j1rzwSEevO3ofo9Y95dfSUK3sfRnCI9M+qXcPeh+j9 +33R6+V8n9j5Ef64X3zBlFXsfRjCi1aDV3p69DyOYvnua655l7H0YQe4vG9tz +S9j7MIJAZ7nTjYvZ+zCCtgpOpLw1ex9GsN5z8b0FC9j7EL1eH/nA0HnsfYh6 +DTdOOQfsfRhBpe8a9c7Z7H0YwZ97s2XHz2Tvwwi0pyb4r5nO3ocRLDvz2/iw +iejfV9+vNlmMCxE24F7LbzV/K3sl6JI4F8Y3K/fJc0V/3/CGmvnDuDBk+sye +FYai9+ePlNFrSS6MIF4L0qaK/v5eXoKHNBcmxzqJ1+mK3q925/beUVwwWflK +S2NSq3nRp6h5e8ZwoS86PcttvOj9e1WWN1aOC/Ijvbed0G4113tSO3BUgQvG +pdKlXzRE7+etv6u4ylyQJQtnz1BrNT99UffibVUu5Ezo1otRFr2/J5fK2mlw +Ya7Dzc0vFUSfl6Mhfp+1uDDSJk5GW070fqcVPN40TvR69+mI+48RfX4Snk0c +nMCFgNnLbW6NajUP3f09MnEyFxYv+9I1Rlr0eQrW/KSux4U0h+cf3SVbzcU2 +LTAr1efC65i7OlckRJ8v981pMzhcSLh/9NIo8VbzGMfDX59wufAwdX6Wx78W +c6sld22dBFwoUYqtuvGnxVx6fldJhwkXRo2ev0Sxv8W80kx++I7pXNB54age +8LPFPNlolrvkTC5oWRcJKr+3mC+f5HkrfTYXBn8MT9frbfmvB4jevzb3uftI +y389LETf/3S2cUdPy389LLlQvznd37qr5b8eVlwYU23GlLa3/NdjERfe9m46 +P6a15b8eNly4F6lxfVtTy3897LigmcWV+FDf8l+P5VwYqEtPtvjU8l8Pey6Y +2s5eXfq+5b8eq7iwO0TeS7Gu5b8eTqLnpdJnImtb/uuxhgtdPAUTYU3Lfz1c +uHC8feJP5xct//Vw44JkIe/7k6qW/3p4cOHXb67+jGct//Xw4gK0qGWXPm75 +r4eP6P292mGh9bDlvx6+XPg0NW1c6v2W/3r4cyHbSsNU8m7Lfz0CuMALDI0I +v0V7BHLB1uvcn+83aI/tXNinf6ts0zXaYwcXajQK97dfpj1CRa+/wT3f/SLt +ES76fhuGtHw+R3tEciFWGOWw5gztsYcLE4Rfvr8voz1iRO/3lKV3nU/SHrFc +WFZw8tqH47RHPBdcMqU/rT1GeySKPm9xoVMbC2iPZFF/Xel8z6O0RyoXbq97 +YN6dQ3sc4sJH+2vDtmbTHhlcaF/1++vvw7RHJheeCdOGRqXTHke4sP9xvpnM +IdojV3TPD7kHD6fQHvmivsyqMZOSaY9CLsgEGJ46n0h7FHPB7ehj37nxtEeJ +qM/PuYtextIepVz4KXPByj2G9jjNBfEcU4/ve2iPs6LPU0hHdmwk7XGBC+lp +rV/Ud9Eel7iQ9Xux27lQ2uMqF1ofzuixCqE9bnAhSFBzoD6I9ijnQuA+wZId +22iPO1ww19k9QW4r7XFPdB/rP485tZn2qODCtsrNctabaI9HXDj63nlq20ba +4ykX1qk/XBm9gfaoFPUe/y59ghftUc2FmQk3O+970B41XHjTm7nE0432eM2F +jf3ZDyRdaY+3XHCaxywqXUN7vBPd56byBjtn2uMjFxyVp8X0raI9Pos+j3Vx +04440B6Noq+f1/pj3grao5kLL5pD7vUspT3auKBqFpKZvoT26BR9/q4phs61 +oT26ueBQucdLaE17MFzYXvndOcuK9vjCBemh+asWWtIe30S/D46Xr/5pQXv0 +caHu826v40B7/OJCzJVJwY5zaI8BLmye2pQoPYv2GBT9/lL5WlxuRnuI8WCL +VdKDLdNoD3EeaK3vbZlsQnsM4wFncI3kJz7tIcmDyChp/UNc2kOaB7YLzexs +OLTHKB5cCp0SOMyA9hjDg4X6Kum39WgPOR4cBusrO3VpDwUePI4YrDWdRHso +82DK3uCv38bTHqo8qHzcL3Veh/bQ4MHEg8/Ut2jRHlo8KBpmpMfToD3G8WCd +gi+3V5X2mMCDyzEPuReVaY/JPOj9sk8vWJH20OOBWHm/6kx52kOfBwrankPE +xtIeHB54qMrVV4ymPbg8eBFicz5xFLsfPCipc91pL83uBw/WZhw21ZRi94MH +c+rntbUNY/eDBzOOntl3bii7H6LXnzxVM3wIux886JssUWj9r5nuBw8OFiSq +Kg820/3gQb6YYmRrfzPdDx48+sfUXvzVTPeDB0O0NqnF/Gim+8GD7RlNNiu/ +N9P94MECz0K/Kb3NdD94sHGWRMgAaab7wYOYROct1cJmuh88eLjrj31RdzPd +Dx7c+w4TdnY20/3ggVNsxIel7c10P3iQESMbPqW1me4HDzK/2UgOaW6m+8GD +4Lj9Ie8bmul+iD5f541eXfzcTPeDBwnxCfIHPjbT/eCBesOgmd/7ZrofPFDj +Nlta1zXT/eCBb/FhU903zXQ/eLBXI2zk8NfNdD94EKTSc7/1ZTPdDx7UPLNy +fVjdTPeDBxfkyMfjVc10P3hwbavFrPhnzXQ/eCCpVhi26QntsYcHlp+35ix7 +RHvE8OD4TIVc0wraI5YHwhVd4Rr3aY94HgQKHWcNvUt7JPJAsPVBXdct2iOZ +B++YlOWvbtIeqaJ7GKtbcvM67XGIB/ypXR+Kr9IeGTwYr2vRlXKZ9sjkQXp6 ++qvwi7THER6kFtllbDxPe+TywETtjbHjWdojnwe1aw6WLjhNexTyQGXT136T +MtqjmAdj25zHTz5Je5TwwPXPjHHKJ2iPUh7YhA/+kCqmPU7zgFSNLhwopD3O +8mBZ14eJJJ/2uMCD5PU1oc15tMclHgxbv+54XQ7tcVX0/hR9L36eTXvc4IHu +9r8hFZm0RzkPDK4KtcszaI87os9PI//wpTTa4x4PZH/MaTp9kPaoEL2+hKjB +Eym0xyMe/KzY2lmYTHs8FX2+nCKO5SXRHpU82BCrwTuSQHtU80BC61ZCZhzt +UcODqTvqLmbE0h6vRZ/XsIbS9Bja463oOXtxQHoU7fGOB9Yvkodk7KY9PvKg +KW+C6+EI2uMzD94r7dqXFU57NPLg7ROl8JxQ2qOZB9GvPWflh9AebTx4Wddc +cSyY9ugU/T75O0K1dDvt0c0D7a/LTM4F0h4MD5obTdSubqE9vvDAJZr76PZm +2uMbD+THlM98vIn26OPBnhmrgl/60h6/RD0gNOSDD+0xwIP5H47NbfOmPQZF +v78s7au/etIeYnx4PU1i/F8P2kOcD8rdArOR7rTHMD50KbgrqK6jPST54N4h +c0HXhfaQ5oOO4hWFaWtoj1F8yH/4abqVM+0xhg85heLajo60hxwfnKuKH/qs +pD0U+DCqfrFRmD3tocwHnjDQMXk57aHKh/bo/LmFS2kPDT44lK7qurKE9tDi +g9gn1eVVNrTHOD7wZfx2NC+iPSbwYc2xmjX9C2mPyXzYGl3zd6wV7aHHh1V/ +v6ydakl76PNh1ocLIfPm0R4cPkivyl+2di7tweXDQx1oDTanPQR86HdSNj44 +m/Yw4YN2+unZZ2bSHtP5IPU+aUilGe0xkw/W2bMjOqfRHrP5cPL2gsuSprQH +8CHhCL94sjG7H3xw+RG/ZAGf3Q8+jBR7f9qLy+4HHzY4n3wYy2H3gw/H5GPT +SgzY/eDD/eIBtcqp7H7wQWLg4Eoyhd0PPrh6fLSS12X3gw8/c9yYaZPY/eBD +8quz1msnsPvBB/GMVU5R49j94MONlmaNk9rsfvCBM77l4EtNdj/4sEyzvfy3 +OrsffFAYFZY1Xo3dDz40JfD1bFTY/eCDWn6WT5ASux98SLw8f3W+Arsfotd3 +5O5gpRy7H3yIjy9Y+luW3Q8+uKmFrJg8ht0P0ftv83aYvQy7H3wY2GPnvWck +ux98mLp3W/C5Eex+8GFHxDuTRkl2P/gwf2Bswdjh7H7wobv93A0LCXY/+LAk +zXbPNnF2P/jgeDGkr1iM3Q8+xK58pvD+bxPdD9HnT/i2Xmawie4HH+wWmay0 +GGii+8GHmJyQ4ODfTXQ/+GAYNHPeqZ9NdD/4UHB37LXmvia6H6LPw7ODdWrf +m+h+iO51hlP+8t4muh98KFLql0v40kT3gw9Kd0YbPmCa6H7wQbPPiAz2NNH9 +EL0f1T8cp3c30f3gw4t7f3wCO5vofvDBPu/DuDPtTXQ/+DBvv2Fkd2sT3Q8+ +/JVLip7S0kT3Q9RfOo3j1dRE94MPw082hBc1NNH94ENI5MitzZ+b6H7wwWDb +OekJn5rofog+n/f9rTw+NNH9EP08Qxt0i9410f3gQ9C4gtLWt010P/hQ47q8 +RvdNE90PPrxctT974+smuh98mPnr2/DTNbRHJR90Y8Yp9L6gPar5kJJx6Z5p +Ne1Rw4cfGnZyYVW0x2s+fF2ZJH7vGe3xVvT78/2Yg1JPaY93ovtOCbpv95j2 ++CjqccntYMZD2uMzH1rebBFveEB7NIq+32srWb37tEez6PPfGH1z613ao40P +KpFPh5ffpj06Rfe19zojeYv26ObDOZWfgStu0h4MH6xOjU/Pu057fBF9/kY0 +2PdcpT2+8eGIRc0Zsyu0Rx8fem4eKY69RHv84sNV/ebpby7QHgN8uHXA2mvS +edpjUPT7eOY2zvaztIeYABqeSqZUnKY9xAXwbEpJktIp2mOYAD4nDU7YUEp7 +SApAJuLkiusltIe0AM5XOWjInKA9Rv2PhzgWvq6Y9hgjgFPzIfhiEe0hJ4Cs ++EqpEYW0h4IAdm34ynPJpz2UBZA8ZWXvhTzaQ1UArq4F1tK5tIeGAOK6w6e5 +HaE9tASwuu/AjatZtMc4AUQzUbWymbTHBAHcTvkX7ZNBe0wWQERT2ZN7abSH +ngDyDUwKNQ7RHvoCUJCwGBucSntwBJA4P1y+5gDtwRUAb2xKiWEy7SEQwKLX +uq/ikmgPEwH0zulJbkugPaYL4OHCuPp58bTHTAFkGJ28m7+P9pgtALXgfhOx +WNoDBHC4Y9Is1xjaw0IAx1PrXt2Koj0sBfDm8a0/WntoDysBrJsQczkikvZY +JIC2XXVijbtoDxsBaPzx/GARTnvYCWDPv/eWx0Jpj+UCUOK1mkvtpD3sBTAy +jP/EdwftsUoA4aO3NFYH0R5OAtBzsttnvJ32WCOAiWPCyjMDaQ8XUb9JxZH/ +ttAebgJY+irspWcA7eEhgKcHzpyp9Kc9vETvhzKjZryJ9vARwCz3DrkjvrSH +rwBaS5dkSGykPfwFMC7g5fFNG2iPAAGkbRw3/60X7REogBvpxHeuJ+2xXQA5 +RkKtMg/aY4cAks48W6PsTnuECqC5etakqHW0R7gALu/rDSYutEek6PMqm2e/ +Zi3tsUcALh+/X3+ymvaIEUBFbvHJac60R6wALBd6Tyh2pD3iRX8+vGui4ip2 +PwSw1rLpdLQDux8CGFM29O73Fex+iD7f2uKrPZez+yH6+e+khL1Zyu6HALyF +XpMW2rH7IXq/5pg6XLdl90P0vO/iSEMbdj9En58P6QuPLmL3QwDdUakjFazZ +/RCAm+Ra+31W7H4IQFa3bPygJbsfAtj02SRo63x2P0Tvv8fZJR0W7H4I4MnE +mlKXuex+iN6/ymVJtebsfgjgyuy2Xps57H6IPr8HF3x8MIvdDwFoT5xqPXsm +ux8CWB+20OyyGbsfAhDzcCozms7uhwBy3ygUlJiy+yGAARmB2kQTdj8EcCbY +TyFPwO6HAMi1kANqfHY/BLDyvPz+dC67HwIo2f5tlLwRux+i3wd2NaMOGLL7 +IYCyez5JMgbsfgjgZmzg/oSp7H4IQGp/yRhpPXY/BFDbXyEbp8vuhwDm6UWl +SE1m90MAo09mp+ybyO6H6PM076nsiAnsfoj6f3kyOn4cux8CaGp0SBipw+6H +ACLD9PYmabH7IQBJZ7F/YzTZ/RDAtoXpfanq7H4IoMP6uI+SGrsfAvA/RJyz +VNj9EMDzFP0nWsrsfgjArl75YqEiux+i12O7RV1Pgd0P0e+/hu9/T8ux+2EM +9VWuLiZj2f0whsaIzWY3x7D7YQwSqY3J80az+2EM7jWBbs9GsfthDJy5LadW +jGT3wxiiZb9u+ziC3Q9jEBQ5XvaUYvfDGJJS3m0hw9n9MAaTPP7xkGHsfhgD +eTB+pYQEux/G4KvvsytZnN0PY1AyqNBWH8LuhzF0Lv1hdvxfI90PY5hpf7NS +8LeR7ocxxOm8fXvnTyPdD2NY9mL46iUDjXQ/jOHtqZErP/5upPthDIP84scb +fzXS/TCGsSMyzv/+0Uj3wxhypPLk4/oa6X6Ivp9ZRLfK90a6H6L35+Uvs5Le +Rrofoj83rfpr9rWR7ocxbB0oN3tGGul+GMOI5sSuNUwj3Q9jmPxpQJb0NNL9 +MIZuyaqy3d2NdD+MYcGZe+UKXY10P4zhvk3evBMdjXQ/RN8/dtKcWe2NdD+M +wUugcupFayPdD2MwWj7jgGdLI90PY5CSs2vvb2qk+2EMf6tUzx9obKT7YQzn +ZVy+TG5opPthDLyY+vzyz410P4yhQsH9of2nRrofxlD5uGRtzwfaw80Y9r/b +6R39nvbwMAbZ0sxmjXe0h5cxdFU+fXnpLe3hYwya/TU8uze0h6/o51cJHtr5 +mvbwN4aTM8IWRL1q/H96kBkXY6K7dYToQYa39rzLFgjRg2xrnC5RNVeIHmTI +Fg2zElshepCt+/9aSDoK0YO03Ktxc3ATogfZ+WCxTq2PED1I+oiyGee2CNGD +jP5Q6CkZIkQPMie31UYYIUQPcmjXlxmBe4XoQdTntpNjiUL0IMkSsXHJqUL0 +IKNcjGZPPyxED2JiMvxFcY4QPYhvUmp3Q4EQPciTo5+cu48L0YPoSmZPe1om +RA9y8M7UUbvPCdGD+I0qFspdFqIH+SoYd2DPdSF6kAe5BStrbgnRg2TK1btK +3BeiB7nTuOuA2iMhepDrFqHZSs+E6EHsxv+V6n8uRA/yy1th1sMaIXqQ3KTN +wWFvhOhBrL09GzXfC9GD2Ccujy39JEQP8n0wdN3kRiF6kAUX9i5NbhGiBzkz +LkOvvV2IHkTMTvc0p1uIHuTztLxUL0aIHsRgyrVdyV+F6EGku66NPvldiB5k +hV3U68s/hehB5u7a63i5X4geJCE6S61kUIgepPf39dRkMQY9yIamc7yNQxn0 +IBf3nHEzG86gB9m6tWbvoBSDHsStIf/f5ZEMepAPl0IneY1m0IPMkP5lM3Is +gx5k/MR5D4vlGfQgjPrmT9OVGPQgBqNvvb+rwqAHMT7dKm6hzqAHiWZsMq5p +MuhBhrvMfzNFh0EP8qXCbCB5PIMexCSqfQ6ZyKAH0ZGKfLtAl0EPItFW+ytd +j0EPYnYx8nW9PoMepE/7X+Y4DoMexGPDm6A1XAY9iEzUmfQDfAY9iJPW1gm3 +jBn0IPrn11q2mjLoQXqe6EwYbsagB7lxmmnUmcmgB7l/6OAxk9kMepD5vrEH +5psz6EEe+f67bzuXQQ8yb89f12XzGPQghcfWR9lZMuhBHpsMLl5oxaAH2bA1 +8c1MawY9yDyNj3OmLmbQg1xb+ihP3pZBD7LytKX8ryUMepD86u3n3y5l0INs +n3I87fxyBj3I36Uer/fZM+hBSh8HxTivZNCDBMz4fUvXkUEPIr3YIf2LE4Me +ZNpvO51Lqxn0ICYZY7ZsX8ugB7FdP/4Iz5VBD3K2Mvpi5zoGPchKh+OVOe4M +ehBbs20/bNcz6EGWl9vP6/dk0IOsmWP6oMCbQQ8y+9/+OCsfBj2IzzX3wo6N +DHoQn+8Lxu31Y9CDROp6y+n4M+hB0k7si7m8mUEP4uI7Os56C4MeJOHgn6nv +tjLoQaI+Duzw3MagBxnxbk0ks51BD6Ipo75kWzCDHkSupZ75sYNBD9IePWxz +0E4GPUjx3sz3vaEMepB9e11n+4Uz6EEC8l8VNO1i0IMsqDFQWhnJoAfJNnUt +eLibQQ/SOENruXEUgx4k/Mxno7xoBj1I86M6S8m9DHqQRxLSuX6xDHqQMOlp +FtX7GPQgW9cLZxrFM+hB7pjGHEpMYNCDZH0e79CeyKAHid1zda/5fgY9yOiD +kvy0ZAY9yJlZBa4dBxj0INee9SibpTLoQR6Ia3vEHmTQgyxab2n96hCDHkQl +wKJGI51BDyJVWz1sfQaDHiQjI6L7xGEGPcgLw/L47kwGPYhsyvMu/WwGPYj4 +glq5jUcY9CA2q6tHFOcw6EFWuB5+U5/LoAfZZtsVoXyUQQ8yItBllG0+gx6k +0MZ/T2QBgx7k2uptHecKGfQgen1l8xuLGPQglVLTckYXM+hBtjYp/DI7zqAH +CW8xWO1xgkEPsmHWosr4EgY9iF+K5rKzJxn0IAmnZpNXpQx6EO64KWV9ZQx6 +kOzGuUmKpxn0IKua3mYIzjDoQd6XaL+0O8ugB4lvnDZn4zkGPciKxikte84z +6EG+mLY+yrzAoAfR0lvEnL7IoAd5bqqz5t4lBj3IoVR1pdeXGfQgjlkfdVqu +MOhBYhd/iey9yqAHqb3wYsa/awx6kMsurculbzDoQYpvR1XJ32TQg7hnktPq +5Qx6EK776oFxtxj0IAuOdVyefJtBD7LN7USr3h0GPcgkz+wE/bsMepCq4+fL +9O8x6EE+H622mXqfQQ9if+TBZt0HDHqQ76McVCdUMOhBFn80sdV8yKAHibkq +M0bpEYMexGDddneZxwx6kI/dPxcNfcKgBxmpJF/58wmDHqQxclpn11MGPYhl +/+NjH58x6EE0b2kNq6pk0IPUXY6SvlnFoAexvWl6reQ5gx5k8MVGhfRqBj1I +1Vor7d0vGPQgHL+OjxtfMuhBPnx2WbqihkEPoltyNmzGKwY9SLvEu/U6rxn0 +ICOPPx45rJZBD9LZ67mto5ZBDzLfICn/6RsGPcikE7LppW8Z9CDb/1y1T6hj +0IPEcCwbfN4x6EHWN2ycafWeQQ/CD3+zYcIHBj3ImvX6vn8/MOhB3slLWNZ9 +ZNCDuC/r/XX2E4Me5NbyiLh9nxn0IIqt+r9d6hn0IB4HAxYZNzDoQcQryyOk +Ghn0IOuGhWV/aGTQg7xtHHn0VBODHqR8+cPkXc0MepDj1//4LWlh0IN0jv5t +ptnKoAdZ+WvwV1crgx7E8tuCsittDHqQVn+plVHtDHqQpu7Nv2w7GPQgjmpF +acqdDHqQKT8fGDV0MuhBijq6Hx/vYtCDFL7X9/DvZtCD/HRKETPuYdCDLDkz +vvBXD4MeZN/vepubQgY9yBar+/92MQx6ELFzd8qBMOhBhgU83DfkC4MexOnX +Tfe7Xxj0IHFjYm0jvzLoQUa1DFk8p5dBD/JjwrC1A70MepBZ8h4xV74x6EF2 +dag93vqdQQ8S2L56vGEfgx7kzwu7w219DHqQ30Mu6uf9YNCDSLTC55U/GfQg +436WnJP5xaAHkf2Qc/z+LwY9iLnfw7s7fjPoQRxePh5i2M+gB/Hdb+nT0M+g +B2lLr/udOsCgB+l1Ub00/w+DHuRyT9aRvj8MepCJnd3njg0y6EGizqb/sP/L +oAc56j7Ob+g/Bj3I1V4ZhXP/GPQgY6a9J2vFCHoQ+PVPTHoIQQ9iKda38JLo +mfUgPD39J67iBD3IGV/ZqBFDCXoQrnD0jvOiZ9aDNMkklThLEPQg64KEKuLD +CHoQ7UnJj06InlkPciy06vKS4QQ9yK7FYh3fRM+sB3HafcrlsCRBD/J1Q4Py +LCmCHmTkw99K9VIEPYjOyXlrd48g6EFGvJboGS9N0IMU3Ih8cF/0zHoQ05yf +7R4jCXqQ29HFq4aOIuhBvjo+UigQPbMeRKbtgA7IEPQgKR2mYZ9Ez6wHOafc +NWXnaIIe5KhRna7SGIIe5PyA/s5zomfWgyxdJzPORpagB7mzPl+zTZagB7k3 +S3pLxFiCHmTYcm8NFTmCHiTItlbnrBxBD+Km4x9hJU/Qg2yWsTb7LE/Qg+w+ +t9NumwJBD+I2SvH+CEWCHsQmTjctV5GgB3GXvP2Qr0TQg3Q0tjo+VCLoQbb+ +KrRxUiboQeov/y3oViboQUDz95pwFYIeJP3RwdDRqgQ9SEhf5b9cVYIeJCXl +aBdHjaAHkTCTmn1LjaAHuWIx8NNGnfyvB3nor/FBnaAHGSx0KN2gQdCD9MUd +PdanQdCDXPkze9QeTYIeZPRatQYZLYIepJbRHp+pRdCDBNWbvpqgTdCDONlY +fj+lTdCDCKyN9k7TIehB5rS9ibujQ9CDrDXVELMeR9CDaDh86nwxjqAHOen3 +w8pxPEEPcvrGXPX68QQ9iHR2xnrPCQQ9yP6o5xO7JxD0IPvkb6wJmEjQg9gq +cGX6JhL0IAURf01DJhH0IKoxfe//TCLoQVYkN/2OmEzQgwisUw6J6xL0IAMF +z0qjdQl6EIe3TjB8CkEPsiRAfEXsFIIexMwmvkVSj6AH2VtwsS9Wj6AHCZea +Fys5laAH6dvel7l3KkEP8sU6njNMn6AHmWJ3yzJKn6AH2X/HpkHMgKAHuW5L +/oYbEPQg87RdCvoNCHoQ2Q92z4IMCXqQjBMhO3sNCXoQKZPks5s4BD3I1isW +/h0cgh6k5vv8i+5GBD2IwnzLqI9GBD3I6NSvHxy4BD2Iq+ePW8+5BD2IXdNf +AyseQQ+S8fzi5Ns8gh5EJ+1OmSmfoAe5ZP3k9ik+QQ9ysmzbuokCgh5kM8c7 +OUtA0IPofJtnK2tM0IMMfVOWFWNM0IP89rPY0W9M0IP0jCxq8zch6EEmP97c +1mRC0IMUhFrsWGlK0IPYP75y5IkpQQ/irr7RftY0gh5kxdnevNPTCHoQk0Od +ETrTCXqQqydav6dMJ+hBZh4P+yduRtCDvJkw72igGUEPsvxA5dtmM4IeRNu8 +tHjFDIIeZLpXgMz9GQQ9iMT4slH8mQQ9iMEOYdHRmQQ9iJfzvTejZxH0ICYl +VwrCZhH0IKWmTiO6ZhH0ILJPeCNXzSboQVI1n524P5ugB2nqOtVkNIegB3na +7HYtew5BD3Ln2iZDSXOCHsRHe5X5VnOCHkTtWQH5KHpmPYhtyOs5VkDQgygS +f8NzomfWg4T/+XZDbS5BD/J1V0tXlOiZ9SAHPO5c7xE9sx4komS4gYMFQQ9i +vN7EvFz0zHqQq+VPvk+cR9CDNPwyt00UPbMeZG+gvPU30TPrQS6euNXhNJ+g +B6nk3zC4I3pmPUhJ1W7FyZYEPcg1x/jCBNEz60Fk3i1++0X0zHoQ/9BZ5xwW +EPQga9Jf8q6LnlkPIl8W4aRlRdCD7PW7PmWP6Jn1IMuvfM9rFT2zHqQs5+jt +hQsJepCl6432lYqeWQ+yJmZsv4w1QQ9ifP6yYoDomfUgTrVJH15aE/Qg0xWG +2QgWEfQggy/ivA8tIuhBHMr2cfoWEfQg02I35TssJuhBcqIL71xaTNCDzBqZ +lqhoQ9CDzH5UOmy7DUEP0lS61OC1DUEPMqOvW0xgS9CD3NZrjUqxJehBfqoX +XiK2BD3ImJ6EdNslBD1I0IhhuqVLCHoQb+NAbyk7gh5kV8QMR087gh5kzrJb +v+/aEfQghzzdbLSWEvQgztUxS3cuJehBan4nDn+zlKAH0Tn51p+3jKAHuSr2 +MC5xGUEPUrvzyqr2ZQQ9SP036XdzlxP0IG+KtUYfWU7Qg1w0WvqzbzlBD/Is +8c8huxUEPcgUncj2EysIepCGs6ZkiD1BD7LFL7TM2Z6gB5HsOKF9wZ6gB3k9 +TtV6pANBD7J0zyQ9DweCHiTm0+Q71x0IehC7bdtHy60k6EFy+zYo+Kwk6EFO +RTjW3F5J0IOY52ctVFpF0IO8cI4J9ltF0IM80/Z3vbeKoAe58LZETMWRoAdx +qE9cvcmRoAfpGuEbcM+RoAeBggxzZSeCHuSDr+cTXyeCHkShaPLoO04EPYhg +qOFoBWeCHiSx/MkTb2eCHiQ12nbuDWeCHqQrVmr76NUEPUhk0CJPt9UEPUhS +hLvcxdUEPcjJFXlhw9cQ9CAvJ9kVOa4h6EG8y27HnVxD0IPMGT/H8M8agh7k +oJVysu1agh5ExiPjTO5agh7kuoV0MllL0IOIz75hCC4EPUgBry/hgAtBD5IT +L36ywYWgB+HMNtzHdSXoQS49vTU50pWgBzk2V2xvtStBD+I3Z0ax1jqCHmRt +z8XYTesIepCU4Ven3lxH0INU18UnS7sR9CA+sO2yoxtBD6J+6npesRtBD9Ix +rmjxdzeCHqTPJOj6XHeCHsRVMahnvztBDyK7p6PxgztBD5Lxemz2FA+CHuT7 +HxOV7R4EPcimqMNOdz0IehCnuDBXmfUEPUjnk4lTndYT9CA/s15dK1pP0INU +Cp+O/rKeoAdpsLPRm+lJ0IOUdB8esdeToAdZFvf1zAtPgh4kMjldQ92LoAeR +l3hs5+lF0IPUJ1ZYn/Ei6EHWBzyX/u1F0IPsTx930MKboAfxjhtsSvAm6EEq +tx/tf+1N0INMMlj4XnMD+V8PMo6/x2sDQQ8y0T+79/QGgh4kvOaO/s8NBD1I +/IXPhuY+BD3ISU3e770+BD3Iuwffkp77EPQg9sPcexQ3EvQgli2XFdZuJOhB +vGUNJYs2EvQgx5v+3u/aSNCDPHLxWszzJehBxI1zcoJ9CXqQ4+Ufr5f7EvQg +V4e6FA71I+hB9t1wWmXtR9CDrFgo9Xa/H0EPUncjf/xrP4IepO/N2hmqmwh6 +kGnS27RcNhH0INuC1KsLNhH0IGuF8Tbtmwh6EPneX+n6/gQ9yIVr2ac3+xP0 +IEL9koMX/Mn/epDmlQt++hP0IJJG7x/O2EzQg4weu0Fx12aCHkRurgn/7maC +HqRaP0hTIoCgB6n75vJ2QQBBD6K6dcK6uACCHmSd6c8rzwIIepCmWJl6mS0E +PUi5Z2at3RaCHiQstio7ZQtBD5Kx9iPv1RaCHuT72F8ZClsJehCvfcufO2wl +6EH0XTVr07cS9CA+JK7s7VaCHuS47RN7lUCCHsR20ajnjoEEPYja1iiVzECC +HiR7tKvxu0CCHqRkcvU41W0EPcjbXUMbHbcR9CBMpv7Ww9sIehCXa0G1b7cR +9CAXP8mPVN5O0INIP+LJr9xO0IOE9P0VHtpO0IMklJRkv9pO0IOUtLhqyAUR +9CAJPnYBS4MIepDCm8cy9gcR9CD7Jx5IrQwi6EHCTs50kw4m6EGy1WqHLgwm +6EGiJeJ2xgQT9CAFN6Iq7gUT9CDZq762/Asm6EEuXRysm7WDoAeBba/zQ3YQ +9CC//xw1v7yDoAfZErr/fO8Ogh5kc9Ojfk4IQQ+yvDtYxTeEoAcJVnoy8ngI +QQ+SVdr6uimEoAfhXv8ZqLWToAd5qarX6bSToAc5sLHQOG0nQQ+yWvHAyhc7 +CXoQZxsVu5GhBD3IwpGeWgtCCXqQ18p5DyJDCXqQ30PI3BuhBD2I08Tw9L5Q +gh4ko2jLPaMwgh7kbOD3Cp8wgh5kxRLD/MIwgh5kRvk8+09hBD1IuKJrvVI4 +QQ8i3FFssTScoAfxegO74sIJehDdLvvUe+EEPcj4OSMiBsIJepDlU6PnG+8i +6EHG1HY3+e0i6EFWC7ycj+0i6EFmjFAt/bSLoAcZ7NSrVYwg6EEOT79VZxtB +0IN06w5ciokg6EEUbwzbVB5B0IPcqxD/+z2CoAcJ7lHyMYgk6EEai7xOe0QS +9CDapkrPsyIJehDZa9aPX0YS9CC5KpNypHYT9CDD5SptzHcT9CCTTdzfbt9N +0IPcb5GeXbaboAd5tbIzvGk3QQ/y6JBOlsoegh6kJvRVypI9BD2IQflEz+g9 +BD3Ius+mCtf3EPQgCWYGeWQPQQ9yYnDy8ElRBD1INcfa2jmKoAeJKL64ITmK +oAf5KJ+w/kEUQQ/yc0A463cUQQ8id/3vV8Nogh7kQ8TnKPdogh7kqsPp3vRo +gh7kn1rynGfRBD3InL353v+iCXqQ4EnD/QUxBD2Im8unZd4xBD3ICX8r+ewY +gh6E47f+/PMYgh5kvqW9kfhegh5EeMc03mQvQQ+iGqF/f8Negh6k6dey99l7 +CXqQZ5FPqp/vJehB+i3OFQ6JJehBtlpOWWkcS9CDOGywbfeKJehBFL3MV2XG +EvQgl19pFD+LJehBho+TeD0YS9CD1B6WbzTaR9CDcN18qtz2EfQgGaETMg/u +I+hBple4zq/YR9CDTPo5s/rHPoIeJKm41mxKHEEP0jt/UbRTHEEP4qpz5XR8 +HEEPkudhdu1GHEEPEqjEFPbEEfQgDzV7/TXjCXqQlpnuakviCXqQMtnVxbvi +CXqQJ6q/5c7EE/QgDecd19XHE/QgDxXT949JIOhBLjpX5ZgnEPQgO3eo7d+c +QNCDnJ6f6ZqXQNCDNAV6ylUnEPQgrzKziv8mEPQg2Xr2GpxEgh6kefupwLWJ +BD2I/Lm7ZYmJBD1IpLD00Y1Egh4kVzP2QVciQQ/CU/AvVE0i6EFCokPXL0wi +6EE8xJ9KBicR9CCXxbclHUsi6EEsPhzse5VE0IOMvj8PxPcT9CAxNTmbuPsJ +epDioTfDXfYT9CBT+VcDEvcT9CDX1MsWXN9P0IPIrjv3r30/QQ9itLsxWzGZ +oAeJbXZQn5dM0IPoPNfdFZBM0IM82xjwICeZoAeZ/3Ah8zSZoAfxevFk4Gcy +QQ9iu2aUcOIBgh5kr47evWUHCHoQwZXpobsOEPQgZrkrVEoPEPQgXtNSM98e +IOhBsh+P+jc0haAH+Rb6YSE3haAHYVyVgtekEPQgGd61cftSCHqQExu5uy+m +EPQg1yaZr21IIehB3Gaoao1KJehBXI3e3J+WStCD3A5IWuKRStCDDF268tb+ +VIIexFJiofz1VIIexP1kiG1rKkEPomopvkn2IEEPYlr+a8vMgwQ9yIXGwDVe +Bwl6kHrveIOUgwQ9yJ7u5U03DhL0IP+Y5vC2gwQ9SNiHFf9kDxH0IEOGXPOa +eYigB8lL17voeYigBzl4/UZ78iGCHsRra8yQ64cIehCF0iNDWg4R9CBTzZQ7 +ZNIIehBhnfSlaWkEPUgQJ2mDWxpBDzLkecHf+DSCHkTxXHTExTSCHuRFgGn7 +pzSCHiRtb6WJZDpBDxKjvcaXm07Qg+xd1h/rlE7Qg5ir30zYk07Qg0xbfzmo +NJ2gB+n7M7jwdTpBD6KVd1x8MJ2gB8kUPiqclEHQg5zcFmiwJIOgB1E4eetI +UAZBDzJNWP49N4OgBzGMjTF+lEHQgzAn9daQDIIexHv7LV/lwwQ9yFHhKg/z +wwQ9yCsJ6Xnehwl6kP3pHdLJhwl6kBcbJG9cPkzQg0wy2Lni82GCHqQi1P71 +sEyCHuTsp5LZhpkEPcjTe0nJ9pkEPcjAXvmnoZkEPYjVliXCgkyCHoS5sOz3 +k0yCHiTGj8t8ySToQZSKBp8pZxH0IKZ2lalzsgh6EN0lZyw8swh6kC9+1z4k +ZBH0INs9BteezyLoQbJqk57UZRH0IKtWhGv9yyLoQWodP6+ZlE3Qg8QvuR+9 +OJugB1kWNyd9SzZBD7J3kXtKRjZBD6J5B4LKswl6kLsSPfObswl6kE7toEGp +IwQ9SN+wr3mcIwQ9iMVhfwP7IwQ9iM0xscKQIwQ9SHnH1aF5Rwh6kOSRJUse +HCHoQbSKmqI6jxD0IPNNgwtH5xD0IAGCHacEOQQ9yJ3+H/mOOQQ9iNI7id3h +OQQ9iPGIC4sKcgh6kJcX5P49zCHoQc4T49zuHIIe5PbdqVNkcwl6EC3NYTnG +uQQ9yMLm138ccwl6kPEtJ63Ccwl6ENnPGeH5uQQ9yNhdZbkVuQQ9yEvX3yc7 +cwl6kHsyyQUyeQQ9iPGQXTG8PIIexKvgzQqHPIIeJH9hiUxIHkEPYjNj+IUj +eQQ9yNBTg/Pu5BH0ICUVeXea8wh6kJjDf6ZIHiXoQWz1JoZPPUrQg1j5Ti63 +PUrQg6yYK98ZcJSgB5Ha1S926ChBD/KouVviylGCHqRq4s/v744S9CCLm/Vf +/jlK0IMEn8vM1M4n6EGKxy5dYpFP0IM4B7mQ9fkEPchqi9dhsfkEPcjjx3d+ +lOQT9CD6toK1lfkEPUjK0JlnmXyCHiRdtp3IFhD0ILvjFmgICgh6kOXbNpg4 +FBD0IG8erZ4ZXEDQg5T7GnEyCwh6kBPTe2VuFBD0IBa/zr//WEDQgyzxjDz0 +t4CgBynX95mpU0jQg9zpCK2eW0jQg7yd+mipRyFBD9K5xel2dCFBD7LMaK56 +cSFBD/KzInH9o0KCHsQpflF2RyFBD1J8b9/tEUUEPcjjYoeXU4sIepDwdbdf +LC4i6EHcR74u9ysi6EFGXso/nFRE0IOMWWvqdrqIoAfR6MtTri4i6EGk3Hpu +kCKCHqQpSG+J7DGCHmTov/XPuccIehDti2Wzlh0j6EFWzhudueUYQQ8y3yaj +OeUYQQ8i5+agcf4YQQ8SN3zlvJpjBD2I1sVix95jBD3I8Nf2a+SKRX/+//+j +A2L/xz/G/4/nL//X13/B/98I+5UXHps815eRw+fyvHdzfJTloOMoXz3A4ZT5 +o6DwM8Xj5CAoo7dv/e5r5i9tx+m06MvBu+caFgznrvnHiRUHxpnKQc2FDdXp +Aw/M2wY2DHEFOQhbND8s2eGx+ZeaUVuPLJKDoTs0v592fGbeX3K26Z29HLTG +zr+urPzcfNhu+xXKrnIg1Xl62bklL8zHOP66b+8jB67hUxRddr40VzU6Ypwa +KAePFn/pkkqtMZ8wHI5Vh8uBYs3uMR6HX5lzPjUryuyTg6q3a7sOJL82n34x +du+iVDloGim13i6k1twiQf9n7BE5uB3Y4CGz6o25jXu1d0WxHITUV90Z1Htr +vtIssE78nBy8nPPeZOK3t+ZussrWcEMOXj2a9M3lQp25b/v1a+EVclDilPFy +k+878+23XKbeqJaDQ3OPvvmp/t48Ik08+9c7OeCL1YcnV7w3j/crHmnaIgeL +4r6rXt7wwfzQvEVhgYwc/Da02/t52EfzXDWm5+wvObDMc1mxOeej+YmvKWsZ +cXkY8yQ+4YHRJ/P/esiDhNZWXV75J/P/esjDyq9rLcQWfKY95GHHh2r1oKef +aQ95SB2Q+/JiUT3tIQ8rBvaesX5UT3vIw7uRTrbSOg20hzy88u9tPuvcQHvI +w4aN456dPthAe8jDp/r8fSrPGmgPeRDcT5swZEgj7SEPaodg0U6TRtpDHk5+ +Ug48tKGR9pAH1T91v12yGmkPedgXaGrW8bSR9pCHzZ3p+bP7G2kPeViqYVPl +O6WJ9pCHRsXDqbscmmgPeag98ER+9+4m2kMerqWdqgova6I95EE4LaFo15sm +2kMe3t5/QxL/NdEe8nBh+f3oc7rNtIc8SLm8vv19STPtIQ/p3olJLtubaQ8F +8OtbtvVHVjPtoQCj6o+m3L/dTHsoQId29sXK5mbaQwE0h0gvVZFsoT0U4IHr +57gyvRbaQwGmjJ09J3lxC+2hAOH14yUe+rXQHgrQt+Akb2VSC+2hAOe+D9TP +P9VCeyhAklQvk17ZQnsoQMmM7VLLultoDwWwm5tVGDyilfZQgJO6MZJjdFtp +DwWQO628RH1+K+2hADZWm72OrmulPRSgudpUuSCslfZQAJ+L1WITD7fSHgqQ +89khT+9CK+0h+nk277a5XNVKeyjAYjGfuVXtrbSHAqzqmXx4y5A22kMB3Oo8 +bIvV2mgPBVif/Hiuv6CN9hC9n9JOMysXt9EeCrB2zfW2ex5ttIciuEh41tmH +ttEeitA2viIpOrWN9lCEhkprf/uSNtpDEeKvrK98eLuN9lAElWWhbZ9q22gP +RTC0etqe3d1Ge4ievWd1ig9ppz0UIeucSa+CUjvtoQg5G1b8fjW1nfZQhG01 +41rnmrfTHorAc36cuG5FO+2hCH5lZVd53u20hyKcd5OZcGVnO+2hCNfLD+3o +TWqnPRQhTKzFsfVoO+2hCEvLlVelXGinPRShudCy/2dFO+2hCJO0v5er1bXT +HoqwVTdRbqCznfZQhJLcirVZA+20hyL83Tt64PeoDtpDEbpdhTPVtTpoD9H3 +Jy4b/nE6aA9FOHbN6PZx8w7aQxGI+7IgxaUdtIcSfJhmcMN6XQftoQQFo4Xn +LQM6aA8lOHSpeqd0ZAftoQTjNb2mZCZ30B5KUOnz8UlvbgftoQSWyjO8VE53 +0B5K8GDF0UHp8g7aQwn+XImJe/asg/ZQAoVd5I/z+w7aQwk6V52Yf72jg/ZQ +giFDt1t1/eigPZTg9uUFbd0SnbSH6PXH+v65LddJeyhBxPubrt46nbSHEvzr +f9bQZNhJeyhB1bdRiwUzO2kPJRi89G+908JO2kMJkk+s+uLg0El7KMGOc+NO +6rl30h5KkPS0Y1GtfyftoQTzBe/2OoV20h5KEGTXJHMltpP2UAJ/i7cJzMFO +2kMJbtjvPyF2tJP2UIJt/H+STGkn7aEMf0evW3TlSiftoQz51+eOWnu/k/ZQ +hsJLJ9vqn3fSHsogZcPbDO87aQ9liHqgMD2itZP2UIaiMocXR7500h7K8GBx +1f3MgU7aQxkqLednBw3voj2UYWPQvo+CsV20hzJ8G7VHuka9i/ZQhhtfrI4t +m9xFeyiD03bB1AvcLtpDGRjPb/I/Z3TRHspg4J/7XtOyi/ZQhuT2B9907bpo +D2Xold1To+jURXsog+PlxPZ29y7aQxlCl1jl5/p10R7KsPdgte+MoC7aQxmG +HZE5dyOii/ZQhp877tWNj+uiPZQhbW36woDULtpDGdY5TF5flN1FeyhDRuLY +pNtFXbSHMswyGj7h3qku2kMF9jRVRZVd7qI9VMD7o9PIiNtdtIcK/D7yXH7G +4y7aQwUqbZL7Pr3ooj1U4NH9hZK+77poDxWInfknp7Gxi/ZQATg7Qsaiq4v2 +UAHFb2bFib1sDxVIDnh87W4/20MF+k75pTeKd9MeKtAf4RHXI91Ne6jArMHg +z01y3bSHCjRxRjU8UOumPVTgxO5xb1PHd9MeKuD/e91I26ndtIcK+OpNuP+N +1017qMBAb/C8WLNu2kNF9HngvJCc2017qICDw/vS7Qu7aQ8VmEA+K7+066Y9 +VGAEZ6u92qpu2kMFopYdO7rMpZv2UAHJsb4zgj27aQ/R+9Wf45Lg1017qMDW +4uHW+wO7aQ9VWF/uZhy5s5v2UIWsd57Obru7aQ9VuDXryTfevm7aQxUi11lN +793fTXuoQrRbsUtBWjftoQoDljePWBzppj1UQWHcNt1XBd20hyqsVHjFty/p +pj1UgTEa/Fpxppv2UIVv/BkZUy6zPUSvx6B/RdhNtocqWP5753j3HttDFdY+ +PF778zHbQxVeaHv/1q5me6jCKGeHXrNatocqWMcKhZYf2B6q0BbUozK/ke2h +CsfT7POM29keqhBzaUuZspDtoQr3yz9uFPayPVRh2PwIicu/2B6qID0mo2DL +X7aHKhRGXdukI9FDe6hCwZ83SfdG9NAeqsAfPWeq45ge2kMNHC/l+jQq9NAe +aiCxTWari1oP7aEGzs7Dfaq1e2gPNSgd3BxoPKmH9lCDY2tzLyVN7aE91IB3 +1WHFB6Me2kMNdttUbdY06aE91OC+zHlD+xk9tIcaNFvqnYkw76E91KB2yivF +o/N7aA81eORYteeSdQ/toQZnV19SurOkh/ZQgzTx0q+3V/TQHmrQeW+54JJj +D+2hBr7idf15a3toDzWocyBeke49tIcaVJyvS1vp3UN7qMHINLdj4/16aA81 +GFRuP98S0EN7qEH1UMcPR7b30B5qsLXadObinT20h+jnz8hmyK4e2kMN3KRM +xyZEsT3UwMXC7pbGPraHGuideiZ7LJHtoQ6NFQYTJqawPdRhTWPqmKw0toc6 +6LTeIsOz2B7q8OuH/KeNuWwPdXCbkPmlooDtoQ5jBvotVI6zPdThsm5Sj1sp +20Md1v5aPKzwDNtDHTa0fir6cIHtoQ4Jo9KaRl5le6jDx6KAWsFNtoc6zPLV +OrTiDttDHYwl/xj7PmB7qEPcBpXnOx+zPdThhKbJ9j2VbA91UCp8ZL7nBdtD +HRTL+i12vmZ7qMPR9WcObqxje6jDl7JdC1Z8ZHuoQ/6S65uNG9ge6nBJ33/C +6Ba2hzrs8nbb3tDO9lCHsjjlkNJutoc6HMx+OXczYXuow0vz5Cb9b2wPDQh8 +MMa/8QfbQwPKjV79SO5ne2jAGSv15Gl/2R4asPFQz8K6IULaQwNS144x3TpM +SHtoQGWB7IZhI4S0h+jrx8z7kjJKSHtoQFDn7XplWSHtoQEaf7ytMuSFtIcG +FIS3TJNVFtIeGiDx9fjdPWpC2kMDzIeS74ymkPbQgOD1dxvtxwlpDw0Qy+nN +uDhRSHtowKbP43VHTxHSHhpw9sXtXDd9Ie0hen2rh40+wxHSHhoQ5vs36gdP +SHtoAHMxZsx0EyHtoQEWWxbcDpwupD00wEqtPL9kppD2EP3746ZXvJsjpD00 +QLmobpqEhZD20IAK9YOSepZC2kMDpo/iWixcKKQ9NGH3KZs+t8VC2kMTfA6u +5gQtEdIemnBl2bH+6GVC2kMT4jWtvffbsz00ocp39u7UVWwPTXibuNQhxZnt +oQkLLfW+xK9le2jC1PVWLhHr2B6aELhSoszfg+2hCS1XDrY6erE9NGFd41Dl +OT5sD014vG2OjZYf20MTHNukUn77sz004fzQYV+rt7A9NCFyx7ng/G1sD014 +sXQj1z+Y7aEJvBCfyaY72R6akDXr0frfYWwPTZhwZ+ePKxFsD004HubctmUP +20MTbC5rmOvGsD00QbJ31ci6WLaHJqj6RDlEx7M9NKFw509dgyS2hyZYqTOJ +1clsDy3osIja75/K9tCCNMdLpiPS2B5acOKIZ3JeBttDC5RTHAt4WWwPLZBb +Zxpy+wjbQwvaIEHTOo/toQXTPjw6/Dyf7aEFmSfmfrcrYntoQZy9wKyqmO2h +Ba/VygMWlLA9tCB4YmvhjVK2hxZ8b8r4YHCa7aEFhhtP6GSdZXtowbPHL0KH +XmB7aIGJ6/m+DZfYHlqgbfIm/ekVtocWXLM95T3lOttDC/b0HwjYc5PtoQVV +Bvev1d1ie2iBsMJ/sf5dtocWTGk7brjzPttDC1xm+G2oqGB7aEHowsKhMo/Z +Hlpw6rqW5LKnbA8tCCzJ2plSyfbQhrCWcs/nz9ke2rBuhtoLyZdsD22wuG1w +d/Yrtoc2LJZzgYBatoc2aBSIO+S9ZXtog94X5+HP3rE9tEHm1cHV3z6wPbSh +5srD1Sqf2R7aMLfpt8yMBraHNkwp0wlxbGJ7aMPF++pFgS1sD214seFaWkIb +20MbMkou2R/tYHtow5uc6+3nutge2nAuf53DnR62hzasTOYUPWPYHtpQ/zPz +/asvbA9tWLZa8l9dL9tDGypcnyp/+M720IYbkpP13/9ge2hDcbuN+ZtfbA9t +yHF2c67uZ3tow/LL2/dU/GF7aIPZrsTyq3/ZHtrwLe3w2BIxxvz1r8ec4CE6 +8GNreHS6OGPu1KLWnjZcB3bZjtHYLcGYf672y7s4Ugcqlsp/3jD8/+PpzuNi +6v//j2dJruzZSWraZp8zM5GQeVqzZd932SlCCFGWREq2QikUopAklYqk0l52 +EkX7ouwh9Dufb+/X789zi5kz53nuPS5/XHPqNMvj781+2tkQbpmafNu2dZqq +y507f+luiKi5Q38qdOs064/bpXfua4jH+sHzO7Wv03zdddtNYWgIrqixqaZD +ncZ5TRurSaaGeDE6rTq1U53m74w5n+3FhjjbuMEosEudZi/Crh5WGCK6cO7V +jV3rNDrSP0vDLAzhbfTSY2T3Oo13z0l9MqwMoXtIlqzXs06j1+r8k4phhrDa +67uwqFed5lTdZ882owyxYObgxVf71Gn0C0aONB1niGUTB2c76tdpLqT6No6c +ZIj8gstXBxjUacwiK6LsphuiY+r+Pw396zThZ63s98wxhKVNdkaMUZ2GO3jY +5PxCQ4wfsqbHFuM6TfTmt4X37AzxZtiQCoVpnWbIYoXv21WGGPqq+/BKszpN +0vg9tn/sDdEnIEkYJKzTjB74VLvvJkOcn9gQPFVcp8k0Mr1ntc0QHXTW3m4p +rdNM7rBt6xwXQzxfkLg0Usb22GMI7PSMWKhgexzgP//ExcE6SrbHYUMEnbk3 +LELF9jhqCJnE8NAMC7aHryEOVH9ybxjA9ggwREGLE1anLdke5w3R+c/CKwOt +2B6XDKEuDXrxZDDbI8wQ9stPp9sPZXtEGOJk6d69rYexPW4bYvoVr7b+GrZH +nCGKa18vkg1ne9wzxMw27ofujWB7pPD7ugR52Y5ie2QY4re5zL5gNNsj1xCD +beXSFTZsj6eGWB/nm/9xLNvjlSEWvrVd6DSe7fGWvx6Vli9+TmB7fDBEVYlE +s9OW7VFhCP3snwGNk9getfz1CF/+afsUtscXQ7yOkuLHVLZHgyHWzdb2cZzO +9vhjCCM7/+LKGeTDCN30fAYtnkU+jFCo2O3/dDb5MIKX2e//xswlH0aY19fb +88488mGEf63j+psuIB9GSEhuyOZ/EzIfRjBTx/v+WUQ+jOAz3mHXiiXkwwiD +RI4e2UvJhxHkSoMY5TLyYYSSvpmdfJeTDyO4dbp/8scK8mGE2iiMm7WKfBjh +jM1h7vZq8sGfX9RT285ryYcRXl+wubh2HfkwgsS7g+VDe/JhhK+vRv3XZz35 +MMKVD9oGGzaQDyNs627nnOxIPoww7O+yvt02kQ8jTJzbSXf5ZvJhhHL5Uptb +TuSD//xvJr/4t4V88NffPCtu3DbyYYRFMxO+HXcmH0boOKiNZ8F28mGEYo27 +i+FO8mGEp96d8pa7kA8j6Lfesj90F/kwQvUs13OVu8kHfz76XwRCN/JhhKNF +nl1W7iEfRpgvabE+eC/5MELAuTZD3u4jH0YY82/Mzh7u5MMIO4esVk46QD6M +UOClu2S/B/kwwm3n9P/iDpIPI7QoG6isPUQ++L3Nyl71O0w+jBDfYWGrSV7k +wwhhPwVRLt7kwwjpb6Orrx4hH0a4P9/n2nMf8mEE7UfGjU1HyYcRgiw/vBIe +Jx/8+fhZjJ1ygnwYodU11wlbT5IPI/SXGJf5+5IPAY4kbe95z498CHDP4HVp +0SnyIcBj3eOTtc6QDwFGrvk0t78/+RBgzfghbawDyIcAGT9uz5p7lnwIML3R +39YpkHwIsHaA2UfvIPIhwLN3npaXz5EPAdzO/JQnnicfAoh2+j9/coF8CPC6 +1ylZRTD5EGDU8c5Wv0PIhwDtA01+t7tEPgR46lO7Sf8y+RBgmMOuS5JQ8iFA +Zl3FCasr5EMAD59BGHOVfAhwy2/btalh5EMAheBqwfxw8iFAFZeTvfwa+RBA +53rRfvvr5EOAHltftd58g3wI0GJd+CTnCPIhwL/NY5e73CQfAth6Bdi4RpIP +AWqzvH673SIfAvTfqOW6J4p88O9f9eKF223yIeB/39a2co0mHwKUWvRt63KH +fAgw29SybFsM+RCg3SC9wE2x5IPff/oOpX0c+RDAPtXq4vK75IP/8+N6NsyP +Jx8CCPVeSKYlkA8Btj4bMMomkXzw1zv7y/Ah98iHANFpqWby++RDgOHi5d/6 +J5EPAc79c73W+QH5EODnt0dTtJLJhwDFGyo+1CWTDwEsDh9YXviQfAhQbzz+ +ZXoK+RDgQ9HTobdTyYcAswYk+wWlkQ8B/DfdLPd4RD4E2JM6UO6YTj6MkTii +ev3sDPJhDMuPC8KsM8mHMUYn9vsgyCIfxngaGttTJ5t8GMP50p2J1dnkwxgb +4gL3ZueQD2N4//x093ou+TBG56Ncg3ce+TDG+uzXgxzyyYcxWhmu2jPhMfkw +RrRoyhPhE/JhDLf4/2TaT8mHMX4Wa58ofko+jBFZFqUd/4x8GOPUfLcDJ5+T +D2MMNXrew+EF+TBG+9W9Y0e9JB/G2Hw3cl3fV+TDGD9aaKk/vyIfxrDwXtYp +7TX5MEZxd6uW/gXkwxghaQXtHd6QD2N8xXlOU0g+jPEq+N36zm/JhzEueFWm +F78lH8Z8rzvi5jvyYYxFZoHPdxeRD2PUPiv2nFhMPoxxoqtgae/35MMYl5eG +zi17Tz6MkfH+9pabH8iHMbDY686OEvJhDPV0h36jSsmHMQqrToa3LyMfxojQ +GWb3rIx8GMNx0oURAeXkwxjDBd9tl1aQD2OcueHgblZJPoxhVTO0urqSfBij +3YXju25UkQ9j/F1/FhuryYcxUnPdLdQ15IO/Pr2d532rIR/G0PH2j7pdSz6M +MXGy3nCnj+SDv3+dWrZW15EP/vq08Pr7qY58GOP61xz5jXryYYys4WWn134i +H8Y49qF2qNln8mGCVfl/+77/TD5MkNZBYRnwhXyYwO2qr8+Mr+TDBK0ejjLr +8I18mGD/Eds/Kd/IhwnEc+/ruXwnHyb8f7+ErlX9IB8mKIruol35g3yYIGWf +TsnZBvLBv1/+Ue2pP8mHCZ4HRzm0/kU+TOChctKP+UU+TKCpfaa35jf5MMGi +LS+m9mkkHybIjdhWkNlIPkyQlBh9fccf8mGC1dpe2aK/5MMENyy+Wb76Sz5M +kD+q/JP7P/Jhgja75/xUNZEPE+jt1EwuaiIfJvy/54/88NSqZz5MEP52QP2A +FvXMhwkGDDAbXMwfN/swwbYhtq8OtaxnPkywYcGpTFWreuaDP7919V3e8MfN +Pkww+/KwiL2t65kPE5jF2YeItOuZDxM0JS2uz+OPm32YwGvynxNb2tQzHyZQ +rDc93kennvkwgexCQvU9/rjZhwneVl8Ismtbz3zw++RG3dD+r575MEHEjLy+ +V/jjZh/8z9vll4/TrWc++PfrcLRzDX/c7MME37QqzxxuV898mGBCv4h9kvb1 +zIcJVLL43Ez+uNmHCQ4fK965pkM982GCxaO+HNbpWM98mCD+zYOmi/xxsw/+ +fvDq/2p4p3rmwwTzZQXd3/HHzT5MMMrqftz2zmyPLybwfOKf0q0L26PBBA6p +lpYRXdgef0zwxnOx3jg9tkcLUxhMKJj1QY/t0cYUHsXrtXd2ZXu0M4VewQeD +rt3YHp1NobX7d0hYN7ZHd1NsyHb2Hd6d7dHXFNV7+n972Z3tYWiKH70jkhx6 +sD1MTeHzuLyxZU+2h9gUN6/uv3yqJ9tDYYqUNaMfSHqxPSxM0S+4dOL9XmwP +K1MsvKE/flpvtscwU7yPuRxX2pvtMcoURrGDz27tw/YYZ4r2O32+6PRle0zi +//6FeYmn+7I9ppuiLnjKX6E+22OOKbQfm0TF6rM9Fpri1JxDRTb92B52pjg4 +SrHvRT+2xypTfHRNC1puwPawN+X/vf5X9cWAfJhiT9NeuPYnH6bwPNIyvZ0h ++TBFOx1h5ilD8mGKtOeBNsZG5MMUl2d0GH7DiHyY4lm2QcwgAfkwRb7z9rBk +AfkwheuBh30nGpMPU6h6B7d7bkw++Os9IW7HQhPyYQq16tqaMhPyYYo1hfqv +7U3JhylWzr6W8dWUfJjiXMTvITvMyAf//l8uc1rm5MMUn5WTr7ibkw9TdNl3 +NERXSD5MMbyVjrGPkHzw988rW/OuIvJhimHqppt+IvJhijdTbif1FpMPUzx2 +0Z59Vkw+TPFSz9PJQEI+THHc7VXv8xLyYQo7mwM2RlLyYQrDf+b/LkjJB//6 +06YOE8jIB//32/u2DZaRDzNUtndfaCQnH2Z4NfWW9Xk5+TDD0oZrVw0U5MMM +SqvWwWcV5MMMtk5jzPtw5MMMkcIWg09x5MMMn34nFXRVkg8zPInuontUST74 +95+wO72dinyYwfT10R4eKvJhBvuLT761UJMPMxgYZS9zUZMPM1z51Hr5DzX5 +MEPL0lY/NliQDzMM/TupT5UF+TDDD8d1j5cOIB9mKAl927dgAPkwAyD6PXUg ++TDDuE6P7f/3/TjNPsxwUzDbCZbkwwztkod2ibEkH/zfd2w5SjaIfJjhS615 +h5BB5MMMZQOkDr2syIcZlh30Xu5tRT7MEGSX8bXFYPJhhg7uC/puHUw+zJD6 +NflN1WDyYYbnDdsGLBxCPsz+9/xtYf4Q8mGGNqNj7owYSj7483W3KLo9lHyY +IWt8ebCZNfng95owquVpa/Jhhs+eqX/bDiMfZpg5p9h3+zDyYYaG6bpZVcPI +hxlGro8NnKshH2bYXLWoY4aGfJghc97GfoNAPvjjcLusyyAfZljd91Kv7sPJ +hxk0mjDt/30fTrMPMzxoXXL803DyYQa7W/eTFo4gH/zPKyN8MkeQDzM8CxFo +WY4kH2boOPSAXshI8mGGXavGPuo4inzwn3/wC4Mdo8iHObpKzvYuG0U+zHGk +Q5vYyaPJhzn69HH9HTeafJgjqGbje+Mx5MMcw/eMdfAeQz7MsVtjH/RjDPkw +x8DPo7cstiEf5khMt/7yyIZ8mKNsSXx3biz5MEfHe6bFp8aSD3MIJ5dMbRpL +PsyRUDd33cpx5MMcn0vqpDnjyIc5Dpe0OfW/779p9mGOms5tws6MJx/m6NQ0 +eWXTePLBf95Im+zlE8gHfxw4uTBjAvkwx72wDD/5RPJhjokWPf8cn0g+zPHq +vzPtGyaSD3OsdIxIn2dLPswxyfgud8+WfJhDPLPXaKNJ5MMcyTeFbfZPIh/m ++DF3nFP5JPJhjtC6lz5jJ5MPcwR4KOeGTSYf5lgVdyu/3RTywZ+fb8wP+ynk +wxz6YQFZOVPIhzl6ad+dLJ9KPsyRq3LYd2Qq+TDHF02nVXVTyYc5NHd//bad +Rj7MMTbSXXN9Gvkwx/SYdgPaTycf5pB8KHmzdjr5MMevrBUWGdPJB3/9T1YO +M59BPswRX5TcuH8G+eDP7834dR9mkA9zvLB64a2ZST7M8d+gu8vOziQf/Pn6 +T/j4cyb5MEdq5DvxzFnkwxybZz3Sj5xFPsxhXzfnYfvZ5IO/fuvKDVbPJh/8 +9ZqYrHg4m3wI0TFw2I9+c8iHECN/XdvkPId8CNHm8KJLT+aQDyEutL94RDqX +fAjRKyNHfmAu+RDiw1nJgaK55EOIkB/tfQfNIx9CvHuZNP/YPPIhRORz34Kq +eeRDiD29C7qMmE8+hLi19E0L//nkQ4hZLSvCPs8nH0K8KRvXYdwC8iHEPe8p +ovMLyIcQqq42TT8WkA8hHptv/7/vs2n2IcTwHqqCkIXkQ4iy5ISiXwvJhxAu +15adn7yIfAiRU+uof2kR+RBivJV8xu9F5EOI2JJSm8mLyYcQWQVvG0IWkw8h +csOWLv+5mHzw77871nviEvIhxFYDsdP5JeRDCPW3vz2/LSEfQti23bndZin5 +EGJU68rT/kvJB389VIe3flxKPoS44xamBzvyIcQnl8MOx+3IB3/95272KLUj +H0Kk1F5eMnAZ+RBCcXPtL49l5IP/eXLLaa+XkQ8hoipvrRUvJx9CGHjdG7lz +OfkQIixx9dus5eRDCN0B3yz1V5APIU5MDZluv4J88K/fJ5ZLWEE+hHgNp7x2 +K8mHEJP79ebmryQfQmyKqpgWtpJ8CFF103DQ/76vptmHEJbrWxfbrCIf/F71 +T8b7rSIfQvwtyXIuXUU+hDh7Rb5WtZp8iOAwZnB/t9XkQwSlk+x0zmryIcJF +vzHP+6whHyK0evDwxao15EMEz+gPZ2+vIR8ifC0rNm+xlnyI0LC5cZvtWvIh +QqZyg/eZteRDhNPBzqvL1pIPEVo0Tv/vf99P0+xDBD8ja0eXdeRDhKkRGwIf +rSMfInT6ZHZMz558iKBTEjhhoT35EGH0kE45ofbkQwSDJdHdv9iTDxGuOGSa +DnUgHyJIBhz86+5APkTwtxYG5jmQDxG0U0pa915PPkT4L+Grhd168iHCqPyj +svD15EOEdLuvdV/Xkw8RDnhP3z50A/kQIUlQlb1/A/kQYef1mqrsDeRDhPKN +x/O7OZIPEXIcuu1Z4Eg+RNCae6UxxJF8iJByaT9qHMmHCIP3FE1WbSQfImQ8 +rRNt30g+RDg0vDL3/kbyIUKYuIWmzSbyIcK78PU7Jm4iHyIkBK3Yc3wT+eCv +l3+fGa82kQ/+/b88+NJvM/kQYVC8z8Jlm8mHCNEpMSevbCYfIow753D642by +wV8//9LVKifyIUJAyuQ225zIhwjjP753jHciHyJEheRcaXIiHyI0WY6+MXIL ++RBhV/yOPR5byIcILVucF2RtIR8i2ASWH+u4lXzw71/hmjd1K/kQ4+jPs29O +biUfYsRdX3/n5VbyIcbly6ZL+mwjH2LUjvnyasE28iGG+E5ro3PbyIcYK4q9 +Br3fRj7EWLD1bn9jZ/IhRvnHhBfLncmHGDZ7Hy687Ew+xDhg8fd2hTP5ECMj +63ShcDv5ECP4ecqzNdvJhxgbLkcEhm0nH2JcmuthWbOdfIghfbI9WLKDfIhx +4+7td+t2kA8xPrqvqA3fQT7E6LohLqtmB/kQQ2fHWxfJTvIhRsLmf01rd5IP +MfZ9GTszbCf5EGP+rCqXqp3kQ4wRGe22CV3Ihxh7NYUjV7mQDzFaOB8oueRC +PsQ4/mfArFIX8iGGtaDHWcEu8sH//L9p0Ut2kQ8xPgd1Cw7aRT7EeO1/0K5w +F/kQQ7331Y/eu8mHGGcvyxfP3k0+xLhlFh1wcjf54Peq8732eDf5EKNbzO9j +HV3Jhxiqth0mTnAlH/zrW/4o8HAlH/znWV00LMWVfIgx2PvzNi038iHGjtUz +Dwx1Ix/8np7qtc5u5EOMXjNuG992Ix9i9PjedKvejXyIUekwrJdkD/kQY4DP +qekr95APMYb/Vq24sId88OdnNMi2cA/5EGOOTW67nnvJhxgr9+hfmLqXfIiR +GD2ug9de8iHB9bMuU9L2kg8JopPerNXaRz4keLjbe8HgfeRDgp1tYk2d9pEP +CTbM25N2fR/5kGD1lE6ain3kQ4Jzxzx8DPeTDwn++neKnbuffEiwJDE79vh+ +8iHB436lx/73/TDNPiR4FL1jZGt38iHBiunROUPdyQd/vmdiuS3u5EOCcq2r +9tfdyYcEHi/C9pS5kw8J0qw/bOh3gHxIEKbYbDnzAPmQwHbnnldeB8iHBL3T +uOkpB8iHBC28LoY2HiAfEtzvr/VC5UE+JNAOX/lujQf5kCBZ3ph03oN8SDBt +X/Gulx7kQ4L4OVbdOx4kHxJ8GCj0GHWQfEiQvivv6Y6D5IN/vxVTG28eJB8S +BDbl/S0/SD4k+GPh8Eb/EPmQYFX6+JPTDpEPCU7P9BQePEQ+JLg61fZ04iHy +IcGEdtElXw6RD/71wj7oCj3JhwSD1Q0dFnqSDwni3Lp+POZJPiTwGz/nSpon ++ZDgiKBc0+hJPiSQbnkbpThMPvjr6bmo9fLD5EOC5/sOKU8fJh8SFCzZPST7 +MPmQoPD3AoGWF/mQYJvO6HK1F/mQYHSvWR6rvMiHBHoBEdoBXuRDgnYFjktz +vciHBPWNsf4tvMmHBGgdGmnhTT6k2PR07uVV3uRDCgPzT87+3uRDCqn/QVGO +N/mQQufS8Ngmb/IhRVaglanqCPmQYkPsEcflR8iHFI1tFvv7HSEfUkRsTw9O +P0I+pBhYVHno9xHyIUVe6dvJUh/yIcXuHqnfFvqQj//9+XhnHx/yIUXbQ0Vv +knzIhxS21rYGX3zIhxRPWwtgfJR8SKGbvmP4jKPkQwrZZCeB+1HyIcXGEZKS +6KPkQwqxOGFf+VHyIcW/9SNb9zxGPvjrMe/tcptj5EOKlt0vX9p2jHxIsSMs +Li30GPmQolbbIv3lMfIhxc+vXJjOcfIhxT5Btv3/vr+l2YcUpYZGnVcdJx9S +dFwy4aTfcfIhRZRq5a/U4+SDP/8cL8334+RDil9jClaanCAfUgw4vWbD9BPk +QwrHszPn7j1BPqRw7RJnEnmCfEhRfurG46IT5EOKpltTlnQ8ST6kMMqIyx96 +knxIkW7c2njdSfIhxZ36cbPPnCQfUvS3vuTw6CT5kCKjSbHy+0nywZ9fp9bD +jX3JhxSpZkP+TfElH1L+35t1gbt9yYcUbfIHGV7zJR/8/Wurcn/tSz74+/Hq +t+w2fuRDioLuZxrUfuSDv/6hg7SX+pEPKYbPq/3u7Uc+ZBC3z8m460c+ZJi8 +96tbhR/5kOHoSue+3U6RDxkGjHU6g1PkQ4buZ5t+258iHzJEJahw5hT5kMGu +2nRN6inyIcOqCZ+3fD5FPmToW3ttZb/T5EOGDvcdh4w7TT5kEATO+OZ0mnzI +EDPa8dj50+RDhgeL3uhlnyYfMnxyuu7ccJp8yJDd+DdZcIZ8yFBgW/rZ9gz5 +4F/v2jbt7WfIhwxDh+f9DTlDPmQI7NvyTe4Z8iHDAbV54K8z5EOGYatnjjLx +Jx8ypLiF5E/yJx/8+UiEI7b7kw8Zjmj9Ph3iTz5k+LlK8TzHn3zIsOnbux8N +/uSD/3xFBv+MAsiHDAlLtWv+930rzT5kyPG/krglgHzIoH3SYOu5APIhwwjN +rm4ZAeRDhrHbCk5/CSAfMhQ3TWilf5Z8yPDNvWL66LPkQ4Yb55I9158lH/z9 +kP0l9NRZ8iHDmS3e4UlnyYcMsz+G+v7v+1WaffB7Os5eqRdIPmTIMI7sNySQ +fMiwp1dm/LJA8iGDamwMvALJhwwNft7XbgeSDxmET5c2FQaSDxk2R4+10g4i +HzL8Lp41TxZEPmRYV3LebmYQ+eDvtwFDpv3v+1SafchgYjVYdCmIfMjgYn2r +IjuIfMihtzPG+1sQ+ZCjvPuivvrnyIccxv3uHh15jnzIMexw0ce158iHHDpj +PqiOnyMfcmQavFgcd458yLHqSa5T8TnyIcfq/4o3/u/7U5p9yNF/Tr/Z8vPk +Q467w/xNZp4nH3LYidYW7DxPPuSwdTzvHHyefMhROHtKU/p58iHHrc7e6+vP +kw/+fGLWpHW/QD7kODnrV5uhF8gHf/4lkzi7C+RDjvuaXSMOXiAfcnQZesr6 +xgXyIYfJyQijZxfIB//3P7+q+3WBfMiRU2l6qX8w+ZAj6N+VUaODyYccT4Kd +ctYGkw852qoDNEeDyYccQ3/LA6KDyYcc77gRxQXB5EOOqj/lHbVCyIccDRsV +5qYh5EOOBcdkovEh5EOOweO/dtsQQj7k8N1+vPpECPmQw75aPyw2hHzIkTvz +4vS3IeRDjs92gytaXCQfcowK/bTc7CL5kMPj8qvs8RfJhxwlT1r133CRfMjR +6LVv/omL5EOOliZr9sZcJB/89XieeuLNRfIhR1NumE/TRfIhR71YtNX4Evng +P//XqTY2l8iHHArjga3WXSIf/F4Xyq8euUQ++Ncb5TTkf99/0uxDzv/7tT7m ++SXyIUd127X9f10iH3II93/dqH+ZfChgUHg2QnOZfCjQ3XfrK7vL5EOBOsGx +WvfL5EOBIVV/aq5cJh8KjB+S+SLrMvlQYOSC/67XXSYfCihdM9d3CSUfCug/ +6qdvEUo+FOhr0zV6Vij5UGBZy3ir7aHkQ4HFtSZhAaHkQ4H7lUva3AslHwr4 +ZO2eVBxKPhQYNffI3pZXyIcCT8acCza5Qj4UuGf14MaYK+RDAS69VejqK+RD +gdbbtx72vEI+FCh+KFxw7Qr5UEDTRdw79wr5UMDz7qHk+ivkQwEz3dlzulwl +Hwp4DLlQoLpKPvi/v3PbuBlXyYcC3SoqL265Sj4U6Hik9Ue/q+RDgYOOr41i +r5IPBUa7bR35+ir5UMDlfPXU31fJB7/XpVG2fcPIhwJfZ50YMDSMfCjgta5M +d2EY+VBgq/e4nF1h5EMByzm5LkFh5EMB1dy9ve+HkQ8Fojs5hRSFkQ8FdD9G +9tEKJx/8+c4Y72oYTj4UCAmY8Bjh5EOBP/+ldF4aTj4U2Pj6gfWecPKhgHTg +9DkXwsmHAneGHVjyIJx8KNCy9ZpZ78PJhwL7Dv03uMU18qGAReqWdkbXyIcC +EefiM3GNfPCf71vltiXXyIcCmUfbdXW7Rj74+2Gg8uw5/nity/JT8Voc2p1e +1fU+f/x/3/fTisOHBQnO7/jj+lV3Jhdoc7A0sM7+yx/fWlgUvqYth6OrGzr0 +u16vcZretu0vXQ4H439aD+WPLccplx/swKHo0KQF8/njX8PmJfXszMHDqs2a +HfxxgsU+/VA9DreNZcvP8Meu4mvOA7tzqDqZbxvLHw83fP4stSeHtjl/TV/y +x617/ONm9uGwtOlB7Xf+OK2duXepPoeEaeLgbjfqNYdaTKna3J+D4/uRY9T8 +8YQG59GtBBxWxvV9PZU/7vjxwoXjJhy4wnvzHPnj/A+Zf43MOXTXDM46wh+f +ePV1bqSIg36Wv/g6fzwrV/8OpPzxwo/OWfxxr5TRevlyDlmpw+5U8cdv4tav +X6zkkJER8EEnol4TGHEqs07NIcqoyz9T/njJpSSz3QM5mO8IbzuKPxYEVO3t +YMXh3eZtLe3447KjekVnh3C4HrKnxpU/vnJgyBDpMA6Bx188DIxge4DD58S9 +h+Mj2B4jOQw6dXzE6wi2x2gOs/frVf2IYHuM5XCqqKNrt5tsj/EcohtOtFbd +ZHvYcqjpdGP75Jtsjykc/i5e887+JttjGodx3Z6oPG+yPWZyeGb7bWvoTbbH +bA5TBYVhKTfZHvM4DDjrm/v+JttjAYf7d6Uf/t1keyzmoL3pelnfSLaHHYeW +4cavB0WyPZZzCBkZkDAzku2xikN+R8OjmyLZHms47HyWON0nku1hz+HGVDed +a5Fsj/Ucjg3aHJ4eyfbYyGEXF6wpi2R7bObQ6kWvlBa32B5bOTTe/TDI4Bbb +YzsHn5XtggbfYnvs5DBmZtDXWbfYHrs5dPl12WrzLbaHG3+94zhHn1tsj30c +tL6OORV+i+3hzmH3z283Ht1iexzkUNxlTEzJLbaHJ389XUbfbLrF9vDmcNf+ +35m+UWyPoxyu6bo4WUaxPY5z8NySoZkexfbw5a9n8ufG9VFsj1Mcrv5uuuIZ +xfbw5/Cxf9OYy1Fsj7P8/SL8/fxBFNvjHIdPTY0z30axPYI5/vdJp0c/o9ge +FzlsCtSIut1me4RyWKx7ykVxm+1xlUM3X8MH42+zPa7x1+9n+Y8Vt9keNzh0 +LP/Wb89ttkckh57aMweevc32iOLg/rULYm6zPe5wODF64JAnt9kecRxK3bPN +P95me8Tzrz+gSLttNNvjHgdRtvNzQTTbI4m/X2JC/Kyj2R4P+ftx4dpxc6LZ +HqkcLvd8+XFTNNsjnUMvq2/7vKPZHpkclLrZ7a5Esz1yOMzMXO2eHM32yOcQ +FvHsU2E02+MJB2FF70kN0WyP5xwunBwR2OUO2+Ml//vgyZx3kjtsjwIOI6JW +dhlzh+1RyOHisK0Dl9xhexRxOOx0zHbHHbbHBw4BE5JnnbzD9ijl4BWpN+3G +HbZHBYeBh7006XfYHlUc/G5b9f9wh+1Ry+GtlsnnxjtsjzoOmwfPjO4ew/b4 +zEHH+OU6RQzb4yuH45ujuo2LYXv84LC68GuEXQzb4xcHK+1ga5cYtkcjh/7e +jxJ9Y9ge/ziE6q7nImKoH0q8aXfONz2G+qHEmB7LP76PoX4o0So0zbIxhvqh +xENVvlO3WOqHEi9NPS/KYqkfSliV/k0bE0v9UOJkhkXh4ljqhxITRYNKnWOp +H0p4TupSdCyW+qHECJeM7LBY6ocSQz+uuf4wlvqhhOGzRrfCWOqHEs7zD9p8 +j6V+KHH/Uq8WHeOoH0qsyom+bhZH/VCiuHS1rSaO+qFEyI/BxbPjqB/8+WsP +WOkYR/1QYnS7JUUH46gf/Pk2pky4EEf9UOJ7kn14XBz1g3+9YUv+PY6jfihR +N/nKqOo46ocSjum2u1vepX4o0cFpbnifu9QPJf71eJalukv9UOKJQ1rR+LvU +DyVcZVYVdnepH0osazXgw4671A8lJvkm5x+/S/1QwmtP9a2wu9QPJWoWRR9M +vkv9UOJBgmRawV3qhxI/BbM6fblL/VCiq5510n/x1A8lBraqWm4UT/1QQrba +7u+geOoHv0d45KEp8dQPJSZwRf+tjqd+KBE47esu13jqhxKSVT/K/OKpH0rs +if80/EY89UOJln7Vx1LjqR/89RxQ+7wwnvqhxLqnfzt8i6d+KHH4nPngdgnU +DyWmp2ycJ0igfiiRtrx0vVUC9UOJirMe26YkUD/4+3vPMqdVCdQP/vMYua3Y +nUD9UGLYvrLxvgnUDyVWhwaYXEugfijh5BH2JTmB+qGEbo8+0a8TqB9K6Gi+ +rPuUQP1Qwr1icE+dROqHEm8/Nt7pl0j9UCJaZD3BIpH6oUQL+zbPxidSP5Ro +77p46tJE6ocSu82mPNyWSP3g7//+xaIjidQP/vwNe7pfTKR+KPHp1Z9ndxOp +H0oUfTnd+3Ei9YP/eeev0yoSqR/89c3T2/M3kfqhxJWUppCu96gfSuS7PogX +3aN+KGHquThdc4/6oYTDyvcZM+9RP5TocmxS0rp71A8lRG43wvfco34osTek +rdepe9QPJc4vX770+j3qhxKZZhmSh/eoH0p0tBlW8+oe9UOJUfpZ5+ruUT+U +0G/YOq71feqHEv0E4yp736d+KHHt/VgXxX3qhxK9nXZpj75P/VDibJe6ffPu +Uz+UsKu90LDhPvVDiSPc+SXu96kf/O+P1p/u+d+nfvCv73Fa7+Z96ocSDc/O +z0+9T/3g7yetzv4F96kfSszr9TGn/j71Q4nhppqG1knUDyViRB169Emifijh +IlgkViRRP/jfF22sLEYlUT+UKEm7qJ6bRP1QQnvyFeH6JOoHf733j++6L4n6 +ocQQm+NfTyVRP5RYtPFAxrUk6ocKq1/KfB8kUT9UkI31mfUiifqhwjuvyA41 +SdQPFdqvCbjblET9UCHSf/rCbg+oHypYFBR/Fz6gfqhgXzBuv/UD6ocK4WN9 +2057QP1QISk7Y+/KB9QPFX63rfuy4wH1QwW1f9u5Pg+oHyo862kYHfKA+qHC +865DdGIfUD9UyOqzZHL2A+qHChVRvt7FD6gfKjQu+fDg2wPqhwoHgibWtk2m +fvCfp6Sgfb9k6ocK84NPGCuTqR8q9LHZxY1Opn6oAKsg9dxk6ocKpQV/pA7J +1A8VSg6e09+TTP1Qodrfq6VvMvVDBadlme+uJFM/VHihWByZkEz94F9/7NQd ++cnUDxVS6y9blSZTP/jzW76yviGZ+sF/3ne+Ae0eUj9UGHpiyLD+D6kfKghu +Lnypekj9UGHh4parxjykfqgQkar4OPch9YPfv2XtGoeH1A8VYpXWb90eUj/4 +67FaZHPyIfVDha937oSGPqR+qDBeXPPv7kPqB/95MrIn5j6kfqjQy2/psfcP +qR/8+R6JyP72kPqhgiYs4Z9OCvVDhQ9vj5j3TaF+8O/fS2ojT6F+qNBzpP/C +4SnUDxVGjCpaOyOF+qHC2D9aG1alUD9U0LfRXrsjhfrB3x+Nn+d7p1A/VHD8 +mD3qfAr1Q4VFVQEmUSnUD/7Pxy5vTE2hfvDXy1CW8SqF+qHC3+Lf3jUp1A8V +bt9+Ou5fCvVDhcxpiX86p1I/+PvBIfGycSr1Q4V5t17bDEylfqgQkNmzeGwq +9UOFp/N2bZifSv1QoW+rzj8cUqkfKnRb/HyzWyr1Q4Vy7aeVx1OpHyqM9uw4 +81Iq9UMF5x3esTGp1A/+/ZfM7JqZSv1QYcmDdSsKU6kfKgQavLhRl0r9UCHt +25l6rTTqhwoDExLMu6ZRP/j7o3LkbNM06gfvrdpit2Ua9YO//6W+Z8elUT9U +cOux9tb8NOoH71U37r5DGvWD//kqjxTXNOqHCo88CpKOpVE/VHB5EHM7JI36 +oYLnSpPz0WnUDxWupZjue5RG/VBhvemDha/TqB/8+ec2KmrSqB/8/aT15tef +NOoH7+XnsviOj6gfKuz6FOBk+Ij6ocJJ/YOmqkfUDxUeXpfljnxE/eB/X+Uf +Wz/zEfWD93wjrs2qR9QP/v5cF+bn/Ij6ocIN8zUGno+oH/yxVmNgwCPqhwqJ +HVd0v/6I+qHC7pXX9t97RP1Q4VyvFzV5j6gfKrxSVox//4j6ocK3u6UXvjyi +fqiQH/v0U6t06ocKS6Vxlt3TqR9qxOj5bzVLp36o0WLFzuuW6dQPNY72sisc +m079UKPQYHqreenUDzUOrJguWJdO/VBjwYtVVi7p1A81Fs72HeudTv1QIzGz +eHJQOvVDjcqOkydHpFM/1Nj/r3RMUjr1Q41tjqEDH6dTP9Sosgww+JBO/VBj +rEnqvy/p1A81JrYQv2yVQf1Q45dfbmi3DOqHGhevxjiaZlA/1Pj2q0o5MIP6 +wb/+qLU1YzKoH2q8HWkdODuD+qGGx7VVNqszqB9qaCnrqpwzqB9qpLo+338o +g/qhRvxIQS//DOqHGiqT1yFhGdQPNapT/pnHZ1A/1OiadupiVgb1Q83/9/OV +3oUZ1A81Hs0Z6FGbQf1QQ7f36I9/MqgfaqhPvZ7QIZP6wZ//0p/B/TKpH2oI +W1/+IsukfvDnp10xeFgm9UONrOr7OydlUj/U6GsxIHpRJvVDjb+LJlSsz6R+ +8HuXtdFzzaR+8K9nbT/AJ5P6ocaAN65Tz2VSP/j7RTZmZUQm9UON9hcebr6f +Sf1QIz3oz/a8TOqHGsu8vjsXZVI/+OuXfcuxPpP68b/nuQxa2pRJ/VDDKP7w ++E5Z1A815hvclPbPon7wew0N01FkUT/UqFix+82wLOoHf39Uc1cmZVE/1PDs +nuawKIv6oUaEfIR0fRb1Q41PO66W7MqifvDHQ7ROemdRP9QwvDneOjCL+qFG +gp530bUs6ocazwOydiRkUT/UsHXT7ZSdRf1Qo/iz7dk3WdQP/vP19xfUZFE/ +1Nin/nrhdxb1Q40Zsxf20c2mfvDXM6LwcO9s6ocaDYu2/BBmUz/4198nmTco +m/qhhuPgVjE22dQPNXYFaHWYnU39UCM/wXzBymzqhxqmkS4Xt2RTP9SQHmxV +vj+b+qEG/88Lw5PZ1A81fnSImRGSTf3gf9/k1Oy5lU394O93H7srD7KpH2qc +nNk/PT+b+sGfXz+z90XZ1A81At/v+FqXTf1Q480Zo6a/2dQP3v9gg9Ydcqgf +ary449RSP4f6ocbyP8Lf4hzqhxrhDcNqrHKoH2rkHLv7fGwO9UONVpHn4mbn +UD/UCB30xW9lDvWDvz//u+OwJYf6oYZGq956fw71g/99+vO8zokc6ocaHTPz +Mi/kUD/UyBzn6nEzh/qhhvb4KOv7OdQPNWT+Gz7m5FA/1ChtE+tXmEP9UKNp +7lGrmhzqhxoH5/15/iuH+sH/fspuWtc2l/qhhuWmoMYeudQPNc7+V7jfNJf6 +ocbXSQk6FrnUDzVcG0fsH5FL/bBAeurm31NyqR8WCFg6be3iXOqHBbq5fXjq +kEv9sMCpPHNLl1zqhwXeNJqe9Mylflig9Hpx9elc6ocFOnrNHxKaS/2wQNvB +/u7RudQPCxTMOZ/xMJf6YYGKAxt1nuRSPyzwaXEXTXEu9cMCXXftc6zLpX5Y +4LFTVsCfXOqHBTq/qU3SzaN+WKDP8JqiXnnUDwtcGJD50yyP+mGBtFHe7Qbk +UT8soPfTstfIPOqHBVxf5BhMzaN+WGDH8mn9F+dRPyzwwTijt0Me9cMCHU4O +6Lgzj/phgUfKgD8H86gfFpiz7W+pXx71wwLuhYsfXcyjfljg6IvUkFt51A8L +DHul2pGUR/2wwPOpYeNz86gfFjCKVHQvzKN+WGDr0rTXVXnUDwtEZm083ZBH +/bCA1awBU7XzqR8WaLOiW+uu+dQPCwTO1Ys0zKd+WKDnCdUceT71wwL91zv/ +GpJP/bBAw6iKk+PyqR8WcNmwVzw7n/phAcORtneX51M/LPBDb8KoTfnUDwtc +V7imu+ZTPyzgV1E7xjuf+mGB4AOn7vvnUz8ssHbWXtWVfOqHBSRBN89F51M/ +LJAdbKrzMJ/6YYF3l0tX5+dTPywQVP0p5W0+9cMCv8Jt+9bkUz8s4GX2b93P +fOqHBUJPaMdoP67//88DsRWv+qPHH+9PfSfeUiiEq/7+yp9fajW6kVzJifdC +vFY8v619vlbjc3ZvwK1yIW4sOT9mtEutptvBZ9Mf1wjxzN8rdeKSWs2ZzWbt +P30SYsR0LeOFY2s1BoudUzr+EGLks5B7LdW1mpDxmS6yRiGa9q6v292/ViMc +qD9gopYI2m/7LF/SoVZz3Wj9x7XaIgzKnD564Z8ajbpD0qVDuiLcGBuJEbU1 +mtifXRZd6STCp55W36sKazTWpct6POomwsL3I4WDcms0yXnRuWW9RZjfSVbV +I6lGYxOv49G6vwgfH+196HSrRpN9ea7G2EQEWeuUIyMv1WimHg9vGC4S4bHr +0aBtp2s0L3b9jVgiFyGlYAGn41WjWbBm8mpXtQgeWaktql1rNO9nXDAMGsT/ +ed/9LXs71WhW4uurBGsRpm0O731kdY2mRjL62JsRIpisufhz5MIajWPPU+N+ +24jQvVL9c8C0Gs33llUtetuKMGxn+Z/FNjWaHXWD71pOE6HHoq5L7w2t0TS9 +9to0a7YIo9yMSmxVNc17LBDBZUHPU+2ENc17LBVhSmFiwbd+Nc17rBRhXdfs +CTrdapr3WCdCRuCt+9Ctad7DUYT00Oih57RqmvfYKsKZqz2+GTZUN++xU4T4 +NfrWKR+rm/dwE+GL8ZOjHqXVzXu4i+Dmt+jAmjfVzXt4ivD96eu81U+qm/fw +EWHlLm/L/RnVzXucFGF35pHAu0nVzXucEUFw/+J33djq5j3OibB68obRThHV +zXtcFKGyb1rw98vVzXtcFWHVnzGqI0HVzXvcEOFZfgcza7/q5j2iROjV62hC +iyPVzXvEivD14inNG/fq5j0SRZC7zGmVsau6eY9kETQuQSsyt1Q37/FIBOfq +TjnvHKqb98jhP++avVe0V1Y37/FEhCTLJeuHLapu3uOlCEWP+549OKua+RDh +j/3N6JJJ1cyHCEueyEZPtqlmPkR4aT+pIFNTzXyIMGNTD91Zg6qZDxH8rHau +/8RVMx8iPKnocvmMiO3RyF+f+JTpUwVsDy0xBk86YtCzL9tDWwwt68GR1V3Z +HrpizI4U381qz/boJIbrPEFNnDbbo5sY8x30ft3+V8V8iCGeXBuQ0FDFfIjR +7fTWI/mfqpgPMUYamx75VFXFfIgRVvV1nn5JFfMhxvh1o2KmF1YxH2Lcdkxc +5fe8ivkQI+WrVF2aW8V8iGHayv7D0PQq5kOM3c6jcP5BFfMhxr0Fc3p3jK9i +PsRY+lp36IHbVcyHGPtOr3Vue6OK+eCvh3O/8ydDq5gPMfo72x4QX6hiPsTo +m+nXlOFfxXyIsfPzj/ebTlYxH2J0eZvQ2vRIFfMhRlr1dhR7VDEfYmxK+br+ +4p4q5kMMPZ2e6zburGI+xIi/XN3ZZksV8yHG1Zb7rU03VDEfYmQFNua2W1PF +fPDXd6Pdxd92VcyHGFE5MYGfF7A9zojxI7Uo4NMstsc5MTZ283drmML2uCjG +3u3b5W0msD2uilHv8eag/mi2xw0x3rwu3jxYw/aIEiMzdMWzxVZsj1gx3sX/ +9vFSsz0SxSiOf+eVJGN7JIvRJrUhstGc7fFIjAczcsqtBWyPHDEUO/Z29dBn +ezwRo+PEfMOXPdgeL/nzPaf1U9aF7VEoxuHGyr2H27E93vP306Oy8Hpttke5 +GFuuP184T4vtUSPGe4vu+7N+VzIfYvQ5tEN35PdK5kOM3I1T3ybVVzIfYnTa +OrtqVHUl8yHBJFFs37zSSuZDgqJz/9ktLqpkPiTQ+29c2PfXlcyHBI32Lz8c +e1bJfEjQw2vrb3VeJfMhgaJodPGbjErmQ4Ly6Pv7DqVUMh8SrNp059nQ+5XM +B/9+xVMffo+rZD4kWH61PW7frmQ+JOj0JnKIc0Ql8yFBT595ocPDKpkPCd4E +r3PqfKmS+ZAgwePEydJzlcyHBI/N038l+lcyHxJ4vRvld9a3kvmQYMH+gwvc +jlYyHxJs85quWXO4kvmQ4D+Ly8rZByqZD/7zP7hnNn5PJfMhQeCLnnojXCqZ +DwlcMldWDNvG9nCU4Glff39sYntsleBd1cv+Ng5sj50SeOxyWTdtNdvDTYKK +d7022S1je7jz77d1o3DbIraHpwTGiS77js5le/hI8KF+qfONGWyPkxJsebXt +T/5ktscZCVJPj9T+OZ7tcU6CKfu2HTQew/a4KMGNP593Th/O9rgqwZCVCc89 +hrI9bvCfr4uuV5Il2yNKguyhlr5/VWyPWAkse6RXDJOzPRIleJG+asd+Edsj +WYI7PhUjc03YHo8keJVvPUTfkO2RI4Guxn32+r5sjycSbG/5xyelB9vjpQSZ +FS0LDfTYHoUSLPPVV+/qwPZ4L0FWWq1XUVu2R7kEj7wt349uzfaokaDfmWLz +m00VzIcEN92q5xg0VjAf/Pn02Gp/9EcF88HfP/cezGvzpYL5kGLfI6G+28cK +5kMKVbbg6t/KCuZDiva9Rv7eXVrBfEgxrdOgtq2LK5gPKZRnM7O83lQwH1Kk +nXtr3ftlBfMhRadVDrOvPqlgPqQIMfbpYp1bwXxIYbDaccWzjArmg//zV2ZN +3JBawXxIsTg+6H6HBxXMhxRNyxNiIxIqmA8pnhRaKWfGVjAfUsQsiBH8i6pg +PqTYf7P6QFhEBfMhhVZG0Ox54RXMhxRBU6OOdAitYD6kaBirb5YSXMF8SFEf +8L7n7qAK5kOKRQ8NFg3xr2A+pBi+vOXXRt8K5kMKmy85+fePsT0cpbg74/nn +A95sj61SXPXePWXqIbbHTimwbHiFgTvbw02K0e1Cb9a7sT3c+fN7NS70oQvb +w1OK4upnqf7ObA8fKR4WtdLZ4sT2OCnF3gPBK6Y5sj3OSKHr61Wosmd7nJPi +66LrK3usZntclEJ0pOnfn2Vsj6tSTHnrdb58MdvjhhR3njqMeTqf7RElRfXe +jJrk2WyPWCkej35+OHo62yNRisF7nhmFT2Z7JEtRtaLX1ZAJbI9HUmjfbtI/ +Z8P2yJGi3PWHc+BItscTKYb+3BIbpGF7vJRiu6z1y+AhbI9Cfs8Cdf5VS7bH +eynOyy+ei1KzPcql8DqtNTxJwfaokSLxuNbNPAn5kCLbcVXle3PyIUWlrHX5 +D2PyIYX90MiLHQ3JhwybZ80wFOmTDxk2TX43Y0wv8iHDs/Lxw1Z2Ix8yuAac +f3WwM/mQYZ7bp/432pMPGa52mdX5RVvyIcOidg2XtLTJhwxWaPVW1oJ8yJC+ +8Xbkwr/lzIcMA0WTDI/+Kmc+ZKg62s809Xs58yFD+aTtCX8+lzMfMjQsfvBh +YF058yHD8a+LTm6uLmc+ZNgyNiXnVnk58yHD30P2h799KGc+ZDC78SZjUFE5 +88Gf34wgd9c35cyHDOYXx8WnvyxnPmRwk+9c1e1ZOfMhQ8ecVwfs8suZDxk6 +7O/cIyq7nPmQ4ceyV13aZJQzHzKUrKvbOj+1nPmQYb99rxFRD8qZDxmCaowd +O9wrZz5k6N22/O+au+XMhww+i4ZUpt8pZz5kWFWnIxVHlTMfMqxuGpjmHVHO +fMjwRCc+6mt4OfMhQ/Vx78/zr5QzHzK0Fka4pF0sZz74Y5X5NPUFtscNGcZV +tNoQHMj2iJKhk2pefld/tkesDJozozd7+LE9EmW4Iqqc8fc42yNZhguL127a +4sP2eMRfny6N6fWH2R45/PnNzptpf5Dt8USGABdBj5r9bI+XMnT9MEDHfg/b +o5D/fJ+mmNXvYnu8l2FQiweOTjvYHuUy5E94XdK4le1RI0Pm4y8u7pvZHp/4 +PSbYW3VxZHv8kCGkZ1iPc/Zsj0YZlCY99BRr2B5acjQW9xc9WMH20JbjkGTo +3Jl2bA9dOfSGlZ2rWcT26CTH5CD/3/vmsz26yeHZ/v1Kgzlsj95y6Gwe8eHu +DLZHfzkuPbddO3cq28NEjpzhp/78smV7iOQIuRfjd3Y8+ZBjm7XtwOE25EOO +XZ6/X1WMJB9yJFivcjkK8iGHzTWNwRBr8iFH+GuLhAor8iHH6TV/pvsNJB9y +5B2bUjJGTT7kQPtPq34pyIccS/4LKrouJR9ypAgEY5eLyIccRbZjz+mbkQ85 +WucVf3ghIB9ynJEmdT7Rn3zIsVVw32yqPvmQY4/vXUGX3uRDDiePEy2fdicf +crzSVaT46ZEPOUSWW1cs6EQ+5OD6zSo1bk8+5Ngff3/Yx7bkQw7N19BNsdrk +g389F53d7i3JhxzStwWLZjSVMR9yXJ8n6Gr6p4z5kCP1y/vTDT/LmA85pk42 +qsv6XsZ8yDEzoaZz8Jcy5kOO7H5TmrbXlzEfcgSXLYmZXlvGfMjx7D8LS0VV +GfMhx53fH1zal5cxH3LMO+N5oOZDGfMhR3/5hGnZRWXMhxx2i8e+u1FYxnzI +YSu8Kjzxuoz54N8/75Ri+4sy5kOO0KLhn5c8LWM+5Ijq+2rd+Pwy5kMOyfYj +gQNyypgPOUx7nN0vyCxjPuQwUw7p3+VRGfOhwAvDq+tapJQxHwo8mWC2+mtS +GfOh+N/3n+lVJJYxHwoc7bB4beHdMuZDgaHbw+yfxpQxHwo43jXplX27jPlQ +ILZzv3VpkWwPEwXyzpYtS77B9hD97/93eKqVFM72kCuQrzcM96+wPdQK5Cx1 +M0y6xPYYpMCq4IZzycFsD2sFaj62Skw7x/YYoUB617Zbs8+yPWwUuHt8TcbT +M2wPWwVaHz8aXejH9pjGn09BxaCKE2yP2Qocf1w49etRtscCBc5sKPqndYTt +sVSBc0PthnU+zPZYqYDr2IKORgfZHusU+GZyf73ane3hqMCOIs8FNnvZHlsV +sGiMe7HAle2xU4Efa7PebHZhe7gpMOsw1nptZ3u4K/BaP9Dt8la2h6cCsmGr ++iVvZnv4KLBzpbmmyJHtcZL//ItXl/51YHucUWDN8Jh2BuvYHucUOLI2JEqz +mnwo8NzlXoHdCvLBn/+ss64eduSDP/9OT89fX0w+FDhZ/3vo8wXkg7/+Djem +/ZtLPvifizyLhbPJhwL/lUnLZswgHwoM2mO5eO9U8qFA0M+JtpGTyIcCm+fp +3Xg/gXwoUJ4qd+s6jnwosHzFhOQxY8gHf75rum7eOZJ88Hu1sTgWCfKhQPa6 +BX2rrMmHAn+SrTsLhpAPBfqLD69fMIh8KDA14pfF6QFl7HnrHFwnwu65qow9 +b52DoKTn965cGXveOocWg4bUTpeVseetc/ivfuUYX3EZe946BzOPuS1fmZex +561zGDDrqYG+aRl73jqH7/v9/JcKytjz1jl0bbfK6Ur/Mva8dQ4W4brhn/TL +2PPWOXSuH2s9uE8Ze946h0DjGpF7zzL2vHUOw2cmbHjcrYw9b51Du4mHOvTX +K2PPW+fP96Bhy/Wdytjz1jk4Wk+beK99GXveOocJUz9Vd9ItY89b5+A7M7fQ +TqeMPW+dwzP3B4YxrcvY89Y5HH54Prp9yzL2vHUOacdH+S9rKmXPW+dwtYdH +TvyfUva8dQ7tO46a1P13KXveOgfD/Dl9HRtK2fPWORiMu6jO/lbKnrfO4e+P +Nn6iL6Xseev89Su3G36wvpQ9b51//xsBFpW1pex56xyKNvitH1ddyp63zqFT +nlVdeEUpe946h5dfHG51Kitlz1vnkOymf9fpQyl73jqHfv5c6zdFpex56xwa +X/n5jHhbyp63zmGgrfX88IJS9rx1Dnu2d13Z/VUpe946fzxFN8LteSl73jqH +amW3AR+flLLnrXMIrTBpmJdfyp63zkEnRPktI6eUPW+dw89fnGRwVil73joH +lPYJCE8vZc9b569vbOUIg7RS9rx1Dm/FvkbHH5ay561zCLDRH6jzoLR5D0cO +ys07XXfdY3ts5mC7MvLPt3i2xxYOByX3rjnEsT2cOTzRDzlScYftsZM//2K7 +C3a32R67+Pdb3aL0XSTbw42Dx8d9MxdEsD32cjD++OlbwTW2hzt/vYVTHswL +Y3t4cJgaHBb3JpTt4clh0RndtwsvsT28+Pvt0E7x+2C2hw+/v7nuhRXn2R7H +OdxfkqKpCWR7nORQOCNOe1MA2+MUh4rZvz7/Os32OMMh66Nvq31+bI+zHI6k +X7DqcJLtEcR7TuNOnD7G9rjA71s3u5OpD9sjhEMHR9n1W15sj8sclp5PXzfc +k+1xld+nYfj4xx5sj3AODR2ibOzc2R43OLQMHLjs2162x03+ftpeGeDhxvaI +4uDnW/ap7262RzQH/18TlkbuZHvEcihLG1xrs53tEc9hq/rJ0aKtbI9EDpsP +qic5O7E9kjhoDPcY621ieyTzPpa/63R9A9sjlYNT9ga9cQ5sj0cczhfME5ev +ZXtkcljSN23W/tVsj2x+b8FrP+OVbI88DkMOJ1Q9XMb2eMLhxZczk1YsZXs8 +47D2d0CKzmK2x0sOc0fWjQ9fwPZ4zft0SCyePI/tUchhTk9L9++z2R7v+Pvx +1SHLszPZHu/5Pz+y7MfI6WyPEg75JduTa6ewPco59LbafsZvEtujir//4rrv +HD6R7VHDYWb23pUfx7E96jhsyf42z9+G7fGJg26rC7PHjmZ7fOV/H4Qmzm8Y +wfb4zuHVuz0rQ8H2+MnBPcZ025xhbI9GDhvEH7x0h7I9/vK/v3p9vpxoxfbQ +UmKjjXfKRku2R0slDJZ/KTUbwPbQVkL+d4HOWxXbQ0cJt326kpMc20NXCdux +VpMnytke7ZWI3incrC1le3RSYqykl999EdtDT4nTGBezw5zt0U2JdNe/zwea +sj16KiE8sO3zVwHbo7cS2em/294yZHvoK2FyIqvvRgO2h4ESF7UVIqU+28NI +iSXd1nFferM9jJW4457G3e7J9jBT4sung6Jt3dkeIiW0En/3HtKV7SFRolv/ +FS20urA95Eos661XlNqR7cEpkb994i2v9tQPJa6+Wrxjhi71Q4mFp04P7NeW ++qHEsKKR5eXa1A8lBp+POBjZivrBn7+PuN+uFtQPJb6btQ4Z11TC+qHEiWCv +3j3/lrB+KHFBq7tb2e8S1g8lHjXVPb/9s4T1Q4kWBg593H+UsH4oseXUh4mz +vpWwfigxZkWIvfBLCeuHEmuHtt7eWF/C+qGEu9e8jXkfS1g/lEjb/WfGxZoS +1g8lkr/BeEdVCeuHEnM9XN9MqShh/VDilHvnXcKyEtYPJc58najToqSE9UOJ +bYeObC8oLmH94O+vW4qnt9+VsH4ocdjzcNejhSWsH0r0Lf5rZV9QwvqhRB+u +ZPS4VyWsH0qsu3x6oPmLEtYPJQ7ou7Rr86yE9UOJrb1qH5Y9LmH9UOJJls3i +tLwS1g8lovTqC0NzSlg/lIjbNGKoZ1YJ64cSOn1CXBwy2B57lRj9blPg/6vb +TsOhfOO/j5dUIhJSaUGbRMvMNZS0nJFKkRZEWbKnJCEqa9kJoQgha1napSKl +PaVNotI2o0U057f8Uqlwz/8+ruv7P+4H9zw7j3nAXO9z5vPotfYu2yOKR44b +quTq32Z7xPCIeH1HyPibbI94HvET2ywYdJ3tcYBHGN9bLzqusj2SeeQlTVn3 +7ArbI1XyfRipVXqlmu1xiEf4MzpaSy6xPTJ4ZJKWUUdKFdsjk0fS09OfhVSy +PY7ySGqRRcbWc2yPXB7RU2sW2Jxhe+TzyHO7tPJlp9gehTwyZvv3P3oVbI8S +Hhn5aeOkaWVsj1Iecfw3X3P0CbZHOY+YhfT+lClhe5ziEXioUPi3kO1xhkfW +drROgXy2x3keSXZtDGrLY3tc4JHBrpuPv8hhe1ySPJ+iHyWPstkeNTyitatv +z+1Mtkctj+heEqvXZrA96iT3R8g/cuEw2+MGjyj+XCQ6lcb2uC35/xIiek+k +sD3u8siv275fCpPZHvcl98s2rDgvke3RwCNbYsbzjiawPR7ziPTEqwmZcWyP +Rh6ZsftFZUYM26NJcl+D35enR7E9WiTn7FU+6RFsj5c8YvokeWDGPrbHax4R +5U12PBLG9njLI69UQ2OzQtgeQh5pqVcNyQlie7TxSGST24L8PWyPTzzy9EXb +7eJAtscXye9J37Cx5bvYHp08ov59rd5ZP7YH5ZE2oZ7apZ1sj2884hA55+61 +HWyP/3hEeUSt4b3tbI9uHtk/f0Pg021sj9+SHiRoT6sn2+MvjyxtLV7yyYPt +0Sv5/TKxfPzdje0xgE+a5kpP6nNhe0jxyehOxkDOme0xmE86VJxVxm5mewzl +E+d2+fNaDmwPWT7RGHVRZa4d22M4n+TfeTNv+Ua2xwg+ySmUUrexYXso8cnG +hyV3PK3ZHip8MvzdqtnBlmyP0XzCE/vZJK9je4zlk8+R+UsK17A9xvOJVfmG +jour2R4T+WTAm7HrHpqxPTT5hC/vtbttJdtjMp/YFTfa/VnB9pjGJ76RjX0j +l7M9tPlkQ983+xkmbA8dPlnQen6PsTHbYxafyG7IX2u/hO0xh0/uaJCPgYvZ +Hgyf/LEdLUhbyPbQ4xP19FMLTxuyPebxicyrxIENBmwPQz4xzV4Y9mUu22Mh +n5RdW1Y1VJ/tQfgk4Si/ZJqA2w8+cfgZv3oZn9sPPpEb8OqU+xxuP/hky8ay +OzGzuP3gk2LlmMOlutx+8MnNkr9qDTO4/eAT6b9p1jCd2w8+cXR5vVxZi9sP +PvmV40TnTuX2g0+Sn50xtZ/M7QefSGVssI3Q5PaDT2o+tI0vU+f2g09mTfqQ +9nQCtx98snbC59qecdx+8InK8OCsSWrcfvCJKIGvbTaG2w8+UcvP8gxQ5faD +Tw5ULd2Ur8Lth+T/O3q9t0GJ2w8+iY8vWNOjyO0Hnzip7Vk/bQS3H5Lnb9Yy +2FKe2w8++bvfwmO/HLcffDIj2j/w7DBuP/hkd9hLPeFQbj/4ZOnfkQUjh3D7 +wSedn8/WGElz+8Enqw+b7/eX4vaDT2wq93SXDOD2g09irB+ovOoTsfshuX/i +lnfyvSJ2P/jEYqWetdFfEbsffBKVsycwsEfE7gefzAwwND75S8TuB58UXB95 +ua1bxO6H5D48SHuh9kPE7ofk+zrfNn9dl4jdDz4pUv2jlPBNxO4Hn6jWKcy8 +RUXsfvDJhO7Z0PtVxO6H5Hk8/mkzr1PE7gefPLnxz9Pvi4jdDz6xzGvVPP1Z +xO4HnxgnzQzv/Chi94NP+pQSI6d/ELH7Iekve3iWu0jE7gefDCl7H1L0XsTu +B5/sCZfzbXsrYveDT3T9z8pOfiNi90NyP296L3dpFbH7Ifk8g95rFb0UsfvB +JwGaBeUfW0TsfvBJo+O6Rq1mEbsffPJ0Q1L21iYRux98Yvj7vyGnGtkeDXyi +FaWp0vWE7fGYT1IyLtzQf8z2aOSTn+MtlIIfsj2a+OS7daLUjQdsjxbJ7+er +EWky99keLyXf75SAmxb32B6vJT0uOKVl3GF7vOWTD807pd7fYnsIJX+vabmi +9k22R5vk/gsjr/heZ3t84pMx4feH1F5je3yRfL+iq+nQq2yPTj45O+aX3/or +bA/KJ8tPTkrPq2Z7fJPcv2HvLb9eYnv8xydHjRpPG1xke3TzydcrR0tiLrA9 +fvPJJZ22ec3n2R5/+eTqQVP3qefYHr2S32ND/1m7zrA9BjDk/f2hKbdPsT2k +GPJgemmi6km2x2CGvE3snbylnO0xlCHyYWXrq0vZHrIMOffQarz8CbbH8P/x +EMUhm0vYHiMYcnIpCawsYnsoMSQrvkFmWCHbQ4UhoVu+8xzy2R6jGZI83brr +fB7bYyxDHB0LTGVz2R7jGRLXGTLX6SjbYyJDNnUfrLmUxfbQZEgkjXiumMn2 +mMyQayn9kZ4ZbI9pDAkTVdTfOMz20GZIvq5e4fhDbA8dhqhIG40MTGV7zGLI +gaUhyo0H2R5zGMIbmVI6M5ntwTBkZZPWs7hEtoceQ7oWfU3+lMD2mMeQOyvi +3hnHsz0MGZIxu+x6fizbYyFD1AL/6A2IYXsQhhxpn7rAMYrtYcSQ46kvnl2N +YHuYMKT53tV/E/ezPZYzZPPkqKqwcLbHSoZ8Cn0xQBjK9jBjyPh/bq1GIWwP +C4bs739lUhzE9ljHEFXex8Uye9kelgyRC+bXb9vN9tjAkBCFncLHAWwPW4Zo +21rECnaxPewYMmVEcG2mH9vDQdJvakl4/062hxND1jwLfurmw/ZwYcj9g6dP +N3izPdwlz2M0VRNsZ3t4MmSBc7vS0W1sj20M+Vi+OkN6K9vDmyGaPk+Pb9/C +9vBhyOGtmktb3NkefgypSYdtS9zYHrsYkjNbPLHChe2xmyGJpx/YjXZmewQx +pO3xgqkRm9keIQypiu0KBAe2R7jkvirmWdrZsz32M8Th9Y/q+k1sjyiG3M4t +KZu7ke0RwxCTFR6TS2zYHvGS94d0TBm1gdsPhtibiE5FWnH7wZARFYOu/1jP +7YfkfqtLbXJbx+2H5PPXpQQ3r+H2gyEeYvepKyy4/ZA8r0X6VtXm3H5IzrGV +cjPNuP2Q3J/W9BXHVnL7wZDOiFQ5FVNuPxjiNNTeMnY5tx8MUdSqmNRrwu0H +Q7a/1QvwXcrth+T5u5xZ3W7E7QdD6qc0ljss4fZD8vwa1iY+X8ztB0MuLvzU +ZbaI2w/J/U1b9vrWAm4/GKI+ZYbpQkNuPxjiGrzCoMqA2w+GDHCxrZg9j9sP +huQ2qxSU6nP7wZC/8ozaFD1uPxhyOtBLJY/h9oMhcHnPQTU+tx8MsT6nnJQ+ +h9sPhpTu+m+48mxuPyS/BxaNww/O5PaDIRU3PBPldbn9YMiVGL+khBncfjBE +Jql0hKw2tx8Mef7ntmKcFrcfDDHWjkiRmcbtB0MUyrJTYqdw+yG5T8b3FYdN +5vZD0v9bvUK8JrcfDBEJrRLkNLj9YEh4sHZ04kRuPxgydOOA/hETuP1giP+K +9O7Ucdx+MKTd9Linqhq3HwzxPgQbs8Zw+8GQRyk69RNHc/vBEIt3oysLR3H7 +Ifl/zHeO01bh9kPy+/f+R98pJW4/BOTdQ0cHvZHcfgiIMGyHwZUR3H4IiHSq +MNlYgdsPAXFu9HN6MJzbDwGZteTDyfVy3H4ISKTid//Xw7j9EBCmyKbKTYbb +DwFJTHm5E4Zw+yEgenn843sGc/shIHBrkrW0NLcfArJNxzM0WYrbDwFR1b2t +Pm4gtx8C8mXNT4Pj/UJ2PwTE0PJKA9MnZPdDQOI0Wlrq/gnZ/RCQtU+GbFr9 +V8juh4C0nJSzft0jZPdDQHr5Jfe2/hay+yEgI4dlnOv5KWT3Q0ByZPKU47qF +7H5I/p5BWOeYH0J2PyTP5+lvg9IuIbsfkvf1H/YZfBey+yEgvn9rDR6AkN0P +ARnWdqDDjgrZ/RCQaW/+KsJXIbsfAtI59GHFvk4hux8Csuz0jVqVDiG7HwJy +0yzP+ES7kN0Pyd+PmbpowWchux8C4s6MOfnko5DdDwGZvW7+QbcPQnY/BERG +yeLzH5GQ3Q8B6Xs49txBoZDdDwE5J+/wbdp7IbsfAsKLepdf+1bI7oeA3FZx +vmP5Rsjuh4A03Cu1/9rK9nASkKSXez0iX7E9XAREsTyzbfxLtoe7gHQ03H96 +oYXt4SkgE/408iya2R7bJJ9/TOCgL01sD28BKZsfvCzimfD/60HmV0ZFdmqI +0YMM+fj1ZTYjRg/iL5wn/XCJGD3IwJ3jDUrNxehBfJP6jIbaiNGDfLjR6GTl +JEYPsvfWKo3nnmL0IOnDKuaf3SlGD6LQWug2dI8YPcii3I9m4jAxepBDod/m ++0WL0YOMW/IZig+I0YMkS8fEJaeK0YMMd5i9cN4RMXoQPb0hT0pyxOhBtiWm +dr4vEKMHqT/2ZmPncTF6EK2h2XPvV4jRg6TVzRi+76wYPYjX8BKxUpUYPch3 +RvPg/moxepBbuQXWjVfF6EEyld45St8UowepE4YeVLsrRg9SbRSUrfpAjB7E +YlKfzJ9HYvQgvz1UFtxpFKMHyU3cERjcLEYPYurhJpzwSowexPLAupjyN2L0 +ID96gzZPE4rRgyw7H70m+YMYPchpzQztz5/F6EEGWGidmtUpRg/ydm5eqjsV +owfRnX45NPm7GD2IbMdlhbIfYvQg6y0imqp+idGDLAmNtqn6I0YPkhCZpVba +K0YP0tVTnZo8gKIH2SI6y9s6iKIHqdx/2slgCEUP4uvbGN0rQ9GDOL3P76+S +o+hBWi8ETXVXoOhB5sv+NpMbSdGDTJpifKdEmaIHoeN2vJmnStGD6CpcfXV9 +DEUPIjj1UcpoHEUPEknNMi5PoOhBhjgsbZ6uQdGDfLtt8Dd5EkUPohfxeRFM +oehBNGTCW5ZpUfQg0p+e/07XpuhBDCrDm97pUPQg3er9mZqzKHoQly3NAXZz +KHoQ+YjT6Qf5FD2I7UTfyVcFFD2Izjl7k4/6FD3I13qNyUMMKHqQmlNUqGFI +0YPcPJRWrLeQogdZui3m4NLFFD3I3W39N82XUPQgxvv7HNcaU/QghcWuERYm +FD3IPb3eVSuWU/QgW3wPNBuaUvQgxuNfL5qxiqIHubzmbp6yOUUPYn3KRPn3 +aooeJP/xrnMtayh6kF3Tjx8+t46iB+lb49IUa0nRg5TfC4jaaE3Rg/jM77mq +ZUPRg8iuskr/ZkvRg8ztsdC4sImiB9HLGLFzlz1FD2LuOukoz5GiBznTEFn5 +ZTNFD2Jtdbwhx5miBzE38P9p7krRg6yrtTT+40bRg9gt0r9V4EHRgyzsT4pb +7knRg3hedi5s30rRg3j+WKYZ7UXRg4RreShpeFP0IIdPxEZV7aDoQRy2KcSZ +7qToQRLS/s146UvRg0S8/rvbzZ+iBxn20i6c7qLoQSbIj1vtH0jRgyh9eEd/ +7qboQT5HDt4RsJeiBymJznzVFUTRg8RGOy70CqHoQXzynxWIQil6kGWNuqrW +4RQ9SLa+Y8GdfRQ9iHD+xHWCCIoeJOT029l5kRQ9SNvdFyZDoyl6kLvSsrle +MRQ9SLDsXKPHsRQ9iK+r2HB2PEUPUqcfdehAAkUPkvV2ktXnAxQ9SMz+S9GL +kyh6EIW0ofzDyRQ9yOkFBY7tByl6kMsPvo42SKXoQW5JqbvEpFH0ICtdTUyf +HaLoQcb4GDWOT6foQWSePx7smkHRg2RkhHWeOELRgzyZWRvfmUnRgyimPOrQ +yaboQaSWPVfaepSiBzHb9HhYSQ5FD7Le8Ujzu1yKHsTfvCNs9DGKHmSYn8Nw +83yKHqTQzHt/eAFFD3J5k3/72UKKHkS7u2KpsIiiB2mQmZujUELRg/iKVH4b +HKfoQUI+6G5yOUHRg2xZsLIhvpSiB/FKmbD2TBlFD5JwciE8K6foQeZoTq/o +rqDoQbKFSxJHnaLoQTaIWjKY0xQ9yKtS9acWZyh6kHjh3EVbz1L0IOuF0z/s +P0fRg3zT/3g38zxFDzJReyU9VUnRgzzS17C7cYGiBzmUOk61qYqiB7HJeq3x +4SJFDxKz6lt41yWKHuT5+Sfz+y9T9CBVDh/XydZQ9CAl1yIeKl+h6EGcM+HU +uFqKHmSO86a/mlcpepBlxe1V065R9CD+Tic+atdR9CBT3bITdK5T9CAPj5+r +0LlB0YO8PfbYbMZNih7E8uitHVq3KHqQH8Otxk6+TdGDrHqtZz7hDkUPEnVJ +foTqXYoeRHfzLmf5exQ9yOvOXysH1VP0IHKqyg2/6il6EGH43C8d9yl6EJM/ +94pfP6DoQSZcnTj4YQNFD/KiKkL2ykOKHsT8iv7l0kcUPUjvk60q6Y8pepCH +9svV9z2h6EFmebW/3vqUogdpfeuwZn0jRQ+iVXomeP4zih7ks/RLV40mih5E +7vg9ucHPKXqQL11u/u3PKXqQpbqJ+febKXqQqScU08tbKHqQXf8uWSa8oOhB +omaZvPd8SdGDuL7farj8FUUPwg9p3jK5laIHsXPV2dbXStGDvFSWNnnxmqIH +cV7b9fvMG4oe5Oq6sLjYtxQ9yKiPOj0O7yh6EJc0n5WC9xQ9iFRDbZiMkKIH +2Tw4OLtVSNGDtAjljp0UUfQgtevuJIe2UfQgx6v/ea3+QNGDfFHoMZjwkaIH +sf7d+7vjI0UPYvLfsoqLnyh6kI/eMtYRnyl6EFHnjt/m7RQ9iI1a0eHRXyh6 +kOm/bs1+/4WiBylq77x3vIOiByl8pePi3UnRg/yyTRkg+ErRg6w+Panw91eK +HiS2553ZFTFFD7Jz+c3+UErRgww4W1dLgKIHGexzJ3bgN4oexPb3Fefr3yh6 +kLgRMebh3yl6kOEfBq5a1EXRg/ycPNj+bxdFD7JA2SXq4n8UPUhou9o93x8U +PYjf502TZnZT9CD/nlgc+dRN0YP0DKzUyftJ0YNIfyRvrX9R9CCav0rPyv+m +6EEUW3OO3/xN0YMs9rpzfXcPRQ9i9fTewJl/KHqQbUkmnu//UPQgn9Jf9KT+ +pehBuhzGXlj6j6IHqfqadbT7H0UPMuVL59niXooeJOJM+k/LPooe5Jizpteg +fooe5FKXvMrZfooeZMTcV2A/ANCDkN/9A2QHAnoQkwHdKy5IzpwH4Wnr1DtK +AXqQ09sUI4YNAvQgc8QKu89JzpwHEcknlm6UBvQgmwPEY6QGA3oQ9anJd09I +zpwHKQ56WLV6CKAHCV01oP0/yZnzILb7TjocGQroQb5veT96gQygB5G706P6 +TgbQg2iUGdvvGwboQYY1SX+dJAvoQQpqwm/dlJw5D6Kf8+uzixygB7kWWbJh +0HBAD/Ld5q5KgeTMeRD5Twc1iDygB0lp1w9+IzlzHuTs6I7pexUAPcix2S+0 +VEcAepBzf3X2npWcOQ+yZrO8ppkioAepc82f8EkR0IPcWCC7M2wkoAcZvM5j +/BglQA8SYP5c44wSoAdx0vAOW64M6EF2yJsavFUG9CD7zu618FcB9CBOw0fd +HDYK0IOYxWkdzh0F6EGch167w1cF9CDtwo82d1QBPYjv70Iz29GAHuRdVV9B +52hAD0Im9NiFjAH0IOl304IUxgJ6kD3dDf25YwE9SErKsY5ZaoAeRNpAZuFV +NUAPctHo7y+zcfC/HuSO9/jWcYAepLfQqnzLeEAP0h13rLh7PKAHufhv4fD9 +EwA9iIK92nv5iYAe5DlVn5Q5EdCDBLzTfzZZHdCD2JqZ/DipDuhBGNPZ0XM1 +AD3Iok/NcXUagB7EXn/8AFNNQA8y3urNlyeagB6kzOvncptJgB7kVM2Sce8m +AXoQ2ewMV7fJgB4kKeLRlM7JgB4kVrnGzmcKoAcxV5kj3z0F0IMUhPXp75kK +6EHGRnW/+jcV0IOsTxb1hE0D9CCMacohKS1AD/K34EF5pBagB7FqsSVDpgN6 +kNU+UutjpgN6EAOz+A9DtQE9SHRBZXeMNqAHCZExjhk6A9CDdO/qzoyeAehB +vpnGzxqsA+hBpltcNYnQAfQgSXVm7wfoAnqQanPoC9EF9CDG6g4Ff3QBPYhi +q8WDgJmAHiTjxJ69XTMBPYiMXvKZ7bMAPYjvRSPv9lmAHqTxx9JK59mAHkRl +qUnE69mAHkQh9Xur1RxAD+Lo9vPqozmAHsRC1Ke7nAfoQTIeVU67xgP0IBqH +6yr0+YAe5IJp/bWTfEAPUlbhv3kKA+hBdszySM5iAD2Ixn/G5ooCQA8yqLki +K0oA6EF6vIx2/xEAepCvckWfvPUAPci0ezs+ifQAPUhBkNFua31AD2J57+LR +en1AD+I8bqvlgrmAHmT9ma68U3MBPYjeoS9hGvMAPcilEx9/pMwD9CCGx4P7 +pQwAPUjzZONjfgaAHmTdwYaWNgNAD6K+uLxk/XxADzLP3Uf+5nxADyI9qWI4 +3xDQg+juFhcdMwT0IO4bbzQrLAD0IHqlFwuCFwB6kHJ922EdCwA9iGI9T27D +QkAPkjrhwYmbCwE9iKjjpGj2IkAPcr/N6XL2IkAPUnd5+8yhiwE9iKf6hsW+ +iwE9iNqDAngtOXMexHxP06LlBNCDjALvmWclZ86DhPz7r0ZtCaAH+R76oSNC +cuY8yEGXuuqvkjPnQcJKh+haGQF6EIGr3uJayZnzIJdq639MMQb0IO9/LzY/ +IDlzHiTaT9n0P8mZ8yCVJ6622y4F9CAN/BrdOsmZ8yClD/eNmmYC6EEu28QX +JkjOnAeRf7mq5ZvkzHkQ76AFZ62WAXoQu/SnvGrJmfMgyhVhthOXA3qQaK/q +6fslZ86DrLv4I++j5Mx5kIqcY9dWrAD0IGtcZ8eWS86cB7GLGvlH3hTQgwjO +VY3ykZw5D2L7PLH1qSmgB5mnMtiMWQnoQXqfxHkcWgnoQawqYmd1rwT0IHNj +tudbrQL0IDmRhXUXVgF6kAVyhw+MMgP0IAvvlg/eZQboQUTla3SbzAA9yPzu +zgGMOaAHuab9MSLFHNCD/BpXeAHMAT3IiK8J6earAT1IwLDBWuWrAT2Ih8DP +Q8YC0IOEhs23cbMA9CCL1l7tuW4B6EEOuTmZTVwD6EE2Po5as3cNoAdp7Dkw +pHkNoAfRKGvx5q0F9CCXBtyJO7AW0IM833txw+e1gB7k3X+yL5esA/QgzSUT +FY6uA/QglbPX/OpeB+hBHhz4d8hiPaAHma4R/vnEekAP8v6MPgy0BPQgO72C +KjZaAnqQoe0n1M9bAnqQJs2xpnJWgB5kzf6p2i5WgB4k6s20umorQA9i4b9L +Qcka0IPkdm9R8bQG9CAnw2war1kDepDF+VkrVDcAepAnG6MCvTYAepAH6t6O +NzYAepDzLaUDxtgAehCrdwc2bbcB9CAdw7b53LAB9CCkIGPxaFtAD9K6za1+ +my2gB1EpmqZQZwvoQZhBMxVUNgJ6kAO19fUeGwE9SGqk+ZKajYAepCNGZpfC +JkAPEh6w0s1pE6AHSQxzVqrcBOhBytbnBQ+xA/QgT6daFNnYAXoQj4prcWV2 +gB5k0aRFM//ZAXqQtOWjk83tAT2IvEvG6Vx7QA9SbSSbDPaAHkRqYc1M4gDo +QQp43QkHHQA9SE68VNl7B0APMmvhzNg5joAe5ML9q9PCHQE9SPGSAdGPHQE9 +iNei+SUTNwN6EPuvlTHbNwN6kJQhl2Zc2QzoQR6/iE+WdQL0IJ7Ev8rGCdCD +jDtZnVfiBOhB2jWLVv1wAvQg3XoB1UucAT2I46iAr0nOgB5EcX+7sNUZ0INk +NI3Mnu4C6EF+/NMbs8sF0INsjzhie90F0IPYxgU7yrsCepAv9VNm2LoCepBf +Wc8uF7kCepAG8X2Fb66AHuS9hZm2oRugByntPDIs2g3Qg6yN+376iRugBwlP +Th8/zh3QgyhL37Nwcwf0IO8O3DY97Q7oQVx9Hsn2uAN6kKR0zTQjD0AP4hHX +K0rwAPQgDbuO/WnyAPQgU3VXvJqwBf7Xg2jy97tvAfQgU7yzu05tAfQgIY11 +Or+2AHqQ+PNvZy72BPQgZRN4PdGegB7k5a3/Eh95AnoQy8HOX0dtBfQgJh+q +VOy3AnoQD8WZQ4u2AnqQ46K+mx1bAT3IXQf3VbxtgB5ESpCTE7gN0IMcr31d +XbsN0INcGuRQOMgL0IPE1thuMPUC9CDrV8i0JHkBepAXNfmTmrwAPUh3s/38 +sdsBPchcWf+JDtsBPYh/wLjHBdsBPYi9ON7s83ZAD6Lc9TtdxxvQg5y/nH1q +hzegBxHrlKad94b/9SBt1st+eQN6kKGzX92ZvwPQgyiM3DIqdAegB1Faose/ +vgPQgzzWCZgg7QPoQV7859CyzAfQg4z1nbw5zgfQg2zW/3XxgQ+gBxHFyL+T +3wnoQWrdMp9b7AT0IMExD7NTdgJ6kAz717xnOwE9yI+RvzNUfAE9iHvsukdW +voAeRMdxwvN0X0AP4glxFS2+gB7kuHm95Rg/QA9ivnL4Ixs/QA+i5hsxJtMP +0INkKzgKXvoBepDSaY81x/oDepCW0EFCG39AD0IzdXyP+AN6EIfLAc9b/AE9 +SOUbZbnRuwA9iOxdnrL1LkAPsqe7T3xoF6AHSSgtzX62C9CDlH5wHK8UAOhB +EjwtfNYEAHqQwivFGUkBgB4kacrB1IYAQA8SXGboJBsI6EGy1Z4PWhEI6EEi +peP2RgUCepCCmojbNwIBPUj2hu8f+gMBPciFyt4XC3YDehDi35S/ZzegB+n5 +d2xx1W5AD7IzKOlc125AD7JDdPfPrD2AHmRdZ+CYbXsAPUigar3c8T2AHiSr +/GOTaA+gB5lT/ctv4l5AD/J0rPYX272AHuTg1kLB4b2AHmTTqIPWT/YCepCN +ZmMs5IIAPcgKObeJy4IAPUjT6Lxb4UGAHqRnICypCQL0ILZTQtK7gwA9SEbR +zhuzgwE9yBm/H7c9gwE9yPrVM/MLgwE9yPxaY8s3wYAeJGSU4zvVEEAPIt5d +YrQmBNCDuDeT0LgQQA+i1WGZeiME0INMWjQs7G8IoAdZNyNyqSAU0IOMeN4p +8goF9CCbGPeNxaGAHmT+sLHlb0IBPUjvF+3no8IAPciReVdfmIcBepBOrb8X +osIAPciomsHba8MAPciN21J9P8IAPUjgV1VP3XBADyIscj/lEg7oQdT1VR9l +hQN6EMXLpveehgN6kNwxU3Nk9gF6kCFKDWaL9wF6kGl6zi279gF6kJsfZBdW +7AP0IM+sv4SI9gF6kLuHNLLG7Af0II1Bz1JW7wf0ILq1U9wi9wN6kM1v9VWq +9wN6kAQD3TzYD+hBTvROGzI1AtCDPJ5laroxAtCDhJVUbkmOAPQgr5UTXG9F +AHqQX3/FC3oiAD2IUnXf95mRgB6kNexthHMkoAe5ZHWqKz0S0IP0qyUvehAJ +6EEWRed79EcCepDAqUO8mShAD+Lk8GatRxSgBznhvVw5OwrQg8zycj33KArQ +gyw1sZwtFQ3oQcR1+vF60YAeZGyYzs0t0YAeRPR77avsaEAP8iC8/vGjaEAP +8sfobOHAGEAP4msy3VoQA+hBrLaYf3aPAfQgo9wXb8iMAfQgVc/GlzyIAfQg +QzSlm3pjAD3I8yPKwtmxgB5kjpPnQ6dYQA+SETQ5My0W0IPMu+249HYsoAeZ ++svw8c9YQA+SWPLcYHocoAfpWroy0jYO0IM4alw8FR8H6EHyXAwu18QBehA/ +VVr4NQ7Qg9yZ0OU9IR7Qg3wwdFZbHQ/oQSoUN5WExgN6kPqxPUqn4wE9yPtz +NpvfxQN6kDuj0pNGJAB6kMqND3MWJwB6kL271ZJ2JAB6kFNLMx3zEgA9iMjP +TelxAqAHeZaZVdKXAOhBsrUtx886AOhB2nad9LM/AOhBlM9erzhwANCDhIvL +79YcAPQguRNibnUcAPQgPBXvwrGJgB5kT2SQ64pEQA/iInV/aGAioAepkvJP +LE4E9CBGrWndzxIBPYjCTWMilQToQaIac7bPSQL0ICWDroQ4JAF6kBn8Sz4H +kgA9yOVxFcuqkwA9iOLms/2fkwA9yOx9wuxRyYAeJKbNapxxMqAH0XikFeqT +DOhBHmz1uZWTDOhBlt5ZQe8nA3oQ9yf1f38lA3oQc7vh4ikHAT1ItIb2jbUH +AT0Ic3FeUOhBQA9ikLt+TPlBQA/iPjc1s+UgoAfJvje8f1AKoAf5L6h1xZwU +QA9CHVUD7VIAPUiGx/O42BRAD3Ji65x9lSmAHuTy1MX271MAPYjT/LETh6cC +ehDH2c0356YCepBrPomrXVIBPcigNdZXk1IBPYiJ9Arl6lRAD+Jctsf8Yyqg +BxlrIrVdMQ3Qg+jX/t5pmAboQc4L/ezc0wA9yDuPeN2UNEAPsr9znagmDdCD +9NO2kE9pgB4kuHV9v+IhQA8ycOBld8NDgB4kL1270u0QoAdJq675nHwI0IO4 ++0YNrD4E6EFUyo8O/HAI0IPMMBjdLn8Y0IOIX8hemHsY0IMEzErc4nQY0IMM +fFTQF38Y0IOMOhsZVnkY0IM88dH//OYwoAc5HN2gNzQd0INEqdttm5MO6EGi +1/6JsU0H9CCLx11J2J8O6EHmulYFlKcDepDuf70rmtIBPcjEvONSvemAHiRT +fLdwagagBynz99NdnQHoQVTKrh4NyAD0IHPFtT9yMwA9yMyYKMHdDEAPQsu0 +7SAD0IN47Lq6bfQRQA9yTLzBZfERQA/yTFrW2OMIoAdJSm+XTT4C6EGebBla +U3UE0INM1d27/u0RQA9yO8iyaXAmoAc586Z04cxMQA9y/0ZismUmoAf5G618 +PygT0IMs37laXJAJ6EHo+bU99ZmAHiTKaw79lgnoQVSLeh+MzgL0IPoWDamL +sgA9iNbq00ZuWYAe5JvX5daELEAPssul1/5cFqAHyXqeWP8iC9CDbFgfMrE/ +C9CDPLd5azc1G9CDxK++GbkqG9CDrI1blL4zG9CDRK90TsnIBvQgE+pIQG02 +oAe5Lv11aVs2oAf5oh7QK3MU0IN0D/6eN+sooAcxOuKta3kU0IOYFQ8o3HMU +0IPUtl8alHcU0IMky5WuvnUU0INMLBJFfDkK6EGW6gcWKuQAehAfZvdJJgfQ +g9T9+ZlvkwPoQVRfSu8LyQH0IIJh51cW5AB6kKfnlfrv5AB6kHMgyO3MAfQg +167PmK6YC+hBJk4YnCPIBfQgK9qa/tnkAnqQSR/KlofkAnoQxbcZIfm5gB5k +ZGhF7u1cQA/y1LGn7EsuoAe5IZ9cIJ8H6EEEA0OjeHmAHsS9oHm9VR6gB8lf +USq/Jw/Qg5jNH3L+aB6gBxl0ste4Lg/Qg5TezqtrywP0IFFH/k0fegzQg5hr +TwmZcQzQgyzfNq3W/BigB1m/RPmLzzFADyIT+mfAoWOAHuRuW6f0xWOAHuTh +lF8/Xh4D9CCr2nSe/jsG6EECz2ZmqucDepCSkWtWG+UDepCNAQ7gmg/oQTYZ +NQXH5AN6kHv36n6W5gN6EB1zxr4hH9CDpAwyPEPzAT1IuuJnUCwA9CD74paN +ZwoAPcg6/y16VgWAHqT57ibDwAJAD1K7bfaszAJAD3JiXpd8TQGgBzH6fe7V +6wJAD7LaLfxQXwGgB6nV8TTUKAT0IHXtQY+XFAJ6kJYZd9e4FAJ6kC87ba9F +FgJ6kLWzl4wrKQT0IL9uH3C9WwjoQWzjV2a3FwJ6kJIbsdeGFQF6kHslVk9n +FAF6kJDN156sKgL0IM5yTbVeRYAeRO5C/pHEIkAPMsJe3+lUEaAHGd+dN/px +EaAHkXH6WgNFgB5EFKC9WrEY0IMM6nd9NKcY0IOoV1YsWFsM6EGsjRUydxYD +epClZhltKcWAHkTJyWr8uWJADxI3xNq4sRjQg0ysLLHpKgb0IEOaLO2USiTv +/9+XBhnw/7w0SFbm/7y+s+8L8Px/ANvR/pQ= + "], {{{}, { + EdgeForm[], + Directive[ + RGBColor[0.368417, 0.506779, 0.709798], + Opacity[0.1]], + GraphicsGroup[{ + Polygon[CompressedData[" +1:eJwl2FPQGEi3BdAvtm3btu1MbNu2bduY2LZt28ZMbE3uyv0fVp1+7qpT3Xsn +atS+UrvAAQEBgSgc/H9zRNiAgELOBSlAfvKRlzzkJhc5yUF2spGVLGQmExnJ +QHrSkZY0pCYVKUlBcpKRlCQkJhEJSUB84hGXOMQmFjGJQXSiEZUoRCYSEYlA +eMIRljCEJhQhCUFwghGUIAT+cw8E8DtYQMB//OInP/jON77yhc984iMfeM87 +3vKG17ziX/7hJS94zjOe8oTHPOIhD7jPPe5yh9vc4iY3uM41rnKFy1ziIhc4 +zznOcobTnOIkJzjOMY5yhMMc4iAH2M8+9rKH3exiJzvYzja2soXNbGIjG1jP +OtayhtWsYiUrWM4ylrKEv1nMIhaygPnMYy5zmM0sZjKD6UxjKlOYzCQmMoHx +jGMsYxjNKEYyguEMYyhDGMwgBjKA/vSjL33oTS960oPudKMrXehMJzrSgfa0 +oy1taE0rWtKC5jSjKU1oTCMa0oD61KMudahNLWpSg+pUoypVqEwl/qIiFShP +OcpShtKUoiQlKE4xilKEwhSiIAXITz7ykofc5CInOchONrKShcxkIiMZSE86 +0pKG1KQiJSlITjKSkoTEJCIhCYhPPOISh9jEIiYxiE40ohKFyEQiIhEITzjC +EobQhCIkIQhOMIIShMAEIoDfQe0/v/jJD77zja984TOf+MgH3vOOt7zhNa/4 +l394yQue84ynPOExj3jIA+5zj7vc4Ta3uMkNrnONq1zhMpe4yAXOc46znOE0 +pzjJCY5zjKMc4TCHOMgB9rOPvexhN7vYyQ62s42tbGEzm9jIBtazjrWsYTWr +WMkKlrOMpSzhbxaziIUsYD7zmMscZjOLmcxgOtOYyhQmM4mJTGA84xjLGEYz +ipGMYDjDGMoQBjOIgQygP/3oSx9604ue9KA73ehKFzrTiY50oD3taEsbWtOK +lrSgOc1oShMa04iGNKA+9ahLHWpTi5rUoDrVqEoVKlOJv6hIBcpTjrKUoTSl +KEkJilOMohShMIUoSAHyk4+85CE3uchJDrKTjaxkITOZyEgG0pOOtKQhNalI +SQqSk4ykJCExiUhIAuITj7jEITaxiEkMohONqEQhMpGISATCE46whCE0oQhJ +CIITjKAEITCBCOB3EPvPL37yg+984ytf+MwnPvKB97zjLW94zSv+5R9e8oLn +POMpT3jMIx7ygPvc4y53uM0tbnKD61zjKle4zCUucoHznOMsZzjNKU5yguMc +4yhHOMwhDnKA/exjL3vYzS52soPtbGMrW9jMJjaygfWsYy1rWM0qVrKC5Sxj +KUv4m8UsYiELmM885jKH2cxiJjOYzjSmMoXJTGIiExjPOMYyhtGMYiQjGM4w +hjKEwQxiIAPoTz/60ofe9KInPehON7rShc50oiMdaE872tKG1rSiJS1oTjOa +0oTGNKIhDahPPepSh9rUoiY1qE41qlKFylTiLypSgfKUoyxlKE0pSlKC4hSj +KEUoTCEKUoD85CMvechNLnKSg+xkIytZyEwmMpKB9KQjLWlITSpSkoLkJCMp +SUhMIhKSgPjEIy5xiE0sYhKD6EQjKlGITCQiEoHwhCMsYQhNKEISguAEIyhB +CEwgAvgtHP3HL37yg+984ytf+MwnPvKB97zjLW94zSv+5R9e8oLnPOMpT3jM +Ix7ygPvc4y53uM0tbnKD61zjKle4zCUucoHznOMsZzjNKU5yguMc4yhHOMwh +DnKA/exjL3vYzS52soPtbGMrW9jMJjaygfWsYy1rWM0qVrKC5SxjKUv4m8Us +YiELmM885jKH2cxiJjOYzjSmMoXJTGIiExjPOMYyhtGMYiQjGM4whjKEwQxi +IAPoTz/60ofe9KInPehON7rShc50oiMdaM+fgN2WNrSmFS1pQXOa0ZQmNKYR +DWlAfepRlzrUphY1qUF1qlGVKlSmEn9RkQqUpxxlKUNpSlGSEhSnGEUpQmEK +UZAC5CcfeclDbnKRkxxkJxtZyUJmMpGRDKQnHWlJQ2pSkZIUJCcZSUlCYhKR +kATEJx5xiUNsYhGTGEQnGlGJQmQiEZEIhCccYQlDaEIRkhAEJxhBCUJgAhHA +70D2n1/85Aff+cZXvvCZT3zkA+95x1ve8JpX/Ms/vOQFz3nGU57wmEc85AH3 +ucdd7nCbW9zkBte5xlWucJlLXOQC5znHWc5wmlOc5ATHOcZRjnCYQxzkAPvZ +x172sJtd7GQH29nGVrawmU1sZAPrWcda1rCaVaxkBctZxlKW8DeLWcRCFjCf +ecxlDrOZxUxmMJ1pTGUKk5nERCYwnnGMZQyjGcVIRjCcYQxlCIMZxEAG0J9+ +9KUPvelFT3rQnW50pQud6URHOtCedrSlDa1pRUta0JxmNKUJjWlEQxpQn3rU +pQ61qUVNalCdalSlCpWpxF9UpALlKUdZylCaUpSkBMUpRlGKUJhCFKQA+clH +XvKQm1zkJAfZyUZWspCZTGQkA+lJR1rSkJpUpCQFyUlGUpKQmEQkJAHxiUdc +4hCbWMQkBtGJRlSiEJlIRCQC4QlHWMIQmlCEJATBCUZQghCYQIH+V4r+Nv7j +Fz/5wXe+8ZUvfOYTH/nAe97xlje85hX/8g8vecFznvGUJzzmEQ95wH3ucZc7 +3OYWN7nBda5xlStc5hIXucB5znGWM5zmFCc5wXGOcZQjHOYQBznAfvaxlz3s +Zhc72cF2trGVLWxmExvZwHrWsZY1rGYVK1nBcpaxlCX8zWIWsZAFzGcec5nD +bGYxkxlMZxpTmcJkJjGRCYxnHGMZw2hGMfJPz81whjGUIQxmEAMZQH/60Zc+ +9KYXPelBd7rRlS50phMd6UB72tGWNrSmFS1pQXOa0ZQmNKYRDWlAfepRlzrU +phY1qUF1qlGVKlSmEn9RkQqUpxxlKUNpSlGSEhSnGEUpQmEKUZAC5CcfeclD +bnKRkxxkJxtZyUJmMpGRDKQnHWlJQ2pSkZIUJCcZSUlCYhKRkATEJx5xiUNs +YhGTGEQnGlGJQmQiEZEIhCccYQlDaEIRkhAB/1/z/6n6/sT9P1/+P89+wP8B +FXwYrA== + "]]}]}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[0.880722, 0.611041, 0.142051], + Opacity[0.1]], + GraphicsGroup[{ + + Polygon[{{3204, 3205, 3206, + 3207}}]}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[0.560181, 0.691569, 0.194885], + Opacity[0.1]], + GraphicsGroup[{ + Polygon[CompressedData[" +1:eJwl1tMSGIqCAMHYtm3btm3btm3btm3btm3bObm9tQ/9CVM1CRu1r9QuUIAA +AQJSKNj/K0wRilKM4pSgJKUoTRnKUo7yVKAilahMFapSjerUoCa1qE0d6lKP ++jSgIY1oTBOa0ozmtKAlrWhNG9rSjvZ0oCOd6EwXutKN7vSgJ73oTR/60o/+ +DGAggxjMEIYyjOGMYCSjGM0YxjKO8UxgIpOYzBSmMo3pzGAms5jNHOYyj/ks +YCGLWMwSlrKM5axgJatYzRrWso71bGAjm9jMFrayje3sYCe72M0e9rKP/Rzg +IIc4zBGOcozjnOAkpzjNGc5yjvNc4CKXuMwVrnKN69zgJre4zR3uco/7POAh +j3jME57yjOe84CWveM0b3vKO93zgI5/4zBe+8o3v/OAnv/jNH/7yH/8IEFwD +BCIwQQhKMIITgpCEIjRhCEs4whOBiEQiMlGISjSiE4OYxCI2cYhLPOKTgIQk +IjFJSEoykpOClKQiNWlISzrSk4GMZCIzWchKNrKTg5zkIjd5yEs+8lOAghSi +MEUoSjGKU4KSlKI0ZShLOcpTgYpUojJVqEo1qlODmtSiNnWoSz3q04CGNKIx +TWhKM5rTgpa0ojVtaEs72tOBjnSiM13oSje604Oe9KI3fehLP/ozgIEMYjBD +GMowhjOCkYxiNGMYyzjGM4GJTGIyU5jKNKYzg5nMYjZzmMs85rOAhSxiMUtY +yjKWs4KVrGI1a1jLOtazgY1sYjNb2Mo2trODnexiN3vYyz72c4CDHOIwRzjK +MY5zgpOc4jRnOMs5znOBi1ziMle4yjWuc4Ob3OI2d7jLPe7zgIc84jFPeMoz +nvOCl7ziNW94yzve84GPfOIzX/jKN77zg5/84jd/+Mt//CNACP0TiMAEISjB +CE4IQhKK0IQhLOEITwQiEonIRCEq0YhODGISi9jEIS7xiE8CEpKIxCQhKclI +TgpSkorUpCEt6UhPBjKSicxkISvZyE4OcpKL3OQhL/nITwEKUojCFKEoxShO +CUpSitKUoSzlKE8FKlKJylShKtWoTg1qUova1KEu9ahPAxrSiMY0oSnNaE4L +WtKK1rShLe1oTwc60onOdKEr3ehOD3rSi970oS/96M8ABjKIwQxhKMMYzghG +MorRjGEs4xjPBCYyiclMYSrTmM4MZjKL2cxhLvOYzwIWsojFLGEpy1jOClay +itWsYS3rWM8GNrKJzWxhK9vYzg52sovd7GEv+9jPAQ5yiMMc4SjHOM4JTnKK +05zhLOc4zwUuconLXOEq17jODW5yi9vc4S73uM8DHvKIxzzhKc94zgte8orX +vOEt73jPBz7yic984Svf+M4PfvKL3/zhL//xjwAh9U8gAhOEoAQjOCEISShC +E4awhCM8EYhIJCIThahEIzoxiEksYhOHuMQjPglISCISk4SkJCM5KUhJKlKT +hrSkIz0ZyEgmMpOFrGQjOznISS5yk4e85CM/BShIIQpThKIUozglKEkpSlOG +spSjPBWoSCUqU4WqVKM6NahJLWpTh7rUoz4NaEgjBofRIQMZQH/60Zc+9KYX +PelBd7rRlS50phMd6UB72tGWNrSmFS1pQXOa0ZQmNKYRDWlAfepRlzrUphY1 +qUF1qlGVKlSmEhWpQHnKUZYylKYUJSlBcYpRlCIUphAFKUB+8pGXPOQmFznJ +QXaykZUsZCYTGclAetKRljSkJhUpSUFykpGUJCQmEQlJQHziEZc4xCYWMYlB +dKIRlShEJhIRiUB4whGWMIQmFCEJQXCCEZQgBCYQAQnAv9A65S9/+M0vfvKD +73zjK1/4zCc+8oH3vOMtb3jNK17yguc84ylPeMwjHvKA+9zjLne4zS1ucoPr +XOMqV7jMJS5ygfOc4yxnOM0pTnKC4xzjKEc4zCEOcoD97GMve9jNLnayg+1s +Yytb2MwmNrKB9axjLWtYzSpWsoLlLGMpS1jMIhaygPnMYy5zmM0sZjKD6Uxj +KlOYzCQmMoHxjGMsYxjNKEYyguEMYyhDGMwgBjKA/vSjL33oTS960oPudKMr +XehMJzrSgfa0oy1taE0rWtKC5jSjKU1oTCMa0oD61KMudahNLWpSg+pUoypV +qEwlKlKB8pSjLGUoTSlKUoLiFKMoRShMIQpSgPzkIy95yE0ucpKD7GQjK1nI +TCYykoH0pCMtaUhNKlKSguQkIylJSEwiEpKA+MQjLnGITSxiEoPoRCMqUYhM +JCISgfCEIyxhCE0oQhKC4AQjKEEITCACEoB/ofTPX/7wm1/85Aff+cZXvvCZ +T3zkA+95x1ve8JpXvOQFz3nGU57wmEc85AH3ucdd7nCbW9zkBte5xlWucJlL +XOQC5znHWc5wmlOc5ATHOcZRjnCYQxzkAPvZx172sJtd7GQH29nGVrawmU1s +ZAPrWcda1rCaVaxkBctZxlKWsJhFLGQB85nHXOYwm1nMZAbTmcZUpjCZSUxk +AuMZx1jGMJpRjGQEwxnGUIYwmEEMZAD96Udf+tCbXvSkB93pRle60JlOdKQD +7WlHW9rQmla0pAXNaUZTmtCYRjSkAfWpR13qUJta1KQG1alGVapQmUpUpALl +KUdZylCaUpSkBMUpRlGKUJhCFKQA+clHXvKQm1zkJAfZyUZWspCZTGQkA+lJ +R1rSkJpUpCQFyUlGUpKQmEQkJAHxiUdc4hCbWMQkBtGJRlSiEJlIRCQC4QlH +WMIQmlCEJATBCUZQghCYQAQkAP884X/85Q+/+cVPfvCdb3zlC5/5xEc+8J53 +vOUNr3nFS17wnGc85QmPecRDHnCfe9zlDre5xU1ucJ1rXOUKl7nERS5wnnOc +5QynOcVJTnCcYxzlCIc5xEEOsJ997GUPu9nFTnawnW1sZQub2cRGNrCedaxl +DatZxUpWsJxlLGUJi1nEQhYwn3nMZQ6zmcVMZjCdaUxlCpOZxEQmMJ5xjGUM +oxnFSEYwnGEMZcj//T+DGMgA+tOPvvShN73oSQ+6042udKEznehIB9rTjra0 +oTWtaEkLmtOMpjShMf8D9k1QNA== + "]]}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[0.368417, 0.506779, 0.709798]], + Line[CompressedData[" +1:eJwl2VMQGMoOANDatm3btt1b27bbW9u2rVvbtm3bdvtO532c2Zl8Zia72SRh +w3aV2gYMECBAwWABAvw9AxGYIARFOEBwQhCSUIQmDGEJR3giEJFIRCYKUYlG +dGIQk1jEJg5xiUd8EpCQRCQmCUlJRnJSkJJUpCYNaUlHejKQkUxkJgtZyUZ2 +cpCTXOQmD3nJR34K/M0BhShMEYpSjOKUoCSlKE0ZylKO8lSgIpWoTBWq8g/V +qE4NalKL2tShLvWoTwMa0ojGNKEpzWhOC1rSita0oS3taE8HOtKJznShK93o +Tg960ove9OFf+tKP/gxgIIMYzBCGMozhjGAkoxjNGMYyjvFMYCKTmMwUpjKN +6cxgJrOYzRzmMo/5LGAhi1jMEpayjOWsYCWrWM1/rGEt61jPBjayic1sYSvb +2M4OdrKL3exhL/vYzwEOcojDHOEoxzjOCU5yitOc4SznOM8FLnKJy1zhKte4 +zg1ucovb3OEu97jPAx7yiMc84SnPeM4LXvKK17zhLe94zwc+8onPfOEr3/jO +D37yi9/84W/xByQQgQlCUIIRnBCEJBShCUNYwhGeCEQkEpGJQlSiEZ0YxCQW +sYlDXOIRnwQkJBGJSUJSkpGcFKQkFalJQ1rSkZ4MZCQTmclCVrKRnRzkJBe5 +yUNe8pGfAhSkEIUpQlGKUZwSlKQUpSlDWcpRngpUpBKVqUJV/qEa1alBTWpR +mzrUpR71aUBDGtGYJjSlGc1pQUta0Zo2/L2829GeDnSkE53pQle60Z0e9KQX +venDv/SlH/0ZwEAGMZghDGUYwxnBSEYxmjGMZRzjmcBEJjGZKUxlGtOZwUxm +MZs5zGUe81nAQhaxmCUsZRnLWcFKVrGa/1jDWtaxng1sZBOb2cJWtrGdHexk +F7vZw172sZ8DHOQQhznCUY5xnBOc5BSnOcNZznGeC1zkEpe5wlWucZ0b3OQW +t7nDXe5xnwc85BGPecJTnvGcF7zkFa95w1ve8Z4PfOQTn/nCV77xnR/85Be/ ++cPfhz8ggQhMEIISjOCEICShCE0YwhKO8EQgIpGITBSiEo3oxCAmsYhNHOIS +j/gkICGJSEwSkpKM5KQgJalITRrSko70ZCAjmchMFrKSjezkICe5yE0e8pKP +/BSgIIUoTBGKUozilKAkpShNGcpSjvJUoCKVqEwVqvIP1ahODWpSi9rUoS71 +qE8DGtKIxjShKc1oTgta0orWtKEt7WhPBzrSic50oSvd6E4PetKL3vThX/rS +j/4MYCCDGMwQhjKM4YxgJKMYzRjGMo7xTGAik5jMFKYyjenMYCazmM0c5jKP ++SxgIYtYzBKWsozlrGAlq1jNf6xhLetYzwY2sonNbGEr29jODnayi93sYS/7 +2M8BDnKIwxzhKMc4zglOcorTnOEs5zjPBS5yictc4SrXuM4NbnKL29zhLve4 +zwMe8ojHPOEpz3jOC17yite84S3veM8HPvKJz3zhK9/4zg9+8ovf/OFv0x+Q +QAQmCEEJRnBCEJJQhCYMYQlHeCIQkUhEJgpRiUZ0YhCTWMQmDnGJR3wSkJBE +JCYJSUlGclKQklSkJg1pSUd6MpCRTGQmC1nJRnZykJNc5CYPeclHfgpQkEIU +pghFKUZxSlCSUpSmDGUpR3kqUJFKVKYKVfmHalSnBjWpRW3qUJd61KcBDWlE +Y5rQlGY0pwUtaUVr2tCWdrSnAx3pRGe60JVudKcHPelFb/rwL33pR38GMJBB +DGYIQxnGcEYwklGMZgxjGcd4JjCRSUxmClOZxnRmMJNZzGYOc5nHfBawkEUs +ZglLWcZyVrCSVazmP9awlnWsZwMb2cRmtrCVbWxnBzvZxW72sJd97OcABznE +YY5wlGMc5wQnOcVpznCWc5znAhe5xGWucJVrXOcGN7nFbe5wl3vc5wEPecRj +nvCUZzznBS95xWve8JZ3vOcDH/nEZ77wlW985wc/+cVv/vD3wx+QQAQmCEEJ +RnBCEJJQhCYMYQlHeCIQkUhEJgpRiUZ0YhCTWMQmDnGJR3wSkJBEJCYJSUlG +clKQklSkJg1pSUd6MpCRTGQmC1nJRnZykJNc5CYPeclHfgpQkEIUpghFKUZx +SlCSUpSmDGUpR3kqUJFKVKYKVfmHalSnBjWpRW3qUJd61KcBDWlEY5rQlGY0 +pwUtaUVr2tCWdrSnAx3pRGe60JVudKcHPelFb/rwL33pR38GMJBBDGYIQxnG +cEYwklGMZgxjGcd4JjCRSUxmClOZxnRmMJNZzGYOc5nHfBawkEUsZglLWcZy +VrCSVazmP9awlnWsZwMb2cRmtrCVbWxnBzvZxW72sJd97OcABznEYY5wlGMc +5wQnOcVpznCWc5znAhe5xGWucJVrXOcGN7nFbe5wl3vc5wEPecRjnvCUZzzn +BS95xWve8JZ3vOcDH/nEZ77wlW985wc/+cVv/vB32BeQQAQmCEEJRnBCEJJQ +hCYMYQlHeCIQkUhEJgpRiUZ0YhCTWMQmDnGJR3wSkJBEJCYJSUlGclKQklSk +Jg1pSUd6MpCRTGQmC1nJRnZykJNc5CYPeclHfgpQkEIUpghFKUZxSlCSUpSm +DGUpR3kqUJFKVKYKVfmHalSnBjWpRW3qUJd61KcBDWlEY5rQlGY0pwUtaUVr +2tCWdrSnAx3pRGe60JVudKcHPelFb/rwL33pR38GMJBBDGYIQxnGcEYwklGM +ZgxjGcd4JjCRSUxmClOZxnRmMJNZzGYOc5nHfBawkEUsZglLWcZyVrCSVazm +P9awlnWsZwMb2cRmtrCVbWxnBzvZxW72sJd97OcABznEYY5wlGMc5wQnOcVp +znCWc5znAhe5xGWucJVrXOcGN7nFbe5wl3vc5wEPecRjnvCUZzznBS95xWve +8JZ3vOcDH/nEZ77wlW985wc/+cVv/vB30B+QQAQmCEEJRnBCEJJQhCYMYQlH +eCIQkUhEJgpRiUZ0YhCTWMQmDnGJR3wSkJBEJCYJSUlGclKQklSkJg1pSUd6 +MpCRTGQmC1nJRnZykJNc5CYPeclHfgoE+/8O5H/4eBgV + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[0.560181, 0.691569, 0.194885]], + Line[CompressedData[" +1:eJwN00OCIAYAALDp2rZt27Zt27Zt27btdm3btm01hzwhCRu1r9Tun4CAgISB +AwIKBQsIKEwRilKM4pSgJKUoTRnKUo7yVKAilahMFapSjerUoCa1qE0d6lKP ++jSgIY1oTBOa0ozmtKAlrWhNG9rSjvZ0oCOd6EwXutKN7vSgJ73oTR/60o/+ +DGAggxjMEIYyjOGMYCSjGM0YxjKO8UxgIpOYzBSmMo3pzGAms5jNHOYyj/ks +YCGLWMwSlrKM5axgJatYzRrWso71bGAjm9jMFrayje3sYCe72M0e/uU/9rKP +/RzgIIc4zBGOcozjnOAkpzjNGc5yjvNc4CKXuMwVrnKN69zgJre4zR3uco/7 +POAhj3jME57yjOe84CWveM0b3vKO93zgI5/4zBe+8o3v/OAnv/jNH/4SEDwg +4B8CEZggBCUYwQlBSEIRmjCEJRzhiUBEIhGZKEQlGtGJQUxiEZs4xCUe8UlA +QhKRmCQkJRnJSUFKUpGaNKQlHenJQEYykZksZCUb2clBTnKRmzzkJR/5KUBB +ClGYIhSlGMUpQUlKUZoylKUc5alARSpRmSpUpRrVqUFNalGbOtSlHvVpQEMa +0ZgmNKUZzWlBS1rRmja0pR3t6UBHOtGZLnSlG93pQU960Zs+9KUf/RnAQAYx +mCEMZRjDGcFIRjGaMYxlHOOZwEQmMZkpTGUa05nBTGYxmznMZR7zWcBCFrGY +JSxlGctZwUpWsZo1rGUd69nARjaxmS1sZRvb2cFOdrGbPfzLf+xlH/s5wEEO +cZgjHOUYxznBSU5xmjOc5RznucBFLnGZK1zlGte5wU1ucZs73OUe93nAQx7x +mCc85RnPecFLXvGaN7zlHe/5wEc+8ZkvfOUb3/nBT37xmz/8JSCE/wQiMEEI +SjCCE4KQhCI0YQhLOMITgYhEIjJRiEo0ohODmMQiNnGISzzik4CEJCIxSUhK +MpKTgpSkIjVpSEs60pOBjGQiM1nISjayk4Oc5CI3echLPvJTgIIUojBFKEox +ilOCkpSiNGUoSznKU4GKVKIyVahKNapTg5rUojZ1qEs96tOAhjSiMU1oSjOa +04KWtKI1bWhLO9rTgY50ojNd6Eo3utODnvSiN33oSz/6M4CBDGIwQxjKMIYz +gpGMYjRjGMs4xjOBiUxiMlOYyjSmM4OZzGI2c5jLPOazgIUsYjFLWMoylrOC +laxiNWtYyzrWs4GNbGIzW9jKNrazg53sYjd7+Jf/2Ms+9nOAgxziMEc4yjGO +c4KTnOI0ZzjLOc5zgYtc4jJXuMo1rnODm9ziNne4yz3u84CHPOIxT3jKM57z +gpe84jVveMs73vOBj3ziM1/4yje+84Of/OI3f/hLQEj/CURgghCUYAQnBCEJ +RWjCEJZwhCcCEYlEZKIQlWhEJwYxiUVs4hCXeMQnAQlJRGKSkJRkJCcFKUlF +atKQlnSkJwMZyURmspCVbGQnBznJRW7ykJd85KcABSlEYYpQlGIUpwQlKUVp +ylCWcpSnAhWpRGWqUJVqVKcGNalFbepQl3rUpwENacT/n5Oh6A== + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Hue[0.1421359549995791, 0.6, 0.6], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[0.560181, 0.691569, 0.194885]], + Line[CompressedData[" +1:eJwN09OCGAYAALBbbdu27Xa1bdu2vdq2bdu2bdt2l4d8QhI2bFex7T8BAQEJ +AwcENAoZENCYJjSlGc1pQUta0Zo2tKUd7elARzrRmS50pRvd6UFPetGbPvSl +H/0ZwEAGMZj/GMJQhjGcEYxkFKMZw1jGMZ4JTGQSk5nCVKYxnRnMZBazmcNc +5jGfBSxkEYtZwlKWsZwVrGQVq1nDWtaxng1sZBOb2cJWtrGdHexkF7vZw172 +sZ8DHOQQhznCUY5xnBOc5BSnOcNZznGeC1zkEpe5wlWucZ0b3OQWt7nDXe5x +nwc85BGPecJTnvGcF7zkFa95w1ve8Z4PfOQTn/nCV77xnR/85Be/+cNfAkIF +BPxDIAIThKAEIzghCEkoQhOGsIQjPBGISCQiE4WoRCM6MYhJLGITh7jEIz4J +SEgiEpOEpCQjOSlISSpSk4a0pCM9GchIJjKThaxkIzs5yEkucpOHvOQjPwX4 +l4IUojBFKEoxilOCkpSiNGUoSznKU4GKVKIyVahKNapTg5rUojZ1qEs96tOA +hjSiMU1oSjOa04KWtKI1bWhLO9rTgY50ojNd6Eo3utODnvSiN33oSz/6M4CB +DGIw/zGEoQxjOCMYyShGM4axjGM8E5jIJCYzhalMYzozmMksZjOHucxjPgtY +yCIWs4SlLGM5K1jJKlazhrWsYz0b2MgmNrOFrWxjOzvYyS52s4e97GM/BzjI +IQ5zhKMc4zgnOMkpTnOGs5zjPBe4yCUuc4WrXOM6N7jJLW5zh7vc4z4PeMgj +HvOEpzzjOS94ySte84a3vOM9H/jIJz7zha984zs/+MkvfvOHvwSE9p9ABCYI +QQlGcEIQklCEJgxhCUd4IhCRSEQmClGJRnRiEJNYxCYOcYlHfBKQkEQkJglJ +SUZyUpCSVKQmDWlJR3oykJFMZCYLWclGdnKQk1zkJg95yUd+CvAvBSlEYYpQ +lGIUpwQlKUVpylCWcpSnAhWpRGWqUJVqVKcGNalFbepQl3rUpwENaURjmtCU +ZjSnBS1pRWva0JZ2tKcDHelEZ7rQlW50pwc96UVv+tCXfvRnAAMZxGD+YwhD +GcZwRjCSUYxmDGMZx3gmMJFJTGYKU5nGdGYwk1nMZg5zmcd8FrCQRSxmCUtZ +xnJWsJJVrGYNa1nHejawkU1sZgtb2cZ2drCTXexmD3vZx34OcJBDHOYIRznG +cU5wklOc5gxnOcd5LnCRS1zmCle5xnVucJNb3OYOd7nHfR7wkEc85glPecZz +XvCSV7zmDW95x3s+8JFPfOYLX/nGd37wk1/85g9/CQjjP4EITBCCEozghCAk +oQhNGMISjvBEICKRiEwUohKN6MQgJrGITRziEo/4JCAhiUhMEpKSjOSkICWp +SE0a0pKO9GQgI5nITBayko3s5CAnuchNHvKSj/wU4F8KUojCFKEoxShOCUpS +itKUoSzlKE8FKlKJylShKtWoTg1qUova1KEu9ahPAxrSiMY0oSnNaE4LWtKK +1rShLe1oTwc60onOdKEr3ehOD3rSi970oS/96M8ABjKI/wEwmK/v + "]]}, "Charting`Private`Tag#3"], + Annotation[{ + Hue[0.37820393249936934`, 0.6, 0.6], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[0.880722, 0.611041, 0.142051]], + Line[{3204, 3207}]}, "Charting`Private`Tag#4"]}}], <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{3., 17.}, {0., 1.}}, + "Frame" -> {{True, True}, {True, True}}, + "AxesOrigin" -> {3.0000000000000067`, 0}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> Directive[ + GrayLevel[0], FontFamily -> "Times", FontSize -> 10, + Filling -> {{1} -> 0}], "AspectRatio" -> GoldenRatio^(-1), + "DefaultStyle" -> { + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[0.880722, 0.611041, 0.142051]], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[0.560181, 0.691569, 0.194885]], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[0.560181, 0.691569, 0.194885]], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[0.368417, 0.506779, 0.709798]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, "Primitives" -> {{{}, { + EdgeForm[], + Directive[ + RGBColor[0.368417, 0.506779, 0.709798], + Opacity[0.1]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxl3Hdczf0f//FQskd7j9PeZ4WsnoiI7OzMKCQhK5GsSIlINEhRyUiStJSW +dtnJKGkvlMso9Pv8ft/366/f9c91e9/SOZ/zeXzu53n559Jev33hxv4SEhJT +B0pI/N9/JxValpsMl4EE+8dsZ0C33VHx/3e2N3b+I/Psi/UWL6eQ9MNixJ6X +SpHiznEnJkwwPSSGv/6/rb8qv1g3nJWpCT8gxu9b9qpt3JkX1nJk+H4xrrR+ +zfvAndfeyNY/tEeMjzH1LpXcOSIhpLhzlxilUXrSudz5Xaqb25odYphcuXc1 +mTsr5U2XqXQTY8uSI8I47rykXO0hXMWIOhGSFcqdz1d1L0/cLMbFpvYZAdy5 +sq74r7azGHcsvAu9ufOIjmvXgpzE+CEz22Ynd579c9/0AevF0Jpmn+bEnU/1 +m9+ya40YXtuPGC/lzgVDDQLqV4nx06bpwizuLKnwj++wQgxNt32/J3DnKVqv +XuYvFUPxvHCZOXf2Nr69b4yDGBHLZRK1uHOG+Kha7EIxBm6Uk5Tlzr8nr8hW +nC+G1RLLBVLceewsgdNJezESS3Zc+lnxxdpj0aBBv+3E2LOu4G0Ld77vWHNr +80wxtBMt5N9z5y/OD+dVTxfj1YJ4u3Lu/P96TBNjcpXQM7uC9YAYZ1/nR9+v +YD0mi3H8/Zqn1ytYjwliLNv7t/5iBethJcZTQdifkxWsxxgxhl+wHHGggvUQ +iVGnU6S8rYL1EIjh6bRQc00F62EuhvfrMo0FFayHqRgyv8YqTatgPYzEKLAJ +GGpZwXoYiHHNsviXfgXroSuGypS2GqUK1oMnxqh37dlDKlgPTTGeeZSE/Sln +PdTEkD141L2znPVQEePrmtHWteWsh6IYTSd2SD8vZz3kxaheFlmUW856yIgx +aHzo8eRy1mOUGCP8V06ILWc9hotRf6e29VI56zFEjHe9ehf8ylmPQWKEVBiM +9SpnPaTEkDtc92JbOesxQIywdQu3rClnPSTEKMzf1TO/nPX4J4J379RjU8tZ +j14RuudmSIvLWY/fIoQPfn9Mr5z1+CHC2J1XehXKWY9uEfJL+7YOKmc9volw +csWfV7/LWI9OEfqWn7VqK2M92kWoH/jo4vsy1qNFBLPQ7R1lZaxHkwhSdkmT +sspYj3oRimd5+94rYz3qRBhRXFF8rYz1qBHh7K9I6fNlrMd7Eawlvkw6VsZ6 +VIsgHPxw2+4y1uONCLHjui5uKmM9XokwIPFq6tIy1uO5CGXn0l7NLGM9KkW4 +9XNym1UZ61EmgtMfwx7jMtajWITXDz36q5WxHoUi/B6vITm8jPXIF+HdZe2+ +v6WsR64IEZ88uztLWY9sEdzV9T/VlLIej0W44KBZWFnKeqSLsChwfdyTUtYj +VYR+ZW0+90tZj4ci/Biesji6lPVIEuHc3GytC6WsR6IIpicHNB4rZT3uiqCX +6HV9dynrcVuEygyDVZtKWY+bIhwMkxi+tJT1iOWub/yAFNtS1uO6CLVHTVaM +K2U9okT4uXr3D8NS1uOqCIUJ708rl7Ie4SIsXuqoMqSU9QgV4aio+1pPCesR +IoK2ZiivrYT1COZe/5t9+LsS1iNIBPvDQ0aWlrAeZ0V4FVbimVHCegSIkCET +UHO7hPXwE0Hrnt2kiBLW46QIXydIXAgoYT2Oc2fPm58PlrAeR0VIMJ9q6lZC ++yGCn3zBttUltB8iXG/lx80tof0QoWnjoXeTS2g/RJCYGC9tUUL7IcJKjXum +miW0H9znSz9tN7KE9kOEMZnj1vUV035wz2fpffcvxbQfImzw/29fTTHtB3c/ +r/zZX1FM+yHCsGu5u7KKaT9EOGE2Y1NCMe2HCJbvvBdcLab9ECF9kqtlYDHt +hwirGgbKeBfTfojwd/XsJrdi2g8RVMWWyauLaT9EKGnNOjC3mPaDez2ppvGT +i2k/RDCUjOkyK6b9EOHDul9R6sW0HyKIQt7OHl5M+yHCEOXpHX+KaD9EeLps +jG97Ee0H97znxSm/L6L9EEG2IOR6SRHthwitef8M0otoP7j7p/s2Or6I9oO7 +vmk8pdAi2g/u+8n71bFTRbQfXC9BZ8u+ItoPEXxvO9u6FNF+cNc/bVLE0iLa +DxFabLa0zSii/RDh++8WwZgi2g/u+biZ4q5XRPvB+b9YHitXRPshwpx+xm8G +FNF+iDBTN/9fVyHtB/f6Y8M06gppP0TY6x475lkh7YcIx/7Vz8gupP0QoXnE +vHkJhbQfImQW1867Ukj7IYLj0uCZAYW0H1zv185WXoW0H9zzsnERb2sh7YcI +7zUWDVhRSPvBfZ8qrX8/s5D2g/u+2XjgzthC2g8RUmRC9+gX0n4Isc40dax8 +Ie2HEJWPXnwdUEj7IcT3tPprXU9pP4SoEjTZfXpK+yHEVaXXbRVPaT+EOLTp +9rHHT2k/hMgcsVH+zlPaDyHuSvRGhD2l/eDOBps1/J7SfgihtjX+4r6ntB9C +rLybOtD5Ke2HECWV59wcntJ+CJF7x6x82lPaDyEuqJ3UEz6l/RDi4NcwD62n +tB9CJPzakD7iKe2HEFMl3v3+U0D7IcSY8l6LtgLaDyHc9J44vi2g/RDidp7e +0acFtB9C+G3SjUwuoP0QwutJyoPoAtoPIZ76VmefK6D9EOKws2+edwHthxAn +hqRmbSug/eB+rrDl/soC2g8hXpsGh88qoP0QIrFVfGhsAe2HEN3N05bqFdB+ +cNefkWEgW0D7IUTB98tfJApoP4SI0Hh9tzOf9kOItU+2bnyfT/shxIq1DrLF ++bQfQuzzDHiUkk/7IcR0vxEON/JpP4RolHrRHJRP+yGE3JpXuw7n034IoTpg +1I9t+bQfQrxYcXD7ynzaDyHCihVrZ+bTfnDvf/+t7Zh82g8hPLZlxujk034I +Ubww88+ofNoPIR48eDHrXx7thxB/a3sC2vJoP4T4qGVWVJVH+yFE7yOn3vw8 +2g8hVreE6Sbl0X4I4d5RahOZR/vB/fnebysD8mg/uOfTVmqLZx7thxAz/0hs +d86j/eCeJ5uaLYvzaD+EUJwW6jglj/ZDCBUlU1vzPNoPIeo+nDFQzaP9EMI6 +PuOfdB7thxATzySUfs+l/RBC6eK6c59yaT+EQFHpnPJc2g8h7Izb/qXl0n5w +z8fDh7GxubQf3Pu7GNleyKX9EOKRYNKHw7m0H9z96t++eVsu7QfnJd+iY3ku +7YcQjmv6O8/Ipf0QgnfP8Y0wl/aDu97zEyZr5tJ+CNH0MThsaC7tB+fZadOX +nzm0H0Lkf4mxqs+h/eA+z8wFnpU5tB/c82+xJjEjh/aDex42FH+My6H9EKI1 +1L9/cA7thxCfT15V88mh/RCivvqP6bYc2g/u9a2uiJbn0H5w98P2IH96Du0H +d31R53UEObQfnLfP1cPUc2g/OK9X5rQPyqH94J6PtXVPvj+h/eA+b1JwQO0T +2g/u+0pl7bzSJ7QfQrySnSD96AnthxAvFbWSo5/QfgghCh20PPAJ7YcQPYM6 +uzyf0H4IkV1adGTTE9oPIW7NDB608AnthxCu1bOOTXpC+yGEuLr2P8MntB/c +5wld5Cj3hPZDiGGbw9L6smk/uP7+icPbsmk/hDCbGbjkdTbthxAub8yCn2TT +fgiweseJotvZtB8CTLAN6g7Jpv0Q4MgxO9mj2bQfAkjNizN0y6b9EOBzwXXR +8mzaDwG8B1qJbbJpPwTw4q02tsim/RAgxWi4gko27YcAU/Ssf0pm034IsEKp +o+xLFu2HAC4So0Krs2g/BPj5MnJlfhbthwC3fS/J3Mui/RBguuTXx6FZtB8C +nOFHrj2eRfshwPr2az+3Z9F+CBA+uvPoiizaDwGUPQ5KTc+i/eBe/9NML4ss +2g8B1HmzmpWzaD8EUPu5Z5ZkFu2HADZqJVc7H9N+CDDCdnJb1WPaDwGK9YtM +ch/TfggQ6eS07s5j2g/ufkcP8g95TPshgNHhu7d8HtN+CDCa+wvG1se0HwJs +2/SpyOEx7YcAen5rCq0f034IUOn9JN3oMe2HAHF5fdGyj2k/BDhdIePzN5P2 +Q4Cvo7oXNmXSfghQ03VJ+Vkm7Qf386o/L9MyaT8EmKylePx6Ju2HAM6atUZn +Mmk/BDikPz93bybthwDDvNcsWJdJ+yFAP9eBL+0yaT8ESDaaNFucSfshwIeO +3ofqmbQfAhxvGq8onUn7IYC0ddfWrxm0HwIMUVBJfptB+yGAh298V04G7Qf3 +PMWG6d7OoP3grv9og11wBu2HAPu0D288lEH7IUCuzwYP5wzaDwGawn33zs+g +/RCgwKnezSqD9kPA/ffzjhW8DNoP7v5eNRg/NIP2Q4CtL/4O/55O+yFAp2X7 +q/fptB8C9L/Yei4/nfZDAJ/0r1PuptN+CGDi/KPhYjrthwARC7sPeqfTfggw +m18z2CWd9oP7PLcST81Pp/0QwMxl/d9x6bQfAowZ0OKknU77IYCszKTswem0 +HwL84i0Z2ZVG+yHAkwyThdVptB8CtK1OPpmTRvshgL9P6/34NNoPAeYG51QG +pdF+CLBhgGWdZxrtB/d9YWbVtD6N9kOA59sKauzSaD8E+KfwskSYRvshwHCP +5bdU0mg/BHAvtD/UP432g7uf8+JsWlNpPwSInrz237NU2g8B/st2vZWaSvsh +gF9v3uxrqbQf3PfH0LU1J1NpPwSwkrLc5J5K+8G93o/xtUtTaT8EqK13sbdO +pf3gnv+y5Dv6qbQfAmTdUOo3IpX2g+ux8qTtf49oPwTQetl7+P0j2g8BJnZs +vpP7iPZDgKleRaXxj2g/uOudO7rm3CPaDwHmGI2r3/eI9kOAC0Xi92se0X5w +11//t2DGI9oPAd7o+V03e0T7wT3fwkoPuUe0HwIMiC0Y25tC+yHADAWnjk8p +tB8CvBt6NbgwhfaDj9ghbvyEFNoPPjQDnmYGp9B+8Ln7FzXJK4X2gw+X990J +61NoP/gI2pUkNyuF9oMPaZ03Wy1SaD/42DXeIVk+hfaDjw8Sut96H9J+8HHx +gZVm3UPaDz7GnPa3LnxI+8GHf6LMwrsPaT/4CJuds+TCQ9oPPk57nLP3fEj7 +wcf1yXvGrH1I+8HH1KRNo2c8pP3go+j5so8mD2k/+Lh2YWrE6Ie0H3wYNinP +/ZlM+8FHfMLLr++TaT/4cCh2OZ6TTPvBh2BI6dC4ZNoPPpSsvh8NSKb94CNG +8U3HzmTaDz4sHbfMWpZM+8FHZUr0xUnJtB98GJXue8VLpv3gI9CyRmpQMu0H +H/XHSw06HtB+8HF++pgJzx/QfvBxvHs0Uh7QfvChKOUwJvwB7QcfIxq/q/s8 +oP3gXv9X44+ND2g/+JAL1npi94D2g481Q0K8LB7QfvCxM8LaSO4B7QcfR0+N +fPorifaDj699vQ4fkmg/+Bhn2PPqSRLtBx8dmn0zYpJoP/i42dMX55dE+8GH +VM63Xrck2g8+/HYXWS9Kov3g4/YQL4+xSbQffKS5/rusmkT7wcdLr+n3+u7T +fvBRO3pGyuf7tB98HPr1/e7T+7QffEh0zwi5dZ/2g4+lqXz3wPu0H3yM/h1j +tes+7QcfMxyudC+5T/vB3b9NQ6+Mv0/7wUdvWt04jfu0H3wMeK2U1+8+7Qcf +B/lR1g2JtB98nBu361ZhIu0HH3cXHJa+nUj7wceBl5mLAhNpP7jnZYTW2Z2J +tB98RE8Ly3BIpP3go/8tnbfjEmk/uPu5806DaiLtBx9ZaaZ1/+7RfnDPY3hw ++ad7tB98LOC9j8+7R/vB3U/773ti79F+8DFL7rnQ7x7tBx9/12z+6HqP9oOP +tpF398+7R/vBR/LP85LCe7QffITUjPCWu0f7wd3vYzItPxJoP7jnJSRo6tsE +2g8+vmUeOZ2eQPvBR0TQ69yIBNoPPu5E+7R5J9B+8PFx197+6xNoP/gw8Lw1 +yCaB9oOPJO3R//QSaD+474OisDrpBNoPPkryJz9suUv7wYeaY8e+kru0H9y5 +JNT4zl3aDz7krceXnLlL+8EH//3jFe53aT/42JSq+nbBXdoPPtw/TZshukv7 +wUfGQuMoubu0H3ys63vS/t8d2g8+BpX91Xtzh/aDj5YLlfaP7tB+8PFAx8zp +8h3aDz58rQZu9rxD+8FHzam5q1beof3g42T6r0kT79B+8HHW5edw9Tu0H3yM +1ZhU+vc27Qcfdasy9n28TfvBx9BLzrJZ3Hne8L17lv2xAH+MIPwqdy7W1nts +9dMCxWeHyh7mztPHvJBS7bLA2O/Ne9dy52w7H/s/7RZIuJpeDO48YY1F8Icm +C4jzdw/V5s7Juz68f1xnwX2fDB7fjzvzT57Wjfxggf6Sm5d8uvXF+la4latP +lQUeTj6x9gl31k9sSlr/wgKmYxYtu8adr+UH904rt8COt08m+XBntepp0/SK +LPBncN6oddw5pPOb38A8C0SHzX4G7iwzIPJ502MLnFxs563FnQMU56oUpVpg +SEeiigR3ljb9sy7+gQWSR3pE18R/sT6C+JunEywgXH5EOYs7/1287JtrPPf5 +lpV7XeHO+zYPtJp7wwJ7AmaVHeTO3QcfHLaItID/1oYhjtzZLWh94agwC3Qv +OW85kTu3xIwa1RVsgc4bNvaq3Nkp/fHSF2ct4BXZuqDn5hfrjxWuVx+ctsD0 +w3umveXOy+tVmoJPcNfr/lb7EXd++avQfK+PBUackey4eJP18LKAXFPz9d03 +WY+9FrA+sHfW4pusx04L+E64Vi28yXq4WkB/yNJlo2+yHs4W8Es7lfMljvVY +z/3+aGPl8jjWw9ECtbmGq27HsR7LLCC5f89pvzjWYxH3PBQOiHWJYz3mWuCx +1ZO7M+JYj1kWeD7japRuHOthYwGb5WeO9I9jPSZbILDk0NzaWNbDygJZzWsH +Po5lPcQWWNOuGx8Wy3pYWGBD/3Sr/bGsh7EFVG1lk5fEsh56FlB7qq4mjmU9 +tCwg8C52Gx3LeqhaYNqqwXc6Y1gPeQvYTSh+XRLDeoyywISWP21xMazHUK4X +71z78RjWY6AF5IP3VK2PYT36WUDjfXiCdQz5MIfhse4dajHkwxytg7Zo/r5B +PswR9epLyqsb5MMcfTYeE+7fIB/mGH2t8eaZG+TDHBY6YwZsvUE+zNHSvcDW +9gb5MMcXY6M9OjfIB/d65fGBfdfJhzmev8o//+46+TBHf93NR1Kukw9z9Pof +XXn+Ovkwx+fnAzS3Xycf5vCNqSq1u04+zGET+9VJ/zr5MMe39eOb+l0nH+Yo +d7i+6EM0+TCHa6ta/KNo8mGO4P1BreejyYc5xtt1y22PJh/mWHXOzMgumnyY +4+cOCwO9aPLBff4/jSMkosmHOT7yp9ZWR5EPc0zsMQ9LjiIf5hgkCrM+G0U+ +uPsR5VG2JYp8mOPKvzib6VHkwxxlzXo3NKPIhzmkv1V1/r5GPsyheyFB++U1 +8sH1mhgy6e418mGOLOuDU09eIx/c73+ey19/jXyY48KS3wMnXiMf5ihO2Vwg +f418mOP+qAC3L5Hkwxzvl87vK4wkH+awd4/cFxVJPsyx3mhL9YFI8mGOtMmh +ug6R5MMcmsvUl5pHkg9zuAyu3SEdST7M4fy83KP2Kvngrkfj9ZrUq+SDu/4Z +dcKgq+TDHJNP13RsuUo+zKGjnnZ22lXyYY5G+dWqalfJhzlkDqQEfL9CPszg +Nel+U+kV8mEGXavxRjeukA8zqFpOWHjwCvkww9bPkesdrpAPM/TULllhdoV8 +mGFX8kwrqSvkwwyGL9b1vY8gH2b4eTHg9oMI8mEG4cwU+EeQDzP4KBWnb4gg +H2Yo0klUnxBBPsww3H3pJpkI8mGGpR2xwS3h5MMMl3cH3MoOJx9mOFvaFRsS +Tj7McPdqjp9bOPkww/fjTYumh5MPM9T2zR6gFk4+zDBzb/WlrjDyYYap1gfl +isLIhxmkLmjsuRpGPsxQFhqXuTuMfJghY51U2+ww8mGGJzUa/7TDyIcZdn7/ ++ONnKPkwwy9ni1dloeTDDGckei5Fh5IPM3w1MZy6P5R8mCHvcHTl3FDyYYbJ +Lg42uqHkwwwnRAYRvy+TDzNEqPZ/V36ZfJhh4pSKv9GXyYcZUm7vldp/mXyY +odq+/pv9ZfJhhtLevzm8y+SDux6PO/t+XiIf3Odb/U6m9BL54F5vuvu5yEvk +wwy8iMXfPS6RD65nlvuEWZfIB/e8td/epH6JfJjBefa33d9CyIcZ1rfqbc4P +IR9mSMoQ4nII+TCDfHhfj2sI+TCD5UyPywghH9zzsGmfqlwI+TDDvCPdh5su +kg8zGA8rK0q7SD5MMWVF+38BF8mHKX7FjpNad5F8mKJa/vJP0UXyYYrtN7+X +DrxIPkyhYS88/jaYfJhiYOU4rdvB5MMU7xo6Iw4Fkw9T5OtP+Dc/mHyYwnKk +5BSdYPJhiqI+i03/XSAfptCcdGPb0wvkwxQPv8xaevkC+TBFoY6kztYL5MMU +2kWplRMvkA9T9N2fv3bEBfJhisaQu89qzpMPU3iPTtVNPE8+TOEe7rD8yHny +wV3fpc3bF50nH6b4PaN6k+558mGKHWX+1v8FkQ9TJAk3/c4PIh+mGLF29oWL +QeTDFPVa2qOcg8iHKY7ySl3HBpEP7v538+Olg8iHKdqlxIVvzpEPU3jGpxbE +niMfpugvH3Nj7znyYQrpFR+cbM+RD1P8c5smqXiOfJjC2DjjaONZ8sFd/1ST +z8lnyYcpzOZ58I6fJR+mGFLoOWXxWfJhiheSPOicJR+msJ9kr9EVSD5MMehU +zbvsQPJhijE16fsCA8mHKQ4p5H93DCQfpqio/zDPNJB8/N8/33yq5wz5MEXC +/sKowjPkwxS9A9eEXjxDPrjn8dEZd6cz5MMUJRFWesIz5IO7HzemPOoLIB+m +MA09aVQWQD6459fg677QAPJhip0vlsc4B5APE0AyNlEcQD5M8KX3UWi/APJh +gqHV7uvK/cmHCWTCEqTC/MmHCaYrLfF19icfJtgrPb1R5E8+TPC+ZxVPwp98 +mKB67aEJpafJhwleHT0luHSafJign99qSafT5MMEprs/JFmcJh8mOMNrtO71 +Ix8muGi3LK7Aj3yYIPWwbMc5P/JhgvGin8Md/ciHCf7E1w0x9CMfJpg9NPlz +1ynyYYKbC+wvZZ4iHya45OBnePIU+TCBc6HdhYWnyAf3euJt79ROkQ8TRPRV +/G08ST5MkLVxQe+9k+TDBIUHK154niQfJqgbY+hrc5J8mCB9mZX8iJPkwwQL +j9YefONLPkyQY96bHelLPkwgdWvTx82+5IN7P02J10Jf8sHdT//rsb0nyIcJ +lAv4i/JOkA8T2B/wqfI/QT5MEG+xa6zDCfJhgoJJddvVT5APE/i+jvdpOE4+ +TNAocdP1znHyYYLky4/4u4+TDxNsXJRcNvE4+TDB02TPaZLHyYcJnqnXnys5 +Rj5MsDaz9FHQMfJhgr+hIx8tP0Y+THD1nG+g1jHyYQKX+SOtm46SDxNsX+FT +cOco+TDBgYGP9DyOkg8T5B4KWDX+KPngrjf73RaJo+TDBHfCveYXHCEfxshM +njXc/wj5MMYmH7VrC46QD2Mssy0fqniEfBhDpD3O/r0P+TDGlB7hxms+5MMY +loEhizb5kA9jNG+brGTiQz6MofBf3/0vh8mHMZQWP9B5cJh8GCPKT7Rl32Hy +YQxPF4cTEw+TD2OMD/i2V+Iw+TBGP5eayXne5IO7vrE/qn29yYcxhIOGz5nt +TT6MIZfSc26EN/kwxqrO4NvPDpEPY9zXTw67cIh8GCM8xnzN0kPkg3v9I1U/ +lA+RD2O8DT25/v1B8mGMb1fkoq4cJB/GCBq8MHntQfJhjEk8hXDeQfLB/fyP +5ZJ6L/LBfZ59Jz7f8CIfxjhi/X6asxf5MMbUoqF7Db3IhzFWLmnxajlAPoxx +tGumQ/wB8mGMjF3/+rYcIB/GkPb84GVygHwYQ3Z7akmbJ/kwRsfxje23PMmH +Me6mPfi41ZN8GMP0+f4oE0/yYYwby33Htu0nH8bYHpMQEb+ffHA9X+W93Lyf +fBijqOTSe8P95MMYJ8R/HzTtIx/GsD2S6xizj3wYo7Ej47XTPvLB9dqTpqmz +j3wYY2Ot/7hPe8mHMYwfSmpf3Us+jNE+o6tq1V7yYYyYGL21KnvJhzFS77g9 +fLOHfBjj7K/wdxf2kA8j2DR5VyzYQz6MYBvReG7EHvJhhP79Inklu8mHEQ6m +e/r47iYfRugbO/3utN3kwwhJ0WVxfR7kwwh2HZ/c0z3IhxHC8uYN3OtBPrj3 +D613EXqQDyPMurrtUscu8mGE5LyUC3G7yIcRxqUHOm7YRT6MkNf1pEt9F/kw +gkmoyuKqneTDCBlXNvoE7SQfRvh4y81zzk7yYYR4437WA3eSDyOcmtJcnrWD +fBih6EWn0f4d5MMI431q5gl3kA/u/W8cQ5s7+TCCxPK43mh38mGEsm1yPqvc +yYcRGncEVcq5kw8jHLjT1lK6nXwYIZvXUnpsO/kwwomARfsnbicfRihc393Z +7UY+uPtXedbslhv5MMLgjG7xejfyYQSpvM+Sym7kwwihkwwjKraRD+5+WZ78 +e3wb+TBC3LZivYnbyIcRNNYmy3e5kg8jTJ8wsizWlXwYcX+fj5jt6Eo+jDDy +q/45GVfyYYQFCdsjnm4lH0a4qD3J3Wsr+TBCv95FgwVbyYcRLkXtc2nYQj6M +UCzYHnB5C/kwws9dvXvtt5API3Q31Br020I+jOCXXBf+YDP5MMKAJ7mvnTeT +DyNcvzjjlcpm8mEEgYfZpTIX8mGEbTPGax52IR+GCI8z3yJ0IR+G+Pu5ZF+9 +M/kwhN2X53YXncmHIca6SdbaOpMPQ7Tc0xr3exP5MMTOpKaF8ZvIhyHmqSvz +V24iH4Z4C4+KoZvIhyHyVB7xMzaSD0OcXxC9yHUj+TDEEMvvY9U2kg9DxGe6 +fChxIh+G0PB/PO2AE/kwRFLz/S3GTuSDe/2c/gvfbiAfhrC4t+W37wbywf28 +PWbtmA3kwxCJy3f51q8nH4b46nV6W9B68mGIh4fjZbCefBjinfD0no515MMQ +NpLNl0LXkQ9D2A86sN92HfkwhOj7X8Xva8mHIfZoGHtEriUfhphy6FHAnLXk +wxDl8eucfq0hH4Yoqf7wM3oN+TDEo8/1tvPWkA+ul5X54p7V5MMQZe3uajdW +kw9DeN3eEDlvNfkwRENORs1vR/LBvb+CsDrakXwY4pnB/kB7R/JhCKGsbd+P +VeTDEI8D5htFriIf3P1omDV81iryYYgl/Zviv60kH4a4v+5dv9CV5MMQPsrV +o6euJB/c/X8VXN2ygnwY4uOb7JXnVpAPQ0T/GBY8bgX5MERduMmJmuXkwxBK +RWXmJ5aTD0NcG3b9jOly8mGIgadX33i+jHwYYtrv2zv3LSMfhhgRMfmH+jLy +YQC1OTkWuUvJhwGctzZquCwlHwZw7VyWO2wp+TDAriVP1RKXkA8D5Cd+NHZY +Qj4M0BU6u+OXA/kwwOBxaRvCHciHAV5bvQ6wdiAfBlB6Z7e1bjH5MEB6TU7v +scXkwwAjLzRPNlhMPgzwu2SjuGgR+TCASd3nd1sWkQ8DLEoZajlsEfkwwMzE +49Z3FpIPA1in/e6xX0g+uOu1HuncuYB8GKBcuO3omQXkg7s+qbR55gvIB3e/ +4sNKyuaTDwPMCU754TqffHD3L/V+5dD55MMAYb6C5fHzyIcBYjvfBM6cRz4M +8GP5LI/GueTDADn3DAcem0s+DGDsoDRdey75MMBcnTT+Y3vyYYBN7gmFK+zJ +hwGqBl8e9nMO+eCuT6z4J2gO+TDA4/iii+ZzyIcBzkTMe180m3xw50TbUqfZ +5IO7/33zNvXZkQ8DtI0aGH/ZjnwY4PTngSEiO/JhgG+fO03LZpEPA2R0Lt+6 +aRb5MIDhvM8L+maSDwOMeKxXGzKTfBigYW26PH8m+TBAZuGkrqe25MMAY75N +373GlnwY4JC165UfM8iHAab4zNwWMIN8GOBK245POjPIhwFUVLx7UqeTD+7z +Dh/4aN508mEAWZNw5QYb8qGPr+Nfa3jakA99HHSe+XSEDfnQx4iJJ2Sip5EP +fbyM5kmMnUY+9PGkOSGweCr50Mf6+1nZjlPJB/dzyc9BX6eQD31YW8dLHZ1C +PvThonpDSX4K+dBH8a31JTEgH9x5xQ71cSAf+tjVsnpEkTX50Mc0t0cRy63J +hz5+LhpS0jKZfOjDYVlt8P7J5EMf3/zy/w6aTD64zz/bpv+lSeRDHyV2jVH6 +k8iHPoYeF9c8mEg+9DFwesrDqRPJhz5CtH4YVk4gH/p49XOvpeME8qGP/O6c +dy3jyYc+hh9fpbpnPPnQx5X1Rd39xpMPfWw4GeAUYEU+9NFgabpNyYp86KOr +3WB49DjyoQ+497cxG0c+uOvNmTg6ZSz50Mc93lIPjCUf+pg18plr0Rjywf0+ +jHoWjCEf+vgc+0G12pJ86OOH+9Zn6yzJhz4m/p2r0iImH/roXz/gx3Yx+dBH +3FdJpx8i8qEPDe3SDV4i8qEP1+vPv/cTkQ996L09q+ArJB/6aJ59qHCokHzo +43ny6CFnBeSD69WTXS0rIB/6SDTsNz6ETz70Ye8xw0CFTz70IbCSjAq3IB/6 +WPfz9k0NC/Khj6oF9ydFmpMP7v2HHXfUNicfeggaFjwoyox86OHdwgWTeWbk +Qw9a/wz+XTMlH3pYb3vCVtuUfHC/f7hKOdKEfOjhjYyfh4YJ+dDDMy+ppeHG +5IN7/fkPspWNyYceJov67l00Ih962F5lbyBrRD70MGWAtE6gIfnQw+ijZ6OH +GJIPPXwTzIs7bkA+9FDZFcOXMCAferia0DPBU5986GHT0ttF3XrkQw+b36u9 +ddUjH3oQCW9vbtAlH3q4NzvV01GXfOhBqBw19JUO+dCD94lc1Tk65IN7/337 +43N45EMPL0s1UsbxyIceYhYPn3JXm3zooeBVhK2ONvnQw1Bpw+IQLfKhB78z +/QuHapEPPfj0HYG3JvnQw8Sqv8IuDfKhhw7vgitOGuRDDydtLI6+VicfeghZ +dqrGVp186EHqmW7SIzXyoYfOqPl/DdXIhx4cr63IvKRKPvQw7EBgl7Qq+dCD +9qPx4XtUyIcePqXEpNYrkw/u9++q2S1UJh96UI+qn5OlRD70kLd5+hMTJfLB +3e+bx2JCFMmHHgKfNfb2VyQfevihnJC9TYF86KHVR/P7G3nywT0/pfuCp8iT +Dz1IHOqJjpcjH3qQqa7TkJUjH3rwrXWTOiBLPvSgMbt6SZ0M+dDFO781MrNk +yIcutuWPHZswmnzowu95aJ7caPKhCxurrNT9o8iHLlaaVct/HEk+dOHrr1k1 +ZST50EX6uyd910eQD12ssek6LT2CfOji9LnaA5uHkw9dCM3Sy4uHkQ9dzFZP +OGoyjHzo4rtE8+XTQ8mHLvyHnx3VNoR86CJvaGXjrCHkQxcJiytU4waTD+7n +5Ul3pQaTD118aL12Zf0g8qELs2sZrY+lyYcuLNz0glSkyQf3fvP+nN89kHzo +oi97zZcKKfKhC/1U12gjKfKhi6UxkxOOSJIPXbhs/TL63QDyoYvtq0KKhQPI +hy72TrCvOtWffOjC0lJ/fG0/8qGLWx8sv1j2Ix+6KDM988NPgnzoQuaA9bya +vk7mQxcDDy37JeTO//Ohi0qbxq/H/3UyH7q4K/4+tupvJ/PBXZ+Uf6kRd/6f +D11kZybf8fzTyXzoojxhb3VxbyfzoYvVu18vUOHO//OhC+v2lzKbezqZD+55 +EHqopfzuZD508SoqaZskd/6fD10crjwrteBXJ/PB9Tkq/Tn8ZyfzoYua5NFS +zT86mQ9dmATEbhFy5//50IXx8iwZr/86mQ9dHDtj/yfveyfzoYsBuTb6w7nz +/3xw73czOHBxdyfzoYuC4RZjw7o6mQ9dOFf+Vf30rZP50MG5uvaJ+tz5fz50 +UDKl4dKWr53Mhw7udJeZ3/3SyXzo4Hw//79fOzuZDx2M9egvKepkPep0MGee +zBSPDtbjgw6kA0KTHrSzHlU6uKa8b8X3NtbjhQ7yy4+LRW2sR7kO/rqFY0cr +61Gkg6HXgg7ebWE98nRg1TaxtbWZ9Xisg8t3tx3Xb2Y9UnUwhfef/bom1uOB +DtznXpsa1sh6JOggQXry+pcNrEe8Dt63XLg1rIH1uKED0aJt6jb1rEekDrDG +/6HnZ9YjTAdFnx7svlfHegTrIGZd7PKGT6zHWe7+yPLWKX9iPU7roP1lrd+c +WtbjhA5W60e8OlTDevjowF9/BO59ZD28uPvj31xY+4H12KuDqqiPbqM+sB47 +ddCNSL71e9bDVQfRBdXDtr1jPZx1UCtv1T+0mnzoQBywYWTBW/Khgx/9JETf +qsiHDnalJW5VrSIfOhjmovzI5g350MFE7VcK216TDx2ErDx84sIr8qGDxIYk +qfSX5EMHv2qlzte+IB86OJw+2EzqBfnQQbLR/OeGz8mHDgZoOfvMfkY+dOBW ++nbctkryoYNRZ/k/AyrIhw4Cfn1Nu1NOPnSwPTXiSGkZ+dDBvhsP57SWkg8d +vIh9pChdSj50MD1TvY5XQj6457djVfykYvKhg8yprW5Li8gHDz75Y8zdC8kH +D6E77zX6PiUfPCyxzLl4pYB88FBX82Lig3zywcMXHbs3hXnkgwfx6RNO73PJ +Bw+125vqOnPIBw+/vj+dL5FDPni4+s/79qgn5IOHKcZO3zWzyQcPyQX5+uZZ +5IOHyaVdUyY8Jh887HlpaWObST54MJR5bbIwg3zwMHSW4s+V6eSDB9d8q+tO +aeSDh8eLPAWuqeSD+/PjZCJ2PiIfPCzVG9uwN4V88FAvVh3k9ZB88OD4oX2A +dzL54KGl5fXrww/IBw+aOyS8fZLIBw/tJf49h++TDx7s/cNsvRPJBw//ds10 +8rpHPnjot/XW3H0J5IMHhT1Vkrvukg8epO/UHHO9Qz649+eXlTrdJh88WPBu +Vq+8RT54uH9x7+0F8eSDB9/AcZhxk3zwUNzZdN4qjnxw93vbwRsmseSDhxeB +7TvVYsgHD8MidHuG3iAfPNgEjbLqiSYfPLxVCjFriiIfPBgdCH31/Br54OHw +5V/mmZHkg4eXH/3GxlwlHzxssdTvCLhCPnhY1Btq7xFBPngo+vFgyfJw8sHD +ZrsJAyeFkQ8epm3+ulwzlHzw8GxI0DyJy+SD66/xtr4mhHzwcCZ7v+Lji+RD +G5omOg2hweRDGwNue8/ec4F8aOPBRfHM+efJhzaujK2rMgwiH9qQeqrT23eW +fGgja2Xg7VeB5EMbhR+SW2+eIR/aiP/FS/IKIB/aSB/uOGCuP/nQhrl+Q5X6 +afKhjX4NYwTtp8gHdz37CgenniQf2qj2H7L2mC/50MaBCS6CuSfIhzZm/Jtx +QOE4+dBG2NWBEz4cJR/aWGnSzy3qCPnQxtkav9GbfMiHNhrVuniGh8mHNlqX +eF9tPkQ+tKEmuftY7EHyoY0XASMrnLzIhzZqrY97aR0gH9oYMW6gX/V+8qGN +1Q4Z34P2kQ9tyBmUpM7aSz60Efhu3ut/u8kHdz3m62zve5APbcxZPnKI0y7y +oY3Jfzeoyu0kH9rYK79+X447+dBGXJ2Uxvbt5EMb3VU2g1XcyIc2998vw8fm +upIPbby9Znt9y1byoY1/SS/sR20hH9q4bHua/8CFfGijPQmzljiTD20cHpl1 +4cdG8qGNz6rFI4OdyAfXW6CRIthAPrQxzsjdt3Qd+eA+v922gxvXkg9t6IvS +g/+sJh/ayMj5WXrOkXxw1yeZqqm3inxoY4VqgN/DFeRDm9vrnsEzlpMPbby3 +OBT6Yin54O6/TOC4NUvIhxa014fWNi8mH1rYulQq0H0R+dDC2yRT/FhAPrRw +4pbT1/3zyYcW1Ep/hfXOJR9aaPlsYn3AnnxoIaB57Otfs8mHFvd9a+/oYUc+ +tOCWGlzZMZN8aGG8vbnpRlvyoYUeAzPX6unkQwvhXlf87W3IhxYcBh4/9Xgq ++dBCbfvb1WZTyIcWFsX5Dwq1Jh9auFB/5IjkZPKhBVenS4WuE8mHFkT1V14/ +H08+tDDqj2PcGCvyoYXqfuetLo0lH9z9aP16/Kcl+dCCmYnWqcVi8qGFK5cf +T04Qkg/ufsxZEyUtIB9awAG/BEcL8qGFV6sy1yWakQ8tDJfe8qC/KfnQQuSc +n1ELjMmHFlTCsg2vGJIPLe7v6/JTmvXJhxbeTZ7QZKFHPrRgN2Gzwm4d8qGF +sbalRSna5EMLI/KP/fmpST60UFkdc9NSg3xoYcOc8aXuauRDC6scxq+5qUI+ +tGB1JNixRol8aGHIKbMcGUXywX1+7Te+0+TJhxaS3y+/uUOWfHB9e7drR4wm +H1p4Pb2gNX8k+dACv6a3r204+dDCM7WolSOHkQ8tJC2f+MtiCPnQwuFi60r7 +QeRDC/nzZT+6DCQfWjhkP1LNR5J8aOHHzoPHLvbvtL6i0tl+75cmuoMvjb4p +0Wl9YZqd165OTVgd8s989K/D2s81ZuiYek0sfLj7SP6fDmvv4P5hv95qImLF +uhUVPR3Wux+vNk6v0ERM0xzr1786rLc2paUezNdEurS+SfWPDut1oxRnIV0T ++WuKFd9977BeYrWrqn+iJhaslO6r6uqwnrO+wjk/RhM1Py9Xv/jaYT31tMlP +33BNLAk0v17S2WE97oHvCbsgTSReW+uQ3d5hbf7hs/zwk5p4HZHWlNjaYa0z +EDcqDmoi5Gby4sjmDmtli3Bx0C5NVLqkBp9u7LAeuexX7uLNmniQq3p9V32H +tZTP4kWKazRheFtr/7K6Duuem/fq3i7WxJS638PH13ZYf30+bGe4nSaepxSs +VPrYYd3Y69JvDTQx/MX5ld3vOqzf6+af1R6jCaOvKwaWvO2wfmavrVVvogm1 +qP4OV990WD/dczAhRlsTs2VWw/1Vh3Xm1beTNytqYmqW6ZNJLzqs//f/89PE +2vEqldLPOqzjvnHfev014VWfubG8vIP10MCum6Ge50o7WA8N3EnTkF5QTD00 +cGBm9IDhhdRDA6vHu7rk51MPDRg2xpp55lIPDXTku802eUI9NLi/z+emVj2m +Hho40nPW/UgG9dBAqv0dZ8M06qEBTcvXF4tTqIcGLNfc/88lmXpooKSw8sCA +JOqhAbMtcVqh96iHBr7XhbwzvUs9NLBXtyE6/Rb10MBLlUz3GTephwZOLRZZ +lcVQDw1cjpvyfd516qGBse+eXiq/Rj000IjT6rOuUg8NyKwdsz8rnHpoQPHc +sihBKPXQQFz4xsCrIdRDA8HLkscMDqYeGmieevSMWxD1UIetaqd/RSD1UEe0 +508D0wDqoQ7lzUcdjvlRD3VIdy0dWuVLPdQx56GatcFx6qGOWK8VjTuOUA91 +6GR7/kjxph7qCJ341Om3F/VQh2D/Zv0xntRDHZXzt/Dd9lIPdRzel7j3mgf1 +UMf9AVLfKnZQD3Usaxx07rcb9VBHocfkORqu1EMdaz8NUJy8mXqooz7lfMOy +TdRDHbuWSN5220A91GHsZLvaey31UMfM6UZf/Ryphzre+M93OLeCeqijbOsk +n6Cl1EMdfuqznM8sph7qSFlwo+fYAuqhjs3nV5rvmUs91OFzZ85/62ZTDzWM +G8afOnMm9VBDvup5aaPp1EMNiterxkpOpR5q8NIel/92MvVQg61K5rWbE6iH +GqbumJG1axz1UEPng+Mjx1lSD+73t/47+kNAPdSwZaXUiARz6qGGe5VZV9aZ +UA81bPvIMxhhSD3UIBHRFfJAl3qoYa/Tk0+LtamHGqwHfPneqU491CD5LfbJ +ERXqoYaog/VjRylSDzWo/XG2DZGlHmrY05JVoziKenDXN3La13PDqIcaSqNG +uUgNph5qCHIcOWanFPXg/vyF9plV/aiHGhJsVQPH/mtnPdSQafHiR2BPO+uh +hl15I90+/WhnPVTxzDqwzqS7nfVQxfmwZ1O2f2lnPVRx+5Ti/ltt7ayHKg45 +r9td29TOeqgi2cRNZ0R9O+uhimtz07aLa9tZD1V8vX1oxqL37ayHKiKdEs5v +qWpnPVQhf7tnqufLdtZDFQrRT62PVLazHqqIU7fcfaS0nfVQxSkXpXLPwnbW +QxVi6T/irXntrIcqJm7VuLAou531UMX76+6vRBntrIcqTg8Lrhv6qJ31UIVL +w4fr75LaWQ9VOP6aLRWd0M56qOKhQUD7ulvtrIcqRvb2TFWKbWc9VLFO5/LX +/Kh21kMVv37IfthypZ31UIVWw+MvA0OphypWfQoaGRpMPVTxKd9UR/cc9VCB +0Z2SUTf8qYcKVk+d91jtJPVQwbpBY0afPko9VCAICev8coh6qGBnxZgJsz2p +hwoqBix7F76beqjgr2LT/Xp36qGCocHrbvBcqYcK8u9XBS9xph4qqHL4sunw +euqhgq39q3quOlIPFbTkLBQlL6MeKgjuf+tb1iLqoYJ7K5MVsudSDxU8XVZ2 +JHkW9VDBK8MX8pE21EMFn6cbJXhbUw8V5A6/b7Z4PPVQgc+csu3qltSD+/yP +HBa9s6AeKrjheCU5wJh6qODW3+27xHrUQwUrVgzcXKFJPVQg6TF852oV6qGC +ZclXNn+Sox7KEI6YbLxsJPVQRtSf1wE5g6mHMqKPpm7TkqQeyhgyMiRqx782 +1kMZUjbekg9/tbEeysjNfL+lo6uN9VDG8eQdtxU72lgPZcQGL74qbmpjPZTR +uKddyeZTG+uhjFm+HR3T37WxHsoYtsKhy+pVG+uhjEpN59+aFW2shzIcC2Jf +/SxsYz2UMb3v7bInOW2shzJCTXsWeWW0sR7K6BaODzF82MZ6KKPT4u+3/IQ2 +1kMZS+ReCBffbGM9lCGn7WHwIqqN9VBG7/SM8KnhbayHMo6ti1kdFdzGeijj +8FrbcV1n2lgPZTyeWNQtONnGenDX83bjinU+bayHMpwy14kPe7axHkrYGTNw +1pldbayHEp72RKw+7drGeihBevTW8Xs3trEeSji64EbkgtXUQwmDzXcuVllK +PZSg8+Wj4rN51EMJDg7Vt3bPpB5K6B5mXik9hXooobdr7zRfK+qhhK1GOrnd +AuqhBLffa4faG1MPJcT5aL8J4lEPJdSZD6vNU6EeSpj4d+/HOhnqoYQe7w2n +2odQDyX8d8f14qf+1EMJge6FqU96WlkPJch3W8X4d7WyHkrAvcHDp7a2sh5K +8J3wJ+LTp1bWg7tfuTOlt75tZT2UUDon8L8Pla2shxJ+h5fLji9sZT2U4Px+ ++VDvrFbWQwlH6sqO3n7YynooYqLFQJ2cO62shyJC/EcHZF1vZT0UsdZB3+l6 +WCvroYhgx4sz3YNaWQ9F/NyXU8U71cp6KEIqfHhiuncr66GIE+crto7f08p6 +KOLAXNtrV1xbWQ9FLHvo39S0vpX1UETXqCPP5Ze3sh6KCGzK6zaY18p6KMLU +7Uq1+vRW1kMRnRu7ZX+Ob2U9FLF8t8g4id/Keigi/avtjQX6rayHIvc8HBny +XLWV9VDElj0n34tGUw9FlE63CdszkHooIm92We7l3hbWQxHXbztUhn9tYT0U +cTRPbpx3QwvroYhBcwTbUd3CeigiOjm+saa8hfVQxLW0KcMcc1tYD0X8G7HW +LiWlhfVQgIewT7rzVgvroYD0xWfiJCJbWA8FuE19c7rzfAvroYA98+qGp/i2 +sB4KsBG9PbH8QAvroYCA4ma7V24trIcC9iVqxxutb2E9FBAYt/Srg0ML66GA +v8l9TstntrAeCijrHjZbNKGF9VBAX09JbZ1ZC+uhAO/qjDXOWi2shwLe+W79 +kyXTwnooIOvhjMY2yRbWQwH9Buy2bf3RzHoooGVpnE1aczProQC5Q1/+rKhu +Zj0U8Cfl+KmSkmbWQwF5iyL/DslsZj0UMF1x/Calu82shwJKN78v6rrSzHoo +gKe+yfByYDProYALyRWeQw43sx4KiBrRcX+6ezPrwV3/WNP0WWubWQ95fFm/ +YI/8/GbWQx43Ui2yYq2bWQ95eH1Z7dJn3sx6yKNtTccEVY1m1kMe/06M6P09 +rJn1kMfNK/mOob1NrIc8dhr4y/S2NLEe8tDT/J6pUtXEesjjc/T0np/5TayH +POZnKi49l9TEenDvL1G/rCGyifWQR1rmhX1dAU2shzzurxuuk+LZxHrIw/X2 +7UcC5ybWQx6CFYX+axc1sR7y8Hiu3TDFuon1kEeEy6LfL4ybWA95hCZadskp +NLEe8jBzntjSv18T68GdbYubwtoaWQ95KC040PjhVSPrIQ+/FKfSgqxG1kMe +taWz3BbfbGQ95NHIyw84FtTIeshjteTGqsUHGlkPOTiuSmvM2dDIesihecjy +CaWzG1kPOTgFFk5xEzWyHnJYV7XBPkalkfWQw9J2/Us7+jWyHnKYLbF5SllT +A+shB8PtPnMeljWwHnKI+Ohw1SipgfWQw+YHFRK6lxpYDzl8rhijGOXVwHrI +YY7t9k2RaxtYDznI3FWcq2rTwHrIId7guPRIgwbWQw7zpoRG7x3cwHrI4eb4 +3YMWtNWzHnIIGNTVebG0nvWQQ+L33hqbO/Wshxz+mxEvWBJQz3rI4WANT7LA +tZ714D7P6EmTA2fXsx5yyFvz8dRto3rWQw7q/YbMV5KuZz24+6kZ9qD082fW +Qw7DaiLP5WZ9Zj3k4Prfgp0/Qj+zHrK46OwfsHr3Z9ZDFoNWv8z6Pvcz6yGL +pIW5xxINPrMesniT+/qLf18d6yGLjrGnrx96Xcd6yCI1+E7Zwdt1rIcsXp0t +kvXxqWM9ZPFJ/lLQIYc61kMW89XmlG01rGM9ZLG95eK1ST2fWA9ZnNw1xqq5 ++BPrIQvlP1W/V4d+Yj1kEf9BcdcFl0+shyxULsDO0/IT6yELUW6wTr9+n1gP +WXyouXZSqaSW9ZCFyxbtkrvna1kPWbxw6/p8b0Ut6yGLt0OX2w/RqmU9ZLGo +90TCrKc1rIcsgnplvlba1bAestj3rkJ1T/FH1kMWS745TpWY8ZH1kIWkxk4D +QeYH1kMWI4v8TudZfGA9ZDD96upF2yPesx4y+G0278RHqfeshwzsTn1Xfujy +jvWQgVCi5mBgfjXrIYMLUyJf/1StZj1kcHN5yLNtW9+yHjJ48VSve3VSFesh +g2eTqy11u9+wHjLYX1OW/dfoDeshg6xdtRuGL33Nesigbuggp3n7X7EeMih7 +49h6NvAl6yED+ec+IzdcesF6yODp7K+tg4Kesx4yWHPQUH615zPWQwaDWu4u +SJxbyXrIoMHXJk1RsZz1kMGAferf7y4rYT1k4GVn4xXoUMh6yOB5kkvFxd48 +1kMGb8vVpnaaP2E9ZLAnpOs/J59U1kMGzZFCVXeHO9b/B7x1ubk= + "]]}}]}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[0.880722, 0.611041, 0.142051], + Opacity[0.1]], + GraphicsGroup[{{ + + Polygon[{{8., 0.}, {20., 0.}, {20., 1.}, {8., + 1.}}]}}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[0.560181, 0.691569, 0.194885], + Opacity[0.1]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxNnXk8Vd0b9iVSSglpkqTJcY7jDChN7khSpEFKiRAlKlEkROYp0kAIoZSU +nkpzmielSUpFyTxlr1KapN79vta+f+9fz2d98nDO/q5rX3uvdd/XGueyeYmb +rIyMjGk/GZn/+9+Iex90t1XrQIhGRMvPzk8mimdE9ftqdeCt/qti+cOfTJIO +hWWcbdKBojWH55oHfTJRi6lY+qJdByrSE+5ZrflkkuY7adDnzzpgulRm/Op5 +n0w0nbbfHfxdB8wq8q7LSj+Z5M1/FKTXrQP/wjYxO8d+MtEx0jC0kuGB/PtR +a9cofTI5NW5TxwZ5Hkx9tNR89Z92E6nSzaOxijwomncGTD+1m1z6OdTx+BAe +fB5u3NVa3W4ys8FV/YEaD1bXmulMfdpucvvZ+aeNI3mwaoheq/rNdhOLqwrR +cmN50PEg7M7Ws+0mZfn2JuMn8EBP7m6i2dF2k8V7C3/M5vHgRcieLP+D7Sav +g3tOrxHy4O47B5FCQruJg4fN+hApD6If3+vTFtJuUmubo5U1lf35AxGyI7e2 +m7jD1zfXZvJgiW/hyMT17SbtfPPkKlMeTPA48tNsdbuJ9/BUy98WPBjWIv1p +uKTdpEu2tc9Iax7MCmz642TRbrKDmXZlyhIeqDuqOl+f0W7y722Cj91yHswJ +HVdvLWk3+X88HHgQ5DA8daBOey8PZx4sqi55921Mey8Pdx54qpYtUFBr7+Xh +yYPSzLM3QLG9l4c3Dx4eOz8jW6a9l4cfD9IK1L9p/Wjr5RHIg6seGjPvdrT1 +8gjlQef48j3RDW29PCJ5EJriGOVR1dbLI44HXS/fPltf3tbLI4kH7sG7p0SU +tvXy2M+DnY8SM6/cbOvlkcYD7RtHuhQvtfXyyObBepvN5ltPt/XyOMKDltH3 +c7vy23p5FPBg3Z+5ksSstl4eRTyoeK40aWZKWy+PczwYMWLPtT6Jbb08LvHg +65FUk6rItl4eJTwQBq3oWxrc1svjNg9MgrLcHm1r6+XxgAfb24Y8+bCxrZfH +E/b7eoQdl3dv6+VRzoObU9ZsmuXY1sujkgc1L0YfirFr6+VRzYM/Xv+dr1/Y +RvXBgzXleuY2Fm1UHzyo9Fr47pFJG9UHD2x91BXtprZRffAgxThw02dRG9UH +D8qbh+an8SiPbvb6XL27dLE25SGjC9MWJmoOH015yOuCzMxpZ9pUKQ9FXVh+ +RvfK40GUxxBdCFmp3X5ZnvJQ04VVG1V+Ff9tpfrQBV2bTxnXfrRSfeiC2kG/ +xOefW6k+dMFs/MTEz62tVB+6cKL160qN+laqD12Y7znn4tLqVqoPXSj2LlmX +8qqV6kMX7n4VSBuetlJ96MLEvl51Mx62Un3ows7tc+DwrVaqD1247rBi5OCr +rVQfuuD8VnFGVHEr1YcuhB/csL1/USvVB3s9to85vP9YK9WHLozdbh2lm9NK +9aELox+l/CtNb6X60IXAL99rffa3Un3owtD31+QmJrZSfejC/bYA+BjdSvWh +Cz53v246squV6kMXVBSGe24JbKX60IWr+W3KFttaqT50oUA2YubEza1UH7rw +OLP76UCPVqoP9vpucTny26WV6kMXzj25mPnFgfJI04Xv92oyPttRHtm6sEUt +PfTHIsrjiC6EBQQI+y2gPAp0gURXxWiYUx5FulD19qPvNBPK45wuPDrmVuFk +THlc0oUPV38nJUgpjxJd+Hj1Q8JNPcrjti70u/fjTPdkyuOBLtyyfdI0U5vy +eKIL+jvCVKM1KI9yXRhs9VyrUp3yqGQ/b7bMT72hlEe1LsR3t4TFD6Q8atn5 +9KCxkMhTHk26sO3Uq9UrZSiPdl2oNRgW8fh3C9WHLoyK3aFo1tVC9aELT7cs +fn+TtFB96MIQv+Wtc9paqD74sJB3afSzhhaqDz7UZA9wcappofrgg8oAyxNd +b1uoPvjQ7VVZl1zRQvXBB/UEv9/SZy1UH3zQrzH/WFXaQvXBh6bzN8Jj77ZQ +ffBhnc+Fihk3Wqg+2L/3cfGdrsstVB98WFswCIqLW6g++DCk6sz07adbqD74 +MDxp5bHZJ1qoPvhQleu5VfloC9UHH65F79vfkN1C9cGHF5Mf/ipJb6H64EPC +hzkphw60UH3wwSEixiF0TwvVBx/8E5aaeMS3UH3wYYBBvnh5VAvVB/v9b12f +NH9XC9UHHzJfD1cxDWqh+uBD0CP35ln+lIc3H16OTk8HH8rDjw8fWivHWmyk +PAL5EB0c5LlkPeURyofmDyN8XFwpj0j27/lt0fF3pDzi+DC+JCh8jz3lkcSH +OuK8vciW8tjPh21v/P88t6E80vhw76CZ/M/5lEc2HxaF+8eMn0t5HOFD0Z8v +gUtnUx4FfJjufu1V9AzKo4j9fkMVE25OoTzO8aFsxpQDPRLK4xIfpqg/bJ4l +pDxK+PD64bodETzK4zYfLiQ1mz2dQHk84MOb5zOna2hRHk/4oGgSuXzTaMqj +nA8Bsn+S7qpTHpV8eNQsW62pQnlU88H1gIY0WInyqOXD4/ufEmr6Ux5NfHiw +e0qtuRzl0c6HMWkfJ//3r5nqgw//hbat0OxupvpgP4+6n9ee781UH+z8uX5r +Zb/OZqoPAYQ/0NEI7Wim+hCApEy7oKelmepDAINGmP3e2dBM9SGAJUOm9pf7 +2Ez1IQDxoUePE6qaqT4EcD/7/cyRlc1UHwIYsm7j8oLyZqoPAeSNTxo682kz +1YcANNd7u1WUNlN9sD9/3M5q871mqg8BOF3NuqF0q5nqQwD/1l67dPpaM9WH +AMqrjcXLLjVTfQjgosNF7b/nmqk+BBDxX1vUidPNVB8CkCnNWr6ysJnqQwBZ +i88lKh1rpvoQwI95GpPu5jZTfQiAZNQO35nVTPUhAMc7mo7T05upPgQwe63s +1+4DzVQfArDofPL8RjLl4S2AK7avvkTtpjz8BFCwe+eixbGUR6AAwHV2s2Yk +5REqAPOBx/4joZRHJPv53lgeuxNEecQJ4GNbxb307ZRHkgDu1PRV2LaV8tgv +gLCoXLcl3pRHmgAUDyRUS7woj2wBfHU85a6+nvI4IgBe4r+/f1wpjwIBLHqf +cLjJifIoEsCFlxvnvlxFeZwTQFtYafvt5ZTHJQG8MH8Vf34p5VEigGm7KsYV +2lAetwXQ6jaiIG8B5fFAAPLF/zSyLSiPJwJoCvm+PdOM8igXwIyf2y5lmVAe +lQII0JOrzJ1OeVSzPN9JnxdMoTxqBXBYeCT7nJTyaBJAwkGZ2Tf1KY92AZTs +lfnvGZ/ThwDKvNe11E7m9CGAFj25pu/jOX0IwGvGmSODtTh96IGvna0WT4PT +hx742HywnTuC04ceVDTNn+WuxulDD0IyDr+JUeb0oQcrQz+PLRrE6UMPCoba +Kb/uz+lDDxwH/jgqI8/pQw+Moe97vT6cPvTg4ZbiM6t7mqg+9MCIt1Brz68m +qg89aN0zZuK9riaqDz1oWhhw7c+XJqoPPfjhdKvOiGmi+tCDvV8d9/u2NVF9 +6MG2eXefnG1qovrQg55Yr/hvdU1UH3owqaiqdGpNE9UH+/lssyJDqpqoPvRg +8hHLqw8rm6g+9CBUGLhOraKJ6kMPBj95E+XyvInqQw+UIpTVz5U1UX3owXfX +N0P7lTZRfehBvSfjt+peE9WHHkR4jTA9d6uJ6kMPstrHeytdb6L60IOR/Zt6 +PK40UX3oQZLj9JaHF5qoPvRgHaMg0D3XRPWhB+v/Gd3ffbqJ6kMPyhWunvta +2ET1oQdte3d/WXW8iepDD+R0TgfdP9JE9cGOJZOXSHMojyI9sGzuuzk3k/I4 +pwdDJCufq6ZTHpf0wCTN3Dc6hfIo0YPjvBbbnr2Ux209yHHa4LMtifJ4wF6f +od0PSTzl8YT9fMufLfOKoTzK9SAjSFu9PYLyqNQD1TpDBa9dlEc1+/0+L5pE +gimPWj2Y2ueW99YdlEeTHjxf8La+24/yaNeDRy86gyJ9KY/PLI8FXsZDvSmP +73qQN/yEerYX5dGtB+IJ6ir6HpSHjBC6P47l3XKjPOSFEMufYb/MhfJQFILK +rMbsdkfKY4gQbLLSf4evojzUhBA3qNZdcwXlMVIICr6mdVdsKY+xQjj6ynqD +/WLKY4IQnsxO/fPLmvLgCSHv+sWUQ/M5fQjBf6a10WwLTh9CCI77/abZjNOH +EK7NXBe0Bzh9CMHipInm9JmcPoRQ+NbgWrMxpw8hHPT4szTFiNOHEJ4lL6qf +K+X0IQQY9HndL31OH0JYMyCr5pSA04cQ7mprz1vL4/QhhBrredkakzh9CEHu +2ce619qcPoSQJripvG8spw8h+GnfmLRYg9OHEHYduKI9dCSnDyFsjd4n+3IY +pw8hvFHUv5uiwulDCLwpfm4OQzh9CEE0xq5h/CBOH0KIuHpjVkd/Th9CMPl6 +zOeSPKcP9vcFKeyMlOX0IQTB+3eOtv8aqT6EcGqlturEP41UH0K411l78MfP +RqoPISy2Gcc87mqk+hDCsmvtyrmdjVQfQigbs+hfAGmk+hBCbuOai0s/NVJ9 +CKFigMEU/dZGqg8hXPhdFzSoqZHqQwgr0+Ki2usaqT6EMFa4YElZTSPVhxBc +nOZ9KKpupPoQgrVOgc6+t41UH+zff5aqH/C6kepDCMdqZn9Z87KR6kMI50a/ +8Zz/vJHqQwj8gMRMwyeNVB9CmKh+KEL7USPVhxAmiaePHfqgkepDH15rFXj2 +udtI9aEP5Qsmrf96s5HqQx9Stb6rNJc0Un3owx4lpw3VVxqpPvRhRsAJr5cX +G6k+9MH7yoQRZcWNVB/6cEl5jOf9M5THBH14dqjR9XYR5cHTB4n9S5mbhZSH +UB+eq8yCG8cpD6k+PHEO1bp5lPKYqg/rcn9k386lPGbqQ3tH35L72ZSHqT48 +VO3vV3aI8rDQhyt7PUpfplEe1vogt3fP+eoUymMJ+3neNU9t3kd5LNeHvS+q +F3/dQ3k46EPa5pq/MomUh7M+ZM9wmaUcT3m460PIvHeDx8VQHp768G3CjU3S +SMrDWx921MQ5WIRRHn76YNB9+bVDCOURqA/fNzyu8g2iPEL1wS4eNiQEUB6R ++vBWIzM034/yiNMHvVnrxtz2pTyS9CHQfbJJjTflsZ/9/k7rG3o2Uh5p+uAx +++JATU/KI1sfEjfknTNZz+lDH14FXX/n4sbpg/38dodCol04fbCff8jLw6ec +OH3ow37ye8YrB04f7PXfWLTkrz2nD/bfeXEfdZZz+tCHAY2CRltbTh/6MHXX +FKewxZw+9CHrp5X1mYWcPvTBd6VKUe0CTh/60HRPGKpqyelDH9a6Lbg9dy6n +D/bzeqj6Bppx+mB59TNIPgOcPvShzNNhdOtMTh/68Of2TGXt6Zw+9GGsbvwm +h6mcPvRh8elfBgcN2f9/dOKoSTIiCLECl1eSRpNK5Yt+52VFoF0/vEtVxP6+ +fh/L58iLoM/U6Z+W6rHX509//QoFEQwg7nMP6LK/v1Mc76oogknR9rJvJrPX +q3llc+cgERjavdTUmNhocuR9uFnYEBF0RaSkO2uz1+/lyeyhKiJQHbhu6/Gx +jSa80lfdh9VEYFCoWPhZg72e1/8uFw0XgTKZN3PaqEaTouLJxTdGiiBzfDsv +cjh7fU8sUrbREMHsZdc2v1Bj58vhAK8PmiIYaBWrNFaFvd4Hch9uHMd+3hgt +2U1D2PkT/3hCz3gReM9cYnV9UKNJ4K5voQmTRLBg8ee2IYrsfPIf8340TwQH +lj2tdlFoNJHZONe4kC+CishbWhfl2PnlsvnANKEI4u8cPj9IttEkcsXBL6Ui +EdzfOyfd9V+DicXCW9b2UhEUqEc/ufqnwURxTltBi6EIBg2es3DY7waTMmPV +ftunikDr+YrR3j8aTJL0Z7goTBeBpuURadm3BpMlE92up8wUQc/3fim8zoZe +HsBevyaX2TGkoZeHKfv3izIMWj419PIwF0HN5pRNlm0NvTwsRDDkmTFT2NzQ +y2O+CCo7N54d0tjQy8NKBLdDNa5srWvo5WEjgjHpIrmqmoZeHktE0P0mJcn0 +fUMvD1sRGFnPXFX4rqGXx3IR7ApQdR/2pqGXhz07XqR4OvRVQy8PBxG0idUM +O8obenk4iuBY84QfK5839PJwFoFCnvhb6ZOGXh6uIvj5S8Sf9rihl4e7CKBh +VEbhw4ZeHh7s9b3UYqp5v6GXh6cI3useGLf3TkMvj00iyLDQMFK41dDLw1sE +Yt/AkODrlIevCKzdz/z5dpXy2CaCGP71kxsvUx7bRVCukZfYfIHyCGQ//0eX +HJdiyiOY/Xvr+zR8OEN5hIoguiN8mcNpyiNMBOM7Pn97d5LyiGSvt86iWytP +UB7RIlice+Jy1THKI04EjmmK71cfpTwS2PkWG6hbm0t5JLH8JyvmuB2mPPaK +4MaauybtmZTHfhFU216W98mgPFJF0Lz815dfBymPNBE87jjQNzyF8jgkgsSH +OcZK+ymPLFbP90X7DiZTHjksX2b5kIlJlEeeCJS89U6dTaA88kXgfPih5+w4 +yqOA5fNj9vwX0ZRHoQh+KJ2zcImkPIpEIJtp5PotjPL4j51PAS0Z0aGUxzkR +pBxo/Dx6J+VxXgTpvxY4nwmkPC6JoPH+tE8WAZTHVRH4Scv31PhRHiUi8I2R +Lty+lfK4KQITrV3jVXwoj9usPtZ+GHJqM+VxTwRbyzarWG6kPB6I4PC7lbpN +GyiPRyJYM/q+XcR6yqOM5a39NmW8O+XxTATT46+13nGlPMpF8LozbaGbM+VR +IYINvzPuKjhRHpUisDdj5hc6UB5vWX1uLPlos5LyqBbBiuFTIruWUx4f2Pn4 +JnbKoWWURy3782aN382WUh71InheH3D70yLKo0kEI40D0lIWUh6t7Py7PCxw +thXl0S6CZWVh7h2WlAcjgm1l31amW1Aen0Wg2Ddn+TxzyuMrez84VrLqhynl +0SWCNx92uR8DyuOnCCIvTvRfMYvy6BbBZt26BMUZlEcPe/8a8SW/xJjykBHD +Fovdd7dMoTxkxaC5trNhkiHlIS8GYY+DwnsJ5aEghtBwRf5+EeWhKAbrecY2 +VkLKY5AYzgfq+MoLKI8hYpjHH5Fyg0d5qIjhIFhe3DGZ8lATw8OQnldGEymP +4WLQifL/8lWb8hgphrKHv/uf1aI8NMQwYd/j0Vs0KQ9NMRyR1+eJNSiPcWJY +o+Yp6hxJeYwXw4XI+6Li4ZTHJDF0fo7h+Q+jPHhikCn5PXK6KuXBF4PaWLc+ +MkMpD6EYXEeq1NwbTHmIxPA8wOpswiDOP8RQ8MZph60i5x9iWJ160GhMf84/ +xDCrxqypSZ7zDzFMO3w65kxfzj/Yz5+kOya4D+cfYuiaJJdn+a+e+ocY9uUm +jBzeU0/9Qww5MsNCG3/XU/8Qw4N/zKvin/XUP8TQR3PjqMjv9dQ/xLAttc7K +7ls99Q8xzHXL89LprKf+IYYNM+QCukk99Q8xRCas3PKso576hxju7/xje6S9 +nvqHGG5/g/E7Wuupf4jBPjqkalFzPfUPMaRGKgfrNNZT/xBD2lcrhT719dQ/ +xOAfmxjw7mM99Q92fp3Vf1n8oZ76hxji4+JV91TXU/8Qw+iPPcZe7+qpf4hh +lKje3PJNPfUPMXjmHzSa/Lqe+ocYojSCBvarqKf+IQa/EZ/uNL6op/4hhvLH +Fk73n9VT/xDDORVSfexJPfUPMVz2MZ0R97ie+ocYFEblBW0spTzCxGD+wSdz +8QPKI1IMx6arZRndozyixdCxtC1Y4w7lEScG344VM/reojwSxCD1ufum7Trl +kSSGt0zykpfXKI+9rB6GTi64doXy2C8GiW5bVf4lyiNVDNqTTduSL1AeaWJI +SUl5GVxMeRwSw94jNqkbzlIeWWIwHPXaYMV/lEeOGF457CucW0R55IlhxMYv +vw1PUh75YhjatFJ70gnKo0AMTn+mjRt+nPIoFINVcM/3/vmUR5EYyJPBed15 +lMd/YljcVjWB5FAe58SQtLY8sD6b8jgvBvm1a469yaQ8LrHX58i3/KcZlMdV +MUze9jfgXhrlUSIGwaWOsSWplMdNdv7USg6eP0B53BaD8vdZdUX7KI977OeL +D+85nkx5PBDDj3s+rXlJlMcjdn7ZhxzN3k15lIlhfbSG+FA85fFMDHKa1+PT +YimPcjHobn9TnBpNeVSw8zXoY2FKJOVRyY4zFninhFMeb8Vg+TypT+ouyqNa +DHXZ450OhlAeH8TwTn1nTHow5VErhspS9eDMQMqjXgwRFW4zcgIojyYxvHhT +f++oP+XRyt5P/g4YWbiN8mgXw9gviw3P+FIejBjqaw1HXdpCeXwWg2OE6MGN +zZTHVzGoDimZ/nAj5dElhrBpy/1feFIeP1keEBhQ5UF5dIthTtXR2U3rKI8e +9v5lbvvsixvlISOBiily2n9dKQ9ZCQxvlxoPdKE85CXQpuaiNnIN5aEgAZcW +pXOTHSkPRQloDbuoNsWB8hgkgZz776darKQ8hkggM0927IoVlIeKBFY+yb/v +YUd5qElgUM0C/SBbymO4BMQdviuSllAeIyXQHJEzO28R5aEhgWWFy9suLqQ8 +NCUg837kkidWlMc4CUiUvLbXz6c8xkvA4Wi5w+95lMckCfhElP8dakF58CSw +/O/n1brmlAdfAjOqzgWYmVEeQgkoLs9ZvHo25SGSwH0taPQ3oTykEvhtP9xg +30zKw1ACY1OKZp6eTnlMlUD/d7v7lBlTHtMlYJkxM6R1CuUxUwInbsy9oGBE +eYAE4g9J8icZcP4hAcfvcQvnSjj/kMBAmXdF7iLOPySwfuWJ+9FCzj8kcFQ1 ++kCBgPMPCdzJ7x5Vpsv5hwTkuvfZER3OPyTg5FptoTqZ8w8J/Mh0ZqZM5PxD +Akkv/7NcPZ7zDwnIpi63Dx/H+YcErjbUa5wYy/mHBITaDftejOH8QwKLxzSX +/BrN+YcE1AYFpWuP4vxDAnXxEp7VCM4/JDAqJ93DT53zDwkkXJizKkeN8w/2 +8x261VOmwvmHBOLichf9Uub8QwLOowKWThrC+Qd7/a0q5W2VOP+QQHeYzbqw +gZx/SEA3aqv/mQGcf0hge8hbw1oFzj8kMKd7aO7Qfpx/SKC9+cxVUznOPySw +8IB12FZZzj8ksKI4oCtfhvMPCUTbPVZ797eO+gc7/zoqa5R66qh/SMBmvqGd +aXcd9Q8JRGYG+Pv/qqP+IQE9v+lmp37UUf+QQO6toZfru+qof7Dz4fG+N6O+ +1VH/YPU6zT5nSWcd9Q8JHFH/rRL/uY76hwTUbw7Wu8vUUf+QwJgufdLzqY76 +B3s9nn1fMbW9jvqHBJ7f/uPh21pH/UMCttlV404311H/kIBZol5oe2Md9Q8J +/FXZHaHTUEf9g+WveEDoXldH/UMC/U58DD7ysY76hwQCQgf61H+oo/4hAcHW +M4rj39dR/2Dn551NFq5VddQ/2O/T9+PkI2/rqH9IwG9cbmFjZR31DwmUOy0p +n/y6jvqHBF4sT8zYUFFH/UMC039+7VdUTnmUSWBy5Di1zueUxzMJJKeev230 +jPIol8B3DRuVoCeUR4UEvtjtlr39mPKoZO+f74bs6/+I8njL6jvZ747NQ8qj +muVx3nlf6n3K44MEGl5vkf14l/KoZf9ehYUy7w7lUc/O/9qIaz63KI8mCYwI +fdSv5Abl0crqK+oKo3Cd8miXwJkRP3yXXqM8GAlYnNJOyb5CeXxm59+Aj7af +LlEeXyVwyLT8tPFFyqNLAp+uHcqPPk95/JTAJX791NfnKI9uCVzfY+k+8Szl +0cPej6dvFW77j/KQkcLHRwrJ94ooD1kpPNYp2K1+ivKQl8KH3T3j1xdSHgpS +UAo5sfRKAeWhKIWzT5ZpKB2nPAZJQZp6NHhNPuUxRAqn5oB/8RHKQ0UK6XFl +/QfkUR5qUti5/ovYMYfyGC6FJB27znPZlMdIKTg55VoqZlEeGlKIbQ+e4nyI +8tCUwqquPVcvpVMe46QQwYS/Uk6jPMZL4UbyvwiPVMpjkhRC6k6W3j5AefCk +kCMwzNPYT3nwpaAmZzrUfy/lIZRCwpxg1fI9lIdICuKhyQV6SZSHVArzKya/ +jN1NeRhKoXPWp6SmeMpjqhTuz4utMYujPKZLIVX/xK2cGMpjphRG+f82lImm +PEAKB1smznCKpDxMpXBs75uX18MpD3MpvH54/Y9mGOVhIYU14yMvhIRSHvOl +0LTzjUztTsrDSgoaf9yqTIMpDxsphP17Z340kPJYIgV1caNJ/x2Uh60UBgZJ +Sj23Ux7LpRA8eEvtMz/Kw14KPHubGINtlIeDFCYMCSpJ86U8HFl+E/ND/22h +PJylsOhl0As3b8rDVQqP9pw+XbaJ8nBnr8dwZpTBRsrDQwozXFpUDnlSHp5S +aCxcmCq3gfLYJIVx3i+ObVxPeXhL4cCGcXMq3SkPXylcTSGes90oj21SyNTv +0DzpSnlsl8Lu048dhrtQHoFSqH82Y2L4GsojWAoXYjr9iSPlEcrOV+VsW4fV +lEeYFByrv10pXUV5RErhXlb+iSkrKY9oKZjPWzc+fwXlEcf+e7+2CcOWc/4h +hdXmdUURyzj/kMKQk31vfVvK+Qc7v8fKrnJbwvkH+/1vJge9XsT5hxTWdbhP +nGfD+Qd7vWYZLbtizfkHO44pHqhnxfkHO3+qUuYdns/5hxTaw/cOVLPk/EMK +zgqrbWMsOP+QgvLkk9o95px/SGHjB0M/nzmcf7DX3/W/hS2mnH9IoXRCeaHj +bM4/2OtXtnj3KxPOP6RwcWZTp9Uszj/Y+btvbvXdGZx/SGHsBF3LmdM5/5DC +2qB5xheMOf+Qgoyr/Un9qZx/SCHrtVpugRHnH1LoVpKOmmDI+YcUTvt7qWVL +Of+QArkcsGeUhPMPKdidVU1MEXH+IYWCbV8Hqepz/sHeD2zKB+3R4/xDCidv +e+xWEnD+IYVr0b6J8bqcf0ihf2LBEEUe5x9SePX7nnLsZM4/pGDGC0/uP4nz +DykMPpGRHDOB8w92Ppk9Uh4wnvMPlv/n0sFx4zj/kEJd7bL4gVqcf0ghNIgX +tVuT8w8pKKyU+TdkDOcfUtg6L6Vr72jOP6TQYnnMQ30U5x9S2LSfrEwfwfmH +FJ4m80s1h3P+IQWbmuHFecM4/2A/j/WW0Tw1zj/Y+9/Hb3+LVDj/MICaJ06O +hkM5/zCA2pDNxteGcP5hAHJ7a5PMBnP+YQAu5b7Ojwdx/mEAwtkNp5YO5PzD +ACKUv2ytHsD5hwFIj6y44Naf8w8D2J38dgvpx/mHARhmS44FyHP+YQDkrrad +nBznHwbgyffYmSTL+YcBqAvujR3dh/MPA2hd9N342L9a6h8GMN32Wpn0by31 +DwOI1aqsvPmnlvqHASx+3m/Vwu5a6h8GUHlqoF31r1rqHwbQI8l/uOFnLfUP +Axg6IPXsr++11D8MILN/tmpsVy31D/bvGYe0j/hWS/2DvT4vfhoXdNZS/2D/ +3ejJX+MvtdQ/DMCnu8T4Maml/mEAA+oT2hyYWuofBjDpfbcy+VRL/cMA2hWe +nNzVXkv9wwDmnr5dotZWS/3DAO5YZZsdb6ml/sH+/eiJs2Y011L/MAB36YhT +zxtrqX8YgP6SaXvcGmqpfxhAfxWb5t91tdQ/DODvk5Fn99TWUv8wgLNKjp8n +fayl/mEA4sianJIPtdQ/DOCemst92/e11D8MoOxhwepPVZSHswEkvt2xLuId +5eFqAMqFafUabykPdwNoK3v04nwl5eFhAGN+l4ttXlMenuz3H+Hft7WC8thk +ACemBc0Nf/m/cb8KWweVfII/r1mcv6LzKMHfF9vPzqycHXN/T8V5mcZZdsx9 +njlWqfXJ7Jj7vHZmg9O2sGPu+4wtPjljMTvmvm/ff2ufitgxdz3q/HgLldkx +d736O3+6So4QvJ4aXdnDn7Fj7noPWW3kXMSOOR4Dz+cc3M2OOV4uAytKvNgx +xzN4zY3nC9gxx/th/rIXuuyYmw/5t2NuDGDH3Hyxj5uf0ZJHcD79uJew9gE7 +5ubbYv3Zo/PZMTcfW7fY34hgx9x8rdR9sMiVHXPz+WZL4LPZ7Jib7yV8j+la +7JjTw0K30P1/cwnqxfTn2XfVuQT1dHxqp9LVXIJ6K/HUF6blEtTj6werpvvn +EtTrkq3rDZflEtTzrti5GtJcgnpPUW4myrkE7wfJfaf/x+QQvF/wraWry3II +3k8ePrz5vSCH4P1mlWlFUHQOwfvRSj9HsjaH4P0qf+iihaY5BO9n/mfS0sbm +ELzfLajnv/hzmOD98MmEH9/eHiZ4v3xQ3y538TDB+2n/nb9l9h8meL9dOlu1 +1fswwfuxheekEuvDBO/X1rwJwbqHCd7PIw/+0VE4TPB+X3Av+2Z9NkE/6Huq +x+xmNkG/sJrW79yhbIJ+kjOvQCkgm6DfuOe+Xrosm6AfGfTZGSnOJuhXt5WS +cpWyCfrZC6dfJ1qzCPrd0J0ns+5lEfRD5Q+pwTlZBP1Su+GERXAWQT+dV1/x +Z0UWQb/VHCOfaZBF0I9v3NLVUc4i6NdniUFWeyZBP39xTuXf/UyCfm8w4Nz8 +3EyCzwPqb+V2BWcSfF64+ft7zopMgs8T3tLtp6SZBJ835hj55w3OJPg8onmk +Lrz1EMHnlaSBBQvvHiL4PFPScqlv9iGCzztWR2XyAg4RfB4yPbhJYHuI4PNS +l/yXbOEhgs9TrWP9evofIvi8dUvu05z6DILPY2Nugl9JBsHntaj5LsmpGQSf +5xbHzkrZkkHweS9u4Z2IBRkEnwdfrfjgMDGD4PPi8qXBmv/SCT5Ppr/aXfom +neDz5jbXntVn0wk+j372ulwVn07weXXywtOmbukEn2eNbMr2zkon+LyrfqTn +8fB0gs/DkV4i5nMawedl5tziX6VpBJ+nLbYs7MhNI/i83R2l+igwjeDz+KPb +u5Ns0wg+r//3vmCmXhrB5/l7gbYV8mkEn/cnCnYs/XCQ4PvA8/UKVy8cJPi+ +kJjSoph0kOD7xEs5RbN1Bwm+bxzuWO5qcpDg+8i6bdc9hx8k+L7CnOA5kFSC +7zN60ZEGD1IJvu9M6Sj5lpVK8H1I7cT1Q36pBN+XTmz1FSxMJfg+ldbxIG9i +KsH3Lc3sY7I9KQTfx7r+9MyrSCH4vjZl7QW/whSC73Mmo6/Fh6UQfN+LWvw7 +2j6F4Ptg5FgHT1EKwffFA1FlhgopBN8nn3sbNb8/QPB9c9iZiJDiAwTfR/s8 +zf0bd4Dg+6qfcPd65wME32c73iien3KA4PuurvHwFqUDBN+H1QoP9WnYT/B9 +2d0nss+V/QTfp/ddudqctJ/g+3Z2Cq/YbT/B9/E+fS67T99P8H09qGrpP+X9 +BN/n/zH1wU37CL7vh7Uvqbu6j+B6QM26OEHyPoLrBedqfR3c9xFcTzAq+bll ++j6C6w0jzWU3Ku8juB7hciLAunEvwfUKc7l5qlf2ElzP6LvI7nriXoLrHTe8 +dy903UtwPcRJ//WdKXsJrpc4TxupOWgvwfWUyxNNVn9MJrjecnyDaFdxMsH1 +mNR1r2Jjkgmu1zBO6v4OyQTXc74GVs0TJRNc78l4OOhf32SC60HuU/amVe4h +uF5knLV0ROEegutJ0otTA3fuIbjeFKXFu714D8H1KGuHQR0T9hBcr3J/Xtr9 +I4ngetac+/OYR0kE17seb/C+m5lEcD1M6+nknd5JBNfLouuXjTZLIriepr+r +NmNYEsH1NuU1Z/41JxJcj7s8+uTcK4kE1+t0JZe8ExIJrufl970W7JhIcL0v +sjxzoyiR4Hrg4DtmIJtIcL3QtGpf18vdBNcTL8hu3X10N8H1RlfZRwr+uwmu +RwZEBK6dt5vgeqVYbVPeyN0E1zOzxkTfbUsguN4Z2lH44GoCwfVQ1TO3TiYk +EFwvrd92ynd1AsH11AyerYYwgeB668u09Py/8QTXY+t83VSexRNcry2ak+aU +HU9wPXfH9lGJm+MJrvcWr3ySaRJPcD34/rCUxCHxBNeLP55dsaYmjuB6cunI +Xyqn4wiuN59UXpW/M47genTDdJdRC+MIrlffH9O5aUwcwfVsX3Um71MswfXu +bFfjy1djCa6HO2ldLIqLJbhe3jlnfoR9LMH19N35r4x1Ygmut0/8Mf3Z9xiC +6/FT7znNuRdDcL0+NXB82r4Yguv5ImePJ84xBNf7Xx1UrdWPIbgf0G+cXEVP +NMH9ggsvNfIfRxPcTxjmbrI8LZrgfsOy9dbN7tEE9yN8zHXsDKIJ7lf8Nj2T +1yea4H7G49DSZ0+jCO531P1c/C4jiuB+yMgQ/p31UQT3SzpuGsUZRhHcT5lj +bqsvG0Vwv0Xotfbs00iC+zHHN1moZkQS3K9xdny/eF0kwf0c/4n9NkkjCe73 +zIrKWfcvguB+0L9RSbMeRxDcL7q0rKgzJYLgflJVyIdwlwiC+00qV/5+0Ysg +uB/1o7tjxq9wgvtV1arxa++GE9zPCskvXp8UTnC/65nQ0nJlOMH9sOM9k/pN +DCe4XxZvLMgmYQT309Z8MFK7EkZwv01QMsEtIozgflx54MvkhWEE9+se7NdK +HxFGcD/vpV1rcN0ugvt9dxoUZ57cRXA/cJKhS+W2XQT3C/uplFmZ7CK4n5g1 +YmJm/10E9xuVL1s+fBFKcD9yrJH60/RQgvuVtUfci1xDCe5n+n9S9xCEEtzv +vH1P9u+3EIL7ocOuym8sCSG4X9o+uft8ZAjB/dSDU6+/sQ4huN/a08p7NSyE +4H7stAEjC9/vJLhfu0rqvvLoToL7uUNetdd57SS437tEN2KOwU6C+8HaswaE +dAcT3C+e3Ga793Ywwf1k99ewMzaY4H5zx/Z800XBBPejg4c51agHE9yvnlZi +Zvs+iOB+9tKFejl5QQT3u//z/XbPI4jgfnjqkS239YMI7pfbTwhO6QokuJ/+ +qw+ZfTWQ4H57xfDsu6GBBPfj5w1005wbSHC/fqXVCJuBgQT381cN22P3fAfB +/f49G/IMDuwgWA/wYiSv1X4HwXoB0ZUfvpo7CNYTpBc2VtQFEKw38FcvHXgs +gGA9wpJ2/xGeAQTrFTbXPfgtDCBYz7AlMPFs53aC9Q6//hw2ubCdYD0EbK3I +CdhOsF7ifHHPmxnbCdZTZCz/0vDPn2C9Re7V8Hu3/QnWY0TIxe6I9CdYr5Ex +6lXfef4E6zmCTkx3VvQnWO+ROGHP3jI/gvUgedeOpib6EawXifew8V7kR7Ce +pKDBSUPFj2C9SXxBQcbLbQTrUQK6/nbs30awXkXxgVjVbhvBepbi96oDh28j +WO/ieNnvVeVWgvUwTBrf5+BWgvUylTv71q7YSrCepmDSs3EjtxKst8kY7GTw +1pdgPc4on/ARab4E63Ws5w96usKXYD3PMetS2xG+BOt9PEjsyUofgvVAfKcx +r1J8CNYLuccsebrMh2A90behP1PVfAjWG6Wurha/3EKwHiko+klG8haC9Uol +bmmvbLYQrGeqi1aqUdpCsN5pjdGPi4+9CdZDjfQZvybWm2C91JuvjpVzvQnW +Uz3j+42R8yZYb6Uy21ByazPBeqzBQ9cP27mZYL2Wgv67+9M2k//Vc9Xbzf2x +iWC9Vwe/YN+5TQTrwc5dzijavIlgvZhq588U/iaC9WSrO+KsmjcSrDfb6jf6 +We5GgvVoUxS3ajpuJFiv1vV69bSRGwnWs725mqNd4UWw3m3pvP6ViV4E6+Fi +rtovt/QiWC93qa9jXl8vgvV0x0qqr5R4Eqy3kzXIzPT3JFiP98DRfYHYk2C9 +3rG6v3faNhCs51unrKdwZAPBej/zhgtqqzcQrAe0lXf5NGwDwXrBt3e/7n7q +QbCe8MQY8a8oD4L1hnHnPuiZeBCsRwwuv8n/sZ5gveKETRmdRevJ/+oZx0nC +3NcTrHecKJj3bsx6gvWQZdsO/65YR7Becl1sT138OoL1lIkp4/aZriNYb7nW ++6niL3eC9Zg1CfcsT7sTrNdUlXto4+ZOsJ4zNClFY7Q7wXrPxbFfTj93I1gP +WtB+cECUG8F60Y82VrzpbgTrScs6Hg3+vJZgvemP9JeXj6wlWI/aWjpB134t +wXpV+9ggJ6W1BOtZN4YftL/lSrDe9dsfwxHbXAnWw6ZWDM3QcSVYL6sc1lJb +5UKwntZpmN+nRBeC9bZdhn5XZrsQrMdtGXdkwTdngvW6o09dyc53JljP6wFb +L6xwJljv++xNXJKiM8F64OR+l3SvrSFYL7z6U3H0xjUE64m9Zk3L11xDsN74 +6GyZqGdOBOuRzz+6PinUiWC9snCmXozIiWA9c2ac7ImPjgTrnXPFXfF7HAnW +Q8vOvKoHjgTrpa+YKiaR1QTrqZVcU09nrSZYb73PYniS9WqC9diztGfp/XEg +WK+97uSN2BMOBOu5X0y0ObLCgWC994ml2UH9HAjWg+8OcVEpXkWwXjzUb76b +8yqC9eRt0f23DV5FsN58b4T17KsrCdajJ5SUlq5bSbBeXdpXb7DaSoL17GpH +Jg2+aU+w3r3K063U055gPTzkppoMtydYL982wNP79gqC9fTLahJWbVxBsN7+ +XGWBzIgVBOvxH4/d5HR7OcF6/ecrI/29lhOs5zfJSZ+nvpxgvf+pkBXlN+wI +9gNkda1X87Aj2C9gs3XbYBU7gv0Eke8n3byyjGC/waKwiTzXZQT7ESrGjbQc +uIzzDxEotBwfe86WYD/DFq/AkyttCfY7fPzPiPSxJdgPoaMV2nx8KcF+iccJ +f/bbLCXYT1Gsv+hH1xKC/Rav8zUHH1pCsB+j5qvi29lLCPZrvNpxcXnzYoL9 +HJdk7scmLCbY76F1onKTeDHnHyIo/5XQ7/Uizj9EsPJZ5KIdizj/EMF+N2cr +zUWcf7DzbfH1X7dsOP8Qwc6QaSvcbDj/YOefge+6/jacf4jAb4D85MKFnH+I +YMin+BTrhZx/iODH6LzzxJrzDxHc4DWGJ1tz/iGCaV3tMlJrzj9EUFe4SFBh +xfmHCGY+KJTfZsX5hwhmDDyQMMyK8w9WfxF5N88v4PxDBFOiN+YsW8D5Bzu/ +TsYIu+Zz/iGCnuex6/bP5/xDBFPV5K2k8zn/EIH9q91VLyw5/xCBwdkLw7wt +Of8QgUPk0N9Klpx/sPNlrX5M4TzOP0RwMvPwjXnzOP8QwZKL37IbLTj/EEGU +1xWdMAvOP0SgejLEXtOC8w/296e8EF+Zy/mHCDYFzjizbC7nH+z94u2Cys/m +nH+I4PKKuLx4c84/RFDwZNewSeacf4igTHJVcHMO5x/sfDt+vcV+Ducf7Ofx +VbX8asb5Bzt/f5pYJ5hx/sHOr5LSbxPMOP9gr8daQ5MSU84/RBBS0E+wzJTz +DxHscb155dNszj9E8GVnQ1v4bM4/RBD85+vVUbM5/xDBMLJJ7wxw/iEC64CK +WRbsmOvHG/U4l1SbEOzX8xi73MSHHXP9fDcvb9RTYMdcv9+jeufLGbMI9gPW +tZ2q02fHXL/g3jGPj9+ZSbCfULlUPHA5O+b6DQuN7Ae0zSDYj2hYcDE3iB1z +/YruK2+/HsyOuX5GwfaOI4enE+x3lNM+OUjCjrl+yKnu3kp3phHslxxrUpi/ +lB1z/ZRL9pRV1hsT7Ld8Pd7ssC875voxpx8L+ifLjrl+zUvHG78lTyXYz2m4 +vzVEix1z/Z5L/+vMLppCsB/UZfQG2xnsmOsXtX148VCpEcF+0txA0+127Jjr +N530cHNTnSHBftRPA480bTIk2K/6y8t0+28Dgv2sfV+fTI80INjvqvXVzFrZ +gGA/7GbhuqR0KcF+2RMnt66ZICXYT3vesvTGKQnBflutAzdPGkkI9uOmPi2e +dENMsF/Xpu6vwEJMsJ/Xye379acigv2+g/d+qVomItgPrDbHPLxan2C/cPm3 +OcUu+gT7iX0umm5qERLsN+5vmPTfRiHBfuTU4wE7OvUI9isrV9k89tMj2M9s +NtYx97eAYL/zFWvyN1hAsB868abVRxkBwX5pHZvr5uF8gv3Uny3jhPJ8gv3W +Xdu60qJ0CfZjB/c3i1bQJdivHZVb3BXNI9jPbWwV16DAI9jvvdBbdmm0DsF+ +8GWV9tBPh2C/eHfu48KIyQT7yaWWyftlJxPsN1+aVPcrZBLBfvSRkV3v/kwk +2K+eG/LXKGAiwX52azWRUtcEgv3uMapXHbwnEOyHTwx/OqF9PMF+ecWM1LVu +4wn20xddnT26Rptgv/0Jr+8WK7QJ9uNrLHvf+nwcwX791UYaMpbjCPbzz2p6 +HXtTi2C/v9RSP2qKFsE8AHsr82+nxhLMC/CrMXo5fizBPIFXzFjtNE2CeQOD +V4/6qKRJMI/g4p+Zg8LGEMwr6Io9fLRLg2CeQU/essL1GuR/eQf3N2lUjSaY +h3DRtPuH1WiCeQlyxv1nXh9FME8hOflwm3AUwbyFgK6yf1kjCeYxpDzYFzh4 +JMG8BhjzyyF4BME8h5oLf3PbhxPMe/D5mWdlP5xgHkRLbeOK++oE8yJcFG7c +l6gTzJOwip18IGsYwbwJ50HD7gwYRjCPYteZHTZb1QjmVWxWsjT+oEowz8JZ +a1OIhSrBvAs/61da/6kQzMOQX7JOY4QKwbyM2zMUt4QMJZincXNtzpgmZYJ5 +G4vWKI2zUiaYx3G2m7/jzBCCeR2H9d9MVh9CMM/jzPA2nR2DCeZ9JLcYBb1X +IpgHotS0RwuUCOaFfFnxQC13EME8kRsR+cv7DiKYN2KU+aPZdSDBPJLcq6F3 +7ygSzCsZUCH3SVuRYJ6J1gmz1bsGEMw7GXj/l3pNf4J5KF/Wfxw+oz/BvBT7 +XaccDyoQzFPZuUCm5Ws/gnkrRwOfXFjYj2Aey9iJSQ+OyxPMa1nj1zFCVp5g +nkud0u6ClXIE815EHYO3n+1LMA/mtKdy+IC+BPNixDx+qZMswTwZc5mueef7 +EMybgZ//ZBT7EMyjGTLlHVktQzCv5lKnktqZfwzm2Rx2GefVlx1zeTfh/6V8 +t/3LYB7OhNb2M0d7GMzLufAp/VDXHwbzdDodR56fw465vJ2mlDe/9nYzmMfj +mWju8fE3g3k9y1487KPHjrk8HxOv+7e2/2Iw70e5KvPYnZ8M5gGN+1FwRokd +c3lBco3wwe4Hg3lCv/oU87O/M5g39Oe5zcGmLgbziHybV2nrsWMur2hny6iH +Pt8YzDOaoeoaefErg3lH38fLr+7uZDAPaVBDnwWz2DGXlxQ7JNo69AuDeUr2 +P6+53PrMYN6SvPf9mD7smMtjkjlzswQIg3lNWyzu/NvJMJjnFPOrxupaB4N5 +TwtPa+f9/MRgHtQP+2QZg08M5kXlveO7bmpnME/qSEv7w2NtDOZN6fy4q/+x +lcE8qhWjjhwY3spgXlVd++af1i0M5lk1bupvF97MYN6V+de5Jy82MZiHZfez +52dbI4N5Wa2DfxmPaWQwT+vYlT9eCxsYzNsqWXI/aWc9g3lclbUDD5+qYzCv +a418UEZVLYN5XrJlJSH9axnM+3Ld5z3f4CODeWDDGvm/HGsYzAu7viQkNuYD +g3liLos7f/73nsG8sbeqcuZvqhnMI3NYy/f8W8VgXpkk+PX68VUM5pmt/bhh +usU7BvPOIoXmHz3eMpiHtu3PJdv4NwzmpU08rpxSWMlgntocwe6cR68ZzFtr +7XTb2vKKwTy2gcceDpR/xWBeW7Pc27VaFQzmuU0u+C9o2ksG896qPjguWlrO +YB6c0KulesMLBvPinqy2GLvrOYN5cj3PN6ilPGMwb876mtHlgqcM5tG9uRCu +eO0Jg3l1Y65ryj8pYzDPzvz3w6PVjxnMu6sNndLa9ojBPLyB6qplP0oZzMur +bv8xv28pg3l6gjXbXJQeMpi3F3lJaYj6Awbz+BZUG1qPuc9gXt+3QctGjr/H +YJ6f7aG7myffZTDv78PhZ1a6dxjMA3xy7OxJ/m0G8wInumXE828xmCe41fl4 +I+8mg3mDc4+2XJh0g8E8QpHLqu5x1xnMK3RJI0WjSxjMM8y/Ef5E9RqDeYcX +HBuXKF5lMA/x1bnn0/5dZjAvMXrB59DOSwzmKa5Ir9ZquMhg3uL+vaPVKy4w +mMf41EjL4fZ5BvMaNXnzmaJiBvMcPxs1Pkg7x2De49JanYawswzmQcbVTpm1 +4QyDeZHvCsa+sPmPwTzJ5XWVqdLTDOZNZtTO3j2siME8StE4nZNdJxnMq4w/ +NZO8LGQwz9Ireczi/04wmHe5fsb8srgCBvMwgxsEq1yPM5iX6VOn9tP4GIN5 +mmX9p2QOzmcwb5PXdXJO7REG8zgvr9raciaPwbzOPKtNYaG5DOZ5DvB1HGSd +w2De51brtpDhhxnMA13qdPB1TRaDeaFWq54NyM9kME9Udu4rlQ2HGMwbVU5+ +2sbPYDCP9LleSVx7GoN5pampIe3HDzKYZ9r/1TP5takM5p2O8DYt10hhMA91 +/lpzy5f7GcxLvSs71jV6H4N5qpcffxpuvJfBvNXTM3KdWvYwmMc6eJ+C5EAS +g3mt0WGXokwSGcxzTf+gvaw5gcG815tGkfsT4hnMg/VZ2zFdP47BvNggxSmm +z2IYzJN9IKeY5RXNYN5s/YM35gpRDObRBp/+oJ8dwWBebe00zSUG4Qzm2WYY +OeXe38Vg3u3ccoG6XSiDebjeOS9z63YymJcbE+U00yuYwTzd/Ki0d52BDObt +NkfIb/bbwWAer0pDDfN9O4N5vWOURi/c6s9gnu+Atw6hzDYG837Dq7u3u21l +MA84ft8f3bc+DOYFO3oOjrXcwmCe8IHjMZEXNjOYNxw6eZ2K1iYG84g9vs0d +F+XFYF6xx2WXvJYNDOYZz/yXGGvhwWDescMso7u56xjMQ15SYmv2243BvGRr +463frdcymKdst+xYWaYLg3nL/5VFFLeuYTCP2Xqt9iGxE4N5zYapQ7ZsW81g +nvOUXzZa51cxmPesuGBZymd7BvOgvaf9uj55BYN50YUP/SJX2jGYJ/13kWtF +jC2DedPbdI4dOLuEwTzqnGfbzlYuYjCv2q7IXPXnQgbzrC8vepCtas1g3rWZ +RvUs3QUM5mGv90l4Pd2Swbzsh4Y9C+ZZMJinnXd0bbiNOYN522Zhf50WmzGY +x/3A898d69kM5nXP8YzeM8eEwTzvO/v3HTWcyWDe99UiplZrOoN54J9Ktcb3 +M2YwL5x/drV5oxGDeeL2mj7jrxswmDeuFH46ZY+EwTxy1/Wv/RxEDOaVd439 +lzZOyGCeuXFxaEUNn8G8c7mmVz9TeAzmoWv1D62cO5nBvHTD8OZZZAKDeeqf +7xl3J2kzmLfez3HOax0tBvPYIxir1MtjGMxrNyhqlDUdzWCeu2Dw9Xe3RjCY +986M3vx+qjqDefDaE8zu56symBc/TfGn1cChDObJV50PnOg+mMG8eeePOf8u +DGQwj97Hpzyqpz+DefXFYaedjfsxmGe/vu6MeENfBvPuO39d2Zskw2AefnxE ++qiCng7My5+9M2rFhd8dmKe/1Ca84sKPDszbV2y7PPjEtw7M4xfoXN6Z9KUD +8/o/TMne6850YJ6/jM3kImF7B+b9nx6Xymtu7sDzAOaei1qU1NCB5wV86wlc +M6m2A88TsE1YEl34vgPPG7Bc51Y75l0HnkeQtXuzf9DrDjyv4Oc6tRn3yzvw +PAMb7b/9fz/twPMOrpgGZqg/7sDzEG7W7twz6kEHnpeQplLjJHenA89TuJuV +a1d+vQPPW/giHbcn7EoHnsfgNSi/Q+VCB57XsO+m7qBdZzrwPIfJChlTHp3s +wPMeSg+/X9l+rAPPg/Dcvbf9Y24HnhdhaNjveX5mB54nMchRf+bUgx143kSS +XHRs0t4OPI9i9OxmcjShA8+r2L/z8zTfqA48z2JWVqNVR0gHnncxuCrPTSGg +A8/DSBlwctqZLR14XsaOuwu0Xnl04HkaDbfLnZc5d+B5Gz6Jf00VVnTgeRx9 +tmgYF1h34HkdW2unyj2Z3YHnefRr/PQ2Q9qB531MK46MaNeiPP6/80D+D38r +Qtc= + "]]}}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[0.368417, 0.506779, 0.709798]], + Line[CompressedData[" +1:eJw113dcjf//x/FQskd7j9PeZxlZ54mIyM7OjEISshLJlhKRaBhFJZEkaSkt +7bKTUZL2oHyMQr/rd/u+X99/vrf3LZ1znetx3c/zk+66bQs29JWSkprcX0rq +//8/sWBUmdlQOUix/2VcfTtpk7IcGq8J1d0d7kie7j4QH6Urh93Bnf85+aRI +ntnr6tSZyeFtmcaUdssnkvf6eWd1R8vheaJL+cWeXEl9j0uf1ZCDl52NV4BD +geTr8yE7wuzk0G+v5ve7S4sl3bfu1b5dJIcvJ2xSlZXLJDI+ixYqr5bDgKa7 +8xPmVEiGL/2Vs2iTHFYfMFZc5flMomoVJg7cKYens742Dwh8LtHrj5vlB+Sg ++Nxn+PpLLySWHz4rDj0ph9I3js1nA15Kxj44cdwuUA61gwc4zd33SjLltNnP +E2FyyNxZs37okteS2evKnfOi5LCvujTrr8kbyWLrnZV9E+TwbFLVKP2uN5K1 +I5RnIk0OL54adK1KrJRsaUhNOZAnh1vLgp9t3fJWsuvxKtO0cjlcmHzt9U/1 +Kol3UN/QX2/lIJSqPhCQVyXxdY0aPLpODnanvqs+dHknuTDVzmtnuxx+W8w9 +/lHmveSKWnvrvV9ymHZ11cJt4e8lMd/OObb3lcfwQt/TuVYfJP/rIQ9prR1G +gowPkv/1kMfib45TpKZ/ZD3ksfddufruoo+shzwCe+S+VthVsx7yWNhzPH7m +02rWQx5vBy+zH6RTw3rI44Vb5+d7y2tYD3m4bNYtvnu+hvWQx4fq6ydVimtY +D3mIcoL0+vT5xHrIQ+0C7DxHfWI95BH7QXnnBZdPrIc8VP9U/l4V8on1kMfJ +naOtG4s+sR7y2NZ08frE7k+shzzmacwu3WJcy3rI45PipcCDDrWshzxenS2U +9/GpZT3kkRJ0p/RAXC3rIY+2MadvHHxdy3rI403O6w6/3lrWQx6JC3KOJhh9 +Zj3kMWDVy8zvcz6zHvK46Oznv2rXZ9ZDAa7/zd/xI+Qz66GAIdXXzuVkfmY9 +FNCoHfqg5PNn1kMBmn0GzVORrWM9FJC7+uOpOJM61kMBxiMnTgqYVcd6KOBA +NU8637WO9VDAf9NjBYv961gPBSR876m2uVPHeijAf0Bn+8WSOtZDAbfG7Row +v6WO9VDA3MkhkXsGfmE9FBBrdEx2uNEX1kMBcneV56jbfGE9FDDbdtvGa2u+ +sB4K+Fw+WjnC6wvroYBND8ql9C99YT0UEP7R4apJ4hfWg/s823xmPyz9wnoo +YJbUpsmlDV9YDwUsaTW8tL1PPeuhgLWV6+2j1OpZDwU4BRRMdhPVsx7c/Ry0 +bHzJrHrWQwGOK1Prs9fXsx6KWCW9oXLR/nrWQxH1vDz/o4H1rIciakpmui26 +Vc96KMI32akkP7Oe9VCEyvz99R9e1bMeirCwLWoIbalnPbiz84Smvn0aWA9F +hCSM6lRQamA9FBHusvD3C9MG1kMRHs91v0yWNLAeihAsL/Bbs7CB9VCEa1zc +I4FzA+uhiPtrh+olezawHopIzbiwt9O/gfVQhJdU3dIv1xpYD0XMy1Beci6x +gfVQxOfIad0/8xpYD0UYaH/PUKtsYD0UscPIT66nqYH1UMStK3mOIT0NrIci +/h0f1vN7SCProYiW1W3j1bUaWQ/u/TtWufRaNrIeiriZYpUZLWlkPRTRsW7+ +bsV5jayHEt6NMU+buaaR9VBCxLC2+9PcG1kPJVxIKvccdKiR9VACT3Oj8eWA +RtZDCSWb3hd2XmlkPZQwTXncRpW7jayHEnIXXvs7KKOR9VDCn+Rjp4qLG1kP +JSgc7PizvKqR9VBC05IYm9TGRtZDCX367bJt/tHIeigh8+H0+hbpJtaDu/4T +W/5kyjWxHkrwrkpf7azTxHooobe7uKbWoon1UEJp15BZovFNrIcS/ib1Oi2b +0cR6KCEgZslXB4cm1kMJexN0Y03WNbEeSvAvarR75dbEeijBRvT2+LL9TayH +EnbPrR2afKKJ9VCC25Q3p9vPN7EeSkhbdCZG6loT66EED2GvbPvtJtZDGf+G +rbFLTm5iPZRxPXXyEMecJtZDGZFJsfXVZU2shzIGzBZsQ1UT66GMI7kKY72/ +NLEeyrgR51AR9rWJ9VBG7qzSnMs9TayHMkqm2YTu7t/Meihj8+6T70Ujm1kP +ZXQNOTzouXoz66GMtK+2N+cbNrMeyli2S2SayG9mPZTRvqFL/ue4ZtZDGeZu +V6o0pzWzHsoIaMjtMprbzHooo3PE4eeKy5pZD2UsfejX0LCumfVQxv45ttev +uDazHso4fr58y7jdzayHMmTChiakeTezHsr4uTe7kneqmfVQRpDjxRnugc2s +hzLWOBg63QhtZj2UEew30j/zRjProYwJVv31su80sx4qOFxbeiTuYTProQLn +98sGe2c2sx4q+B1WJj+uoJn1UEHJ7ID/PlQ0sx4qeJozQ3bL22bWQwUnxv8J +//SpmfVQAe4NHDqluZn1UIFil3WUXyf1UEGAe0HKk27qoYL/7rhe/NS3hfVQ +Qbf3+lOtg1pYDxVM+LvnY61cC+uhglrLITW5ai2shwpifHTfBPJaWA8VuP1e +M9jetIX1UMEWE72cLkEL66GCns49U09Yt7AeKtzzYFkhO7mF9VCBg0PV7V0z +WlgPFeh1fFR+NreF9VDBQMsdi9SWtLAeKjgy/+a1+ataWA8VyI7cMm7PhhbW +g7tf3eGrTru2sB4q2BHVf+aZnS2shyqcMtaKD3m2sB6qCHm7YflanxbWQxWP +JxR2CU62sB6qOLTGdmznmRbWQxVH10atighqYT1U0TMtPWxKWAvroQoFXQ+j +FxEtrIcqFiu8EC661cJ6qKLd6u+3vPgW1kMVXcJxwcYPqQd3PebdC73SqYcq +pvW+Xfokm3qowjE/+tXPAuqhigpt59/a5dRDFUOWO3Rav6Ieqph5oq1t2jvq +oYr63a0qNp+ohyqigxZdFTdQD1UcS9oep9xGPVSRk/F+c1sn9VCFjI239MNf +1EMVg4YHR2z/Rz1UEXkkZauOdCvroYqIP6/9swe2sh6qEA6bZLp0eCvroYal +SVc2fVJoZT3UIO0xdMcqtVbWQw3Ll/ffVK7dynqo4fbfbTvFBq2shxpuOl5J +8jdtZT3UIHjksPCdVSvroQaf2aXbNEe1sh5qyBl632LRuFbWQw2fp5nEe0ta +WQ81vDJ+oXjNppX1UMPTpaWHk2a2sh5quLciSSlrTivroYagvre/ZS5sZT3U +0JS9QJS0tJX1UMOWvpXdVx1bWQ81VDp0bDy0rpX1UEPe/cqgxc6trIcaBget +vclzbWU91PBXueF+nXsr66GG8n5L34XtamU91LCjfPT4WZ6trAf3+YND2zsO +trIealg7YPTI00eohxpWTZn7WOMk9VCDyZ3iETf9qIc6PuWZ6+mfox7qWPkp +cHhIEPVQh86Xxx39Q6iHOn79kP+w+Qr1UMdavctf8yKohzqG93RPUYmmHup4 +aOTfuvY29VCH469ZMpHx1EMdLl8+3HiXSD3UcXpIUO3gR9RDHe9vuL8SpVMP +dUzYonVhYRb1UIdY9o94Sy71UMcpF5UyzwLqoY4YzVG7DpdQD3UoRT6VHK6g +HupQjOue4vmSeqjjmlP8+c2V1EMdX+MOTl/4nnqo4/qc1G3iGuqhjiQzN71h +ddRDHQed1+6qaaAe6og7pbzvdgv1UMf50GeTt3VQD3U8kwTUmnVRDw3szB3u +9ukH9dBAhtWLHwHd1EMD8bbqAWP+UQ8NbL7QOqOyTxvroYFAx+Gjd8i0sR4a +KIkY4SIzsI314P798Klfzw1pYz00sLsps1p5RBvroQGNP862wfJtrIcGIg7U +jRmh3MZ6aED6W/STw2ptrIcGJP06vrdrtrEeGtjj9OTTIt021kMDUuGdwQ/0 +21gPDWz9yDMaZtzGemjgXkXmlbVmbawHd30rZIbFW7axHhrw2vLvyA9BG+uh +gfYHx4aPHdXGemhgyvbpmTvHtrEeGrBVy7h+a3wb68H9vu7YvLeT2lgPDSjf +qBwjPaWN9dBAnvp5WZNpbayHBsYO4U+ZMaON9dCEz53Z/62d1cZ6aGLT+RWW +u+e0sR6aSJ5/s/vo/DbWQxO+mjOdzyyiHpoo3TLRJ3AJ9dDEG795DueWUw9N +zJhm8tXXkXpowtTJdpX3GuqhiZ2LpePc1lMPTdQln/+ydCP10MSaT/2UJ22i +Hpoo8Jg0W8uVemhiaf2Ac7/dqIcm7veT+Va+nXpo4tDehD3XPaiHJirmbea7 +7aEemhDs22Q42pN6aCJkwlOn317UQxN6WZ4/kr2phyaivZbXbz9MPTQx+6GG +xOgY9dCEbOeSwZUnqIcmVDcdcTjqSz00Een508jcn3powla93a88gHpooXHK +kTNugdRDC0FLk0YPDKIeWogJ2xBwNZh6aEH53NIIQQj10ILcmtH7MsOohxbq +cVpz5lXqoYUx755eKrtOPbRwOWby97k3qIcWTi0SWZdGUQ8tvFTLcJ9+i3po +YY/+l8i029RDC99rg9+Z36UeWrDYHKMTco96aKG4oGJ/v0TqoYVRq+//55JE +PbSgPer1xaJk6qGFFPs7zsap1EMLh7vPuh9Opx5aKDXPSal8TD200JbnNsvs +CfXQgnF9tIVnDvXQwqpxri55edRDC/tnRPYbWkA9tHAnVUt2fhH10MLOWyGe +50qohza86jI2lJVRD22sGadWIfuMemhjSqb5k4kvqIc2Zsmtgvsr6qENjYi+ +DlffUA9tmHxd3r/4LfXQxtAX51d0vaMe2nienL9C5SP10Mbk2t9Dx9VQD20Y +x+nsW1pLPbTxIEf9xs466qGNCpeUoNP11EMbwbeSFl1rpB7aeB2e2pDQTD20 +kXB9jUNWK/XQxuIAyxvF7dRDG9U/L1e9+Eo9tDF/hWxvZSf10Ebe6iLld9+p +hzbSZA3Nqn5QD21ENcyWvP5FPbQRvnzt8vJu6qGNBQ93Hc77Qz20YX3QL+PR +P+qhja6gSyNvSbVLXv4qsNzTRwc/dhw4erFvu2RZnVpDUH8dHLQfruEj3S75 +WO569cFgHeTNk//o0r9d4pT2eMmLETo4VCSpsB/QLmmKGjGiU1EHicsm/LIa +1C5xC1xXMEJdB880IlYMH9Iu6Trw4JCVjg741T29LUPbJXs39beeY6CD19Py +m/OGt0v+Llr6zdVUB2E923TDR7ZLDiP21mkrHSS9X3Zru3y7RNb8z9pYsQ78 +dd+cmKrYLvFXnqNWaK2DQacssuWU2yVy/a49b5ikA+vDQY7VKu2S4PZvvv1t +dLDSYdzqW2rtEo2qqVMNZupg/exxJe4a7ZLreUE9U+fooKIq6tYorXaJYUJD +4rqFOhiWd/TPT+12ye0wa1efpToYY1tSmKzbLuGfPK1/zVEHduM3Ke3Sa5ck +7fzw/vE6HbybNL7ByqBdMn61VdAHZx1MqFSc3GjYLsmy87H/46oDtdAs4yvG +7ZJpo1/IqO/QwbXZPyPmm7ZLinQNHlvv0cFQ2c0P+pq3S+YO3bN7qZcOXq3M +WJtgwXr46AD7feMdrViP49znn706QlbAepzWwZXLjyfFC1mPszqwMNM5tUjM +egTp4Hjz12M/R7EeoTqo6nPe+tIY1uOaDkb8cYwZbc163NSBqO7K6+fjWI9Y +Hbg6XSpwncB6xOvgQt3hw9KTWI8HOlgY4zcgRMJ6pOigpvXtKovJrMdjHTj0 +P3bq8RTWI5fr63XFz96G9SjUQbeRhWvVNNajTAfj7C3NN9iyHi904JYSVNE2 +g/Wo1IHjB3tHDzvW4wN3PxrHvP41i/Wo1UHTZzPJfnvWo0EHGiW/QnvmsB6t +3P247fR13zzWo1MHbxPN8WM+6/FTB1uWyAS4L2Q9/uhAd11ITeMi8qELBbmA +sasXkw9dvLc6GPJiCfnQhZ9h98Dpy8iHLpar+/s+XE4+dPFPOkXbYCX50EV6 +9s8S7puQ+dCFoSgt6M8q8qGLALutBzasIR+6GGvifqJkLfnQhaVAK1mwnnzo +4rN60fAgJ/Khi0PDMy/82EA+dNGaiJmLncmHLi7bnuY/cCEf3PUlvrAfsZl8 +6OLtddsbm7eQD12Y+Q8dk+NKPnTRVWkzUM2NfOgiplZGa9s28qGLPYrr9ma7 +kw9dTPq7Xl1hB/nQxexlwwc57SQfuqi3XGt734N8cJ//3dzX/3aRD+7+GxWn +zNxDPnSxyiH9e+Be8qGLYWP7+1btIx+6qJEc89LZTz508cJ/eLmTF/nQhYb0 +rqPRB8iHLpoXe19tPEg+uOvR6OQZHyIfujhb7Ttyow/50MUKsz5uEYfJhy5C +r/Yf/+EI+dDF9H/T9ysdIx+62D/eRTDnOPnQRZXfoDVHT5APXTzYWzAw5ST5 +0EWfL6MFrafIB9fb8Eul5mnyoYu0oY795viRD13E/uIlevmTD10UfEhqvnWG +fOgic0VA3KsA8qELmad6Pb1nyYcuroyprTQOJB/c9VwUz5h3nnzool+c96zd +F8iHLrTN9L6EBJEPHs5k7VN+fJF88PBY621ddTD54OHZoMC5UpfJBw9TN31d +ph1CPnjYZDe+/8RQ8sFD4Y8Hi5eFkQ8eFvaE2HuEkw8eNo8ybPO/Qj54ePnR +d0zUVfLBw6HLvywzrpEPHkz2h7x6fp188PBWJdiiIYJ88GATOMK6O5J88DAk +XL978E3ywcOLgNYdGlHkg4dJWw/cNIsmHzwUtTect44hHzycCBiL6bfIBw/3 +L+6Jmx9LPniw4t2qWnGbfPDQxC8tcYojHzzI3qk+6nqHfPCgtLtSeudd8sFD +ny235+yNJx88/Ns5w8nrHvngwd4v1NY7gXzw0Frs133oPvngQXu7lLdPIvng +3r/p9etDD8gHj/u+be3nnUQ+eKgTqw/wekg+eFhiMObLnmTywcPgsXLhOx6R +D67/Qk+Bawr54ME1z/qGUyr54P79TOWfK9LIBw/Gcq/NFqSTDx52vxxlY5tB +Prj7XdI5efxj8sFDUn6eoWUm+eBhsqnTd+0s8sHD1X/ecSOekA8efn1/Ok8q +m3zwULOtobY9m3zwID593Ol9DvngoUPP7k1BLvngobb6xYQHeeSDh8Wjsi9e +yScfPITsuFd/4in54MEnb7SlewH50EPGlGa3JYXkQw9j2lbGTiwiH3qYlqFZ +yysmH3p4Ef1IWbaEfOhh782Hs5tLyIcetqWEHy4pJR968P/1NfVOGfnQw4iz +/J/+5eRDD24lb8durSAfeuin4+wz6xn50EOSybznxs/Jhx4OpQ20kHlBPvTw +q0bmfM0L8qGHhC+JMmkvyYceglccOn7hFfnQwwTdV0pbX5MPPQxxUX1k84Z8 +6GFnasIW9UryoYcffaRE3yrJhx7E/uuH578lH3qoUbTuG1JFPvQQmV81ZOs7 +8qGHLlzjS96TDz1URnx0G/GBfOjhul9jQc0H8qHH7fUw3PtIPvSwyjD81cFq +8qGH1pc1vrNryIcezsvz1qp+Ih96iFobvezLJ/Khh8JPD3bdqyUfesBqv4ee +n8mHHkQLt2ra1JEPPbxvunB7yBfyoYd42UnrXn4hH3pwn3N9Smg9+dDDZN5/ +9msbyIceLt/desywkXzowbplQnNzI/nQw+DrgQfuNpEPPfx1C8P2ZvKhh7yy +Y2JRC/ng7o/q3uXfW8iHHmT9QxIftJIPPcyeKzfZo418cM+vR19pUTv54O5P +H7+/X9vJhx7udJVa3u0gH3oonvzl0uav5EMP52pbJxh+Ix/6cK74q/7pG/nQ +R/5QqzGhneRDH4duBQUs6iIf+uiXY2M49Dv50MfRM/Z/cr+TD32YLsuU8/qP +fOhz//0SvVn4g3zoozpppEzjD/Khj9wjsp/DfpIP7v0qzsrM/0U+9PEqInGr +9G/yoY8TQg+N5N/kQx+S1pdym7rJhz5W7Xo9X62HfOijLH5PVVEP+dBHVkbS +Hc8/5EMfLjJ+JSZ/yYc+7oq/j6n8Sz70UWFT//XYP/Khj/4Hl/4S9pIPfcjt +l8yt7iUf+tzf82d++Ep1MB/6uP1hVMeoPh3Mhz5GjTIcV8Od/+dDH3vG21ee +6tvBfOhj28rgImG/DuaDu74tHSPfcef/+dDHkqhJ8YelO5gPfRimuEaayHQw +H/rozVrdUc6d/+dDH35z/5zf1b+D+dCHlZtBoJpsB/OhD4vr6c2PufP/fOjj +Q/P1K+sGdDAfXJ+yxLsyAzuYD33ELypXj+HO//PB/XxwRf3MQR3MB/d+Q8+O +aOHO//Ohj+9SjZdPD+5gPvQxSzP+iNmQDuZDH0KLtLIi7vw/H/o4fa5m/6ah +HcyHPlbbdJ6WHdbBfOgj7d2T3hvc+X8+uOfBT7ty8vAO5kMfKyyqFD9y5//5 +0IeNdWbKvhGsR6c+fJ+H5CqMZD1+6mNr3pgx8SNZjz/6eOe7Wm6mHOvRxwBa +s6oW18qxHv0NcKLGTWa/POsx2AByVbVa8gqsxwgDSB3sjoxVYD0UDbCtZG/Q +ZEXWQ90AzT7a398osh46BvihGp+1VYn1MDBAwLP6nr7KrIepAe7dOhoVrMx6 +WBkgd9O0J2YqrIfYAJoRdbMzVVgPawM43tWwW6DKekwywKfkqJQ6VdbDxgC6 +j8aF7VZjPWYaYMj+gE5ZddZjDvf715dnXFJnPRYaoD1i3l9jDdZjqQFknukn +PtJgPRwNELz0VLWtJuuxzgAnbayOvNZkPZwN0Oadf8VJi/VwNeD+Xv8r7NQi +Hwbw6T0Mb23yYQDfM30LBuuQDwMMljUuCtYhHwbIfxVuq6dLPgwQtWjo5Lu6 +5MMAL0u0ksfyyIcBKvbui83mkQ8DeB/PUZ+tRz4MIFSNGPxKj3xw93tWiqej +PvkwgEgYt+mLPvkwwKb3Gm9dDciHATYuiSvsMiAfBrga3z3e05B8cO/fGcWX +MiIfBvgmmBtzzIh8GGDkkbORg4zJhwEm95PVCzAmH9zzU2lvJG9CPgwwSdR7 +76IJ+TDAu3kPslRNyYcBnnnJLAkzJR8GeCPn66FlRj4MEHioUvWaGfkwwDrb +47a65uTDADr/jP5dNycf3OsvmD+JZ0E+uN8fEjQgwoJ8GKJxyDFHXUvyYYjK ++fcnXrMkH4ZY+zPulpYV+TCEwFo6IsyKfBjC3mO6kRqffBgiwbjPuGA++TDE +1+6sKnkB+TDE86SRg84KyAf3/rMOFgwWkg9DGLw9q3RCSD4M4Xrj+fc+IvJh +CC3dkvVeIvJhiJiv0k4/ROTDEH3r+v3YJiYfhpjwd45ak5h8GOKH+5Zna0eR +D0N8jv6gXjWKfBgCMOmeP5p8GGLm8GeuhaPJhyHu8ZZ4YAz5MMTg7Akjk8eQ +D+733fvaWIwlH4bobDUaGjmWfBjiyyjzrSrW5MMQ60/6O/lbkw9DXFlX2NVn +HPkwxNBjK9V3jyMfhsjryn7XNI58GOLVzz2jHMeTD0ME6/wwrhhPPgzRf1ry +wykTyAd3vcfE1Q8mkA9DFNvVRxhOJB9cr1k2fS9NJB+G+Oab93fAJPJhCIel +NUH7JpEPQ/xcOKi4aRL5MMRUt0fhyyTkwxA7m1YNK5SQD0MULd+uORbkgzvf +XlccBfJhCBf1myqKk8mHISSSWJkjk8mHIZ5Ifw78Opl8GGLd/cwsxynkg/t5 +Y3xA0RTyYYiXkTypMVPJhyGGTTguFzmVfBjigPOMp8NsyAf3+ce91vK0IR9G +kDcLU/1iQz6McGZo/0dzp5EPI6ipeXenTCMfRrjSsv2T3nTyYYTJPjO2+k8n +H0Y4KHG98mM6+TDC6G/Tdq22JR9GyCiY2PnUlnwY4cuaNEX+DPJhhGGPDWqC +Z5APIxjP/Ty/dwb5MEJ6+7ItG2eSDyN8+9xuXjqTfBjh9Of+wSI78mGElhH9 +Yy/bkQ8jDO+du7HXjnxwnzfBtsRpFvngzuFz3xfOIh9GeBxbeNFyNvkwwmyx +8p/A2eTDCJUDLw/5OZt8GGGje3zBcnvyYYQ5eqn8x/bkwwimDirTdOeQDyNk +3zPuf3QO+TDCj2UzPernkA8jRLe/CZgxl3wYIfSEYFnsXPJhBOeU+xWD55EP +7vqCkn+4ziMfRtCIDS0unUc+jKAikzrXcj75MEKZcOuRM/PJhxE6JcOd2+eT +DyNIUn932y8gH0aYkXBMcmcB+TDCwuTBo4YsJB9GMKv9/G7zQvJhhN/FG8SF +C8kHd/8vNE4yWkQ+jJBWnd1zdBH54K7vnd2W2kXkwwivrV/7SxzIhxEGjk1d +H+ZAPrjrDZnV9suBfBghL+GjqcNi8mGEnYufaiQsJh9GcG1fmjNkCfng7t+W +ei2XJeSDu1+zs61ylpAPYwwLn/RDcyn5MMbU33E79i4lH8bof3rVzedLyYcx +rg+5ccZ8GfkwhkphqeXxZeTDGLVhZserl5EPY0T+GBI0djn5MMbHN1krzi0n +H8ZIeBVU1bScfBjDR7Vq5JQV5MMY99e+6xOygnwYY3HfhthvK8iHMd59mTl0 +5kryYYzH/vNMrq0kH8YQytv2/lhJPozxzGhfgL0j+TDGZCVhVaQj+TDGl+z0 +6t+O5MMYXnHrr81dRT6MUdrqrnFzFfkwhp215aLuVeTDGI8+19nOXU0+jFFc +9eFn5GryYYyy2LVOv1aTD+79Dz7yn72GfBhjt5apx7U15MMYou9/lb+vIR/G +sB+wf5/tWvJhDBvpxksha8kHdz+Ep3e3rSUfxnh4KFYO68iHMb56nd4auI58 +cPd/2c4TdevIhzFyW6PWjF5PPoxhdW/z7xPryQf38+y+C96uJx/GSGy8v9nU +iXwYQ8vv8dT9TuTDGLEZLh+KnciHMQaN+j5GYwP5MMb5+ZELXTeQD+711R7x +0zeQD2O8hUf54I3kwxhzNVX5KzaSD2PsSGxYELuRfBij6Z7O2N8byYcxxrhJ +19g6kw+uV8dzu4vO5MMYfz8X761zJh/GCIux3Cx0IR8m2Dp9nPYhF/JhAoGH +xaVSF/JhghsXp79S20Q+TNDvSc5r503kwwS+SbVhDzaRDxN0fakx6rOZfJjg +586ePfabyYcJigTb/C9vJh8muBSx1+XLZvJhgj49CwcKtpAPE1zUnejutYV8 +mGB+/Lbwp1vIhwmGfzU8J+dKPkwg+zl8lqMr+TDBtPHDS6NdyYcJtNYkKXa6 +kg8TxGwtMpiwlXyYwGzUyb/HtpIPE4RMNA4v30o+TCCT+1la1Y18mGBgepd4 +nRv5MIFNxVmL227kwwQF67rau9zIhwmO+y/cN2Eb+TBBFq+p5Og28mGC/Xda +mkq2kQ8T1G8PrFBwJx8mKN2q4LPSnXyYQGpZTE+kO/kwQe7No2hxJx8mGOdT +PVe4nXyYoPBFu8m+7eTDBKcmN5ZlbicfJog17SPpv4N8mODjbTfP2TvIhwnS +r2zwCdxBPrj7FaK2qHIH+eDev/NJp+ZO8mGCsWkBjut3kg8TJOUmX4jZST5M +MPPq1kttO8kHd/9C6lyEHuTDBKG5c/vv8SAfJrBr++Se5kE+TJAYWRrT60E+ +TNA7ZtrdqbvIhwkOpHn6nNhFPkzQt881XvEu8mEC2/D6c8N2kw/u/Ru8y+fv +Jh+mOPsr7N2F3eTDFCl33B6+2U0+TBEVZbBGbQ/5MEXr9M7KlXvIhylMH0rr +Xt1DPkyxocZv7Kc95MMUK3enauvtJR+mqG9Lf+20l3yYwvZwjmPUXvJhiuPi +vw8a9pIPUxQWX3pvvI98mCLiVe7LTfvIhym2RcWHx+4jH6a4uezEmJZ95MMU +5s/3RZh5kg9T3E198HGLJ/kwRduxDa23PcmHKeS3pRS3eJIPU8h6fvAy208+ +TJG+81/v5v3kwxRHOmc4xO4nH6ZYsbjJq2k/+TDFlMLBe4y9yIcpDkveT3X2 +Ih+m6LP3+OebXuTDFIF/Ri2u8yIfppjIUwrjHSAf3M8HLkhac4B8mOLbFYWI +KwfIhynehpxc9/4A+TCF6HDlD9WD5MMUYVGWq5ccJB+muG+YFHrhIPngerUH +xT07SD5MoZDcfW6YN/kwhXDA0NmzvMkH9/pjflSd8CYf3OdxqZ6U600+TDHO +/9seqUPkwxSeLg7HJxwiH1xPX9HmvYfIhylUFj3Qe3CIfJhC6b/e+x2HyIcp +GrdOUjHzIR+mGBUQvHCjD/kwxeRu4YbrPuSDuz7dsfbvfciHKZbalg1WPkw+ +TLHRR+P6/MPkwxQZSTOH+h0mH2a4E+Y1L/8w+TBDUta7zVJHyIcZcg76rxx3 +hHyYYX//RwYeR8iHGbYt98m/c4R8mMFl3nBJwxHyYYar504E6BwlH2b4GzL8 +0bKj5MMMazJKHgUeJR9meKZZd674KPkww9Mkz6nSx8iHGTYsTCqdcIx8cNd7 ++RF/1zHyYYZ6qVuud46RDzOceB3r8+UY+TBD/sTabZrHyYcZYq12jnE4Tj7M +YL/fp9LvOPkwg2o+f2HucfJhhj5+N6J7jpMPM2RqS70WniAfZpC5vfHjphPk +wwzZlj1Z106QDzMsOFJz4M0J8mGGtKXWisNOkg8z1I42PmFzknyYoeBA+QvP +k+SDe78N83vunSQfZgjvLf9bf5J8mOGPeOs7jVPkwwzOBXYXFpwiH2a45OBr +fPIU+TDDrfn2lzJOkQ8zzBqc9LnzFPngXi+2dpCxL/kwwzjRz6GOvuTDDCmH +5NvO+ZIPM1y0WxqT70s+zHCGVy/p8SUfZjDf9SHR6jT54O6n7yppp9Pkwwyv +jpwSXDpNPsxQtebg+JLT5MMM77tX8qT8yIcZ9shOqxf5kQ8zTFNZfMLZj3yY +QS40XibUj3yYYXCV+9oyP/Jhho6eRyF9/MmHGSAdnSD2Jx/m2PFiWZSzP/kw +h5bR170h/uTDHOYhJ01K/cmHOWRvTn7U608+zFEcbm0gPEM+zLHt0Rl3pzPk +wxw9/VeHXDxDPswRv68gouAM+TDH6OrGU91nyIc5yus+zDUPIB/mOKiU990x +gHz8/79P2xsQQD7MMeBU9busAPJhDvuJ9lqdAeTDHC+kedA7Sz7MMajAc/Ki +s+TDHBZzPXjHzpIPc2yfYvY56Sz5MIepafqR+rPkwxz/3KZKK58jH9z9WP7B +yfYc+TBHX8Wom3vOkQ9zeMam5EefIx/maJURF7w5Rz7M8auLHysbSD7McYRX +4jomkHyYo05Hd4RzIPkwx7A1sy5cDCQf5kgUbvydF0g+uOsv9ZP8F0g+zPF7 +etVG/fPkwxyjLm3atvA8+TCHe5jDssPnyYc5vEem6CecJx/mqA+++6z6PPkw +R+/9eWuGXSAf5tAtTKmYcIF8mKNAT1pvywXyYY6HHTOXXL5APsyhPfHm1qcX +yIc5CnutNv53gXxw1zdcerJeEPkwR57h+H/zgsiHOff3Znv4wSDyYY7+FWN1 +4oLIB/f82guPvQ0iH9zzeOt7Sf+L5MMcVYqXf4oukg/u/kePlVl7kXyYY/Ly +1v/8L5IPC5gOKS1MvUg+LDD3cNehhovkwwJnN+5VVwgmHxYYNcPjMoLJhwUU +w3q7XYPJhwUS04W4HEw+LLCu2WBTXjD5sIDzrG+7vgWTDwuot8Zt1LxEPiww +NNN9/MxL5MMCvPBF3z0ukQ8LJE9zP3ftEvmwwJNV7+RKLpEPC3z1uLP35yXy +YYGSnr/ZvMvkwwJV9nXf7C+TD+714vbI7LtMPiwwYXL538jL5MMC4ep935Vd +Jh8WOC4yCv99mXxYYJKLg41+CPmwQO6hyIo5IeSDux4z4yn7QsiHBc5IdV+K +DCEfFvjlbPWqNIR8WGDH948/foaQD+7zVWv90w0lHxZIXyvTMiuUfFigNCQm +Y1co+bCAzAWt3VdDyYcFpkgOKBSGkg8LzNhTdakzlHxYoKZ3Vj+NMPJhge/H +GhZOCyMfFrh7NdvXLYx8cM9DSWd0cBj5sMDlXf63s8LIhwWWtEUHNYWRD66n ++5KNcuHkwwKFegma48PJhwV8VIrS1oeTDwsIZyTDL5x8WODnRf+4B+HkwwLG +L9b2vg8nHxbYmTTDWuYK+bBAd83i5RZXyIcFtny+ts7hCvngnrdR4xccuEI+ +LKBvPc7k5hXyYQGvifcbSq6QD0vI7U/2/36FfFiiXnGVusZV8mEJPc3Us1Ov +kg9LTDpd3bb5KvmwhOz0WmHgVfJhiSKt16tTrpIPSzg/L/OouUo+LOEysGa7 +7DXyYQntpZpLLK+RD0ukTgrRd7hGPiyxzmRz1f5r5MMS9u7X9kZcIx+WeL9k +Xm/BNfJhifsj/N06rpEP7nqSN+UrXicflriw+Hf/CdfJB3f9n+fw110nH5bI +lByYcvI6+bDEyAnBE+9eJx+W0L8Qr/vyOvngfv9bZfvv6+TDEqWNBje1I8iH +Ja78i7GZFkE+LPE8wqN0cwT5sMQAUajkbAT5sMSEbsvQpAjyYYmP/Ck1VRHk +wxJNf+qHSUWSD0v83G5lZBBJPiyx8pyFiV0k+bDEOLsuhW2R5MMSQfsCm89H +kg9LuDZrxD6KJB+WKHO4sfBDJPmwxLd14xr63CAflrCJ/upkeIN8WOJEVGWJ +3Q3yYYnPz/tpb7tBPizR43dkxfkb5MMSffU3HU6+QT64+/Eq7/y7G+TDEr1l +sQG9N8iHJTpMTXbr3SQf3Ofvmm9re5N8WMJKb3S/LTfJB9frev2tMzfJB/d6 +Nh7j798kH5bc368dya9ukg9LNA/YrP37JvmwhPHRru0aUeTDClrvw+IlUeTD +CopBuyvXRZEPK7TzzrUeiyIfVhjf9KclJop8WMFufNHr4ijyYYWpKwfeaY8i +H1YQeBe5jYwmH1bQeKqpIY4mH1ZQt5VPWhxNPqywvm+a9b5o8mGF1a36saHR +5MMKmY1r+j+OJh9WCCg+OKcmmnxYwWbZmcN9Y8iHFZ5PvxqhH0M+rPDY+snd +6THkwwr8gn7RLjHkwwrS+3af9o0hH1aoyTFeGRdDPqwgGWmqWhZDPqzgm3oq +uyOGfFjBcNCSpSNvkQ8rnBh/vUp4i3xwv79/z8xFt8iHFRQaGm/sukU+rDDs +jHTbxVvkwwon3d/qPrpFPqww7dDuqW9vkQ8reF1rnt99i3xwvW7a2KvHkg8r +dC0+P2pCLPmwgt+WL4McY8mHFXb7zyw9EEs+rDBmaZnXlVjyYQXhssOqmbHk +wwpJwz0iq2PJhxUGtSWoSd0mH9z1LrLz1rlNPqwQGTrrGW6TDyv8GZg7Yu1t +8mGF7W+fTPS5TT6sYD564dLrt8mHFR5OOr7myW3yYYW+0psWf7pNPqxw5NTA +cX3iyIcVxHm7BuvGkQ8rxF9NK0Ic+eA+3/fGPWviyIcVis4Olj8URz6452G0 +IOwqd97s5RScJsXH4EvO8pnc2WKHf5ddPz5qV6bv/cidO5wfzq2S4WOM1sSS +v9z5vmP17U0D+Djr8nOo5p0OicfCAQN+D+LjZNqviRO485iZAqeTQ/moPjVn +5Qru/HvS8izlEXycsO6/yZM7p4uPaETL8fFAz8LpMnf2No3bO1qRj6YLFfaP +uPNknVcv85T5GFD61+ANd5ZW+sd3UONjbe+T1v+4c/5gI/86DT7SF5hGKNzt +kJzqM69ppzYf7p+mThdx51k/907rx+NjY4r62/nceVjb9euB+nzw3z9e7s6d +K2qL/uoa8aEoGVd8hjufr+xalmDCh0ZxiOkd7ry4TOMhzLmzY9veYu6skjtN +rsKSj+K8SQ+buPO7FDe31QI+CgtDa2XjOyTh8cFF7SI+EnVH/jPgzmtuZhke +HM2HkeftATbcmRfadHioNR8fd+7pu447fzkrVx02no87kT4t3tw55vj48eaT ++AgPfJ0THs96gI9vGYdPp8WzHlP5GBscOOVtPOsxjY8lR+WafsSzHjP4CK4e +5q1wj/Ww4yPp53lp4T3Ww56PluF39829x3rM4+Pv6k0fXe+xHgv4mKnwXOh7 +j/Vw4OOl/ffd0fdYjyV8zOe9j829x3os52NUWFDZp3usx0o+MlPNa//dYz1W +8yGz484X9QTWYx0ffW/rvR2bwHo48RE5NTTdIYH1cOajYpjO2R0JrMcmPva/ +zFgYkMB6uPJxd/4h2bgE1sONj3Njd94uSGA9tvNxgB8h+ZLAeuzko99rldw+ +91mP3Xz0pNaO1brPeuzjI2Dj4Cvj7rMe+/mY7nCla/F91uMgHyN/R1nvvM96 +HOLudwrfPeA+63GED6mu6cG377Mex/g4+Ov73af3WY+TfNSMnJ78+T7r4cvd +T69p93rvsx7+fKS6/rusnsh6nOUjbpCXx5hE1iOQD99dhZKFiaxHEHc/s7/1 +uCWyHsF83OrujfFNZD1C+GjT7p0elch6hHHPi3H3qyeJrMdVPr729jh8SGQ9 +Ivjc98nwp78SWY8bfOwIl5goPGA9ovlYPSjYy+oB63GLD4UgnSd2D1iPOO7+ +/ar/seEB63GXj2H13zV9HrAeCXwoyziMDnvAeiTycaxrJJIfsB4P+Tg/bfT4 +5w9YjxQ+6o6VGLU9YD3SuNcfVS0zIIn1eMyHScneV7wk1iOLe16SIy9OTGI9 +crjn0XHzzKVJrEceH1HKb9p2JLEeBXyoWH8/4p/EehTxIRhUMjgmifUo5cOh +yOVYdhLrUcFHbPzLr++TWI/nfBg3qM75mcR6vOLj+oUp4SMfsh5vuO+D50s/ +mj1kPar4mJK4ceT0h6zHez5uTNo9es1D1qOaj9Me5+w9H7IetXyEzspefOEh +61HHh1+C3IK7D1mPBj5Gn/aTFDxkPZr4uPjAWrv2IevRyscHKf1vPQ9Zj3Y+ +do5zSFJMZj2+8SGr92aLVTLr0cVH4M5EhZnJrMcPPlzed8WvS2Y9fvNhLRMx +0SuZ9ejhQ9v/aUZQMuvxj4/oQW78+GTaDwHeDb4aVJBM+yHAdCWntk/JtB8C +9IvOH9OTTPshQI6wwkPhEe2HAG8MfG9YPKL9EMC67m/+9Ee0HwJcKBS/X/2I +9kOA2SZj6/Y+ov0QwHfOyOpzj2g/BJjiVVgS+4j2Q4AJbZvu5Dyi/RBA52XP +ofePaD8E2LvipO1/j2g/BMi8qdJnWArthwDOpUl3DFNoPwSoqXOxl6TQfggQ ++WNczZIU2g/u+mVGbXRPof0QYNrgNdUnU2g/uOvtyZ11PYX2Q4D/slxvp6TQ +fnCvN2nNv2cptB8CtM+NsWlOof0QwL3A/mDfVNoPAYZ6LLutlkr7IcA/pZfF +wlTaDwGeb82vtkul/RDA28K6YV0q7YcA6/uNqvVMpf0QYE5QdkVgKu2HAH4+ +zfdjU2k/BGhZlXQyO5X2Q4An6WYLqlJpPwT4xVs8vDOV9kMAebmJWQPTaD8E +GN2vyUk3jfZDAAuXdX/HptF+cD1uJ5yal0b7IcAsfvVAlzTaDwHCF3Qd8E6j +/RDAzPnHl4tptB8C+KR9nXw3jfZDgL4Xm8/lpdF+cPdzVOur92m0HwJsefF3 +6Pc02g8BTl81Gjc4nfZDgIW525fz0mk/BMh3qnOzTqf9EKAh7MSeeem0H9zz +7bPewzmd9oP7PLqHNhxMp/0QYNKRL3ZB6bQfArhEh+rHpdN+COBxIrYzO532 +Q4BBSmpJb9NpPwSQlXRu+ZpO+yHAsYZxyrIZtB8CfGjreaiZQfshQJLJxFni +DNoPAfq49n9pl0H7IcAQ79Xz12bQfghw0HBezp4M2g/u+deuMTmTQfvBXb+O +8rEbGbQfAnyt/PMyNYP2Q4DqzkuqzzJoP7ifj+ha0JBB+8Hd33I5n78ZtB8C +xOT2Rso/pv0QoML7SZrJY9oPAQx8VxdIHtN+CLB146dCh8e0HwKMPDcna8tj +2g8BTA7dve3zmPZDgMORA/yCH9N+CHDNyWntnce0HwIUGRaa5Tym/RBgmO2k +lsrHtB8C2GgUX21/TPshgMbP3TOlM2k/BNDkzWxUzaT9ECDu0wwvq0zaDwFU +PQ7ITMuk/RAgbGT7keWZtB8CrGu9/nNbJu2HAGf419Ycy6T94L4/pL8+Dsmk +/eBe/8QluXuZtB8C/Hx5bUVeJu0H9zxJjQipyqT9EGC5SltpRybthwCTDSQ/ +pbNoPwRINhmqpJZF+yGAF2+VqVUW7Qf3fdHfWmyTRfshwOf8G6JlWbQfAsjM +jTF2y6L94O73UTv5I1m0HwKMtw3sCs6i/RBg1fbjhXFZtB9CuLyxCHqSRfsh +hMWMgMWvs2g/hPjolzC0JYv2Q4ghm0JTe7NoP4RICFnoqPCE9kMIcVXNf8ZP +aD+EcK2aeXTiE9oPIW7PCBqw4AnthxBZJYWHNz6h/RCie0B7p+cT2g8hRCED +lgU8of0Q4qWyTlLkE9oPIV7Jj5d99IT2Q4hitTVzS57QfgjRkBjkX/OE9kOI +njW1T74/of0Q4viV2a0Dsmk/uM/zuWqIZjbthxArIs7rCbJpP4RQsz3An5ZN ++yEErK+IlmXTfghRV/XHfGs27YcQn09e1fDJpv0QojnEr29QNu2HEB7riz7G +ZNN+CPHaanVCejbtB/f6M+Z7VmTTfgiR1xFlXZdN+8Fdn9PGjp/ZtB/c5/0Y +FDo4h/ZDiAnnx0/SzqH9EIJ3z/GNMIf2QwjH1X2dp+fQfggRn2fVtiyH9oPr +37d109Yc2g8hHgkmfjiUQ/vB3Q8XE9sLObQfQnQ9fBgdnUP7IYSdacu/1Bza +D+7zFJbMLsuh/RBC5eLac59yaD+46z0TX/I9h/ZDCEls+j/ZXNoPIWo/nDFS +z6X94N5fxdzWMpf2QwjlqSGOk3NpP4SYYlO9eVEu7YcQM/5IbXPOpf0QQsNW +ZrNnLu0H93z0fFvhn0v7IYR7W4nNtVzaDyFWNYXqJ+bSfnD//pFTT14u7Qd3 +v3QsCitzaT+E+FvT7d+SS/shxIMHL2b+y6X9EKJoQcafEXm0H9zzsDUjSi+P +9kOI5fff2o7Oo/0QIrRIuWZGHu2HEC+WH9i2Io/2Qwj1fiN+bM2j/RBCYfWr +nYfyaD+EqJd50RiYR/shxDTfYQ4382g/hNjr6f8oOY/2g3v/NQ7yRXm0H0Ks +ebJlw/s82g8hwrVe323Po/0QIv/75Q6pfNoPIUanpxvJ59N+cM9H49QlBvm0 +H5y3ZvHBMfm0H9zzbx4UNjOf9kOIQ0qb76/Ip/3gvA5KydyaT/vB/dz5RK53 +Pu2HEE9PVGWdy6f9EMLrSfKDyHzaDyF8N+pfS8qn/RAiLtfgyNN82g8h3Aye +OL7Np/3grr+sx6oln/aDe56k3v3+k0/7wXn5tT5t2FPaDyEOfA310HlK+yHE +BY2TBsKntB9C5NyxKJv6lPaD+76qOOfm8JT2g/N8N6W/81PaD+753BJ7ce9T +2g8h7hpt0vJ9SvvBnaV6wkOf0n4IkTFsg+Kdp7QfQhzcGHf08VPaDyGuqrxu +KX9K+yFEpaDB7tNT2g8hvqfWXe98SvshRMWjF1/7FdB+CLHWPGWMYgHthwjJ +ciG7DQtoP0Tos2H/nTEFtB8inFVZ935GAe2HCO+1FvZbXkD7IcLxDQt5Wwpo +P0RY+drZ2quA9kMExyVBM/wLaD9EyCiqmXulgPZDhMZhc+fGF9B+iHD0X930 +rALaDxH2uEePflZA+yFC05hQrdoC2g8RZujn/essoP0QYXYf0zf9Cmk/RPh9 +sSxaoZD2Q4Qbt5LdDQppP0T4/rtJMLqQ9oN7fZvNLdMLaT9E+DB1YviSQtoP +EU7EOdu6FNJ+iCAlaG/aW0j7IUKe96ujpwppP0RIm8pTCSmk/RBBqP82MraQ +9kOE5tx/RmmFtB8iyOcH3ygupP0Qcf/9HKP6vpD2Q4SnS0efaC2k/RBhkOq0 +tj+FtB8iiILfzhpaRPvBXf/aXxGaRbQfIhhLR3VaFNF+cNcn0zBuUhHthwjF +zZn75xTRfoigLh6VtKqI9kOEv6tmNbgV0X5wvb/0l/Muov3gXm+i66iAItoP +EUa9855/tYj2g3teLKZvjC+i/RBhyPWcnZlFtB8iFFz5s6+8iPZDhPV+/+2t +LqL94O5fyX33jiLaDxFGZ4xd21tE+yGCbtppu+HFtB8irNC6Z65dTPvB9ZoQ +K2tVTPshQsOGg+8mFdN+cM9HMz9mTjHthwi+ivlbVxXTfogQbznF3K2Y9kOE +r563Ph8opv3gzuOlLvgX036IoHPPbmJ4Me2HCOly/tVxxbQfIrwKLfZML6b9 +EMH+0KDhJcW0HyLUfLMPe1dM+8F9Pu0QXksx7YcIR0Rd17uLaT9EWLTEUW1Q +Ce0Hdz/j359WLaH9EOHnql0/jEtoP7jXP2K2fGwJ7YcI7uP6JduW0H6IcCBU +auiSEtoPESrSjVZuLKH9EMEgwevGrhLaDxHMT/arP1pC+yEC9+eFzoUS2g8R +fgxNXhRZQvvBfd+UtvjcL6H94J73gHUxT0poP0S44KBdUFFC+8Fdn6bhp+oS +2g8Rwj95drWX0H6I8O6ybu/fEtoPzv84LemhpbQfIrx+6NFXo5T2QwSnP8bd +pqW0HyLc/jmpxbqU9kOE0nOpr2aU0n6I0C/hasqSUtoPEaLHdl7cWEr7wT2f +Ax9u3VVK+yGCRKpj4tFS2g/u+/TXNdnzpbQfIgwrKi+6Xkr7IULRTO8T90pp +P0SQsUucmFlK+yGCRci2ttJS2g8R6vo/uvi+lPZDhN5lZ61bSmk/RDi5/M+r +36W0H9z3U0nvlgFltB8ijNlxpUepjPZDhLCB748alNF+iNA1J11WXEb7IYJ3 +z5SjU8poP8QoyNvZPa+M9kOM0LULNq8uo/0QQ+FQ7YutZbQfYgSXG43xKqP9 +EONdj8EF3zLaDzHq7tQ0Xyqj/RBjmN+K8dFltB9iDBgXciypjPZDjKql1wpz +ymg/xGg4vl32eRnthxhfV4+U1JTRfoghf+CIe3sZ7YcYzzyKQ/+U0X6IMeJd +a9agctoPMdQmt1SrlNN+iHF9VNEvw3LaDzHybfwHjyqn/RBD7tcYlanltB9i +eL8u1ZpfTvshhqfTAu3V5bQfYtTqFapuLaf9EGPohVHD9pfTfojxVBD652Q5 +7YcYS/f8rbtYTvshxrH3q5/eKKf9EOPs67zI++W0H2JMqhR6ZpXTfojxan6s +XVk57YcYuglWiu/LaT/E2L02/21TOe2HGAnF2y/9LKf9EMN68aj5MhW0H2L0 +36AgLV9B+yFG+DK5BJ0K2g8xlM8Ll1pW0H6Ioe229/f4CtoPMX7aNFyYWUH7 +IYbXtsOmSypoP8TQmWqf6lRB+yHGD7lZNjsqaD/EuGPlXeBdQfshxsWG1un+ +FbQfYkQcD84MqaD9EGPz4sPCmAraDzHMrty7mlRB+yFGSYSBbE4F7YcYH6Pq +XCoqaD/EuNL8NfdDBe2HGL9v26u3VNB+iOFn+G/LrwraDzGiz8skyzyj/RDD +3tT5jxx3/j8LgrZY + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[0.560181, 0.691569, 0.194885]], + Line[CompressedData[" +1:eJw11XlcjO3bx/GkRJZWZUkoqtmvZUIqcxCyZ4lIiiiSPUp3paSEUtaSLZFI +ZMl2I0uUrW4kiqJmpplpZqJElhK/63nmuP7ymldprjnf52e+QwPXzg7S19PT +G9pVT+///k0o+cjdVOsEsTYJjT9bmyTGlwn5fqkTvBO9uWp4okmSdjT+yBWl +ExQsPjFxQnSTxHJH5ZxXWieoPJxSMm1xkyQzzKFXS4sTjJujZ79oUpPENmDz +oz7fncCj8tRdfbpJcmrKs2hBhxP8jV/zecvgJonTCBvnaXocMPwwYNni3k2S +C0PXfFppyIFRz+ZMWPRbK6F73z+905gDBZMuw7gmreTmTzP/syYcaLF2aVPX +aiXuDUutHltyYJHUw2nUf1pJ8Ytr/yn6c2ChiUBtdV8r8bxtlGQwmAOfHsc/ +3HhFKynLXSCxH8YBgcGjVI/TWsmsffk/xnI48Cp2z/GIQ1rJ25jOi4uFHHj0 +3o8wStFK/EK8VsTSHEh6XtJFE6uVSL2zhxwfxfz+wQT9/hu1kmD4Wn3HnQOz +w/L7p67QSrS8CXtrxnFgWEjOT49FWsk664zJ7Z4c6NtI/3SerZW06au79J/O +gTFRyt8BnlrJP59H3xo5mwNW/hZL7rppJX/fpWyY58OB8XFD5dMpreT/Pfw4 +EO1nndHTSavzWMKBmbVF778N0uo8gjkQalE21chSq/MI5cDTY1fugbFW57GO +A0/OXHPL0tPqPMI5kJln9W3ID43OI4oDt0Ns3B990ug84jjQal+xJ6lBo/NI +5EBcuv/2kBqNzmMXB9pev3uxokKj80jjQHDM7pEJTzU6jwMc2PIs9dit+xqd +RyYH7O7ltBnf1Og8sjiwwmvthI0XNTqPHA40Diw92Zar0XnkcWD574lU6nGN +zqOAA5Uvezu4p2t0HoUc6Ndvz50uqRqdx00OfM3JkNQkanQeRRwQRs/v+jRG +o/Mo5oAk+njQs00ancdjDmzWmJR/XK3ReZQznzck/qxhsEbnUcGB+yMXrxnj +r9F5VHGg7tXAozvmaXQetRz4verSNfkMDfbBgcUVgglenhrsgwNVq2a8fybR +YB8c8N5gZTxvlAb74EC6S9SaFkKDfXCgQmWWm8lBjw7mfG4/mjPLDj30uDB6 +Rqqt9UD0MOSCnvvoyxoL9DDmgs9l7q3nvdDDhAuxvnbafw3Rw5ILC1eb/7r6 +R419cIHr1XTkzg819sEFy0PhqS9b1NgHFzzsh6e2qNXYBxfOqb/62sjV2AcX +poSOvzGnVo19cOHquqLl6W/U2AcXHn3l0w3/qbEPLgzvukrm9kSNfXBhy+bx +cOKBGvvgwl2/+f373FZjH1xY8s7YbftVNfbBhW2HVm7uXqDGPpjz2DzoxIEz +auyDC4M3T9/OzVZjH1wY+Cz979PDauyDC1Ffvks3HFBjH1ww+3DHYHiqGvvg +QqkmEuqT1NgHFzY8+romZ6sa++CCuZF16PooNfbBhdu5GlPPTWrsgwt5+gnu +w9eqsQ8uPD/W8V/PEDX2wZzv+sCc9kA19sGFwvIbx774oUcmF76X1B1pmYce +WVxYb3k47sdM9MjhQnxkpLDbVPTI40JzUs0OmwnoUcCFmnf1YaMl6FHIhWdn +gioDXNDjJhc+3m5PS6HRo4gL9bc/ptwXoEcxF7qV/Ljc4Ygej7nwwLtc6W6H +HuVcEP0Tb5Fkgx4VXOgz7eWQKiv0qGKeN0vvp8AMPWq5kNzRGJ/cEz2kzH16 +rMhvNkQPJRc2XXizyFcPPbRckIr7Jjxvb8Q+uDBg5z/GHm2N2AcX/ls/68P9 +5kbsgwsm4T7q8ZpG7IMHMzg3B75oaMQ+eFCX1SMwoK4R++CBeY/J59reNWIf +POhYVSXbW9mIffDAKiW8nX7RiH3wQFQ3ob7maSP2wQPltXvbdj5qxD54sHzD +9Uq3e43YB/N+9bMetv3biH3wYFleL7h6tRH74IFJzWXXzRcbsQ8eWKf5nhl7 +rhH74EHNydCNpqcbsQ8e3Enaf6AhqxH74MErxye/ig43Yh88SPk4Pv3owUbs +gwd+CTv84vY0Yh88iEiZIwlJbsQ+eNBDnEv6bG/EPpjP/+Cuw5StjdgHD469 +tTYfF92IffAg+lmwakwEeqzjweuBhw/DBvQI58FHddVgz9XoEcWDpJjo0Nkr +0COOB6qP/TYELkWPROb9wtc7Rfijxy4e2BdFb9uzAD3SeCBrXrK5wBs9DvBg +U3XE75de6JHJg5JDHoY/p6BHFg9mbovYYT8RPXJ4UPD7S9ScseiRxwPX4Dtv +ktzQo4D5fGbGKfdHokchD8rcRh7spNDjJg9GWj1RjRGiRxEP3j5Z/k8CBz2K +eXA9TeXx3zD0eMyD6pfurjZD0KOcB8aSRJ81A9GjggeR+r/THlmhRxUPnqn0 +a23N0aOWB0sP2tAxvdFDyoPnpU0pdd3RQ8mDx7tHSicYoIeWB4My6x0v/VVh +Hzy4FKeZb9uhwj6Y57EKX7Xnuwr7YO7P3Qe+3VpV2Acftj12son7pMI++ECV +2eV1NqqwDz706ufRvqVBhX3wYbbJqO4G9Srsgw/k0WfPU2pU2AcfSrM+uPev +UmEffDBZvtonr0KFffDhlH2amft/KuyDD7Yr1gVVPlVhH8zvn503bW2JCvvg +Q8Dt4/d6P1BhH3z4u+zOzYt3VNgHHypqXci5N1XYBx9u+N2w+1Oowj74kHBJ +s/3cRRX2wQe9p8d9fPNV2Acfjs8qTO19RoV98OHHJBuHRydV2Acfmo9Irbcc +V2EffPB/aOvveliFffBh7DL9rx0HVdgHHzxby1/e24se6/hwy/vNl+270SOc +D3m7t8yctRM9ovgAS8eqbBPRI44PE3qeudQchx6JzPNVTz7zMBo9dvGhXlNZ +cngzeqTx4WFdV6NNG9HjAB/it58Mmr0OPTL5YHwwpZZahR5ZfPjqfyHYagV6 +5PCBk/r3z++l6JHHh5kfUk4oA9CjgA/XX6+e+HohehTyQRP/VFvsgx43+fBq +wpvka3PQo4gPo7dWDs33Qo9iPqiD+uWdmooej/lgePWvTZYnepTzQRn7ffMx +D/So4IPbz003j0vQo4oPkQKDqpOu6FHLeL6nX+aNRA8pH04Ic7IKafRQ8iHl +kN7Y+yL00PKhaJ/epRc8tg8+lK1b3ih1ZPvgQ6PAQPndnu2DD6vcLuf0GcL2 +IYCwed5DODZsHwLY4PXRe2I/tg8BVCqnjAm2ZPsQQOyRE9U7TNk+BOAb1zK4 +oBfbhwDyzOaZvu3O9iEA/54/TusZsn0IwAW6fhB0YfsQwJP1Vy8v6lRiHwIY +wZkxZM8vJfYhAPWeQcNL2pTYhwCUMyLv/P6ixD4E8CPggWzEZyX2IYB9X/0P +hGmU2IcANk16VH5FqcQ+BNC5c1XyN5kS+xCAQ0HN01F1SuyDeT7v44mxNUrs +QwCOOZNvP6lSYh8CiBNGLbesVGIfAuhTXr098KUS+xBA7wRTq8IyJfYhgO9L +q826PVViHwKQh34OX1iixD4EkLCq37jCB0rsQwDHtfbret9VYh8C6N9d2Rly +S4l9CCDN37XxyXUl9iGA5Z+N+NxCJfYhgBV/R5TuvqjEPgRQYXS78Gu+EvsQ +gGbf7i8LzyqxDwEYOF2MLs1RYh/Ma8pxNp2NHgUCmKzquvbkMfQoFIAJ5fvS +4jB63BSAJHNCWFI6ehQJ4Cyn0btzH3oUCyA7YOWGTWno8Zg5H7OOJ83J6FHO +PJ/Pi7mrdqBHhQCORNtZaRPQo0oAFjJno1Vb0aOW+XwtMx2aY9BDKoBRXR6s +2/gPeigF8HLqO3lHOHpoBfDsVWt0Yhh6tDAeU1e5mK1Dj+8COGV9ziprFXp0 +CIAcZmUuCkEPPSF01A/mPAhCD0Mh7OS5LZgbiB7GQjAfo8jS+qOHiRC8jh9u +37YQPSyFsKuXNNh2Pnr0F4JR2DjZLW/0GCyE02+mr1wwCz2GCaF8bMbvX9PR +gyOEU3dvpB+dwvYhhAj36SPGerJ9CCFmV3u1yoPtQwh33JdH7wG2DyF4npfY +urqzfQgh/534jsqF7UMIh0J+z0kfwfYhhBd7Z8on0mwfQoBeLct/idg+hLC4 +x/G6C3y2DyE8srObtIzD9iGEuumTsmwc2D6EYPCiXvbWju1DCJn8+6b7B7N9 +CCHc7p7DLBu2DyFsPXjLzqw/24cQNibt13/dl+1DCNXGokfp5mwfQuCMDA/y +M2H7EAIxaF6DfS+2DyEk3L435lN3tg8hSL6e2XDTkO2D+XvRRlsS9dk+hMD/ +8N7f+68C+xDCBV87i+G/FdiHEEpapYd+/FRgH0KY5TX08/M2BfYhhLl3tKYn +WxXYhxDKBs38G9mswD6EcFKx+MacJgX2IYTKHuKRIrUC+xDC9XZZdC+lAvsQ +gm/mru1amQL7EMJg4dTZZXUK7EMIgQGTPhbUKrAPIUx3ynPa/06BfTDv/yJD +FPlWgX0I4Uzd2C+LXyuwDyEUDqwOnfJSgX0IgReZesy5XIF9CGG41dEEu2cK +7EMIDqTrYLPHCuxDBG+H5IV2eaTAPkRQMdVhxdf7CuxDBBlDvpurihTYhwj2 +9A5YWXtLgX2IwC3y3KrXNxTYhwjW3RrWr+yqAvsQwU3TQaGll9FjmAheHFUs +LS5AD44IqAWv9e7no4dQBC/Nx8C9s+hBi6B8SdyQ+6fRY5QIlp/8kVV8Ej3c +RaD91LWoNAs9xongiUX38LKj6OEpglv7Qp6+zkSP6SIw2LfnWm06esxmnue9 +apRqP3r4iGDfq9pZX/egh58IMtfW/dFLRY8lIshyCxxjmowewSKInfS+z9Ad +6BEqgm/D7q2hE9FjnQj+qdvl5xmPHuEiEHf8+9YvFj2iRPB95fOasGj0iBPB +vGRYmRKJHokieGdzLC43HD12iUAwZvmg4jD0SBNBVLCjpG4dehxgPn/AiobO +1eiRKYKQsTd62oaiR5YIUleeKpSsYPsQwZvou+8Dg9g+mOefdzQ2KZDtg3l+ +k9cnLgSwfYjgQHO72xs/tg/m/FcXzP6zgO2D+TlnV72TD9uHCHoo+Apvb7YP +EYzaOjIgfhbbhwiO/5w2/fIMtg8RhPmaF0insn2IQFkijLOYzPYhgmVBU4sn +TmT7YJ43xCIsyoPtg/HqJt57Gdg+RFAW6jdQ7c72IYLfxe6mdq5sHyIYzE1e +4zeK7UMEsy7+Eh9yZv7/wNQBDnoExE6DwDeUQlJleiP8mj4BdnLrNguC+Xvd +6ivGGxLQZZRr0xwBcz6/u4sqjQjo0Rw88SCX+futZPJSYwIckhboVzsy56Xy +VbX2IsB53mtbm+EKSc6HbR7xJgS0JaQfXmLHnN/r81lm5gRY9Fy+8exghYTz +9E3HCUsCxPnG+S02zHne/eNDWBNg2jzJffQAhaTgquPVe/0JOGav5SRaM+d7 +bqaplw0BY+feWfvKkrkvJyJXfbQloOe0nb0HmzPnffDkk9VDmefdMUR/jQlz +f5KfD+u0J2Cd++xpd3spJFFbv8WlOBAwdVaLxsSYuU8Rgz4M5BBwcO5/tYFG +Cone6oku+TwCKhMfDLlhwNyvwLUHRwsJSH544lovfYUkcf6hL08JAkr3jT+8 +9G+DxHPGg+kLaALyrJLKb/9ukBiP1+Q1OhPQq8/4GX3bGyRlLhbdNo8iYMjL ++QPX/WiQpIncAo1cCbCdnEOXfWuQzB4edDfdnYDO793SOa0NOg9gzk8ZOHZH +c4POYxzz/gVHxI1NDTqPCQTUrU1fM1nToPPwJMDkhcvnfFWDzmMKAVWtq6+Y +KBp0HtMIKI6zubVR1qDz8CJg0GHCoKauQecxm4CO6vS0cR8adB7eBIyY7r4w +/32DzsOHgK2RFsF9qxt0HguY1zONL8a9adB5+BGgIS2dP1U06Dz8CTijGvbD +92WDzmMJAUanyG9Pyxt0HksJ+PmL4I1+3qDzCCYAGgYcyX/SoPMIYc73ZuM4 +29IGnUcoAR+4B4fue9ig81hDwBFPmxFGDxp0HusIIMOiYmPuokcYAdODL//+ +dhs9NhGwg3f3/Op/0WMzARU2p1JV19Ejinn++sDswKvoEcO834ouDR8vo0cc +AUmfts31u4ge8QTYf2r59v48eiQy5+0084HvOfRIImDWyXP/1pxBj10E+Gca +f1h0Gj1SmPu2M4orPYkeaYy/o3F20An02EfAvcWPJNpj6HGAgFrvfw03HEGP +DAJUPr++/DqEHpkEPP90sOu2dPQ4SkDqk2yX3gfQ4zjTcymx/9Be9MhmfD/7 +mAxPQ49TBPReJ7hwJQU9cglYcuJJ6Nhd6JHH+PwYO+VVEnrkE/Cjd6FnYCJ6 +FBCgf2zE0m/x6HGJuU+RjUeS4tCjkID0g4qWgVvQ4xoBh39NXXI5Cj1uEqAo +Hd3kGYketwkIpyv21IWjRxEBYTvoGZs3osd9AiRDttqbb0CPYqaPZR9NLqxF +jxICNpatNZ+8Gj0eE3DivS9XuRI9nhGweGDpvIQV6FHGeNu9S7cPRo8XBLgm +31E/XIoeFQS8bc2cEbQEPSoJWNl+5JFRAHpUEbDA4/OUfD/0eMf0ubqo3ssX +PWoJmG89MrHNBz0+MvexeufIo3PRQ8r8vofiu8cc9JAT8FIeWdw0Ez2UBPR3 +icxMn4Eeaub+/ds3auw09NASMLcsPvjTZPT4TMCmsm++hz3Ro4UA467ZPpMm +oMdX5vvgTNHCH+PQo42A6o9bg88AevwkIPHG8Ij5Y9Cjg4C1XFmKsRt6dDLf +X/2+5Ba5oIceCes9dz9aPxI99EmwXdba4OCMHoYkCDv9jD5Q6GFEQtw2Y94B +Aj2MSZg+ycVrmhA9epFwLcopzJCPHiYkTOL1S7/HQQ9zEg7B5Bv/OKKHJQlP +YjvfjBiOHtYkOG2P+PLVDj36k1D2pL37lSHoYUPCsP3PB663RQ9bEnIMRRzS +Bj2GkrDYMpRo7Y8e9iRcTywlrlqjhwMJrS07OBF90YNDgl5Re39XC/TgkWA5 +OKiLnhl6CElY2t+8rqQPehAkvIycdiWlF7sfJORVB/zjbczuBwmLMg6NGNSd +3Q8SxtR5KJWG7H6QMPrExR2Xu7L7wTx/GndQTBd2P0hoczA4NfmvHPeDhP0n +U/pbd8pxP0jI1usbp2iX436Q8Pjv5zdXf8pxP0joYrt6QOJ3Oe4HCZsyZNPm +fZPjfpAwMejUKqdWOe4HCSvdDCI7muW4HyQkpviuf/FJjvtBQumW3945Wjnu +BwnF38D+H7Uc94OEBUmxNTNVctwPEjISTWOcFHLcDxIyv04z6iKX436QELEz +NfJ9vRz3g7lfV0Svr36U436QkLwr2WJPrRz3g4SB9Z0uq97LcT9IGEDIJ0yu +luN+kBCae2iE41s57gcJ222ie3arlON+kBDer+mh4pUc94OEiueeAaUv5Lgf +JBSaN9eeKZfjfpDw74Zxbruey3E/SDAacCp69VP0iCdhwscNx2Y9Ro9EEs64 +Wh4fUYIeSSR8mqOJsXmIHrtICPs0363rA/RIIYHe8Khacxc90kh493nv7Nd3 +0GMf04OZY96dW+hxgASKq6nJvYkeGSTYOY7T7L2OHpkkpKenv465ih5HSdiX +45Wx8gp6HCfBecBb8fxL6JFNwhu//fkTC9DjFAn9Vn9pdz6PHrkkmCl97RzO +oUceCQG/Rw+1Pose+SRMi+n83j0XPQpIaC7vc6rjFHpcImGWpmZYczZ6FJKQ +tqwiSp6FHtdIMFy2+Ez1MfS4yZxPzrfc/46gx20SHDf9iSzJRI8iEvg3Pw0u +ykCP+8z9kVKHrh1Ej2ISTL+PkRXsR48S5vmSt3We3Ysej0n4UbJBfSoNPZ4x +92tB7Oms3ehRRsKKJBvyaDJ6vCDBwPZucuZO9Kgggbu5+mpGEnpUMvc1uj4/ +PRE9qpjXR6auS9+GHu9ImPwyrUvGVvSoJUGWZR9wKBY9PpLw3mrLjsMx6CEl +oeqpVcyxKPSQk5BQGeSWHYkeShJeVctLTkegh5r5PvnTo3/+JvTQkjD4yyzn +y2Ho8ZkEudR5wM316NFCgn8C8fjeWvT4SoKFSZHrk9Xo0UZC/GifiFeh6PGT +8YCoyJoQ9OggYXzN6bHK5ejRyXx/TfB+8SUIPfQoqBxpYPdnKXroU2CtpV16 +BqKHIQUay0DL/ovRw4iCwMbehY7+6GFMwZC+NyxH+qFHLwqySz+M8vRFDxMK +jp3SHzx/PnqYU+BbnlsaMg89LCnoVTdVFO2NHtYUkJ/C5qfNRo/+FKgSssee +mokeNhTMzffR3JiBHrYU6H3oP7t8GnoMpYDqvWqzfAp62FPgd7rCr30SejhQ +sCGh4o+ZJ3pwKPD507KIOwE9eBS41RRGenigh5ACY5/sWYvGogdBQekQUERI +0IOmoH2BtXi/O3o4UzA4vcD9oit6jKKg+/vdXcpc0MOVgslH3GPVI9HDnYJz +9yZeNxqBHkBB8lEq10HM7gcF/t93zZhIsftBQU+99wXBBLsfFKzwPVeaJGT3 +g4LTFkkH8/jsflDwMLdjQBmX3Q8KDDr2z2t2YveDgoCltZ4Wjux+UPDj2JLP +I4ez+0FB2utLkxfZs/tBgX6Gz4JtQ9n9oOB2g9zm3GB2PygQ2jXsfzWI3Q8K +Zg1SFf0ayO4HBZa9og/bDWD3gwJZMsWZ1o/dDwoGZB8OCbdi94OClOvjF2Zb +svvBPN/RB51l5ux+ULBr18mZv0zZ/aBgyYDIOQ4m7H4w5z+tytC7N7sfFHTE +ey2P78nuBwXc7RsjLvdg94OCzbHvnKVG7H5QML7D7KRZN3Y/KNCqLt8eZ8Du +BwUzDk6P36jP7gcF869GtuXqsftBQdK855bv/8hwP5j796mqrnenDPeDAq8p +zvPGdchwPyhIPBYZEfFLhvtBgSDc1ePCDxnuBwUnH5j9K2+T4X4w9+H5/uoB +32S4H0yvoxdkz26V4X5QkGPVbp7cIsP9oMDqfh/Bo88y3A8KBrWJmjubZLgf +zHm8+D5/lFaG+0HBy+LfIWFqGe4HBd5ZNUMvqmS4HxR4pAritAoZ7gcFf8x3 +Jzg1yHA/GH/jg8JgmQz3g4Ju5+pjcupluB8URMb13CD/KMP9oIC/8bKx/QcZ +7gdzPx+u8VxaI8P9YD5P13rHnHcy3A8KwoeezFdUyXA/KKgImF3h+FaG+0HB +K5/UIysrZbgfFLj+/NqtoAI9yihwTBxq2foSPV5QsDfjWvGIF+hRQcF3Gy/z +6HL0qKTgy7zd+sXP0aOK+f58b7K/+zP0eMf0vTf8odcT9KhlPK4t2Z9Rih4f +KWh4u16//hF6SJn3q/Q05TxEDzlz/6UJdzY8QA8lBf3innUruoceaqav7bc+ +G91FDy0Fl/v9CJtzBz0+U+B5wS496xZ6tDD3r0e9d9NN9PhKwdFxFRddbqBH +GwVNd47mJl1Dj58U3OTJR70tRI8OCu7umRw8/Ap6dDLfx64bhZsuoYceDfXP +jPaWFKCHPg3PnfJ2W11AD0MaPu7utF+Rjx5GNPSOPTfnVh56GNNwpXyuTe+z +6NGLBjrjdMziXPQwoeHCeIi4moMe5jQc3lXWvccp9LCkYcuKL6R/NnpY05Dm +NK+1MAs9+tMQEHBysvFx9LChYac2ZuSSo+hhS8PCtj23bx5Gj6E0JHze9sY0 +Ez3sabi3929CSAZ6ONAQKzv/tPggenBoyOY7n7I5gB48GiwNxplF7EMPIQ0p +42MsKvagB0EDabY3T5CGHjQNUyodX+/cjR7ONLSOaUpTJqPHKBpKJ+2s89iF +Hq40ZIjOPcjegR7uNAyIaHfWS0IPoOFQ43C3gET0GEfDmX3Vr+9uQ48JNLx9 +cve3bTx6eNKw2D7xemwcekyhQbmlWk+6BT2m0WDzO6hmXAx6eNEQ//f9hNNR +6DGbBitSIen+D3p409Azmnoauhk9fGiI6bNe+iIcPRbQwFngtUO8CT38aBhm +El2UGYYe/ozf8Ny4v+vRYwkNM19Hvwpahx5LaXi25+LFsjXoEcych/XnAeLV +6BFCg1tgo/nRUPQIpUGRPyPDYCV6rKFh6LpXZ1avQI91NBxcOXR8VTB6hNFw +O705dGwQemyi4Zjok+35peixmYbdF5/7WQeiRxQN8hduw7ctRo8YGq7vaI1o +9kePOOa+mmZ5+y1Cj3ga/Gu/3Xq6ED0SaSg5nntupC96JNEwYdJy+9z56LGL ++Xk3zbC+Pux+0LBogqwgYS67HzSYnO/64Nscdj+Y+z1Yf2HQbHY/mM9/f2/0 +25nsftCw/FPw8Ele7H4w5zVmxNxb09n9YF7vuNpTMI3dD+b+1KRPOjGF3Q8a +tNv29bSczO4HDUuMFnnv8GT3gwZTx/N2nRPY/aBh9Ufn8A3j2f1gzn/ppRmN +49j9oOHpsIp8/7HsfjDnVzZr9xsJux803HBXtk4bw+4Hc3/3T6x95MbuBw2D +h3Enu7uy+0HDsuhJLtdd2P2gQW/pgvOiUex+0HD8reXJvBHsftDQ0ZseMMyZ +3Q8aLkasssyi2f2gofnfyD0DKHY/aJh3xSI1nWD3g4a8TV97WYjY/WC+D7wq +eu0RsPtBw/nikN29+ex+0HAnKSw1mcvuBw3dU/NMjDnsftDwpr3EdKcjux80 +eHC27e3uwO4HDX3OHdm7Yxi7H8x98nhm2sOe3Q/Gv+Vpn11D2f2gQSadm9xz +CLsfNMRFc7bvtmX3gwYjX72/JoPY/aBh46T0tn0D2f2goXHymRCrAex+0LDm +QLPv4X7sftDw317eU1trdj9o8KqzvnqqL7sfzPNMXz+QY8nuB/P9V//tT4E5 +ux9iqCsP8Hc2Y/dDDNLYtS53TNj9EIPBPmmaRx92P8QQWBG25Hkvdj/EIBzb +cGFOT3Y/xJBg+mVjbQ92P8RA58y/HtSd3Q8x7N77bn1zN3Y/xOCcRZ2JNGT3 +QwzNj+zmGRiw+yGGUF7IljR9dj/EYMUvGTywC7sfYlDP/O5y5q8U90MMrt53 +yug/UtwPMewcUlV1/7cU90MMs152WzijQ4r7IYaqCz3n1f6S4n6IoZPKfbLy +pxT3QwxmPTKu/Pouxf0Qw7HuWRY726S4H8z7ucRq+32T4n4w5/Pqp0teqxT3 +g/n5iPI/Ll+kuB9i2NBR5PK8WYr7IYYe8hSN32cp7ocYHD50mDY3SXE/xKA1 +Kj+/VSvF/RDDxIvFRZYaKe6HGB5Oy/I42yjF/WDeP2n4GDeVFPdDDMF0vwsv +FVLcDzGIZo/eE9Qgxf0QQ3dzL1W7TIr7IYY/5f2v7JFKcT/EcKW3f4tDvRT3 +QwxkYl120Ucp7ocYSiwDS70/SHE/xFD2JG9RUw16LBFD6rt/lie8R4+lYjDN +z5TbvEOPYDFoyp69ulaFHiFiGNReQXq9RY9Q5vP3i+iqrkSPNWI4Nzp64rbX +Usn/AG06oOM= + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Hue[0.1421359549995791, 0.6, 0.6], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[0.560181, 0.691569, 0.194885]], + Line[CompressedData[" +1:eJw113dczf3/x/EkK4pL2Su7sz/jiKzzlE2JFEVK00pCZaRBS4OMlKQ9iOzM +rsjMnmWvsiKniK4y0u/z/fm8/rpu79vVOOfzfD/OXX1dl9l46Oro6PRtrqPz +v/+GXX4p9XtuiuCeYZUNtZ81+keYN9vLTTG8IDysykSridu9Ifnoe1O0fPf5 +STKv1RhvLJ15r8oUvuXD9G6N0WqSVg5q9+WLKZot72meZ6XV9HZefcnwP1Os +2PzHopW9VpM15fo6xS9TvL1w38XORasxNes5xFJHgrWXppqULdJqDvT11i5u +IUFCm/zhR5ZrNbxBcU6UvgSGz7I8Wq3Rak41/OO0t70Eo1PfWWqDtZpRb906 +lxhLEB/0ZfjKCK3mwp3jt991k6DHmA81ObFazcTCVpF6fSSI04uMitum1dzM +ddD0HyBBOyfVqGE7tZoZ2/bXj5FIMGRIy7u5KVrNw8DGQ/OVEizZtK3qdaZW +47jIemEwL8G19BdzqvZoNeW2GSapwyQY3Cp56PV8rcYT3x7/O0qC7cXSduuP +aDVVsvFbn1lI4NUuV9vxhFbj0yVx8s+JEnzl+27ZcEarqdP92KyblQSXUjNn +3T+r1aytHn5mqI0ESR1fOetd1GqansSumDVbguLyoC3dS7Sa/9/DUYIzFgHJ +nW9o/+7hIoF1vz+tf94W9/CUoGGB8cgr98U9lkiQumnZqnUPxT18JJi8wKO8 +11NxD38JbGNtIve/EPcIkOB7Y8D8QeXiHiESTDgWMT3urbhHuASH+iZKPnwQ +94iWQMd68EFllbhHnAQvh6Zt86wW94iXQG56Oijuq7hHkgT6n04b7vsu7pEm +wUzr0NIT9eIe2RKMCYqwP/FT3CNPgpiwXd3zGsU9DkpQ++PMtjid6r97HJNg +YcURdnHz6r97nJKgYMMhF/OW1X/3KJJgxYr7EY2tq//ucUECl9cZTSfaVv/d +o0SCZ8cDBnoaVv/d45YEw/UbLNv+U/13j/sS9Bsw9kquUfXfPR5JUN1j2Yth +nav/7vFceH+GZ5+e71ot9iGB+uA7XYse1WIfEoRVWyae7lUt9iFBS6dxD01N +qsU+JPhy2fxXXL9qsQ/h/oV+GF0zoFrsQwKT1iGPJgyuFvuQQu99WUOCpFrs +QwrzgpDSV7JqsQ8p6vo0JfVVVot9SOG28KG/I1Mt9iGFQeihhC1ctdiHFA69 +V/Q/q64W+5BCdnTe+Hdm1WIfUny+ZtK/pXm12IcUhQery01GVIt9SHExfnvO +kFHiHrwU45ZEbhmnEfcYJkXJkqaLVmPEPUZJMXbDH+cZY8U9LKTIynEPtR4v +7jFRiqtDGqdOmijuYSXFwhWxD0dMFvewEb6/5/PR0qniHrOlOD29JM3IStzD +UYpZB8cbNUwT93CRIuOO39FH08U9PKXwM92z46iNuMcSKf5MdyvdaCvu4SPF +/qv+4XNmiXv4S+Ez/MfZwfbiHgFS6E+1S/jiIO4RIsXQH9Ymx+eKe4RLMSSx +/XK/eeIe0VJYuffbzTqLe8RJcfhmWMHH+eIe8cLrtdtzM8VV3CNJ+Hpz3/+s +3MU90qSwKbId+9ND3CNbCsfRZpcyF4h75Ekxqmlz1MRF4h4HpVh02jWrcjH1 +IZy/T+gb4UV9SBEyeEFHE2/qQ4odezeGn1hGfUjhtMQwavJy6kOKmO2/pU9W +UB9ShD7/tdrDl/qQos0Tx5BqP+pDil4GPab5rqI+pOj49lX1f6upDyk+hLVY +5r+W+pAiNyLpaW0A9SHFxgjnUV6B1Ifw/DMeZFYEUR9STLgv7zwrhPqQItnM +OfPKeupDhvLhvW3UodSHDIGHXqrSwqgPGd6UPB7fKoL6kKFETz/VK5L6kGGd +/lCLOxupDxlWuGtHqKKpDxmKzcLjY2OoDxl2vexn9yGW+pAhcsOpCM1m6kMG +w+2tuB1x1IcMh0ZmOlduoT5kOH3jcxfzbdSHDJd0+7hFbqc+ZJjiPn7yg3jq +Q4auPhb3eyZQHzK0LrvTwj2R+pAhMTG4au9O6kOGu4qi6Kok6kOGDltvf5Il +Ux8y6E4o67h4N/Uhg+XcO21yU6gPGWY673z4KpX6kMHX6lNwl3TqQ4Y2K53a +WWVQHzJkWXpvCMmkPoT3N9e38kgW9SGDpC5/XHk29SHDzdZDUwxzqQ/heVcY +N5jvoT6E/d7K57rtpT5kWDhyys3oPOpDBq+tvWYc3kd9yBBzYFTNg/3UhwxM +X9P8unzqQ4bk8jGbOh2kPmSYXfEokT9EfcjwNK/PPevD1IcM0eVDRy8+Qn0I +z6Pc9O2Go9SHDF/M3pUkHaM+ZOgtmVJ9sID6kOG2mYnjhePUhwzx23p0Lj1B +fchgv+u5yduT1Idwf6Z+Cak9RX3IUHbs7vCm09SHDCec3tnoF1IfMuSeC71l +9C/1IYNrUs3BHkXUh/D+Xef+6nuW+pBjQk7liUHnqA85fF32vpMUUx9yDPRI +jpGdpz7kuLXnaL7sAvUhx8v0O5bSi9SHHLa7Ly0bfIn6kON7O7tu/S9TH3JM +fT7EqtcV6kOO8FMG7TuXUB9yyOf7uRpcpT7keF5VP6X5NepDjradjW7WX6M+ +5CgPGfrx03XqQ47xP6/mPL9BfcjR62zvFrduUh9yPD4Rqv/vLepDDqt/zU7n +3aY+5Gi8u9g44Q71IbzfeRP7rL9Lfcih9Kp8vvge9SHHs5dO02fepz7kGJx3 +eN3wB9SHHB/0nriblFIfwuvfc7VtizLqQ46PtR6+lWXUhxzj5Jsyrj+kPoTn +v7dDwv5H1Iccfr9P2cY8pj6E56cc/3rRE+pDDvfXi0dMfEp9yMEFPlzY/xn1 +IYeju2zJn2fUhxxPjPTGP35OfcjhOqO24fAL6kOOszbBURtfUh9ydHon++H0 +ivqQw227zxT1a+pDDt2bRcGty6kPOea3WJf8rJz6kONRedv0AxXUhxxFNlfi +gt5QH3LsOfPba9pb6kN4PoY/zHu9oz7kmNXQ2PDpHfUh7P1tQv7J99SHHO+8 +W88K/UB9yFFRtazBqpL6kMO+e/aOLh+pDzlM6y+pXn+kPuTIrqy6uucT9aFA +1lOZm3cV9aFAvcNWHfVn6kOBaYf6ZTV8pj4U2PjjleW/WupDgeUTLzYFVVMf +CugcKS5CDfWhQAufKxubfaE+FHBo+Nf1/BfqQ4Go9pFWIV+pDwXavW02dXQt +9aHAf/1bzPtVS30oMNLILfzkN+pDgaDK7ldXfKc+FFj5YW4/RR31ocDvu9Y7 +39dRHwr8aFYgS/uP+lBA7x1ezqqnPhToW593xKCB+lCgw7OUPRcbqA8FNF5X +zq/+QX0oYHfvajPFT+pDgSWbxy96/ZP6UOB9wuMf235RHwrUOnU7Pu439aHA +ic+7dtf9pj4UGPCx6khOI/WhQOjhhP9s/1AfCqS79vVq3kR9KHCq1sD4SBP1 +oUD7oU9r5unUiH0ogIYmHf1mNWIfCozXqZt0XDj/7UMBViK75qxbI/ahwKEl +HULbNK8R+1CA0RquPiqc//ahQIXBprw5ejViHwrM99d21W1RI/ahQJ+BcSV7 +hfPfPhTICbh1YlrLGrEPYa+pOpXfhPPfPoT7sP6A085WNWIfCnxd+LrLyNY1 +Yh8KtL3yo/Mr4fy3DwVM9o2dt75NjdiHAm1K9T73068R+1AgszDk0kXh/LcP +BcxS6j+4ta0R+1DgXFju7ObtasQ+hN9nX2KcKZz/9qGAwfstJjCoEftQYmul +2boXwvlvH0oc6fLJdK1hjdiHEumqx4M7t68R+1Di6C/Z2iPC+W8fSkyfb9DX +soO4Rzclit0zer3vIO7RR4kLI/WXB/8j7jFAiRY2C3p27SjuIVHC36rM5HBH +cQ+lEi4m3sETjcQ9eCWWGUw2f2kk7jFMifVH1lr7Got7jBK+vl2ni206iXtY +KGEZNXhHaidxj4lKuLY6d4XrLO5hpURl+Tv7K53FPWyUWNGQZenQRdxjthKv +TvzJrOoi7uGoBHr9cAzsKu7hokRCyfYAw27iHp5KrKm72ZTaTdxjifA8t6Z/ +UnYX9/BRQs+89aiz3cU9/JU4afGr3rKHuEeAEr5XvHs+6yHuEaJEY5bd/oU9 +xT3ClaiLSs+p6ynuES18/+9R7Tb0EveIU8JwXvfXBr3FPeKVKKvu0y+pN/Uh +PN9XZg/696E+lHCwHP/9QB/qQwl+sipiqAn1ocTo9w+jik2oDyXmmfXUmdyX ++lCip92Lj3f7Uh9K7PP6b6J9P+pDiYOFY3q86kd9KKGfnOju0Z/6UGJz6O0B +Vf2pDyU2GhU6+gygPpSwMmYM6gZQH0pkBv8xWzOQ+lCiW3jd098DqQ8lZsZV +/AgeRH387/1sjdcdTH0o8Svzxv6wwdSHEnaPHNDSlPpQYpqP7sxIU+pDCXPL +6LetJNSHChGZBXWREupDhcDWYyNbSakPFer86pIipNSHCl8mRytbyKgPFUyt +z44PlVEfKmwutnytI6c+VDhjVfMnUE59qDC2j1PmTzn1oRI+n61v+CuoDxUS +965ZW6ugPlRoPSTu8FIl9aHCipMW3pVK6kOF+9/HFbiqqA8VjMeND32uoj5U +MNz29ZkdQ32o4Ozx39nbDPWhgnXFH/lElvoQfv/tgkHnWOpDBZMdxflmHPWh +wvHJ184d4KgPFfbl+84fwFMfKixTLojbxVMfwvd/G2vVQU19qND8Yf6ucDX1 +ocIPL4vVP9XUhwqf22a/9x5Cfagw6Oqy9xVDqA8VMgMsVs8yoz5UsL16cvc1 +M+pDBdcei21HDqU+VJh5uDbt4FDqQ4Uh8R+DTYZRHyqc2vvu+9Zh1IcKI/as +a9I1pz5UeNh/bPpKc+pDBZstNx+9Mac+VOij2Z87czj1ocIwTx+Di8OpDxX0 ++uW340ZQHyrIV2uz00dQHyp4zrnw0HAk9SG8vryTmetGUh8q7DdzaPNpJPUh +3JdrbNvZo6gPFbb1urH34ijqQ4WKTwcqVKOpDxWuv3E5nTya+lCh+PRSRSsN +9aHCoj6zNSs01IcK3W9k1jwXzsY9NncfpMPAak3p6Imo0TzqcNL/uC6DTjXe +iiPCOanl6/vjWjAI/P2tsPsY4fn8bq0qbcXga9DbT6HCuXctG+Omz2CLW/GZ +z8K5/MOcD7XtGATntZTbWdRosl+Ejt3QnoHafYimSDh7PshP+6cjg1NF174P +GFujkVwr+5VuzOB1g8YqVjhXnf0zm+nCIGKl0eRvwvlgweCCc90YFOw9W+kw +Tni++6Z3sO7J4CZXKC8Wznz6Gq+XvRnk3VrfadB44XnvyLy6tC+D0/bRWTHC ++VTMjQGN/RkYPJn66ItwDlj/PSR2EAPvgJFH7CYI92lVrxc9JAwcE+6xZ4Sz +ztIJ5vtlDIzygx16TxTul+uyHcOVwuvxOmO6QTiH2+/8eo1hYHPye9o74Txx +2nkrB55Bfkr6uUmThL3GfcqrHMJgurtq437hfNPcqOXqYcLPD//np8FkYT/V +SNdWI4TncfREJx/hbDPQ42zCKAYOZZue3Zss7gEGw4xbWPJTxD0sGOHvoagF +8VPEPcYzsMvfqKybIu4xkcHQyKUZdlPFPaYwSAnLKj4+VdzDksHItjtiO1mK +e1gzGFWyv4WfpbiHDYOK/dPlpZbiHrYMhtdV6fBW4h6zGZyTvAvdaiXu4cCg +vkfW8RorcQ9HBu0/xyRYTRP3cGLg36bF4P3TxD1cGCxQr1zQ2lrcw41BUPBw +ew9rcQ9PBqNnnP1x3lrcYxGDeA8Xy97TxT2WMJhzJ3z62uniHt4M7v+Ibflw +uriHDyP8e+uRNztD3GOlcL90rkTFzhD38GNQtvbk7A8zxD1WM3j1Tf/JGBtx +jwAGD3N7G+62EfcIFO6banp9nY24RwiDG7G/461nintsYGBqEvJh70xxj3Dh +/h42q2lmK+4RyWC5V0D+HFtxj2gGrSr39jlmK+4Ry6C0b7fJbe3EPeKE+7Jh +oMTNTtxjG4PwF4OKz9iJe8QzsPb1M+w4S9wjkUFq3ULjRbPEPZIYHAi2v39u +lrjHbgaajF2TOs8W90hlcHdO+Cqv2eIeGcL76ePtfGG2uEcWg2OP8nS62ot7 +5Ar361Xs3KX24h55DD61WeJzwV7cYz8DZCZqujiIexxk8GyJx7UlDuIehxkY +Zw8yLHYQ9zjGgG+uMDSeI+5xnEFs0bVrC+aIe5xisC3MakzhHHGPQuH3Rbb2 +M5wr7lHEIMR/iofLXHGPYgabgl07FswV97jAYN/MtHUtHcU9LjO4N9A6295R +3KNEuH/556L2OYp7XBfuW7/Rit+O4h43GWyf2CXOap64xx3h88It8VDqPHGP ++wzOWOjH1cwT9yhloDuqUAEncY9HDDLZupgtTuIeT4T+onX3vXYS93jOQDlK +sZFxFvd4yeD49bODQpzFPcoZ5IzRibjjLO7xhoHX6OG5veeLe7xnMO9zQeTS ++eIeHxlsbXlK+u98cY8qBnceR8fpu4h7VDNYBN8T9i7iHl8Y9DhwJi3XRdzj +G4PKvtlTv7uIe9QxqBvif2aMq7hHAwPnTv6fN7uKe/xi0GFDZfkzV3GPRgaJ +pf8km7qRHyy+/x7S1c+N/GCxNHSnw3k38oOFQ9Q6ZwN38oPFx2sDpA7u5AeL ++l0PTme7kx8sbmqvG35xJz9YvLa2lIzwID9Y5FXtbBPhQX6wmBH19dBdD/KD +RUhcQs8enuQHCyO9q9YenuQHi1exlycf8iQ/WLj73Nb/4Ul+sNic0He7xQLy +g8WCqMaKmAXkh/D6/NJ/li4gP1gMlE962msh+cHCuC+3wXMh+cFigHdy7cGF +5AeLwPvFsvqF5AeL6GMvFZpF5AeLfb3YHxGLyA8WTy5923R7EfnBwraF6+dO +i8kPFuPfnjCet5j8EF5vB0Wr7MXkB4s9FX8uflpMfrAocfKcyi4hP1joqlNS +Vi0hP4SvL3p+pmgJ+cHiVHOnrOZe5AeLjYUOsyd7kR8sZk5q/WizF/nB4nFh +Rr9SL/KDRd3DecO7LSU/WAzV9+3ttJT8YOHr3+NO5lLyg8U8bbTlh6Xkh7Bf +bUOCzJv8YHHsdPLBZd7kBwutLG/7MW/yg0XMm1kT6r3JDxatVE+vDF9GfrAw +/Gdhp6Bl5AeLjmOGcOeXkR8s7sj8e+n5kB/C+/nm9GiCD/nBotuK/vOjfMgP +FvPN6k/e8CE/WFREGrwyWE5+sCjySCqzXk5+sFgXeSt563Lyg0XivOfsg+Xk +h9DTPw2JxivIDxaeG21u260gP1jInHuVJawgP1gsqonKf7SC/BD2s7pm23Ul ++cHCakq72/YryQ8W3VeEdk1aSX6wSDZ0Vj9ZSX4IfQ2607ebL/nB4lFQ83J7 +X/KDRXWSbMVOX/KDhdNp/7JHvuQHi4IXRm27+JEfLPRLWKNZfuQHK/y9/Ucb +70d+CHvl5SU/8CM/hN//1rlnR3/yQ/j/i6x9pvuTHyyy/s1J3OxPfgi9Dtiy +7aY/+SE8330jXPRXkR/C++te1nzSKvKDRZhe1NrwVeQHi8zC0MsXVpEfwtfP +/vq2aRX5weJ4QePjkavJDxbwLc1Ys5r8YPHjd7rmxGryg8XygM1Ha1eTHyyW +VZT8VK4hP1jYVK3qumQN+cFiVedrbfesIT9Y7Nr/rrRiDfnBgjlTv7L3WvKD +xb1uko8Oa8kPFlsWZ6l3rCU/WMzttGXW3bXkB4s5ll2t2waQHywmtfXoPSGA +/GBR2iXtUkgA+SG8n2Y1YwoDyA/h83tAYEJdAPkh3Nfs5RdU68gPFodXfr+8 +aB35IXweTFNkZK0jP1gMLxpr+2Id+SF8/nVyftU5kPzgoF2dazE9kPzg4PkQ +QVGB5AeHwZ9st10IJD849BvdJvhXIPnBwUYaNk4dRH5waF9WVeEVRH5wmMt7 +zskJIj84DG/Tbf+LIPKDQ+NHSVmnYPKDw85hZx9bBZMfHKoG/zoeHkx+cOhU +2GJpUTD5weHCZd0/34PJDw6rPndeJA8hPziUZ3sedAshPzj0Met8e1cI+cGh +w+nJV++FkB8cUrsOTGm9nvzg0LLjTUvNevKDw6Ahro/81pMfHC6+1R+Vv578 +4PBg1sfAivXkB4eSeJNdXTeQHxzuBzzYOm0D+cFBXjTAI2wD+cFh/ksz4zMb +yA8OMebytJoN5AeHvY2DWg4MJT843FFOnjwnlPzgEJxbsDAulPzg8Nwoxv1S +KPnBof6XduSPUPKDQ8czf74qwsgPDs+CX4a6hpEfHE7ZHaxNCCM/ODR1jxt9 +I4z84DA6ImNBUxj5ITz/gS29+XDyg4OL04sZC8LJD+H1e080Sg4nPzgovdyP +3g4nPziMG2+r0o0gP4T7WWwWPSSC/ODQLVh2cWEE+cGhomHG0+QI8oPDjZBr +d25HkB8cflocyWoWSX5wWDHedJY6kvzgYLfQ6oNnJPkh3C9PzeykSPKDw4kH +PXNvRJIfwn3oq1faGEl+cCjbaVSu2kh+cGBcFt1y2Uh+cEgM6J+0fSP5wWHY +ZedxlzeSHxwG1o+4899G8oPDptwyc9Mo8oND7bgpYQ5R5AcHZ5OTB6OjyA8O +aW7mpwujyA8OKztXZ32OIj84XOlV690rmvzg8HaEa/dp0eQHh/wOc3ODoskP +Dte6/eh4KJr84PD6qP38V9Hkh/DzOiVsbh9DfnAomHMrRRNDfnBYu7r75mUx +5AeHg+OSnNNiyA9hr5UeHe/EkB9CL0m7cv/EkB8ckiW2PZWx5AeHN34HVs6L +JT84GB05nx8bS35wCNHuLymMJT+EfntFXvoUS35wYI29s7ptIj84rAkLcJ+0 +ifzg4KZ7vdWqTeSHsLeu76acTeQHB4tn2+sebCI/OBheHAvdzeQHh/D7KUuZ +zeQHh9zm/wY6bSY/OEi5Uz6xm8kPDqd75E84s5n8ED5/5h9p+rCZ/OCgWl+e +3CmO/OAQ+caux9g48oODye3BQT5x5Idw3xf7XEqJIz+Efq5Mqr4eR34In+d3 +r/2qjyM/OFg5ttMO2EJ+cIgwkVyYsYX84MCfHBYQtIX84GCeOrPr/i3kh/Dz +hm5LerSF/OCRfLVdU/Ot5AePbwHPJjFbyQ8e1c6dVzluJT94JC4oi9q4lfzg +sXcxs75gK/nB4/RAzbzXW8kPHi7Du/Vut4384OGsenhx6Dbyg8c5n03T3LaR +HzyaT591dvM28oPHeL1JRme2kR88XPetsXq3jfzg0W287tIO28kPHmZFDctH +bCc/eBwrX+nouZ384PFqQbR863byg8eGKpuKwu3kB4+m6jeB77eTHzzWPZvZ +1CGe/ODRrNlpzxHx5AePtARJgUc8+cFj+5nCD3Hx5AcPzxXhzc7Ekx88jPfv +bvY2nvzgITXvUmmwg/zgoX2sf3zoDvKDh79y00KXHeSH8PtvZ/6J3kF+8Oh0 +JCy4YAf5weOuj9mHFzvIDx47Im4OaZVAfvAI7+O4hEkgP3hEzPgZ6ZBAfvDQ +9Pg3ZkMC+cFjqPsJ//0J5AePut+Nk0oTyA8evdP26DYmkB88krQlWQMTyQ8e ++3xXyqclkh/C+993drd/Ivkh/Hxt0ffURPKDhyIyXF2SSH4I92+fxLEmkfzg +scDv7JIuO8kPHuna2W6aneQHjwd6+mMX7CQ/eOHv0Ur9uJ3kh/B8FrYqPLGT +/OCFvz/Xzny5k/zgcTnAtrRFEvnB4/CLvFGKJPKDx/ULm+Jsk8gPHr8ijK4H +JJEfPCYun6bNTCI/hNd/bMaPa0nkh/D8vZjqL0nkB4/O2Y03uuwiP4T7a31z +2+hd5AePwdMOWXjsIj94fPE6/SxmF/nBw8+tcd7RXeQHj11lm6493kV+8Jg9 +M7B30y7yg0eZ/UvHgcnkB4/oaRfDpiaTHzxmRI1OWJ5Mfgj3Y4rr1sRk8oNH +r2L4FyWTHzzO630e9yaZ/ODxsY9/Y+vd5IdwX1p8TVPuJj94WOz0ltvuJj94 +WOboZK3ZTX7wKKo81TxtN/nBI65t3rRLu8kP4b5lV4R+3E1+8BhntirLMIX8 +4OHDrz7Ap5AfPIp//pdhn0J+CM/7id76wBTyg4e6zbEpmSnkB497xzo2XUkh +P3gcrVGnVqWQH8Ln1XmpaYdU8kN4Pb1apKhTyQ8ek96U/rZPJT949Hu7b2Jg +KvnBo8PLxMCMVPKDxz9B+amXU8kP4fc7/9j3MZX84HHBIC7TII38EF5vs6Bw +No38ED5fMh/OtEsjP3hkTMozWJNGfgjPd3jLY7vTyA/h8/VA49jiNPKDR97l +tOI3aeSHcD93/jZtlU5+8LCSDAiUppMfwv1eMqjIKp38UGPmGKOPPunkhxqt +g37qxKeTH2qUvKnSO5lOfqhxa0D99yfp5IcaU9/I7v1OJz/UWHUkKalPBvmh +Ru4/06dZZJAfaszxd6pxzyA/1JhrUbouMoP8UOPq1eL/8jLIDzVkVvy8mxnk +hxpbm484XJ1BfqiR0OFDTYdM8kON9VETevKZ5IcaNr4Lh9hlkh9qPCyZO2JV +JvmhRtESlTIpk/xQY++wWoPCTPJDDYuGo0+fZ5IfakzzCIn/k0l+CN8vWzTC +JIv8UKO4MuDOmCzyQ41H0pLpblnkhxoflzucC8siP9SYoRrTIzeL/FCj/nKs +e0kW+aGGQ/SU5Mos8kN4nhc2nmuTTX4IzyvX7p40m/xQI3D+ubtTs8kPNVzb +lhZ5ZZMfarQ9nrFzUzb5oUb7eWYuB7PJDzV61qV1uZNNfgj3weVzYU02+aFG +hb9kWocc8kON5k3ut5kc8kONPgX5I2fkkB9qzBprmLQ8h/xQY5xl4putOeSH +Gh1d7HoezSE/1IhqOWvs/RzyQ43eBbn2tTnkhxotS20dO+bWaP4PFz+jkw== + + "]]}, "Charting`Private`Tag#3"], + Annotation[{ + Hue[0.37820393249936934`, 0.6, 0.6], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[0.880722, 0.611041, 0.142051]], + Line[{{8., 0.}, {8., 1.}}]}, "Charting`Private`Tag#4"]}}, + "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + ListPlot, "GroupHighlight" -> False|>|>]]& )[<| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{3., 17.}, {0., 1.}}, + "Frame" -> {{True, True}, {True, True}}, + "AxesOrigin" -> {3.0000000000000067`, 0}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> Directive[ + GrayLevel[0], FontFamily -> "Times", FontSize -> 10, + Filling -> {{1} -> 0}], "AspectRatio" -> GoldenRatio^(-1), + "DefaultStyle" -> { + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[0.880722, 0.611041, 0.142051]], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[0.560181, 0.691569, 0.194885]], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[0.560181, 0.691569, 0.194885]], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[0.368417, 0.506779, 0.709798]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, "Primitives" -> {{{}, { + EdgeForm[], + Directive[ + RGBColor[0.368417, 0.506779, 0.709798], + Opacity[0.1]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxl3Hdczf0f//FQskd7j9PeZ4WsnoiI7OzMKCQhK5GsSIlINEhRyUiStJSW +dtnJKGkvlMso9Pv8ft/366/f9c91e9/SOZ/zeXzu53n559Jev33hxv4SEhJT +B0pI/N9/JxValpsMl4EE+8dsZ0C33VHx/3e2N3b+I/Psi/UWL6eQ9MNixJ6X +SpHiznEnJkwwPSSGv/6/rb8qv1g3nJWpCT8gxu9b9qpt3JkX1nJk+H4xrrR+ +zfvAndfeyNY/tEeMjzH1LpXcOSIhpLhzlxilUXrSudz5Xaqb25odYphcuXc1 +mTsr5U2XqXQTY8uSI8I47rykXO0hXMWIOhGSFcqdz1d1L0/cLMbFpvYZAdy5 +sq74r7azGHcsvAu9ufOIjmvXgpzE+CEz22Ynd579c9/0AevF0Jpmn+bEnU/1 +m9+ya40YXtuPGC/lzgVDDQLqV4nx06bpwizuLKnwj++wQgxNt32/J3DnKVqv +XuYvFUPxvHCZOXf2Nr69b4yDGBHLZRK1uHOG+Kha7EIxBm6Uk5Tlzr8nr8hW +nC+G1RLLBVLceewsgdNJezESS3Zc+lnxxdpj0aBBv+3E2LOu4G0Ld77vWHNr +80wxtBMt5N9z5y/OD+dVTxfj1YJ4u3Lu/P96TBNjcpXQM7uC9YAYZ1/nR9+v +YD0mi3H8/Zqn1ytYjwliLNv7t/5iBethJcZTQdifkxWsxxgxhl+wHHGggvUQ +iVGnU6S8rYL1EIjh6bRQc00F62EuhvfrMo0FFayHqRgyv8YqTatgPYzEKLAJ +GGpZwXoYiHHNsviXfgXroSuGypS2GqUK1oMnxqh37dlDKlgPTTGeeZSE/Sln +PdTEkD141L2znPVQEePrmtHWteWsh6IYTSd2SD8vZz3kxaheFlmUW856yIgx +aHzo8eRy1mOUGCP8V06ILWc9hotRf6e29VI56zFEjHe9ehf8ylmPQWKEVBiM +9SpnPaTEkDtc92JbOesxQIywdQu3rClnPSTEKMzf1TO/nPX4J4J379RjU8tZ +j14RuudmSIvLWY/fIoQPfn9Mr5z1+CHC2J1XehXKWY9uEfJL+7YOKmc9volw +csWfV7/LWI9OEfqWn7VqK2M92kWoH/jo4vsy1qNFBLPQ7R1lZaxHkwhSdkmT +sspYj3oRimd5+94rYz3qRBhRXFF8rYz1qBHh7K9I6fNlrMd7Eawlvkw6VsZ6 +VIsgHPxw2+4y1uONCLHjui5uKmM9XokwIPFq6tIy1uO5CGXn0l7NLGM9KkW4 +9XNym1UZ61EmgtMfwx7jMtajWITXDz36q5WxHoUi/B6vITm8jPXIF+HdZe2+ +v6WsR64IEZ88uztLWY9sEdzV9T/VlLIej0W44KBZWFnKeqSLsChwfdyTUtYj +VYR+ZW0+90tZj4ci/Biesji6lPVIEuHc3GytC6WsR6IIpicHNB4rZT3uiqCX +6HV9dynrcVuEygyDVZtKWY+bIhwMkxi+tJT1iOWub/yAFNtS1uO6CLVHTVaM +K2U9okT4uXr3D8NS1uOqCIUJ708rl7Ie4SIsXuqoMqSU9QgV4aio+1pPCesR +IoK2ZiivrYT1COZe/5t9+LsS1iNIBPvDQ0aWlrAeZ0V4FVbimVHCegSIkCET +UHO7hPXwE0Hrnt2kiBLW46QIXydIXAgoYT2Oc2fPm58PlrAeR0VIMJ9q6lZC ++yGCn3zBttUltB8iXG/lx80tof0QoWnjoXeTS2g/RJCYGC9tUUL7IcJKjXum +miW0H9znSz9tN7KE9kOEMZnj1vUV035wz2fpffcvxbQfImzw/29fTTHtB3c/ +r/zZX1FM+yHCsGu5u7KKaT9EOGE2Y1NCMe2HCJbvvBdcLab9ECF9kqtlYDHt +hwirGgbKeBfTfojwd/XsJrdi2g8RVMWWyauLaT9EKGnNOjC3mPaDez2ppvGT +i2k/RDCUjOkyK6b9EOHDul9R6sW0HyKIQt7OHl5M+yHCEOXpHX+KaD9EeLps +jG97Ee0H97znxSm/L6L9EEG2IOR6SRHthwitef8M0otoP7j7p/s2Or6I9oO7 +vmk8pdAi2g/u+8n71bFTRbQfXC9BZ8u+ItoPEXxvO9u6FNF+cNc/bVLE0iLa +DxFabLa0zSii/RDh++8WwZgi2g/u+biZ4q5XRPvB+b9YHitXRPshwpx+xm8G +FNF+iDBTN/9fVyHtB/f6Y8M06gppP0TY6x475lkh7YcIx/7Vz8gupP0QoXnE +vHkJhbQfImQW1867Ukj7IYLj0uCZAYW0H1zv185WXoW0H9zzsnERb2sh7YcI +7zUWDVhRSPvBfZ8qrX8/s5D2g/u+2XjgzthC2g8RUmRC9+gX0n4Isc40dax8 +Ie2HEJWPXnwdUEj7IcT3tPprXU9pP4SoEjTZfXpK+yHEVaXXbRVPaT+EOLTp +9rHHT2k/hMgcsVH+zlPaDyHuSvRGhD2l/eDOBps1/J7SfgihtjX+4r6ntB9C +rLybOtD5Ke2HECWV59wcntJ+CJF7x6x82lPaDyEuqJ3UEz6l/RDi4NcwD62n +tB9CJPzakD7iKe2HEFMl3v3+U0D7IcSY8l6LtgLaDyHc9J44vi2g/RDidp7e +0acFtB9C+G3SjUwuoP0QwutJyoPoAtoPIZ76VmefK6D9EOKws2+edwHthxAn +hqRmbSug/eB+rrDl/soC2g8hXpsGh88qoP0QIrFVfGhsAe2HEN3N05bqFdB+ +cNefkWEgW0D7IUTB98tfJApoP4SI0Hh9tzOf9kOItU+2bnyfT/shxIq1DrLF ++bQfQuzzDHiUkk/7IcR0vxEON/JpP4RolHrRHJRP+yGE3JpXuw7n034IoTpg +1I9t+bQfQrxYcXD7ynzaDyHCihVrZ+bTfnDvf/+t7Zh82g8hPLZlxujk034I +Ubww88+ofNoPIR48eDHrXx7thxB/a3sC2vJoP4T4qGVWVJVH+yFE7yOn3vw8 +2g8hVreE6Sbl0X4I4d5RahOZR/vB/fnebysD8mg/uOfTVmqLZx7thxAz/0hs +d86j/eCeJ5uaLYvzaD+EUJwW6jglj/ZDCBUlU1vzPNoPIeo+nDFQzaP9EMI6 +PuOfdB7thxATzySUfs+l/RBC6eK6c59yaT+EQFHpnPJc2g8h7Izb/qXl0n5w +z8fDh7GxubQf3Pu7GNleyKX9EOKRYNKHw7m0H9z96t++eVsu7QfnJd+iY3ku +7YcQjmv6O8/Ipf0QgnfP8Y0wl/aDu97zEyZr5tJ+CNH0MThsaC7tB+fZadOX +nzm0H0Lkf4mxqs+h/eA+z8wFnpU5tB/c82+xJjEjh/aDex42FH+My6H9EKI1 +1L9/cA7thxCfT15V88mh/RCivvqP6bYc2g/u9a2uiJbn0H5w98P2IH96Du0H +d31R53UEObQfnLfP1cPUc2g/OK9X5rQPyqH94J6PtXVPvj+h/eA+b1JwQO0T +2g/u+0pl7bzSJ7QfQrySnSD96AnthxAvFbWSo5/QfgghCh20PPAJ7YcQPYM6 +uzyf0H4IkV1adGTTE9oPIW7NDB608AnthxCu1bOOTXpC+yGEuLr2P8MntB/c +5wld5Cj3hPZDiGGbw9L6smk/uP7+icPbsmk/hDCbGbjkdTbthxAub8yCn2TT +fgiweseJotvZtB8CTLAN6g7Jpv0Q4MgxO9mj2bQfAkjNizN0y6b9EOBzwXXR +8mzaDwG8B1qJbbJpPwTw4q02tsim/RAgxWi4gko27YcAU/Ssf0pm034IsEKp +o+xLFu2HAC4So0Krs2g/BPj5MnJlfhbthwC3fS/J3Mui/RBguuTXx6FZtB8C +nOFHrj2eRfshwPr2az+3Z9F+CBA+uvPoiizaDwGUPQ5KTc+i/eBe/9NML4ss +2g8B1HmzmpWzaD8EUPu5Z5ZkFu2HADZqJVc7H9N+CDDCdnJb1WPaDwGK9YtM +ch/TfggQ6eS07s5j2g/ufkcP8g95TPshgNHhu7d8HtN+CDCa+wvG1se0HwJs +2/SpyOEx7YcAen5rCq0f034IUOn9JN3oMe2HAHF5fdGyj2k/BDhdIePzN5P2 +Q4Cvo7oXNmXSfghQ03VJ+Vkm7Qf386o/L9MyaT8EmKylePx6Ju2HAM6atUZn +Mmk/BDikPz93bybthwDDvNcsWJdJ+yFAP9eBL+0yaT8ESDaaNFucSfshwIeO +3ofqmbQfAhxvGq8onUn7IYC0ddfWrxm0HwIMUVBJfptB+yGAh298V04G7Qf3 +PMWG6d7OoP3grv9og11wBu2HAPu0D288lEH7IUCuzwYP5wzaDwGawn33zs+g +/RCgwKnezSqD9kPA/ffzjhW8DNoP7v5eNRg/NIP2Q4CtL/4O/55O+yFAp2X7 +q/fptB8C9L/Yei4/nfZDAJ/0r1PuptN+CGDi/KPhYjrthwARC7sPeqfTfggw +m18z2CWd9oP7PLcST81Pp/0QwMxl/d9x6bQfAowZ0OKknU77IYCszKTswem0 +HwL84i0Z2ZVG+yHAkwyThdVptB8CtK1OPpmTRvshgL9P6/34NNoPAeYG51QG +pdF+CLBhgGWdZxrtB/d9YWbVtD6N9kOA59sKauzSaD8E+KfwskSYRvshwHCP +5bdU0mg/BHAvtD/UP432g7uf8+JsWlNpPwSInrz237NU2g8B/st2vZWaSvsh +gF9v3uxrqbQf3PfH0LU1J1NpPwSwkrLc5J5K+8G93o/xtUtTaT8EqK13sbdO +pf3gnv+y5Dv6qbQfAmTdUOo3IpX2g+ux8qTtf49oPwTQetl7+P0j2g8BJnZs +vpP7iPZDgKleRaXxj2g/uOudO7rm3CPaDwHmGI2r3/eI9kOAC0Xi92se0X5w +11//t2DGI9oPAd7o+V03e0T7wT3fwkoPuUe0HwIMiC0Y25tC+yHADAWnjk8p +tB8CvBt6NbgwhfaDj9ghbvyEFNoPPjQDnmYGp9B+8Ln7FzXJK4X2gw+X990J +61NoP/gI2pUkNyuF9oMPaZ03Wy1SaD/42DXeIVk+hfaDjw8Sut96H9J+8HHx +gZVm3UPaDz7GnPa3LnxI+8GHf6LMwrsPaT/4CJuds+TCQ9oPPk57nLP3fEj7 +wcf1yXvGrH1I+8HH1KRNo2c8pP3go+j5so8mD2k/+Lh2YWrE6Ie0H3wYNinP +/ZlM+8FHfMLLr++TaT/4cCh2OZ6TTPvBh2BI6dC4ZNoPPpSsvh8NSKb94CNG +8U3HzmTaDz4sHbfMWpZM+8FHZUr0xUnJtB98GJXue8VLpv3gI9CyRmpQMu0H +H/XHSw06HtB+8HF++pgJzx/QfvBxvHs0Uh7QfvChKOUwJvwB7QcfIxq/q/s8 +oP3gXv9X44+ND2g/+JAL1npi94D2g481Q0K8LB7QfvCxM8LaSO4B7QcfR0+N +fPorifaDj699vQ4fkmg/+Bhn2PPqSRLtBx8dmn0zYpJoP/i42dMX55dE+8GH +VM63Xrck2g8+/HYXWS9Kov3g4/YQL4+xSbQffKS5/rusmkT7wcdLr+n3+u7T +fvBRO3pGyuf7tB98HPr1/e7T+7QffEh0zwi5dZ/2g4+lqXz3wPu0H3yM/h1j +tes+7QcfMxyudC+5T/vB3b9NQ6+Mv0/7wUdvWt04jfu0H3wMeK2U1+8+7Qcf +B/lR1g2JtB98nBu361ZhIu0HH3cXHJa+nUj7wceBl5mLAhNpP7jnZYTW2Z2J +tB98RE8Ly3BIpP3go/8tnbfjEmk/uPu5806DaiLtBx9ZaaZ1/+7RfnDPY3hw ++ad7tB98LOC9j8+7R/vB3U/773ti79F+8DFL7rnQ7x7tBx9/12z+6HqP9oOP +tpF398+7R/vBR/LP85LCe7QffITUjPCWu0f7wd3vYzItPxJoP7jnJSRo6tsE +2g8+vmUeOZ2eQPvBR0TQ69yIBNoPPu5E+7R5J9B+8PFx197+6xNoP/gw8Lw1 +yCaB9oOPJO3R//QSaD+474OisDrpBNoPPkryJz9suUv7wYeaY8e+kru0H9y5 +JNT4zl3aDz7krceXnLlL+8EH//3jFe53aT/42JSq+nbBXdoPPtw/TZshukv7 +wUfGQuMoubu0H3ys63vS/t8d2g8+BpX91Xtzh/aDj5YLlfaP7tB+8PFAx8zp +8h3aDz58rQZu9rxD+8FHzam5q1beof3g42T6r0kT79B+8HHW5edw9Tu0H3yM +1ZhU+vc27Qcfdasy9n28TfvBx9BLzrJZ3Hne8L17lv2xAH+MIPwqdy7W1nts +9dMCxWeHyh7mztPHvJBS7bLA2O/Ne9dy52w7H/s/7RZIuJpeDO48YY1F8Icm +C4jzdw/V5s7Juz68f1xnwX2fDB7fjzvzT57Wjfxggf6Sm5d8uvXF+la4latP +lQUeTj6x9gl31k9sSlr/wgKmYxYtu8adr+UH904rt8COt08m+XBntepp0/SK +LPBncN6oddw5pPOb38A8C0SHzX4G7iwzIPJ502MLnFxs563FnQMU56oUpVpg +SEeiigR3ljb9sy7+gQWSR3pE18R/sT6C+JunEywgXH5EOYs7/1287JtrPPf5 +lpV7XeHO+zYPtJp7wwJ7AmaVHeTO3QcfHLaItID/1oYhjtzZLWh94agwC3Qv +OW85kTu3xIwa1RVsgc4bNvaq3Nkp/fHSF2ct4BXZuqDn5hfrjxWuVx+ctsD0 +w3umveXOy+tVmoJPcNfr/lb7EXd++avQfK+PBUackey4eJP18LKAXFPz9d03 +WY+9FrA+sHfW4pusx04L+E64Vi28yXq4WkB/yNJlo2+yHs4W8Es7lfMljvVY +z/3+aGPl8jjWw9ECtbmGq27HsR7LLCC5f89pvzjWYxH3PBQOiHWJYz3mWuCx +1ZO7M+JYj1kWeD7japRuHOthYwGb5WeO9I9jPSZbILDk0NzaWNbDygJZzWsH +Po5lPcQWWNOuGx8Wy3pYWGBD/3Sr/bGsh7EFVG1lk5fEsh56FlB7qq4mjmU9 +tCwg8C52Gx3LeqhaYNqqwXc6Y1gPeQvYTSh+XRLDeoyywISWP21xMazHUK4X +71z78RjWY6AF5IP3VK2PYT36WUDjfXiCdQz5MIfhse4dajHkwxytg7Zo/r5B +PswR9epLyqsb5MMcfTYeE+7fIB/mGH2t8eaZG+TDHBY6YwZsvUE+zNHSvcDW +9gb5MMcXY6M9OjfIB/d65fGBfdfJhzmev8o//+46+TBHf93NR1Kukw9z9Pof +XXn+Ovkwx+fnAzS3Xycf5vCNqSq1u04+zGET+9VJ/zr5MMe39eOb+l0nH+Yo +d7i+6EM0+TCHa6ta/KNo8mGO4P1BreejyYc5xtt1y22PJh/mWHXOzMgumnyY +4+cOCwO9aPLBff4/jSMkosmHOT7yp9ZWR5EPc0zsMQ9LjiIf5hgkCrM+G0U+ +uPsR5VG2JYp8mOPKvzib6VHkwxxlzXo3NKPIhzmkv1V1/r5GPsyheyFB++U1 +8sH1mhgy6e418mGOLOuDU09eIx/c73+ey19/jXyY48KS3wMnXiMf5ihO2Vwg +f418mOP+qAC3L5Hkwxzvl87vK4wkH+awd4/cFxVJPsyx3mhL9YFI8mGOtMmh +ug6R5MMcmsvUl5pHkg9zuAyu3SEdST7M4fy83KP2Kvngrkfj9ZrUq+SDu/4Z +dcKgq+TDHJNP13RsuUo+zKGjnnZ22lXyYY5G+dWqalfJhzlkDqQEfL9CPszg +Nel+U+kV8mEGXavxRjeukA8zqFpOWHjwCvkww9bPkesdrpAPM/TULllhdoV8 +mGFX8kwrqSvkwwyGL9b1vY8gH2b4eTHg9oMI8mEG4cwU+EeQDzP4KBWnb4gg +H2Yo0klUnxBBPsww3H3pJpkI8mGGpR2xwS3h5MMMl3cH3MoOJx9mOFvaFRsS +Tj7McPdqjp9bOPkww/fjTYumh5MPM9T2zR6gFk4+zDBzb/WlrjDyYYap1gfl +isLIhxmkLmjsuRpGPsxQFhqXuTuMfJghY51U2+ww8mGGJzUa/7TDyIcZdn7/ ++ONnKPkwwy9ni1dloeTDDGckei5Fh5IPM3w1MZy6P5R8mCHvcHTl3FDyYYbJ +Lg42uqHkwwwnRAYRvy+TDzNEqPZ/V36ZfJhh4pSKv9GXyYcZUm7vldp/mXyY +odq+/pv9ZfJhhtLevzm8y+SDux6PO/t+XiIf3Odb/U6m9BL54F5vuvu5yEvk +wwy8iMXfPS6RD65nlvuEWZfIB/e8td/epH6JfJjBefa33d9CyIcZ1rfqbc4P +IR9mSMoQ4nII+TCDfHhfj2sI+TCD5UyPywghH9zzsGmfqlwI+TDDvCPdh5su +kg8zGA8rK0q7SD5MMWVF+38BF8mHKX7FjpNad5F8mKJa/vJP0UXyYYrtN7+X +DrxIPkyhYS88/jaYfJhiYOU4rdvB5MMU7xo6Iw4Fkw9T5OtP+Dc/mHyYwnKk +5BSdYPJhiqI+i03/XSAfptCcdGPb0wvkwxQPv8xaevkC+TBFoY6kztYL5MMU +2kWplRMvkA9T9N2fv3bEBfJhisaQu89qzpMPU3iPTtVNPE8+TOEe7rD8yHny +wV3fpc3bF50nH6b4PaN6k+558mGKHWX+1v8FkQ9TJAk3/c4PIh+mGLF29oWL +QeTDFPVa2qOcg8iHKY7ySl3HBpEP7v538+Olg8iHKdqlxIVvzpEPU3jGpxbE +niMfpugvH3Nj7znyYQrpFR+cbM+RD1P8c5smqXiOfJjC2DjjaONZ8sFd/1ST +z8lnyYcpzOZ58I6fJR+mGFLoOWXxWfJhiheSPOicJR+msJ9kr9EVSD5MMehU +zbvsQPJhijE16fsCA8mHKQ4p5H93DCQfpqio/zDPNJB8/N8/33yq5wz5MEXC +/sKowjPkwxS9A9eEXjxDPrjn8dEZd6cz5MMUJRFWesIz5IO7HzemPOoLIB+m +MA09aVQWQD6459fg677QAPJhip0vlsc4B5APE0AyNlEcQD5M8KX3UWi/APJh +gqHV7uvK/cmHCWTCEqTC/MmHCaYrLfF19icfJtgrPb1R5E8+TPC+ZxVPwp98 +mKB67aEJpafJhwleHT0luHSafJign99qSafT5MMEprs/JFmcJh8mOMNrtO71 +Ix8muGi3LK7Aj3yYIPWwbMc5P/JhgvGin8Md/ciHCf7E1w0x9CMfJpg9NPlz +1ynyYYKbC+wvZZ4iHya45OBnePIU+TCBc6HdhYWnyAf3euJt79ROkQ8TRPRV +/G08ST5MkLVxQe+9k+TDBIUHK154niQfJqgbY+hrc5J8mCB9mZX8iJPkwwQL +j9YefONLPkyQY96bHelLPkwgdWvTx82+5IN7P02J10Jf8sHdT//rsb0nyIcJ +lAv4i/JOkA8T2B/wqfI/QT5MEG+xa6zDCfJhgoJJddvVT5APE/i+jvdpOE4+ +TNAocdP1znHyYYLky4/4u4+TDxNsXJRcNvE4+TDB02TPaZLHyYcJnqnXnys5 +Rj5MsDaz9FHQMfJhgr+hIx8tP0Y+THD1nG+g1jHyYQKX+SOtm46SDxNsX+FT +cOco+TDBgYGP9DyOkg8T5B4KWDX+KPngrjf73RaJo+TDBHfCveYXHCEfxshM +njXc/wj5MMYmH7VrC46QD2Mssy0fqniEfBhDpD3O/r0P+TDGlB7hxms+5MMY +loEhizb5kA9jNG+brGTiQz6MofBf3/0vh8mHMZQWP9B5cJh8GCPKT7Rl32Hy +YQxPF4cTEw+TD2OMD/i2V+Iw+TBGP5eayXne5IO7vrE/qn29yYcxhIOGz5nt +TT6MIZfSc26EN/kwxqrO4NvPDpEPY9zXTw67cIh8GCM8xnzN0kPkg3v9I1U/ +lA+RD2O8DT25/v1B8mGMb1fkoq4cJB/GCBq8MHntQfJhjEk8hXDeQfLB/fyP +5ZJ6L/LBfZ59Jz7f8CIfxjhi/X6asxf5MMbUoqF7Db3IhzFWLmnxajlAPoxx +tGumQ/wB8mGMjF3/+rYcIB/GkPb84GVygHwYQ3Z7akmbJ/kwRsfxje23PMmH +Me6mPfi41ZN8GMP0+f4oE0/yYYwby33Htu0nH8bYHpMQEb+ffHA9X+W93Lyf +fBijqOTSe8P95MMYJ8R/HzTtIx/GsD2S6xizj3wYo7Ej47XTPvLB9dqTpqmz +j3wYY2Ot/7hPe8mHMYwfSmpf3Us+jNE+o6tq1V7yYYyYGL21KnvJhzFS77g9 +fLOHfBjj7K/wdxf2kA8j2DR5VyzYQz6MYBvReG7EHvJhhP79Inklu8mHEQ6m +e/r47iYfRugbO/3utN3kwwhJ0WVxfR7kwwh2HZ/c0z3IhxHC8uYN3OtBPrj3 +D613EXqQDyPMurrtUscu8mGE5LyUC3G7yIcRxqUHOm7YRT6MkNf1pEt9F/kw +gkmoyuKqneTDCBlXNvoE7SQfRvh4y81zzk7yYYR4437WA3eSDyOcmtJcnrWD +fBih6EWn0f4d5MMI431q5gl3kA/u/W8cQ5s7+TCCxPK43mh38mGEsm1yPqvc +yYcRGncEVcq5kw8jHLjT1lK6nXwYIZvXUnpsO/kwwomARfsnbicfRihc393Z +7UY+uPtXedbslhv5MMLgjG7xejfyYQSpvM+Sym7kwwihkwwjKraRD+5+WZ78 +e3wb+TBC3LZivYnbyIcRNNYmy3e5kg8jTJ8wsizWlXwYcX+fj5jt6Eo+jDDy +q/45GVfyYYQFCdsjnm4lH0a4qD3J3Wsr+TBCv95FgwVbyYcRLkXtc2nYQj6M +UCzYHnB5C/kwws9dvXvtt5API3Q31Br020I+jOCXXBf+YDP5MMKAJ7mvnTeT +DyNcvzjjlcpm8mEEgYfZpTIX8mGEbTPGax52IR+GCI8z3yJ0IR+G+Pu5ZF+9 +M/kwhN2X53YXncmHIca6SdbaOpMPQ7Tc0xr3exP5MMTOpKaF8ZvIhyHmqSvz +V24iH4Z4C4+KoZvIhyHyVB7xMzaSD0OcXxC9yHUj+TDEEMvvY9U2kg9DxGe6 +fChxIh+G0PB/PO2AE/kwRFLz/S3GTuSDe/2c/gvfbiAfhrC4t+W37wbywf28 +PWbtmA3kwxCJy3f51q8nH4b46nV6W9B68mGIh4fjZbCefBjinfD0no515MMQ +NpLNl0LXkQ9D2A86sN92HfkwhOj7X8Xva8mHIfZoGHtEriUfhphy6FHAnLXk +wxDl8eucfq0hH4Yoqf7wM3oN+TDEo8/1tvPWkA+ul5X54p7V5MMQZe3uajdW +kw9DeN3eEDlvNfkwRENORs1vR/LBvb+CsDrakXwY4pnB/kB7R/JhCKGsbd+P +VeTDEI8D5htFriIf3P1omDV81iryYYgl/Zviv60kH4a4v+5dv9CV5MMQPsrV +o6euJB/c/X8VXN2ygnwY4uOb7JXnVpAPQ0T/GBY8bgX5MERduMmJmuXkwxBK +RWXmJ5aTD0NcG3b9jOly8mGIgadX33i+jHwYYtrv2zv3LSMfhhgRMfmH+jLy +YQC1OTkWuUvJhwGctzZquCwlHwZw7VyWO2wp+TDAriVP1RKXkA8D5Cd+NHZY +Qj4M0BU6u+OXA/kwwOBxaRvCHciHAV5bvQ6wdiAfBlB6Z7e1bjH5MEB6TU7v +scXkwwAjLzRPNlhMPgzwu2SjuGgR+TCASd3nd1sWkQ8DLEoZajlsEfkwwMzE +49Z3FpIPA1in/e6xX0g+uOu1HuncuYB8GKBcuO3omQXkg7s+qbR55gvIB3e/ +4sNKyuaTDwPMCU754TqffHD3L/V+5dD55MMAYb6C5fHzyIcBYjvfBM6cRz4M +8GP5LI/GueTDADn3DAcem0s+DGDsoDRdey75MMBcnTT+Y3vyYYBN7gmFK+zJ +hwGqBl8e9nMO+eCuT6z4J2gO+TDA4/iii+ZzyIcBzkTMe180m3xw50TbUqfZ +5IO7/33zNvXZkQ8DtI0aGH/ZjnwY4PTngSEiO/JhgG+fO03LZpEPA2R0Lt+6 +aRb5MIDhvM8L+maSDwOMeKxXGzKTfBigYW26PH8m+TBAZuGkrqe25MMAY75N +373GlnwY4JC165UfM8iHAab4zNwWMIN8GOBK245POjPIhwFUVLx7UqeTD+7z +Dh/4aN508mEAWZNw5QYb8qGPr+Nfa3jakA99HHSe+XSEDfnQx4iJJ2Sip5EP +fbyM5kmMnUY+9PGkOSGweCr50Mf6+1nZjlPJB/dzyc9BX6eQD31YW8dLHZ1C +PvThonpDSX4K+dBH8a31JTEgH9x5xQ71cSAf+tjVsnpEkTX50Mc0t0cRy63J +hz5+LhpS0jKZfOjDYVlt8P7J5EMf3/zy/w6aTD64zz/bpv+lSeRDHyV2jVH6 +k8iHPoYeF9c8mEg+9DFwesrDqRPJhz5CtH4YVk4gH/p49XOvpeME8qGP/O6c +dy3jyYc+hh9fpbpnPPnQx5X1Rd39xpMPfWw4GeAUYEU+9NFgabpNyYp86KOr +3WB49DjyoQ+497cxG0c+uOvNmTg6ZSz50Mc93lIPjCUf+pg18plr0Rjywf0+ +jHoWjCEf+vgc+0G12pJ86OOH+9Zn6yzJhz4m/p2r0iImH/roXz/gx3Yx+dBH +3FdJpx8i8qEPDe3SDV4i8qEP1+vPv/cTkQ996L09q+ArJB/6aJ59qHCokHzo +43ny6CFnBeSD69WTXS0rIB/6SDTsNz6ETz70Ye8xw0CFTz70IbCSjAq3IB/6 +WPfz9k0NC/Khj6oF9ydFmpMP7v2HHXfUNicfeggaFjwoyox86OHdwgWTeWbk +Qw9a/wz+XTMlH3pYb3vCVtuUfHC/f7hKOdKEfOjhjYyfh4YJ+dDDMy+ppeHG +5IN7/fkPspWNyYceJov67l00Ih962F5lbyBrRD70MGWAtE6gIfnQw+ijZ6OH +GJIPPXwTzIs7bkA+9FDZFcOXMCAferia0DPBU5986GHT0ttF3XrkQw+b36u9 +ddUjH3oQCW9vbtAlH3q4NzvV01GXfOhBqBw19JUO+dCD94lc1Tk65IN7/337 +43N45EMPL0s1UsbxyIceYhYPn3JXm3zooeBVhK2ONvnQw1Bpw+IQLfKhB78z +/QuHapEPPfj0HYG3JvnQw8Sqv8IuDfKhhw7vgitOGuRDDydtLI6+VicfeghZ +dqrGVp186EHqmW7SIzXyoYfOqPl/DdXIhx4cr63IvKRKPvQw7EBgl7Qq+dCD +9qPx4XtUyIcePqXEpNYrkw/u9++q2S1UJh96UI+qn5OlRD70kLd5+hMTJfLB +3e+bx2JCFMmHHgKfNfb2VyQfevihnJC9TYF86KHVR/P7G3nywT0/pfuCp8iT +Dz1IHOqJjpcjH3qQqa7TkJUjH3rwrXWTOiBLPvSgMbt6SZ0M+dDFO781MrNk +yIcutuWPHZswmnzowu95aJ7caPKhCxurrNT9o8iHLlaaVct/HEk+dOHrr1k1 +ZST50EX6uyd910eQD12ssek6LT2CfOji9LnaA5uHkw9dCM3Sy4uHkQ9dzFZP +OGoyjHzo4rtE8+XTQ8mHLvyHnx3VNoR86CJvaGXjrCHkQxcJiytU4waTD+7n +5Ul3pQaTD118aL12Zf0g8qELs2sZrY+lyYcuLNz0glSkyQf3fvP+nN89kHzo +oi97zZcKKfKhC/1U12gjKfKhi6UxkxOOSJIPXbhs/TL63QDyoYvtq0KKhQPI +hy72TrCvOtWffOjC0lJ/fG0/8qGLWx8sv1j2Ix+6KDM988NPgnzoQuaA9bya +vk7mQxcDDy37JeTO//Ohi0qbxq/H/3UyH7q4K/4+tupvJ/PBXZ+Uf6kRd/6f +D11kZybf8fzTyXzoojxhb3VxbyfzoYvVu18vUOHO//OhC+v2lzKbezqZD+55 +EHqopfzuZD508SoqaZskd/6fD10crjwrteBXJ/PB9Tkq/Tn8ZyfzoYua5NFS +zT86mQ9dmATEbhFy5//50IXx8iwZr/86mQ9dHDtj/yfveyfzoYsBuTb6w7nz +/3xw73czOHBxdyfzoYuC4RZjw7o6mQ9dOFf+Vf30rZP50MG5uvaJ+tz5fz50 +UDKl4dKWr53Mhw7udJeZ3/3SyXzo4Hw//79fOzuZDx2M9egvKepkPep0MGee +zBSPDtbjgw6kA0KTHrSzHlU6uKa8b8X3NtbjhQ7yy4+LRW2sR7kO/rqFY0cr +61Gkg6HXgg7ebWE98nRg1TaxtbWZ9Xisg8t3tx3Xb2Y9UnUwhfef/bom1uOB +DtznXpsa1sh6JOggQXry+pcNrEe8Dt63XLg1rIH1uKED0aJt6jb1rEekDrDG +/6HnZ9YjTAdFnx7svlfHegTrIGZd7PKGT6zHWe7+yPLWKX9iPU7roP1lrd+c +WtbjhA5W60e8OlTDevjowF9/BO59ZD28uPvj31xY+4H12KuDqqiPbqM+sB47 +ddCNSL71e9bDVQfRBdXDtr1jPZx1UCtv1T+0mnzoQBywYWTBW/Khgx/9JETf +qsiHDnalJW5VrSIfOhjmovzI5g350MFE7VcK216TDx2ErDx84sIr8qGDxIYk +qfSX5EMHv2qlzte+IB86OJw+2EzqBfnQQbLR/OeGz8mHDgZoOfvMfkY+dOBW ++nbctkryoYNRZ/k/AyrIhw4Cfn1Nu1NOPnSwPTXiSGkZ+dDBvhsP57SWkg8d +vIh9pChdSj50MD1TvY5XQj6457djVfykYvKhg8yprW5Li8gHDz75Y8zdC8kH +D6E77zX6PiUfPCyxzLl4pYB88FBX82Lig3zywcMXHbs3hXnkgwfx6RNO73PJ +Bw+125vqOnPIBw+/vj+dL5FDPni4+s/79qgn5IOHKcZO3zWzyQcPyQX5+uZZ +5IOHyaVdUyY8Jh887HlpaWObST54MJR5bbIwg3zwMHSW4s+V6eSDB9d8q+tO +aeSDh8eLPAWuqeSD+/PjZCJ2PiIfPCzVG9uwN4V88FAvVh3k9ZB88OD4oX2A +dzL54KGl5fXrww/IBw+aOyS8fZLIBw/tJf49h++TDx7s/cNsvRPJBw//ds10 +8rpHPnjot/XW3H0J5IMHhT1Vkrvukg8epO/UHHO9Qz649+eXlTrdJh88WPBu +Vq+8RT54uH9x7+0F8eSDB9/AcZhxk3zwUNzZdN4qjnxw93vbwRsmseSDhxeB +7TvVYsgHD8MidHuG3iAfPNgEjbLqiSYfPLxVCjFriiIfPBgdCH31/Br54OHw +5V/mmZHkg4eXH/3GxlwlHzxssdTvCLhCPnhY1Btq7xFBPngo+vFgyfJw8sHD +ZrsJAyeFkQ8epm3+ulwzlHzw8GxI0DyJy+SD66/xtr4mhHzwcCZ7v+Lji+RD +G5omOg2hweRDGwNue8/ec4F8aOPBRfHM+efJhzaujK2rMgwiH9qQeqrT23eW +fGgja2Xg7VeB5EMbhR+SW2+eIR/aiP/FS/IKIB/aSB/uOGCuP/nQhrl+Q5X6 +afKhjX4NYwTtp8gHdz37CgenniQf2qj2H7L2mC/50MaBCS6CuSfIhzZm/Jtx +QOE4+dBG2NWBEz4cJR/aWGnSzy3qCPnQxtkav9GbfMiHNhrVuniGh8mHNlqX +eF9tPkQ+tKEmuftY7EHyoY0XASMrnLzIhzZqrY97aR0gH9oYMW6gX/V+8qGN +1Q4Z34P2kQ9tyBmUpM7aSz60Efhu3ut/u8kHdz3m62zve5APbcxZPnKI0y7y +oY3Jfzeoyu0kH9rYK79+X447+dBGXJ2Uxvbt5EMb3VU2g1XcyIc2998vw8fm +upIPbby9Znt9y1byoY1/SS/sR20hH9q4bHua/8CFfGijPQmzljiTD20cHpl1 +4cdG8qGNz6rFI4OdyAfXW6CRIthAPrQxzsjdt3Qd+eA+v922gxvXkg9t6IvS +g/+sJh/ayMj5WXrOkXxw1yeZqqm3inxoY4VqgN/DFeRDm9vrnsEzlpMPbby3 +OBT6Yin54O6/TOC4NUvIhxa014fWNi8mH1rYulQq0H0R+dDC2yRT/FhAPrRw +4pbT1/3zyYcW1Ep/hfXOJR9aaPlsYn3AnnxoIaB57Otfs8mHFvd9a+/oYUc+ +tOCWGlzZMZN8aGG8vbnpRlvyoYUeAzPX6unkQwvhXlf87W3IhxYcBh4/9Xgq ++dBCbfvb1WZTyIcWFsX5Dwq1Jh9auFB/5IjkZPKhBVenS4WuE8mHFkT1V14/ +H08+tDDqj2PcGCvyoYXqfuetLo0lH9z9aP16/Kcl+dCCmYnWqcVi8qGFK5cf +T04Qkg/ufsxZEyUtIB9awAG/BEcL8qGFV6sy1yWakQ8tDJfe8qC/KfnQQuSc +n1ELjMmHFlTCsg2vGJIPLe7v6/JTmvXJhxbeTZ7QZKFHPrRgN2Gzwm4d8qGF +sbalRSna5EMLI/KP/fmpST60UFkdc9NSg3xoYcOc8aXuauRDC6scxq+5qUI+ +tGB1JNixRol8aGHIKbMcGUXywX1+7Te+0+TJhxaS3y+/uUOWfHB9e7drR4wm +H1p4Pb2gNX8k+dACv6a3r204+dDCM7WolSOHkQ8tJC2f+MtiCPnQwuFi60r7 +QeRDC/nzZT+6DCQfWjhkP1LNR5J8aOHHzoPHLvbvtL6i0tl+75cmuoMvjb4p +0Wl9YZqd165OTVgd8s989K/D2s81ZuiYek0sfLj7SP6fDmvv4P5hv95qImLF +uhUVPR3Wux+vNk6v0ERM0xzr1786rLc2paUezNdEurS+SfWPDut1oxRnIV0T ++WuKFd9977BeYrWrqn+iJhaslO6r6uqwnrO+wjk/RhM1Py9Xv/jaYT31tMlP +33BNLAk0v17S2WE97oHvCbsgTSReW+uQ3d5hbf7hs/zwk5p4HZHWlNjaYa0z +EDcqDmoi5Gby4sjmDmtli3Bx0C5NVLqkBp9u7LAeuexX7uLNmniQq3p9V32H +tZTP4kWKazRheFtr/7K6Duuem/fq3i7WxJS638PH13ZYf30+bGe4nSaepxSs +VPrYYd3Y69JvDTQx/MX5ld3vOqzf6+af1R6jCaOvKwaWvO2wfmavrVVvogm1 +qP4OV990WD/dczAhRlsTs2VWw/1Vh3Xm1beTNytqYmqW6ZNJLzqs//f/89PE +2vEqldLPOqzjvnHfev014VWfubG8vIP10MCum6Ge50o7WA8N3EnTkF5QTD00 +cGBm9IDhhdRDA6vHu7rk51MPDRg2xpp55lIPDXTku802eUI9NLi/z+emVj2m +Hho40nPW/UgG9dBAqv0dZ8M06qEBTcvXF4tTqIcGLNfc/88lmXpooKSw8sCA +JOqhAbMtcVqh96iHBr7XhbwzvUs9NLBXtyE6/Rb10MBLlUz3GTephwZOLRZZ +lcVQDw1cjpvyfd516qGBse+eXiq/Rj000IjT6rOuUg8NyKwdsz8rnHpoQPHc +sihBKPXQQFz4xsCrIdRDA8HLkscMDqYeGmieevSMWxD1UIetaqd/RSD1UEe0 +508D0wDqoQ7lzUcdjvlRD3VIdy0dWuVLPdQx56GatcFx6qGOWK8VjTuOUA91 +6GR7/kjxph7qCJ341Om3F/VQh2D/Zv0xntRDHZXzt/Dd9lIPdRzel7j3mgf1 +UMf9AVLfKnZQD3Usaxx07rcb9VBHocfkORqu1EMdaz8NUJy8mXqooz7lfMOy +TdRDHbuWSN5220A91GHsZLvaey31UMfM6UZf/Ryphzre+M93OLeCeqijbOsk +n6Cl1EMdfuqznM8sph7qSFlwo+fYAuqhjs3nV5rvmUs91OFzZ85/62ZTDzWM +G8afOnMm9VBDvup5aaPp1EMNiterxkpOpR5q8NIel/92MvVQg61K5rWbE6iH +GqbumJG1axz1UEPng+Mjx1lSD+73t/47+kNAPdSwZaXUiARz6qGGe5VZV9aZ +UA81bPvIMxhhSD3UIBHRFfJAl3qoYa/Tk0+LtamHGqwHfPneqU491CD5LfbJ +ERXqoYaog/VjRylSDzWo/XG2DZGlHmrY05JVoziKenDXN3La13PDqIcaSqNG +uUgNph5qCHIcOWanFPXg/vyF9plV/aiHGhJsVQPH/mtnPdSQafHiR2BPO+uh +hl15I90+/WhnPVTxzDqwzqS7nfVQxfmwZ1O2f2lnPVRx+5Ti/ltt7ayHKg45 +r9td29TOeqgi2cRNZ0R9O+uhimtz07aLa9tZD1V8vX1oxqL37ayHKiKdEs5v +qWpnPVQhf7tnqufLdtZDFQrRT62PVLazHqqIU7fcfaS0nfVQxSkXpXLPwnbW +QxVi6T/irXntrIcqJm7VuLAou531UMX76+6vRBntrIcqTg8Lrhv6qJ31UIVL +w4fr75LaWQ9VOP6aLRWd0M56qOKhQUD7ulvtrIcqRvb2TFWKbWc9VLFO5/LX +/Kh21kMVv37IfthypZ31UIVWw+MvA0OphypWfQoaGRpMPVTxKd9UR/cc9VCB +0Z2SUTf8qYcKVk+d91jtJPVQwbpBY0afPko9VCAICev8coh6qGBnxZgJsz2p +hwoqBix7F76beqjgr2LT/Xp36qGCocHrbvBcqYcK8u9XBS9xph4qqHL4sunw +euqhgq39q3quOlIPFbTkLBQlL6MeKgjuf+tb1iLqoYJ7K5MVsudSDxU8XVZ2 +JHkW9VDBK8MX8pE21EMFn6cbJXhbUw8V5A6/b7Z4PPVQgc+csu3qltSD+/yP +HBa9s6AeKrjheCU5wJh6qODW3+27xHrUQwUrVgzcXKFJPVQg6TF852oV6qGC +ZclXNn+Sox7KEI6YbLxsJPVQRtSf1wE5g6mHMqKPpm7TkqQeyhgyMiRqx782 +1kMZUjbekg9/tbEeysjNfL+lo6uN9VDG8eQdtxU72lgPZcQGL74qbmpjPZTR +uKddyeZTG+uhjFm+HR3T37WxHsoYtsKhy+pVG+uhjEpN59+aFW2shzIcC2Jf +/SxsYz2UMb3v7bInOW2shzJCTXsWeWW0sR7K6BaODzF82MZ6KKPT4u+3/IQ2 +1kMZS+ReCBffbGM9lCGn7WHwIqqN9VBG7/SM8KnhbayHMo6ti1kdFdzGeijj +8FrbcV1n2lgPZTyeWNQtONnGenDX83bjinU+bayHMpwy14kPe7axHkrYGTNw +1pldbayHEp72RKw+7drGeihBevTW8Xs3trEeSji64EbkgtXUQwmDzXcuVllK +PZSg8+Wj4rN51EMJDg7Vt3bPpB5K6B5mXik9hXooobdr7zRfK+qhhK1GOrnd +AuqhBLffa4faG1MPJcT5aL8J4lEPJdSZD6vNU6EeSpj4d+/HOhnqoYQe7w2n +2odQDyX8d8f14qf+1EMJge6FqU96WlkPJch3W8X4d7WyHkrAvcHDp7a2sh5K +8J3wJ+LTp1bWg7tfuTOlt75tZT2UUDon8L8Pla2shxJ+h5fLji9sZT2U4Px+ ++VDvrFbWQwlH6sqO3n7YynooYqLFQJ2cO62shyJC/EcHZF1vZT0UsdZB3+l6 +WCvroYhgx4sz3YNaWQ9F/NyXU8U71cp6KEIqfHhiuncr66GIE+crto7f08p6 +KOLAXNtrV1xbWQ9FLHvo39S0vpX1UETXqCPP5Ze3sh6KCGzK6zaY18p6KMLU +7Uq1+vRW1kMRnRu7ZX+Ob2U9FLF8t8g4id/Keigi/avtjQX6rayHIvc8HBny +XLWV9VDElj0n34tGUw9FlE63CdszkHooIm92We7l3hbWQxHXbztUhn9tYT0U +cTRPbpx3QwvroYhBcwTbUd3CeigiOjm+saa8hfVQxLW0KcMcc1tYD0X8G7HW +LiWlhfVQgIewT7rzVgvroYD0xWfiJCJbWA8FuE19c7rzfAvroYA98+qGp/i2 +sB4KsBG9PbH8QAvroYCA4ma7V24trIcC9iVqxxutb2E9FBAYt/Srg0ML66GA +v8l9TstntrAeCijrHjZbNKGF9VBAX09JbZ1ZC+uhAO/qjDXOWi2shwLe+W79 +kyXTwnooIOvhjMY2yRbWQwH9Buy2bf3RzHoooGVpnE1aczProQC5Q1/+rKhu +Zj0U8Cfl+KmSkmbWQwF5iyL/DslsZj0UMF1x/Calu82shwJKN78v6rrSzHoo +gKe+yfByYDProYALyRWeQw43sx4KiBrRcX+6ezPrwV3/WNP0WWubWQ95fFm/ +YI/8/GbWQx43Ui2yYq2bWQ95eH1Z7dJn3sx6yKNtTccEVY1m1kMe/06M6P09 +rJn1kMfNK/mOob1NrIc8dhr4y/S2NLEe8tDT/J6pUtXEesjjc/T0np/5TayH +POZnKi49l9TEenDvL1G/rCGyifWQR1rmhX1dAU2shzzurxuuk+LZxHrIw/X2 +7UcC5ybWQx6CFYX+axc1sR7y8Hiu3TDFuon1kEeEy6LfL4ybWA95hCZadskp +NLEe8jBzntjSv18T68GdbYubwtoaWQ95KC040PjhVSPrIQ+/FKfSgqxG1kMe +taWz3BbfbGQ95NHIyw84FtTIeshjteTGqsUHGlkPOTiuSmvM2dDIesihecjy +CaWzG1kPOTgFFk5xEzWyHnJYV7XBPkalkfWQw9J2/Us7+jWyHnKYLbF5SllT +A+shB8PtPnMeljWwHnKI+Ohw1SipgfWQw+YHFRK6lxpYDzl8rhijGOXVwHrI +YY7t9k2RaxtYDznI3FWcq2rTwHrIId7guPRIgwbWQw7zpoRG7x3cwHrI4eb4 +3YMWtNWzHnIIGNTVebG0nvWQQ+L33hqbO/Wshxz+mxEvWBJQz3rI4WANT7LA +tZ714D7P6EmTA2fXsx5yyFvz8dRto3rWQw7q/YbMV5KuZz24+6kZ9qD082fW +Qw7DaiLP5WZ9Zj3k4Prfgp0/Qj+zHrK46OwfsHr3Z9ZDFoNWv8z6Pvcz6yGL +pIW5xxINPrMesniT+/qLf18d6yGLjrGnrx96Xcd6yCI1+E7Zwdt1rIcsXp0t +kvXxqWM9ZPFJ/lLQIYc61kMW89XmlG01rGM9ZLG95eK1ST2fWA9ZnNw1xqq5 ++BPrIQvlP1W/V4d+Yj1kEf9BcdcFl0+shyxULsDO0/IT6yELUW6wTr9+n1gP +WXyouXZSqaSW9ZCFyxbtkrvna1kPWbxw6/p8b0Ut6yGLt0OX2w/RqmU9ZLGo +90TCrKc1rIcsgnplvlba1bAestj3rkJ1T/FH1kMWS745TpWY8ZH1kIWkxk4D +QeYH1kMWI4v8TudZfGA9ZDD96upF2yPesx4y+G0278RHqfeshwzsTn1Xfujy +jvWQgVCi5mBgfjXrIYMLUyJf/1StZj1kcHN5yLNtW9+yHjJ48VSve3VSFesh +g2eTqy11u9+wHjLYX1OW/dfoDeshg6xdtRuGL33Nesigbuggp3n7X7EeMih7 +49h6NvAl6yED+ec+IzdcesF6yODp7K+tg4Kesx4yWHPQUH615zPWQwaDWu4u +SJxbyXrIoMHXJk1RsZz1kMGAferf7y4rYT1k4GVn4xXoUMh6yOB5kkvFxd48 +1kMGb8vVpnaaP2E9ZLAnpOs/J59U1kMGzZFCVXeHO9b/B7x1ubk= + "]]}}]}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[0.880722, 0.611041, 0.142051], + Opacity[0.1]], + GraphicsGroup[{{ + + Polygon[{{8., 0.}, {20., 0.}, {20., 1.}, {8., + 1.}}]}}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[0.560181, 0.691569, 0.194885], + Opacity[0.1]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxNnXk8Vd0b9iVSSglpkqTJcY7jDChN7khSpEFKiRAlKlEkROYp0kAIoZSU +nkpzmielSUpFyTxlr1KapN79vta+f+9fz2d98nDO/q5rX3uvdd/XGueyeYmb +rIyMjGk/GZn/+9+Iex90t1XrQIhGRMvPzk8mimdE9ftqdeCt/qti+cOfTJIO +hWWcbdKBojWH55oHfTJRi6lY+qJdByrSE+5ZrflkkuY7adDnzzpgulRm/Op5 +n0w0nbbfHfxdB8wq8q7LSj+Z5M1/FKTXrQP/wjYxO8d+MtEx0jC0kuGB/PtR +a9cofTI5NW5TxwZ5Hkx9tNR89Z92E6nSzaOxijwomncGTD+1m1z6OdTx+BAe +fB5u3NVa3W4ys8FV/YEaD1bXmulMfdpucvvZ+aeNI3mwaoheq/rNdhOLqwrR +cmN50PEg7M7Ws+0mZfn2JuMn8EBP7m6i2dF2k8V7C3/M5vHgRcieLP+D7Sav +g3tOrxHy4O47B5FCQruJg4fN+hApD6If3+vTFtJuUmubo5U1lf35AxGyI7e2 +m7jD1zfXZvJgiW/hyMT17SbtfPPkKlMeTPA48tNsdbuJ9/BUy98WPBjWIv1p +uKTdpEu2tc9Iax7MCmz642TRbrKDmXZlyhIeqDuqOl+f0W7y722Cj91yHswJ +HVdvLWk3+X88HHgQ5DA8daBOey8PZx4sqi55921Mey8Pdx54qpYtUFBr7+Xh +yYPSzLM3QLG9l4c3Dx4eOz8jW6a9l4cfD9IK1L9p/Wjr5RHIg6seGjPvdrT1 +8gjlQef48j3RDW29PCJ5EJriGOVR1dbLI44HXS/fPltf3tbLI4kH7sG7p0SU +tvXy2M+DnY8SM6/cbOvlkcYD7RtHuhQvtfXyyObBepvN5ltPt/XyOMKDltH3 +c7vy23p5FPBg3Z+5ksSstl4eRTyoeK40aWZKWy+PczwYMWLPtT6Jbb08LvHg +65FUk6rItl4eJTwQBq3oWxrc1svjNg9MgrLcHm1r6+XxgAfb24Y8+bCxrZfH +E/b7eoQdl3dv6+VRzoObU9ZsmuXY1sujkgc1L0YfirFr6+VRzYM/Xv+dr1/Y +RvXBgzXleuY2Fm1UHzyo9Fr47pFJG9UHD2x91BXtprZRffAgxThw02dRG9UH +D8qbh+an8SiPbvb6XL27dLE25SGjC9MWJmoOH015yOuCzMxpZ9pUKQ9FXVh+ +RvfK40GUxxBdCFmp3X5ZnvJQ04VVG1V+Ff9tpfrQBV2bTxnXfrRSfeiC2kG/ +xOefW6k+dMFs/MTEz62tVB+6cKL160qN+laqD12Y7znn4tLqVqoPXSj2LlmX +8qqV6kMX7n4VSBuetlJ96MLEvl51Mx62Un3ows7tc+DwrVaqD1247rBi5OCr +rVQfuuD8VnFGVHEr1YcuhB/csL1/USvVB3s9to85vP9YK9WHLozdbh2lm9NK +9aELox+l/CtNb6X60IXAL99rffa3Un3owtD31+QmJrZSfejC/bYA+BjdSvWh +Cz53v246squV6kMXVBSGe24JbKX60IWr+W3KFttaqT50oUA2YubEza1UH7rw +OLP76UCPVqoP9vpucTny26WV6kMXzj25mPnFgfJI04Xv92oyPttRHtm6sEUt +PfTHIsrjiC6EBQQI+y2gPAp0gURXxWiYUx5FulD19qPvNBPK45wuPDrmVuFk +THlc0oUPV38nJUgpjxJd+Hj1Q8JNPcrjti70u/fjTPdkyuOBLtyyfdI0U5vy +eKIL+jvCVKM1KI9yXRhs9VyrUp3yqGQ/b7bMT72hlEe1LsR3t4TFD6Q8atn5 +9KCxkMhTHk26sO3Uq9UrZSiPdl2oNRgW8fh3C9WHLoyK3aFo1tVC9aELT7cs +fn+TtFB96MIQv+Wtc9paqD74sJB3afSzhhaqDz7UZA9wcappofrgg8oAyxNd +b1uoPvjQ7VVZl1zRQvXBB/UEv9/SZy1UH3zQrzH/WFXaQvXBh6bzN8Jj77ZQ +ffBhnc+Fihk3Wqg+2L/3cfGdrsstVB98WFswCIqLW6g++DCk6sz07adbqD74 +MDxp5bHZJ1qoPvhQleu5VfloC9UHH65F79vfkN1C9cGHF5Mf/ipJb6H64EPC +hzkphw60UH3wwSEixiF0TwvVBx/8E5aaeMS3UH3wYYBBvnh5VAvVB/v9b12f +NH9XC9UHHzJfD1cxDWqh+uBD0CP35ln+lIc3H16OTk8HH8rDjw8fWivHWmyk +PAL5EB0c5LlkPeURyofmDyN8XFwpj0j27/lt0fF3pDzi+DC+JCh8jz3lkcSH +OuK8vciW8tjPh21v/P88t6E80vhw76CZ/M/5lEc2HxaF+8eMn0t5HOFD0Z8v +gUtnUx4FfJjufu1V9AzKo4j9fkMVE25OoTzO8aFsxpQDPRLK4xIfpqg/bJ4l +pDxK+PD64bodETzK4zYfLiQ1mz2dQHk84MOb5zOna2hRHk/4oGgSuXzTaMqj +nA8Bsn+S7qpTHpV8eNQsW62pQnlU88H1gIY0WInyqOXD4/ufEmr6Ux5NfHiw +e0qtuRzl0c6HMWkfJ//3r5nqgw//hbat0OxupvpgP4+6n9ee781UH+z8uX5r +Zb/OZqoPAYQ/0NEI7Wim+hCApEy7oKelmepDAINGmP3e2dBM9SGAJUOm9pf7 +2Ez1IQDxoUePE6qaqT4EcD/7/cyRlc1UHwIYsm7j8oLyZqoPAeSNTxo682kz +1YcANNd7u1WUNlN9sD9/3M5q871mqg8BOF3NuqF0q5nqQwD/1l67dPpaM9WH +AMqrjcXLLjVTfQjgosNF7b/nmqk+BBDxX1vUidPNVB8CkCnNWr6ysJnqQwBZ +i88lKh1rpvoQwI95GpPu5jZTfQiAZNQO35nVTPUhAMc7mo7T05upPgQwe63s +1+4DzVQfArDofPL8RjLl4S2AK7avvkTtpjz8BFCwe+eixbGUR6AAwHV2s2Yk +5REqAPOBx/4joZRHJPv53lgeuxNEecQJ4GNbxb307ZRHkgDu1PRV2LaV8tgv +gLCoXLcl3pRHmgAUDyRUS7woj2wBfHU85a6+nvI4IgBe4r+/f1wpjwIBLHqf +cLjJifIoEsCFlxvnvlxFeZwTQFtYafvt5ZTHJQG8MH8Vf34p5VEigGm7KsYV +2lAetwXQ6jaiIG8B5fFAAPLF/zSyLSiPJwJoCvm+PdOM8igXwIyf2y5lmVAe +lQII0JOrzJ1OeVSzPN9JnxdMoTxqBXBYeCT7nJTyaBJAwkGZ2Tf1KY92AZTs +lfnvGZ/ThwDKvNe11E7m9CGAFj25pu/jOX0IwGvGmSODtTh96IGvna0WT4PT +hx742HywnTuC04ceVDTNn+WuxulDD0IyDr+JUeb0oQcrQz+PLRrE6UMPCoba +Kb/uz+lDDxwH/jgqI8/pQw+Moe97vT6cPvTg4ZbiM6t7mqg+9MCIt1Brz68m +qg89aN0zZuK9riaqDz1oWhhw7c+XJqoPPfjhdKvOiGmi+tCDvV8d9/u2NVF9 +6MG2eXefnG1qovrQg55Yr/hvdU1UH3owqaiqdGpNE9UH+/lssyJDqpqoPvRg +8hHLqw8rm6g+9CBUGLhOraKJ6kMPBj95E+XyvInqQw+UIpTVz5U1UX3owXfX +N0P7lTZRfehBvSfjt+peE9WHHkR4jTA9d6uJ6kMPstrHeytdb6L60IOR/Zt6 +PK40UX3oQZLj9JaHF5qoPvRgHaMg0D3XRPWhB+v/Gd3ffbqJ6kMPyhWunvta +2ET1oQdte3d/WXW8iepDD+R0TgfdP9JE9cGOJZOXSHMojyI9sGzuuzk3k/I4 +pwdDJCufq6ZTHpf0wCTN3Dc6hfIo0YPjvBbbnr2Ux209yHHa4LMtifJ4wF6f +od0PSTzl8YT9fMufLfOKoTzK9SAjSFu9PYLyqNQD1TpDBa9dlEc1+/0+L5pE +gimPWj2Y2ueW99YdlEeTHjxf8La+24/yaNeDRy86gyJ9KY/PLI8FXsZDvSmP +73qQN/yEerYX5dGtB+IJ6ir6HpSHjBC6P47l3XKjPOSFEMufYb/MhfJQFILK +rMbsdkfKY4gQbLLSf4evojzUhBA3qNZdcwXlMVIICr6mdVdsKY+xQjj6ynqD +/WLKY4IQnsxO/fPLmvLgCSHv+sWUQ/M5fQjBf6a10WwLTh9CCI77/abZjNOH +EK7NXBe0Bzh9CMHipInm9JmcPoRQ+NbgWrMxpw8hHPT4szTFiNOHEJ4lL6qf +K+X0IQQY9HndL31OH0JYMyCr5pSA04cQ7mprz1vL4/QhhBrredkakzh9CEHu +2ce619qcPoSQJripvG8spw8h+GnfmLRYg9OHEHYduKI9dCSnDyFsjd4n+3IY +pw8hvFHUv5uiwulDCLwpfm4OQzh9CEE0xq5h/CBOH0KIuHpjVkd/Th9CMPl6 +zOeSPKcP9vcFKeyMlOX0IQTB+3eOtv8aqT6EcGqlturEP41UH0K411l78MfP +RqoPISy2Gcc87mqk+hDCsmvtyrmdjVQfQigbs+hfAGmk+hBCbuOai0s/NVJ9 +CKFigMEU/dZGqg8hXPhdFzSoqZHqQwgr0+Ki2usaqT6EMFa4YElZTSPVhxBc +nOZ9KKpupPoQgrVOgc6+t41UH+zff5aqH/C6kepDCMdqZn9Z87KR6kMI50a/ +8Zz/vJHqQwj8gMRMwyeNVB9CmKh+KEL7USPVhxAmiaePHfqgkepDH15rFXj2 +udtI9aEP5Qsmrf96s5HqQx9Stb6rNJc0Un3owx4lpw3VVxqpPvRhRsAJr5cX +G6k+9MH7yoQRZcWNVB/6cEl5jOf9M5THBH14dqjR9XYR5cHTB4n9S5mbhZSH +UB+eq8yCG8cpD6k+PHEO1bp5lPKYqg/rcn9k386lPGbqQ3tH35L72ZSHqT48 +VO3vV3aI8rDQhyt7PUpfplEe1vogt3fP+eoUymMJ+3neNU9t3kd5LNeHvS+q +F3/dQ3k46EPa5pq/MomUh7M+ZM9wmaUcT3m460PIvHeDx8VQHp768G3CjU3S +SMrDWx921MQ5WIRRHn76YNB9+bVDCOURqA/fNzyu8g2iPEL1wS4eNiQEUB6R ++vBWIzM034/yiNMHvVnrxtz2pTyS9CHQfbJJjTflsZ/9/k7rG3o2Uh5p+uAx +++JATU/KI1sfEjfknTNZz+lDH14FXX/n4sbpg/38dodCol04fbCff8jLw6ec +OH3ow37ye8YrB04f7PXfWLTkrz2nD/bfeXEfdZZz+tCHAY2CRltbTh/6MHXX +FKewxZw+9CHrp5X1mYWcPvTBd6VKUe0CTh/60HRPGKpqyelDH9a6Lbg9dy6n +D/bzeqj6Bppx+mB59TNIPgOcPvShzNNhdOtMTh/68Of2TGXt6Zw+9GGsbvwm +h6mcPvRh8elfBgcN2f9/dOKoSTIiCLECl1eSRpNK5Yt+52VFoF0/vEtVxP6+ +fh/L58iLoM/U6Z+W6rHX509//QoFEQwg7nMP6LK/v1Mc76oogknR9rJvJrPX +q3llc+cgERjavdTUmNhocuR9uFnYEBF0RaSkO2uz1+/lyeyhKiJQHbhu6/Gx +jSa80lfdh9VEYFCoWPhZg72e1/8uFw0XgTKZN3PaqEaTouLJxTdGiiBzfDsv +cjh7fU8sUrbREMHsZdc2v1Bj58vhAK8PmiIYaBWrNFaFvd4Hch9uHMd+3hgt +2U1D2PkT/3hCz3gReM9cYnV9UKNJ4K5voQmTRLBg8ee2IYrsfPIf8340TwQH +lj2tdlFoNJHZONe4kC+CishbWhfl2PnlsvnANKEI4u8cPj9IttEkcsXBL6Ui +EdzfOyfd9V+DicXCW9b2UhEUqEc/ufqnwURxTltBi6EIBg2es3DY7waTMmPV +ftunikDr+YrR3j8aTJL0Z7goTBeBpuURadm3BpMlE92up8wUQc/3fim8zoZe +HsBevyaX2TGkoZeHKfv3izIMWj419PIwF0HN5pRNlm0NvTwsRDDkmTFT2NzQ +y2O+CCo7N54d0tjQy8NKBLdDNa5srWvo5WEjgjHpIrmqmoZeHktE0P0mJcn0 +fUMvD1sRGFnPXFX4rqGXx3IR7ApQdR/2pqGXhz07XqR4OvRVQy8PBxG0idUM +O8obenk4iuBY84QfK5839PJwFoFCnvhb6ZOGXh6uIvj5S8Sf9rihl4e7CKBh +VEbhw4ZeHh7s9b3UYqp5v6GXh6cI3useGLf3TkMvj00iyLDQMFK41dDLw1sE +Yt/AkODrlIevCKzdz/z5dpXy2CaCGP71kxsvUx7bRVCukZfYfIHyCGQ//0eX +HJdiyiOY/Xvr+zR8OEN5hIoguiN8mcNpyiNMBOM7Pn97d5LyiGSvt86iWytP +UB7RIlice+Jy1THKI04EjmmK71cfpTwS2PkWG6hbm0t5JLH8JyvmuB2mPPaK +4MaauybtmZTHfhFU216W98mgPFJF0Lz815dfBymPNBE87jjQNzyF8jgkgsSH +OcZK+ymPLFbP90X7DiZTHjksX2b5kIlJlEeeCJS89U6dTaA88kXgfPih5+w4 +yqOA5fNj9vwX0ZRHoQh+KJ2zcImkPIpEIJtp5PotjPL4j51PAS0Z0aGUxzkR +pBxo/Dx6J+VxXgTpvxY4nwmkPC6JoPH+tE8WAZTHVRH4Scv31PhRHiUi8I2R +Lty+lfK4KQITrV3jVXwoj9usPtZ+GHJqM+VxTwRbyzarWG6kPB6I4PC7lbpN +GyiPRyJYM/q+XcR6yqOM5a39NmW8O+XxTATT46+13nGlPMpF8LozbaGbM+VR +IYINvzPuKjhRHpUisDdj5hc6UB5vWX1uLPlos5LyqBbBiuFTIruWUx4f2Pn4 +JnbKoWWURy3782aN382WUh71InheH3D70yLKo0kEI40D0lIWUh6t7Py7PCxw +thXl0S6CZWVh7h2WlAcjgm1l31amW1Aen0Wg2Ddn+TxzyuMrez84VrLqhynl +0SWCNx92uR8DyuOnCCIvTvRfMYvy6BbBZt26BMUZlEcPe/8a8SW/xJjykBHD +Fovdd7dMoTxkxaC5trNhkiHlIS8GYY+DwnsJ5aEghtBwRf5+EeWhKAbrecY2 +VkLKY5AYzgfq+MoLKI8hYpjHH5Fyg0d5qIjhIFhe3DGZ8lATw8OQnldGEymP +4WLQifL/8lWb8hgphrKHv/uf1aI8NMQwYd/j0Vs0KQ9NMRyR1+eJNSiPcWJY +o+Yp6hxJeYwXw4XI+6Li4ZTHJDF0fo7h+Q+jPHhikCn5PXK6KuXBF4PaWLc+ +MkMpD6EYXEeq1NwbTHmIxPA8wOpswiDOP8RQ8MZph60i5x9iWJ160GhMf84/ +xDCrxqypSZ7zDzFMO3w65kxfzj/Yz5+kOya4D+cfYuiaJJdn+a+e+ocY9uUm +jBzeU0/9Qww5MsNCG3/XU/8Qw4N/zKvin/XUP8TQR3PjqMjv9dQ/xLAttc7K +7ls99Q8xzHXL89LprKf+IYYNM+QCukk99Q8xRCas3PKso576hxju7/xje6S9 +nvqHGG5/g/E7Wuupf4jBPjqkalFzPfUPMaRGKgfrNNZT/xBD2lcrhT719dQ/ +xOAfmxjw7mM99Q92fp3Vf1n8oZ76hxji4+JV91TXU/8Qw+iPPcZe7+qpf4hh +lKje3PJNPfUPMXjmHzSa/Lqe+ocYojSCBvarqKf+IQa/EZ/uNL6op/4hhvLH +Fk73n9VT/xDDORVSfexJPfUPMVz2MZ0R97ie+ocYFEblBW0spTzCxGD+wSdz +8QPKI1IMx6arZRndozyixdCxtC1Y4w7lEScG344VM/reojwSxCD1ufum7Trl +kSSGt0zykpfXKI+9rB6GTi64doXy2C8GiW5bVf4lyiNVDNqTTduSL1AeaWJI +SUl5GVxMeRwSw94jNqkbzlIeWWIwHPXaYMV/lEeOGF457CucW0R55IlhxMYv +vw1PUh75YhjatFJ70gnKo0AMTn+mjRt+nPIoFINVcM/3/vmUR5EYyJPBed15 +lMd/YljcVjWB5FAe58SQtLY8sD6b8jgvBvm1a469yaQ8LrHX58i3/KcZlMdV +MUze9jfgXhrlUSIGwaWOsSWplMdNdv7USg6eP0B53BaD8vdZdUX7KI977OeL +D+85nkx5PBDDj3s+rXlJlMcjdn7ZhxzN3k15lIlhfbSG+FA85fFMDHKa1+PT +YimPcjHobn9TnBpNeVSw8zXoY2FKJOVRyY4zFninhFMeb8Vg+TypT+ouyqNa +DHXZ450OhlAeH8TwTn1nTHow5VErhspS9eDMQMqjXgwRFW4zcgIojyYxvHhT +f++oP+XRyt5P/g4YWbiN8mgXw9gviw3P+FIejBjqaw1HXdpCeXwWg2OE6MGN +zZTHVzGoDimZ/nAj5dElhrBpy/1feFIeP1keEBhQ5UF5dIthTtXR2U3rKI8e +9v5lbvvsixvlISOBiily2n9dKQ9ZCQxvlxoPdKE85CXQpuaiNnIN5aEgAZcW +pXOTHSkPRQloDbuoNsWB8hgkgZz776darKQ8hkggM0927IoVlIeKBFY+yb/v +YUd5qElgUM0C/SBbymO4BMQdviuSllAeIyXQHJEzO28R5aEhgWWFy9suLqQ8 +NCUg837kkidWlMc4CUiUvLbXz6c8xkvA4Wi5w+95lMckCfhElP8dakF58CSw +/O/n1brmlAdfAjOqzgWYmVEeQgkoLs9ZvHo25SGSwH0taPQ3oTykEvhtP9xg +30zKw1ACY1OKZp6eTnlMlUD/d7v7lBlTHtMlYJkxM6R1CuUxUwInbsy9oGBE +eYAE4g9J8icZcP4hAcfvcQvnSjj/kMBAmXdF7iLOPySwfuWJ+9FCzj8kcFQ1 ++kCBgPMPCdzJ7x5Vpsv5hwTkuvfZER3OPyTg5FptoTqZ8w8J/Mh0ZqZM5PxD +Akkv/7NcPZ7zDwnIpi63Dx/H+YcErjbUa5wYy/mHBITaDftejOH8QwKLxzSX +/BrN+YcE1AYFpWuP4vxDAnXxEp7VCM4/JDAqJ93DT53zDwkkXJizKkeN8w/2 +8x261VOmwvmHBOLichf9Uub8QwLOowKWThrC+Qd7/a0q5W2VOP+QQHeYzbqw +gZx/SEA3aqv/mQGcf0hge8hbw1oFzj8kMKd7aO7Qfpx/SKC9+cxVUznOPySw +8IB12FZZzj8ksKI4oCtfhvMPCUTbPVZ797eO+gc7/zoqa5R66qh/SMBmvqGd +aXcd9Q8JRGYG+Pv/qqP+IQE9v+lmp37UUf+QQO6toZfru+qof7Dz4fG+N6O+ +1VH/YPU6zT5nSWcd9Q8JHFH/rRL/uY76hwTUbw7Wu8vUUf+QwJgufdLzqY76 +B3s9nn1fMbW9jvqHBJ7f/uPh21pH/UMCttlV404311H/kIBZol5oe2Md9Q8J +/FXZHaHTUEf9g+WveEDoXldH/UMC/U58DD7ysY76hwQCQgf61H+oo/4hAcHW +M4rj39dR/2Dn551NFq5VddQ/2O/T9+PkI2/rqH9IwG9cbmFjZR31DwmUOy0p +n/y6jvqHBF4sT8zYUFFH/UMC039+7VdUTnmUSWBy5Di1zueUxzMJJKeev230 +jPIol8B3DRuVoCeUR4UEvtjtlr39mPKoZO+f74bs6/+I8njL6jvZ747NQ8qj +muVx3nlf6n3K44MEGl5vkf14l/KoZf9ehYUy7w7lUc/O/9qIaz63KI8mCYwI +fdSv5Abl0crqK+oKo3Cd8miXwJkRP3yXXqM8GAlYnNJOyb5CeXxm59+Aj7af +LlEeXyVwyLT8tPFFyqNLAp+uHcqPPk95/JTAJX791NfnKI9uCVzfY+k+8Szl +0cPej6dvFW77j/KQkcLHRwrJ94ooD1kpPNYp2K1+ivKQl8KH3T3j1xdSHgpS +UAo5sfRKAeWhKIWzT5ZpKB2nPAZJQZp6NHhNPuUxRAqn5oB/8RHKQ0UK6XFl +/QfkUR5qUti5/ovYMYfyGC6FJB27znPZlMdIKTg55VoqZlEeGlKIbQ+e4nyI +8tCUwqquPVcvpVMe46QQwYS/Uk6jPMZL4UbyvwiPVMpjkhRC6k6W3j5AefCk +kCMwzNPYT3nwpaAmZzrUfy/lIZRCwpxg1fI9lIdICuKhyQV6SZSHVArzKya/ +jN1NeRhKoXPWp6SmeMpjqhTuz4utMYujPKZLIVX/xK2cGMpjphRG+f82lImm +PEAKB1smznCKpDxMpXBs75uX18MpD3MpvH54/Y9mGOVhIYU14yMvhIRSHvOl +0LTzjUztTsrDSgoaf9yqTIMpDxsphP17Z340kPJYIgV1caNJ/x2Uh60UBgZJ +Sj23Ux7LpRA8eEvtMz/Kw14KPHubGINtlIeDFCYMCSpJ86U8HFl+E/ND/22h +PJylsOhl0As3b8rDVQqP9pw+XbaJ8nBnr8dwZpTBRsrDQwozXFpUDnlSHp5S +aCxcmCq3gfLYJIVx3i+ObVxPeXhL4cCGcXMq3SkPXylcTSGes90oj21SyNTv +0DzpSnlsl8Lu048dhrtQHoFSqH82Y2L4GsojWAoXYjr9iSPlEcrOV+VsW4fV +lEeYFByrv10pXUV5RErhXlb+iSkrKY9oKZjPWzc+fwXlEcf+e7+2CcOWc/4h +hdXmdUURyzj/kMKQk31vfVvK+Qc7v8fKrnJbwvkH+/1vJge9XsT5hxTWdbhP +nGfD+Qd7vWYZLbtizfkHO44pHqhnxfkHO3+qUuYdns/5hxTaw/cOVLPk/EMK +zgqrbWMsOP+QgvLkk9o95px/SGHjB0M/nzmcf7DX3/W/hS2mnH9IoXRCeaHj +bM4/2OtXtnj3KxPOP6RwcWZTp9Uszj/Y+btvbvXdGZx/SGHsBF3LmdM5/5DC +2qB5xheMOf+Qgoyr/Un9qZx/SCHrtVpugRHnH1LoVpKOmmDI+YcUTvt7qWVL +Of+QArkcsGeUhPMPKdidVU1MEXH+IYWCbV8Hqepz/sHeD2zKB+3R4/xDCidv +e+xWEnD+IYVr0b6J8bqcf0ihf2LBEEUe5x9SePX7nnLsZM4/pGDGC0/uP4nz +DykMPpGRHDOB8w92Ppk9Uh4wnvMPlv/n0sFx4zj/kEJd7bL4gVqcf0ghNIgX +tVuT8w8pKKyU+TdkDOcfUtg6L6Vr72jOP6TQYnnMQ30U5x9S2LSfrEwfwfmH +FJ4m80s1h3P+IQWbmuHFecM4/2A/j/WW0Tw1zj/Y+9/Hb3+LVDj/MICaJ06O +hkM5/zCA2pDNxteGcP5hAHJ7a5PMBnP+YQAu5b7Ojwdx/mEAwtkNp5YO5PzD +ACKUv2ytHsD5hwFIj6y44Naf8w8D2J38dgvpx/mHARhmS44FyHP+YQDkrrad +nBznHwbgyffYmSTL+YcBqAvujR3dh/MPA2hd9N342L9a6h8GMN32Wpn0by31 +DwOI1aqsvPmnlvqHASx+3m/Vwu5a6h8GUHlqoF31r1rqHwbQI8l/uOFnLfUP +Axg6IPXsr++11D8MILN/tmpsVy31D/bvGYe0j/hWS/2DvT4vfhoXdNZS/2D/ +3ejJX+MvtdQ/DMCnu8T4Maml/mEAA+oT2hyYWuofBjDpfbcy+VRL/cMA2hWe +nNzVXkv9wwDmnr5dotZWS/3DAO5YZZsdb6ml/sH+/eiJs2Y011L/MAB36YhT +zxtrqX8YgP6SaXvcGmqpfxhAfxWb5t91tdQ/DODvk5Fn99TWUv8wgLNKjp8n +fayl/mEA4sianJIPtdQ/DOCemst92/e11D8MoOxhwepPVZSHswEkvt2xLuId +5eFqAMqFafUabykPdwNoK3v04nwl5eFhAGN+l4ttXlMenuz3H+Hft7WC8thk +ACemBc0Nf/m/cb8KWweVfII/r1mcv6LzKMHfF9vPzqycHXN/T8V5mcZZdsx9 +njlWqfXJ7Jj7vHZmg9O2sGPu+4wtPjljMTvmvm/ff2ufitgxdz3q/HgLldkx +d736O3+6So4QvJ4aXdnDn7Fj7noPWW3kXMSOOR4Dz+cc3M2OOV4uAytKvNgx +xzN4zY3nC9gxx/th/rIXuuyYmw/5t2NuDGDH3Hyxj5uf0ZJHcD79uJew9gE7 +5ubbYv3Zo/PZMTcfW7fY34hgx9x8rdR9sMiVHXPz+WZL4LPZ7Jib7yV8j+la +7JjTw0K30P1/cwnqxfTn2XfVuQT1dHxqp9LVXIJ6K/HUF6blEtTj6werpvvn +EtTrkq3rDZflEtTzrti5GtJcgnpPUW4myrkE7wfJfaf/x+QQvF/wraWry3II +3k8ePrz5vSCH4P1mlWlFUHQOwfvRSj9HsjaH4P0qf+iihaY5BO9n/mfS0sbm +ELzfLajnv/hzmOD98MmEH9/eHiZ4v3xQ3y538TDB+2n/nb9l9h8meL9dOlu1 +1fswwfuxheekEuvDBO/X1rwJwbqHCd7PIw/+0VE4TPB+X3Av+2Z9NkE/6Huq +x+xmNkG/sJrW79yhbIJ+kjOvQCkgm6DfuOe+Xrosm6AfGfTZGSnOJuhXt5WS +cpWyCfrZC6dfJ1qzCPrd0J0ns+5lEfRD5Q+pwTlZBP1Su+GERXAWQT+dV1/x +Z0UWQb/VHCOfaZBF0I9v3NLVUc4i6NdniUFWeyZBP39xTuXf/UyCfm8w4Nz8 +3EyCzwPqb+V2BWcSfF64+ft7zopMgs8T3tLtp6SZBJ835hj55w3OJPg8onmk +Lrz1EMHnlaSBBQvvHiL4PFPScqlv9iGCzztWR2XyAg4RfB4yPbhJYHuI4PNS +l/yXbOEhgs9TrWP9evofIvi8dUvu05z6DILPY2Nugl9JBsHntaj5LsmpGQSf +5xbHzkrZkkHweS9u4Z2IBRkEnwdfrfjgMDGD4PPi8qXBmv/SCT5Ppr/aXfom +neDz5jbXntVn0wk+j372ulwVn07weXXywtOmbukEn2eNbMr2zkon+LyrfqTn +8fB0gs/DkV4i5nMawedl5tziX6VpBJ+nLbYs7MhNI/i83R2l+igwjeDz+KPb +u5Ns0wg+r//3vmCmXhrB5/l7gbYV8mkEn/cnCnYs/XCQ4PvA8/UKVy8cJPi+ +kJjSoph0kOD7xEs5RbN1Bwm+bxzuWO5qcpDg+8i6bdc9hx8k+L7CnOA5kFSC +7zN60ZEGD1IJvu9M6Sj5lpVK8H1I7cT1Q36pBN+XTmz1FSxMJfg+ldbxIG9i +KsH3Lc3sY7I9KQTfx7r+9MyrSCH4vjZl7QW/whSC73Mmo6/Fh6UQfN+LWvw7 +2j6F4Ptg5FgHT1EKwffFA1FlhgopBN8nn3sbNb8/QPB9c9iZiJDiAwTfR/s8 +zf0bd4Dg+6qfcPd65wME32c73iien3KA4PuurvHwFqUDBN+H1QoP9WnYT/B9 +2d0nss+V/QTfp/ddudqctJ/g+3Z2Cq/YbT/B9/E+fS67T99P8H09qGrpP+X9 +BN/n/zH1wU37CL7vh7Uvqbu6j+B6QM26OEHyPoLrBedqfR3c9xFcTzAq+bll ++j6C6w0jzWU3Ku8juB7hciLAunEvwfUKc7l5qlf2ElzP6LvI7nriXoLrHTe8 +dy903UtwPcRJ//WdKXsJrpc4TxupOWgvwfWUyxNNVn9MJrjecnyDaFdxMsH1 +mNR1r2Jjkgmu1zBO6v4OyQTXc74GVs0TJRNc78l4OOhf32SC60HuU/amVe4h +uF5knLV0ROEegutJ0otTA3fuIbjeFKXFu714D8H1KGuHQR0T9hBcr3J/Xtr9 +I4ngetac+/OYR0kE17seb/C+m5lEcD1M6+nknd5JBNfLouuXjTZLIriepr+r +NmNYEsH1NuU1Z/41JxJcj7s8+uTcK4kE1+t0JZe8ExIJrufl970W7JhIcL0v +sjxzoyiR4Hrg4DtmIJtIcL3QtGpf18vdBNcTL8hu3X10N8H1RlfZRwr+uwmu +RwZEBK6dt5vgeqVYbVPeyN0E1zOzxkTfbUsguN4Z2lH44GoCwfVQ1TO3TiYk +EFwvrd92ynd1AsH11AyerYYwgeB668u09Py/8QTXY+t83VSexRNcry2ak+aU +HU9wPXfH9lGJm+MJrvcWr3ySaRJPcD34/rCUxCHxBNeLP55dsaYmjuB6cunI +Xyqn4wiuN59UXpW/M47genTDdJdRC+MIrlffH9O5aUwcwfVsX3Um71MswfXu +bFfjy1djCa6HO2ldLIqLJbhe3jlnfoR9LMH19N35r4x1Ygmut0/8Mf3Z9xiC +6/FT7znNuRdDcL0+NXB82r4Yguv5ImePJ84xBNf7Xx1UrdWPIbgf0G+cXEVP +NMH9ggsvNfIfRxPcTxjmbrI8LZrgfsOy9dbN7tEE9yN8zHXsDKIJ7lf8Nj2T +1yea4H7G49DSZ0+jCO531P1c/C4jiuB+yMgQ/p31UQT3SzpuGsUZRhHcT5lj +bqsvG0Vwv0Xotfbs00iC+zHHN1moZkQS3K9xdny/eF0kwf0c/4n9NkkjCe73 +zIrKWfcvguB+0L9RSbMeRxDcL7q0rKgzJYLgflJVyIdwlwiC+00qV/5+0Ysg +uB/1o7tjxq9wgvtV1arxa++GE9zPCskvXp8UTnC/65nQ0nJlOMH9sOM9k/pN +DCe4XxZvLMgmYQT309Z8MFK7EkZwv01QMsEtIozgflx54MvkhWEE9+se7NdK +HxFGcD/vpV1rcN0ugvt9dxoUZ57cRXA/cJKhS+W2XQT3C/uplFmZ7CK4n5g1 +YmJm/10E9xuVL1s+fBFKcD9yrJH60/RQgvuVtUfci1xDCe5n+n9S9xCEEtzv +vH1P9u+3EIL7ocOuym8sCSG4X9o+uft8ZAjB/dSDU6+/sQ4huN/a08p7NSyE +4H7stAEjC9/vJLhfu0rqvvLoToL7uUNetdd57SS437tEN2KOwU6C+8HaswaE +dAcT3C+e3Ga793Ywwf1k99ewMzaY4H5zx/Z800XBBPejg4c51agHE9yvnlZi +Zvs+iOB+9tKFejl5QQT3u//z/XbPI4jgfnjqkS239YMI7pfbTwhO6QokuJ/+ +qw+ZfTWQ4H57xfDsu6GBBPfj5w1005wbSHC/fqXVCJuBgQT381cN22P3fAfB +/f49G/IMDuwgWA/wYiSv1X4HwXoB0ZUfvpo7CNYTpBc2VtQFEKw38FcvHXgs +gGA9wpJ2/xGeAQTrFTbXPfgtDCBYz7AlMPFs53aC9Q6//hw2ubCdYD0EbK3I +CdhOsF7ifHHPmxnbCdZTZCz/0vDPn2C9Re7V8Hu3/QnWY0TIxe6I9CdYr5Ex +6lXfef4E6zmCTkx3VvQnWO+ROGHP3jI/gvUgedeOpib6EawXifew8V7kR7Ce +pKDBSUPFj2C9SXxBQcbLbQTrUQK6/nbs30awXkXxgVjVbhvBepbi96oDh28j +WO/ieNnvVeVWgvUwTBrf5+BWgvUylTv71q7YSrCepmDSs3EjtxKst8kY7GTw +1pdgPc4on/ARab4E63Ws5w96usKXYD3PMetS2xG+BOt9PEjsyUofgvVAfKcx +r1J8CNYLuccsebrMh2A90behP1PVfAjWG6Wurha/3EKwHiko+klG8haC9Uol +bmmvbLYQrGeqi1aqUdpCsN5pjdGPi4+9CdZDjfQZvybWm2C91JuvjpVzvQnW +Uz3j+42R8yZYb6Uy21ByazPBeqzBQ9cP27mZYL2Wgv67+9M2k//Vc9Xbzf2x +iWC9Vwe/YN+5TQTrwc5dzijavIlgvZhq588U/iaC9WSrO+KsmjcSrDfb6jf6 +We5GgvVoUxS3ajpuJFiv1vV69bSRGwnWs725mqNd4UWw3m3pvP6ViV4E6+Fi +rtovt/QiWC93qa9jXl8vgvV0x0qqr5R4Eqy3kzXIzPT3JFiP98DRfYHYk2C9 +3rG6v3faNhCs51unrKdwZAPBej/zhgtqqzcQrAe0lXf5NGwDwXrBt3e/7n7q +QbCe8MQY8a8oD4L1hnHnPuiZeBCsRwwuv8n/sZ5gveKETRmdRevJ/+oZx0nC +3NcTrHecKJj3bsx6gvWQZdsO/65YR7Becl1sT138OoL1lIkp4/aZriNYb7nW ++6niL3eC9Zg1CfcsT7sTrNdUlXto4+ZOsJ4zNClFY7Q7wXrPxbFfTj93I1gP +WtB+cECUG8F60Y82VrzpbgTrScs6Hg3+vJZgvemP9JeXj6wlWI/aWjpB134t +wXpV+9ggJ6W1BOtZN4YftL/lSrDe9dsfwxHbXAnWw6ZWDM3QcSVYL6sc1lJb +5UKwntZpmN+nRBeC9bZdhn5XZrsQrMdtGXdkwTdngvW6o09dyc53JljP6wFb +L6xwJljv++xNXJKiM8F64OR+l3SvrSFYL7z6U3H0xjUE64m9Zk3L11xDsN74 +6GyZqGdOBOuRzz+6PinUiWC9snCmXozIiWA9c2ac7ImPjgTrnXPFXfF7HAnW +Q8vOvKoHjgTrpa+YKiaR1QTrqZVcU09nrSZYb73PYniS9WqC9diztGfp/XEg +WK+97uSN2BMOBOu5X0y0ObLCgWC994ml2UH9HAjWg+8OcVEpXkWwXjzUb76b +8yqC9eRt0f23DV5FsN58b4T17KsrCdajJ5SUlq5bSbBeXdpXb7DaSoL17GpH +Jg2+aU+w3r3K063U055gPTzkppoMtydYL982wNP79gqC9fTLahJWbVxBsN7+ +XGWBzIgVBOvxH4/d5HR7OcF6/ecrI/29lhOs5zfJSZ+nvpxgvf+pkBXlN+wI +9gNkda1X87Aj2C9gs3XbYBU7gv0Eke8n3byyjGC/waKwiTzXZQT7ESrGjbQc +uIzzDxEotBwfe86WYD/DFq/AkyttCfY7fPzPiPSxJdgPoaMV2nx8KcF+iccJ +f/bbLCXYT1Gsv+hH1xKC/Rav8zUHH1pCsB+j5qvi29lLCPZrvNpxcXnzYoL9 +HJdk7scmLCbY76F1onKTeDHnHyIo/5XQ7/Uizj9EsPJZ5KIdizj/EMF+N2cr +zUWcf7DzbfH1X7dsOP8Qwc6QaSvcbDj/YOefge+6/jacf4jAb4D85MKFnH+I +YMin+BTrhZx/iODH6LzzxJrzDxHc4DWGJ1tz/iGCaV3tMlJrzj9EUFe4SFBh +xfmHCGY+KJTfZsX5hwhmDDyQMMyK8w9WfxF5N88v4PxDBFOiN+YsW8D5Bzu/ +TsYIu+Zz/iGCnuex6/bP5/xDBFPV5K2k8zn/EIH9q91VLyw5/xCBwdkLw7wt +Of8QgUPk0N9Klpx/sPNlrX5M4TzOP0RwMvPwjXnzOP8QwZKL37IbLTj/EEGU +1xWdMAvOP0SgejLEXtOC8w/296e8EF+Zy/mHCDYFzjizbC7nH+z94u2Cys/m +nH+I4PKKuLx4c84/RFDwZNewSeacf4igTHJVcHMO5x/sfDt+vcV+Ducf7Ofx +VbX8asb5Bzt/f5pYJ5hx/sHOr5LSbxPMOP9gr8daQ5MSU84/RBBS0E+wzJTz +DxHscb155dNszj9E8GVnQ1v4bM4/RBD85+vVUbM5/xDBMLJJ7wxw/iEC64CK +WRbsmOvHG/U4l1SbEOzX8xi73MSHHXP9fDcvb9RTYMdcv9+jeufLGbMI9gPW +tZ2q02fHXL/g3jGPj9+ZSbCfULlUPHA5O+b6DQuN7Ae0zSDYj2hYcDE3iB1z +/YruK2+/HsyOuX5GwfaOI4enE+x3lNM+OUjCjrl+yKnu3kp3phHslxxrUpi/ +lB1z/ZRL9pRV1hsT7Ld8Pd7ssC875voxpx8L+ifLjrl+zUvHG78lTyXYz2m4 +vzVEix1z/Z5L/+vMLppCsB/UZfQG2xnsmOsXtX148VCpEcF+0txA0+127Jjr +N530cHNTnSHBftRPA480bTIk2K/6y8t0+28Dgv2sfV+fTI80INjvqvXVzFrZ +gGA/7GbhuqR0KcF+2RMnt66ZICXYT3vesvTGKQnBflutAzdPGkkI9uOmPi2e +dENMsF/Xpu6vwEJMsJ/Xye379acigv2+g/d+qVomItgPrDbHPLxan2C/cPm3 +OcUu+gT7iX0umm5qERLsN+5vmPTfRiHBfuTU4wE7OvUI9isrV9k89tMj2M9s +NtYx97eAYL/zFWvyN1hAsB868abVRxkBwX5pHZvr5uF8gv3Uny3jhPJ8gv3W +Xdu60qJ0CfZjB/c3i1bQJdivHZVb3BXNI9jPbWwV16DAI9jvvdBbdmm0DsF+ +8GWV9tBPh2C/eHfu48KIyQT7yaWWyftlJxPsN1+aVPcrZBLBfvSRkV3v/kwk +2K+eG/LXKGAiwX52azWRUtcEgv3uMapXHbwnEOyHTwx/OqF9PMF+ecWM1LVu +4wn20xddnT26Rptgv/0Jr+8WK7QJ9uNrLHvf+nwcwX791UYaMpbjCPbzz2p6 +HXtTi2C/v9RSP2qKFsE8AHsr82+nxhLMC/CrMXo5fizBPIFXzFjtNE2CeQOD +V4/6qKRJMI/g4p+Zg8LGEMwr6Io9fLRLg2CeQU/essL1GuR/eQf3N2lUjSaY +h3DRtPuH1WiCeQlyxv1nXh9FME8hOflwm3AUwbyFgK6yf1kjCeYxpDzYFzh4 +JMG8BhjzyyF4BME8h5oLf3PbhxPMe/D5mWdlP5xgHkRLbeOK++oE8yJcFG7c +l6gTzJOwip18IGsYwbwJ50HD7gwYRjCPYteZHTZb1QjmVWxWsjT+oEowz8JZ +a1OIhSrBvAs/61da/6kQzMOQX7JOY4QKwbyM2zMUt4QMJZincXNtzpgmZYJ5 +G4vWKI2zUiaYx3G2m7/jzBCCeR2H9d9MVh9CMM/jzPA2nR2DCeZ9JLcYBb1X +IpgHotS0RwuUCOaFfFnxQC13EME8kRsR+cv7DiKYN2KU+aPZdSDBPJLcq6F3 +7ygSzCsZUCH3SVuRYJ6J1gmz1bsGEMw7GXj/l3pNf4J5KF/Wfxw+oz/BvBT7 +XaccDyoQzFPZuUCm5Ws/gnkrRwOfXFjYj2Aey9iJSQ+OyxPMa1nj1zFCVp5g +nkud0u6ClXIE815EHYO3n+1LMA/mtKdy+IC+BPNixDx+qZMswTwZc5mueef7 +EMybgZ//ZBT7EMyjGTLlHVktQzCv5lKnktqZfwzm2Rx2GefVlx1zeTfh/6V8 +t/3LYB7OhNb2M0d7GMzLufAp/VDXHwbzdDodR56fw465vJ2mlDe/9nYzmMfj +mWju8fE3g3k9y1487KPHjrk8HxOv+7e2/2Iw70e5KvPYnZ8M5gGN+1FwRokd +c3lBco3wwe4Hg3lCv/oU87O/M5g39Oe5zcGmLgbziHybV2nrsWMur2hny6iH +Pt8YzDOaoeoaefErg3lH38fLr+7uZDAPaVBDnwWz2DGXlxQ7JNo69AuDeUr2 +P6+53PrMYN6SvPf9mD7smMtjkjlzswQIg3lNWyzu/NvJMJjnFPOrxupaB4N5 +TwtPa+f9/MRgHtQP+2QZg08M5kXlveO7bmpnME/qSEv7w2NtDOZN6fy4q/+x +lcE8qhWjjhwY3spgXlVd++af1i0M5lk1bupvF97MYN6V+de5Jy82MZiHZfez +52dbI4N5Wa2DfxmPaWQwT+vYlT9eCxsYzNsqWXI/aWc9g3lclbUDD5+qYzCv +a418UEZVLYN5XrJlJSH9axnM+3Ld5z3f4CODeWDDGvm/HGsYzAu7viQkNuYD +g3liLos7f/73nsG8sbeqcuZvqhnMI3NYy/f8W8VgXpkk+PX68VUM5pmt/bhh +usU7BvPOIoXmHz3eMpiHtu3PJdv4NwzmpU08rpxSWMlgntocwe6cR68ZzFtr +7XTb2vKKwTy2gcceDpR/xWBeW7Pc27VaFQzmuU0u+C9o2ksG896qPjguWlrO +YB6c0KulesMLBvPinqy2GLvrOYN5cj3PN6ilPGMwb876mtHlgqcM5tG9uRCu +eO0Jg3l1Y65ryj8pYzDPzvz3w6PVjxnMu6sNndLa9ojBPLyB6qplP0oZzMur +bv8xv28pg3l6gjXbXJQeMpi3F3lJaYj6Awbz+BZUG1qPuc9gXt+3QctGjr/H +YJ6f7aG7myffZTDv78PhZ1a6dxjMA3xy7OxJ/m0G8wInumXE828xmCe41fl4 +I+8mg3mDc4+2XJh0g8E8QpHLqu5x1xnMK3RJI0WjSxjMM8y/Ef5E9RqDeYcX +HBuXKF5lMA/x1bnn0/5dZjAvMXrB59DOSwzmKa5Ir9ZquMhg3uL+vaPVKy4w +mMf41EjL4fZ5BvMaNXnzmaJiBvMcPxs1Pkg7x2De49JanYawswzmQcbVTpm1 +4QyDeZHvCsa+sPmPwTzJ5XWVqdLTDOZNZtTO3j2siME8StE4nZNdJxnMq4w/ +NZO8LGQwz9Ireczi/04wmHe5fsb8srgCBvMwgxsEq1yPM5iX6VOn9tP4GIN5 +mmX9p2QOzmcwb5PXdXJO7REG8zgvr9raciaPwbzOPKtNYaG5DOZ5DvB1HGSd +w2De51brtpDhhxnMA13qdPB1TRaDeaFWq54NyM9kME9Udu4rlQ2HGMwbVU5+ +2sbPYDCP9LleSVx7GoN5pampIe3HDzKYZ9r/1TP5takM5p2O8DYt10hhMA91 +/lpzy5f7GcxLvSs71jV6H4N5qpcffxpuvJfBvNXTM3KdWvYwmMc6eJ+C5EAS +g3mt0WGXokwSGcxzTf+gvaw5gcG815tGkfsT4hnMg/VZ2zFdP47BvNggxSmm +z2IYzJN9IKeY5RXNYN5s/YM35gpRDObRBp/+oJ8dwWBebe00zSUG4Qzm2WYY +OeXe38Vg3u3ccoG6XSiDebjeOS9z63YymJcbE+U00yuYwTzd/Ki0d52BDObt +NkfIb/bbwWAer0pDDfN9O4N5vWOURi/c6s9gnu+Atw6hzDYG837Dq7u3u21l +MA84ft8f3bc+DOYFO3oOjrXcwmCe8IHjMZEXNjOYNxw6eZ2K1iYG84g9vs0d +F+XFYF6xx2WXvJYNDOYZz/yXGGvhwWDescMso7u56xjMQ15SYmv2243BvGRr +463frdcymKdst+xYWaYLg3nL/5VFFLeuYTCP2Xqt9iGxE4N5zYapQ7ZsW81g +nvOUXzZa51cxmPesuGBZymd7BvOgvaf9uj55BYN50YUP/SJX2jGYJ/13kWtF +jC2DedPbdI4dOLuEwTzqnGfbzlYuYjCv2q7IXPXnQgbzrC8vepCtas1g3rWZ +RvUs3QUM5mGv90l4Pd2Swbzsh4Y9C+ZZMJinnXd0bbiNOYN522Zhf50WmzGY +x/3A898d69kM5nXP8YzeM8eEwTzvO/v3HTWcyWDe99UiplZrOoN54J9Ktcb3 +M2YwL5x/drV5oxGDeeL2mj7jrxswmDeuFH46ZY+EwTxy1/Wv/RxEDOaVd439 +lzZOyGCeuXFxaEUNn8G8c7mmVz9TeAzmoWv1D62cO5nBvHTD8OZZZAKDeeqf +7xl3J2kzmLfez3HOax0tBvPYIxir1MtjGMxrNyhqlDUdzWCeu2Dw9Xe3RjCY +986M3vx+qjqDefDaE8zu56symBc/TfGn1cChDObJV50PnOg+mMG8eeePOf8u +DGQwj97Hpzyqpz+DefXFYaedjfsxmGe/vu6MeENfBvPuO39d2Zskw2AefnxE ++qiCng7My5+9M2rFhd8dmKe/1Ca84sKPDszbV2y7PPjEtw7M4xfoXN6Z9KUD +8/o/TMne6850YJ6/jM3kImF7B+b9nx6Xymtu7sDzAOaei1qU1NCB5wV86wlc +M6m2A88TsE1YEl34vgPPG7Bc51Y75l0HnkeQtXuzf9DrDjyv4Oc6tRn3yzvw +PAMb7b/9fz/twPMOrpgGZqg/7sDzEG7W7twz6kEHnpeQplLjJHenA89TuJuV +a1d+vQPPW/giHbcn7EoHnsfgNSi/Q+VCB57XsO+m7qBdZzrwPIfJChlTHp3s +wPMeSg+/X9l+rAPPg/Dcvbf9Y24HnhdhaNjveX5mB54nMchRf+bUgx143kSS +XHRs0t4OPI9i9OxmcjShA8+r2L/z8zTfqA48z2JWVqNVR0gHnncxuCrPTSGg +A8/DSBlwctqZLR14XsaOuwu0Xnl04HkaDbfLnZc5d+B5Gz6Jf00VVnTgeRx9 +tmgYF1h34HkdW2unyj2Z3YHnefRr/PQ2Q9qB531MK46MaNeiPP6/80D+D38r +Qtc= + "]]}}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[0.368417, 0.506779, 0.709798]], + Line[CompressedData[" +1:eJw113dcjf//x/FQskd7j9PeZxlZ54mIyM7OjEISshLJlhKRaBhFJZEkaSkt +7bKTUZL2oHyMQr/rd/u+X99/vrf3LZ1znetx3c/zk+66bQs29JWSkprcX0rq +//8/sWBUmdlQOUix/2VcfTtpk7IcGq8J1d0d7kie7j4QH6Urh93Bnf85+aRI +ntnr6tSZyeFtmcaUdssnkvf6eWd1R8vheaJL+cWeXEl9j0uf1ZCDl52NV4BD +geTr8yE7wuzk0G+v5ve7S4sl3bfu1b5dJIcvJ2xSlZXLJDI+ixYqr5bDgKa7 +8xPmVEiGL/2Vs2iTHFYfMFZc5flMomoVJg7cKYens742Dwh8LtHrj5vlB+Sg ++Nxn+PpLLySWHz4rDj0ph9I3js1nA15Kxj44cdwuUA61gwc4zd33SjLltNnP +E2FyyNxZs37okteS2evKnfOi5LCvujTrr8kbyWLrnZV9E+TwbFLVKP2uN5K1 +I5RnIk0OL54adK1KrJRsaUhNOZAnh1vLgp9t3fJWsuvxKtO0cjlcmHzt9U/1 +Kol3UN/QX2/lIJSqPhCQVyXxdY0aPLpODnanvqs+dHknuTDVzmtnuxx+W8w9 +/lHmveSKWnvrvV9ymHZ11cJt4e8lMd/OObb3lcfwQt/TuVYfJP/rIQ9prR1G +gowPkv/1kMfib45TpKZ/ZD3ksfddufruoo+shzwCe+S+VthVsx7yWNhzPH7m +02rWQx5vBy+zH6RTw3rI44Vb5+d7y2tYD3m4bNYtvnu+hvWQx4fq6ydVimtY +D3mIcoL0+vT5xHrIQ+0C7DxHfWI95BH7QXnnBZdPrIc8VP9U/l4V8on1kMfJ +naOtG4s+sR7y2NZ08frE7k+shzzmacwu3WJcy3rI45PipcCDDrWshzxenS2U +9/GpZT3kkRJ0p/RAXC3rIY+2MadvHHxdy3rI403O6w6/3lrWQx6JC3KOJhh9 +Zj3kMWDVy8zvcz6zHvK46Oznv2rXZ9ZDAa7/zd/xI+Qz66GAIdXXzuVkfmY9 +FNCoHfqg5PNn1kMBmn0GzVORrWM9FJC7+uOpOJM61kMBxiMnTgqYVcd6KOBA +NU8637WO9VDAf9NjBYv961gPBSR876m2uVPHeijAf0Bn+8WSOtZDAbfG7Row +v6WO9VDA3MkhkXsGfmE9FBBrdEx2uNEX1kMBcneV56jbfGE9FDDbdtvGa2u+ +sB4K+Fw+WjnC6wvroYBND8ql9C99YT0UEP7R4apJ4hfWg/s823xmPyz9wnoo +YJbUpsmlDV9YDwUsaTW8tL1PPeuhgLWV6+2j1OpZDwU4BRRMdhPVsx7c/Ry0 +bHzJrHrWQwGOK1Prs9fXsx6KWCW9oXLR/nrWQxH1vDz/o4H1rIciakpmui26 +Vc96KMI32akkP7Oe9VCEyvz99R9e1bMeirCwLWoIbalnPbiz84Smvn0aWA9F +hCSM6lRQamA9FBHusvD3C9MG1kMRHs91v0yWNLAeihAsL/Bbs7CB9VCEa1zc +I4FzA+uhiPtrh+olezawHopIzbiwt9O/gfVQhJdU3dIv1xpYD0XMy1Beci6x +gfVQxOfIad0/8xpYD0UYaH/PUKtsYD0UscPIT66nqYH1UMStK3mOIT0NrIci +/h0f1vN7SCProYiW1W3j1bUaWQ/u/TtWufRaNrIeiriZYpUZLWlkPRTRsW7+ +bsV5jayHEt6NMU+buaaR9VBCxLC2+9PcG1kPJVxIKvccdKiR9VACT3Oj8eWA +RtZDCSWb3hd2XmlkPZQwTXncRpW7jayHEnIXXvs7KKOR9VDCn+Rjp4qLG1kP +JSgc7PizvKqR9VBC05IYm9TGRtZDCX367bJt/tHIeigh8+H0+hbpJtaDu/4T +W/5kyjWxHkrwrkpf7azTxHooobe7uKbWoon1UEJp15BZovFNrIcS/ib1Oi2b +0cR6KCEgZslXB4cm1kMJexN0Y03WNbEeSvAvarR75dbEeijBRvT2+LL9TayH +EnbPrR2afKKJ9VCC25Q3p9vPN7EeSkhbdCZG6loT66EED2GvbPvtJtZDGf+G +rbFLTm5iPZRxPXXyEMecJtZDGZFJsfXVZU2shzIGzBZsQ1UT66GMI7kKY72/ +NLEeyrgR51AR9rWJ9VBG7qzSnMs9TayHMkqm2YTu7t/Meihj8+6T70Ujm1kP +ZXQNOTzouXoz66GMtK+2N+cbNrMeyli2S2SayG9mPZTRvqFL/ue4ZtZDGeZu +V6o0pzWzHsoIaMjtMprbzHooo3PE4eeKy5pZD2UsfejX0LCumfVQxv45ttev +uDazHso4fr58y7jdzayHMmTChiakeTezHsr4uTe7kneqmfVQRpDjxRnugc2s +hzLWOBg63QhtZj2UEew30j/zRjProYwJVv31su80sx4qOFxbeiTuYTProQLn +98sGe2c2sx4q+B1WJj+uoJn1UEHJ7ID/PlQ0sx4qeJozQ3bL22bWQwUnxv8J +//SpmfVQAe4NHDqluZn1UIFil3WUXyf1UEGAe0HKk27qoYL/7rhe/NS3hfVQ +Qbf3+lOtg1pYDxVM+LvnY61cC+uhglrLITW5ai2shwpifHTfBPJaWA8VuP1e +M9jetIX1UMEWE72cLkEL66GCns49U09Yt7AeKtzzYFkhO7mF9VCBg0PV7V0z +WlgPFeh1fFR+NreF9VDBQMsdi9SWtLAeKjgy/+a1+ataWA8VyI7cMm7PhhbW +g7tf3eGrTru2sB4q2BHVf+aZnS2shyqcMtaKD3m2sB6qCHm7YflanxbWQxWP +JxR2CU62sB6qOLTGdmznmRbWQxVH10atighqYT1U0TMtPWxKWAvroQoFXQ+j +FxEtrIcqFiu8EC661cJ6qKLd6u+3vPgW1kMVXcJxwcYPqQd3PebdC73SqYcq +pvW+Xfokm3qowjE/+tXPAuqhigpt59/a5dRDFUOWO3Rav6Ieqph5oq1t2jvq +oYr63a0qNp+ohyqigxZdFTdQD1UcS9oep9xGPVSRk/F+c1sn9VCFjI239MNf +1EMVg4YHR2z/Rz1UEXkkZauOdCvroYqIP6/9swe2sh6qEA6bZLp0eCvroYal +SVc2fVJoZT3UIO0xdMcqtVbWQw3Ll/ffVK7dynqo4fbfbTvFBq2shxpuOl5J +8jdtZT3UIHjksPCdVSvroQaf2aXbNEe1sh5qyBl632LRuFbWQw2fp5nEe0ta +WQ81vDJ+oXjNppX1UMPTpaWHk2a2sh5quLciSSlrTivroYagvre/ZS5sZT3U +0JS9QJS0tJX1UMOWvpXdVx1bWQ81VDp0bDy0rpX1UEPe/cqgxc6trIcaBget +vclzbWU91PBXueF+nXsr66GG8n5L34XtamU91LCjfPT4WZ6trAf3+YND2zsO +trIealg7YPTI00eohxpWTZn7WOMk9VCDyZ3iETf9qIc6PuWZ6+mfox7qWPkp +cHhIEPVQh86Xxx39Q6iHOn79kP+w+Qr1UMdavctf8yKohzqG93RPUYmmHup4 +aOTfuvY29VCH469ZMpHx1EMdLl8+3HiXSD3UcXpIUO3gR9RDHe9vuL8SpVMP +dUzYonVhYRb1UIdY9o94Sy71UMcpF5UyzwLqoY4YzVG7DpdQD3UoRT6VHK6g +HupQjOue4vmSeqjjmlP8+c2V1EMdX+MOTl/4nnqo4/qc1G3iGuqhjiQzN71h +ddRDHQed1+6qaaAe6og7pbzvdgv1UMf50GeTt3VQD3U8kwTUmnVRDw3szB3u +9ukH9dBAhtWLHwHd1EMD8bbqAWP+UQ8NbL7QOqOyTxvroYFAx+Gjd8i0sR4a +KIkY4SIzsI314P798Klfzw1pYz00sLsps1p5RBvroQGNP862wfJtrIcGIg7U +jRmh3MZ6aED6W/STw2ptrIcGJP06vrdrtrEeGtjj9OTTIt021kMDUuGdwQ/0 +21gPDWz9yDMaZtzGemjgXkXmlbVmbawHd30rZIbFW7axHhrw2vLvyA9BG+uh +gfYHx4aPHdXGemhgyvbpmTvHtrEeGrBVy7h+a3wb68H9vu7YvLeT2lgPDSjf +qBwjPaWN9dBAnvp5WZNpbayHBsYO4U+ZMaON9dCEz53Z/62d1cZ6aGLT+RWW +u+e0sR6aSJ5/s/vo/DbWQxO+mjOdzyyiHpoo3TLRJ3AJ9dDEG795DueWUw9N +zJhm8tXXkXpowtTJdpX3GuqhiZ2LpePc1lMPTdQln/+ydCP10MSaT/2UJ22i +Hpoo8Jg0W8uVemhiaf2Ac7/dqIcm7veT+Va+nXpo4tDehD3XPaiHJirmbea7 +7aEemhDs22Q42pN6aCJkwlOn317UQxN6WZ4/kr2phyaivZbXbz9MPTQx+6GG +xOgY9dCEbOeSwZUnqIcmVDcdcTjqSz00Een508jcn3powla93a88gHpooXHK +kTNugdRDC0FLk0YPDKIeWogJ2xBwNZh6aEH53NIIQQj10ILcmtH7MsOohxbq +cVpz5lXqoYUx755eKrtOPbRwOWby97k3qIcWTi0SWZdGUQ8tvFTLcJ9+i3po +YY/+l8i029RDC99rg9+Z36UeWrDYHKMTco96aKG4oGJ/v0TqoYVRq+//55JE +PbSgPer1xaJk6qGFFPs7zsap1EMLh7vPuh9Opx5aKDXPSal8TD200JbnNsvs +CfXQgnF9tIVnDvXQwqpxri55edRDC/tnRPYbWkA9tHAnVUt2fhH10MLOWyGe +50qohza86jI2lJVRD22sGadWIfuMemhjSqb5k4kvqIc2Zsmtgvsr6qENjYi+ +DlffUA9tmHxd3r/4LfXQxtAX51d0vaMe2nienL9C5SP10Mbk2t9Dx9VQD20Y +x+nsW1pLPbTxIEf9xs466qGNCpeUoNP11EMbwbeSFl1rpB7aeB2e2pDQTD20 +kXB9jUNWK/XQxuIAyxvF7dRDG9U/L1e9+Eo9tDF/hWxvZSf10Ebe6iLld9+p +hzbSZA3Nqn5QD21ENcyWvP5FPbQRvnzt8vJu6qGNBQ93Hc77Qz20YX3QL+PR +P+qhja6gSyNvSbVLXv4qsNzTRwc/dhw4erFvu2RZnVpDUH8dHLQfruEj3S75 +WO569cFgHeTNk//o0r9d4pT2eMmLETo4VCSpsB/QLmmKGjGiU1EHicsm/LIa +1C5xC1xXMEJdB880IlYMH9Iu6Trw4JCVjg741T29LUPbJXs39beeY6CD19Py +m/OGt0v+Llr6zdVUB2E923TDR7ZLDiP21mkrHSS9X3Zru3y7RNb8z9pYsQ78 +dd+cmKrYLvFXnqNWaK2DQacssuWU2yVy/a49b5ikA+vDQY7VKu2S4PZvvv1t +dLDSYdzqW2rtEo2qqVMNZupg/exxJe4a7ZLreUE9U+fooKIq6tYorXaJYUJD +4rqFOhiWd/TPT+12ye0wa1efpToYY1tSmKzbLuGfPK1/zVEHduM3Ke3Sa5ck +7fzw/vE6HbybNL7ByqBdMn61VdAHZx1MqFSc3GjYLsmy87H/46oDtdAs4yvG +7ZJpo1/IqO/QwbXZPyPmm7ZLinQNHlvv0cFQ2c0P+pq3S+YO3bN7qZcOXq3M +WJtgwXr46AD7feMdrViP49znn706QlbAepzWwZXLjyfFC1mPszqwMNM5tUjM +egTp4Hjz12M/R7EeoTqo6nPe+tIY1uOaDkb8cYwZbc163NSBqO7K6+fjWI9Y +Hbg6XSpwncB6xOvgQt3hw9KTWI8HOlgY4zcgRMJ6pOigpvXtKovJrMdjHTj0 +P3bq8RTWI5fr63XFz96G9SjUQbeRhWvVNNajTAfj7C3NN9iyHi904JYSVNE2 +g/Wo1IHjB3tHDzvW4wN3PxrHvP41i/Wo1UHTZzPJfnvWo0EHGiW/QnvmsB6t +3P247fR13zzWo1MHbxPN8WM+6/FTB1uWyAS4L2Q9/uhAd11ITeMi8qELBbmA +sasXkw9dvLc6GPJiCfnQhZ9h98Dpy8iHLpar+/s+XE4+dPFPOkXbYCX50EV6 +9s8S7puQ+dCFoSgt6M8q8qGLALutBzasIR+6GGvifqJkLfnQhaVAK1mwnnzo +4rN60fAgJ/Khi0PDMy/82EA+dNGaiJmLncmHLi7bnuY/cCEf3PUlvrAfsZl8 +6OLtddsbm7eQD12Y+Q8dk+NKPnTRVWkzUM2NfOgiplZGa9s28qGLPYrr9ma7 +kw9dTPq7Xl1hB/nQxexlwwc57SQfuqi3XGt734N8cJ//3dzX/3aRD+7+GxWn +zNxDPnSxyiH9e+Be8qGLYWP7+1btIx+6qJEc89LZTz508cJ/eLmTF/nQhYb0 +rqPRB8iHLpoXe19tPEg+uOvR6OQZHyIfujhb7Ttyow/50MUKsz5uEYfJhy5C +r/Yf/+EI+dDF9H/T9ysdIx+62D/eRTDnOPnQRZXfoDVHT5APXTzYWzAw5ST5 +0EWfL6MFrafIB9fb8Eul5mnyoYu0oY795viRD13E/uIlevmTD10UfEhqvnWG +fOgic0VA3KsA8qELmad6Pb1nyYcuroyprTQOJB/c9VwUz5h3nnzool+c96zd +F8iHLrTN9L6EBJEPHs5k7VN+fJF88PBY621ddTD54OHZoMC5UpfJBw9TN31d +ph1CPnjYZDe+/8RQ8sFD4Y8Hi5eFkQ8eFvaE2HuEkw8eNo8ybPO/Qj54ePnR +d0zUVfLBw6HLvywzrpEPHkz2h7x6fp188PBWJdiiIYJ88GATOMK6O5J88DAk +XL978E3ywcOLgNYdGlHkg4dJWw/cNIsmHzwUtTect44hHzycCBiL6bfIBw/3 +L+6Jmx9LPniw4t2qWnGbfPDQxC8tcYojHzzI3qk+6nqHfPCgtLtSeudd8sFD +ny235+yNJx88/Ns5w8nrHvngwd4v1NY7gXzw0Frs133oPvngQXu7lLdPIvng +3r/p9etDD8gHj/u+be3nnUQ+eKgTqw/wekg+eFhiMObLnmTywcPgsXLhOx6R +D67/Qk+Bawr54ME1z/qGUyr54P79TOWfK9LIBw/Gcq/NFqSTDx52vxxlY5tB +Prj7XdI5efxj8sFDUn6eoWUm+eBhsqnTd+0s8sHD1X/ecSOekA8efn1/Ok8q +m3zwULOtobY9m3zwID593Ol9DvngoUPP7k1BLvngobb6xYQHeeSDh8Wjsi9e +yScfPITsuFd/4in54MEnb7SlewH50EPGlGa3JYXkQw9j2lbGTiwiH3qYlqFZ +yysmH3p4Ef1IWbaEfOhh782Hs5tLyIcetqWEHy4pJR968P/1NfVOGfnQw4iz +/J/+5eRDD24lb8durSAfeuin4+wz6xn50EOSybznxs/Jhx4OpQ20kHlBPvTw +q0bmfM0L8qGHhC+JMmkvyYceglccOn7hFfnQwwTdV0pbX5MPPQxxUX1k84Z8 +6GFnasIW9UryoYcffaRE3yrJhx7E/uuH578lH3qoUbTuG1JFPvQQmV81ZOs7 +8qGHLlzjS96TDz1URnx0G/GBfOjhul9jQc0H8qHH7fUw3PtIPvSwyjD81cFq +8qGH1pc1vrNryIcezsvz1qp+Ih96iFobvezLJ/Khh8JPD3bdqyUfesBqv4ee +n8mHHkQLt2ra1JEPPbxvunB7yBfyoYd42UnrXn4hH3pwn3N9Smg9+dDDZN5/ +9msbyIceLt/desywkXzowbplQnNzI/nQw+DrgQfuNpEPPfx1C8P2ZvKhh7yy +Y2JRC/ng7o/q3uXfW8iHHmT9QxIftJIPPcyeKzfZo418cM+vR19pUTv54O5P +H7+/X9vJhx7udJVa3u0gH3oonvzl0uav5EMP52pbJxh+Ix/6cK74q/7pG/nQ +R/5QqzGhneRDH4duBQUs6iIf+uiXY2M49Dv50MfRM/Z/cr+TD32YLsuU8/qP +fOhz//0SvVn4g3zoozpppEzjD/Khj9wjsp/DfpIP7v0qzsrM/0U+9PEqInGr +9G/yoY8TQg+N5N/kQx+S1pdym7rJhz5W7Xo9X62HfOijLH5PVVEP+dBHVkbS +Hc8/5EMfLjJ+JSZ/yYc+7oq/j6n8Sz70UWFT//XYP/Khj/4Hl/4S9pIPfcjt +l8yt7iUf+tzf82d++Ep1MB/6uP1hVMeoPh3Mhz5GjTIcV8Od/+dDH3vG21ee +6tvBfOhj28rgImG/DuaDu74tHSPfcef/+dDHkqhJ8YelO5gPfRimuEaayHQw +H/rozVrdUc6d/+dDH35z/5zf1b+D+dCHlZtBoJpsB/OhD4vr6c2PufP/fOjj +Q/P1K+sGdDAfXJ+yxLsyAzuYD33ELypXj+HO//PB/XxwRf3MQR3MB/d+Q8+O +aOHO//Ohj+9SjZdPD+5gPvQxSzP+iNmQDuZDH0KLtLIi7vw/H/o4fa5m/6ah +HcyHPlbbdJ6WHdbBfOgj7d2T3hvc+X8+uOfBT7ty8vAO5kMfKyyqFD9y5//5 +0IeNdWbKvhGsR6c+fJ+H5CqMZD1+6mNr3pgx8SNZjz/6eOe7Wm6mHOvRxwBa +s6oW18qxHv0NcKLGTWa/POsx2AByVbVa8gqsxwgDSB3sjoxVYD0UDbCtZG/Q +ZEXWQ90AzT7a398osh46BvihGp+1VYn1MDBAwLP6nr7KrIepAe7dOhoVrMx6 +WBkgd9O0J2YqrIfYAJoRdbMzVVgPawM43tWwW6DKekwywKfkqJQ6VdbDxgC6 +j8aF7VZjPWYaYMj+gE5ZddZjDvf715dnXFJnPRYaoD1i3l9jDdZjqQFknukn +PtJgPRwNELz0VLWtJuuxzgAnbayOvNZkPZwN0Oadf8VJi/VwNeD+Xv8r7NQi +Hwbw6T0Mb23yYQDfM30LBuuQDwMMljUuCtYhHwbIfxVuq6dLPgwQtWjo5Lu6 +5MMAL0u0ksfyyIcBKvbui83mkQ8DeB/PUZ+tRz4MIFSNGPxKj3xw93tWiqej +PvkwgEgYt+mLPvkwwKb3Gm9dDciHATYuiSvsMiAfBrga3z3e05B8cO/fGcWX +MiIfBvgmmBtzzIh8GGDkkbORg4zJhwEm95PVCzAmH9zzU2lvJG9CPgwwSdR7 +76IJ+TDAu3kPslRNyYcBnnnJLAkzJR8GeCPn66FlRj4MEHioUvWaGfkwwDrb +47a65uTDADr/jP5dNycf3OsvmD+JZ0E+uN8fEjQgwoJ8GKJxyDFHXUvyYYjK ++fcnXrMkH4ZY+zPulpYV+TCEwFo6IsyKfBjC3mO6kRqffBgiwbjPuGA++TDE +1+6sKnkB+TDE86SRg84KyAf3/rMOFgwWkg9DGLw9q3RCSD4M4Xrj+fc+IvJh +CC3dkvVeIvJhiJiv0k4/ROTDEH3r+v3YJiYfhpjwd45ak5h8GOKH+5Zna0eR +D0N8jv6gXjWKfBgCMOmeP5p8GGLm8GeuhaPJhyHu8ZZ4YAz5MMTg7Akjk8eQ +D+733fvaWIwlH4bobDUaGjmWfBjiyyjzrSrW5MMQ60/6O/lbkw9DXFlX2NVn +HPkwxNBjK9V3jyMfhsjryn7XNI58GOLVzz2jHMeTD0ME6/wwrhhPPgzRf1ry +wykTyAd3vcfE1Q8mkA9DFNvVRxhOJB9cr1k2fS9NJB+G+Oab93fAJPJhCIel +NUH7JpEPQ/xcOKi4aRL5MMRUt0fhyyTkwxA7m1YNK5SQD0MULd+uORbkgzvf +XlccBfJhCBf1myqKk8mHISSSWJkjk8mHIZ5Ifw78Opl8GGLd/cwsxynkg/t5 +Y3xA0RTyYYiXkTypMVPJhyGGTTguFzmVfBjigPOMp8NsyAf3+ce91vK0IR9G +kDcLU/1iQz6McGZo/0dzp5EPI6ipeXenTCMfRrjSsv2T3nTyYYTJPjO2+k8n +H0Y4KHG98mM6+TDC6G/Tdq22JR9GyCiY2PnUlnwY4cuaNEX+DPJhhGGPDWqC +Z5APIxjP/Ty/dwb5MEJ6+7ItG2eSDyN8+9xuXjqTfBjh9Of+wSI78mGElhH9 +Yy/bkQ8jDO+du7HXjnxwnzfBtsRpFvngzuFz3xfOIh9GeBxbeNFyNvkwwmyx +8p/A2eTDCJUDLw/5OZt8GGGje3zBcnvyYYQ5eqn8x/bkwwimDirTdOeQDyNk +3zPuf3QO+TDCj2UzPernkA8jRLe/CZgxl3wYIfSEYFnsXPJhBOeU+xWD55EP +7vqCkn+4ziMfRtCIDS0unUc+jKAikzrXcj75MEKZcOuRM/PJhxE6JcOd2+eT +DyNIUn932y8gH0aYkXBMcmcB+TDCwuTBo4YsJB9GMKv9/G7zQvJhhN/FG8SF +C8kHd/8vNE4yWkQ+jJBWnd1zdBH54K7vnd2W2kXkwwivrV/7SxzIhxEGjk1d +H+ZAPrjrDZnV9suBfBghL+GjqcNi8mGEnYufaiQsJh9GcG1fmjNkCfng7t+W +ei2XJeSDu1+zs61ylpAPYwwLn/RDcyn5MMbU33E79i4lH8bof3rVzedLyYcx +rg+5ccZ8GfkwhkphqeXxZeTDGLVhZserl5EPY0T+GBI0djn5MMbHN1krzi0n +H8ZIeBVU1bScfBjDR7Vq5JQV5MMY99e+6xOygnwYY3HfhthvK8iHMd59mTl0 +5kryYYzH/vNMrq0kH8YQytv2/lhJPozxzGhfgL0j+TDGZCVhVaQj+TDGl+z0 +6t+O5MMYXnHrr81dRT6MUdrqrnFzFfkwhp215aLuVeTDGI8+19nOXU0+jFFc +9eFn5GryYYyy2LVOv1aTD+79Dz7yn72GfBhjt5apx7U15MMYou9/lb+vIR/G +sB+wf5/tWvJhDBvpxksha8kHdz+Ep3e3rSUfxnh4KFYO68iHMb56nd4auI58 +cPd/2c4TdevIhzFyW6PWjF5PPoxhdW/z7xPryQf38+y+C96uJx/GSGy8v9nU +iXwYQ8vv8dT9TuTDGLEZLh+KnciHMQaN+j5GYwP5MMb5+ZELXTeQD+711R7x +0zeQD2O8hUf54I3kwxhzNVX5KzaSD2PsSGxYELuRfBij6Z7O2N8byYcxxrhJ +19g6kw+uV8dzu4vO5MMYfz8X761zJh/GCIux3Cx0IR8m2Dp9nPYhF/JhAoGH +xaVSF/JhghsXp79S20Q+TNDvSc5r503kwwS+SbVhDzaRDxN0fakx6rOZfJjg +586ePfabyYcJigTb/C9vJh8muBSx1+XLZvJhgj49CwcKtpAPE1zUnejutYV8 +mGB+/Lbwp1vIhwmGfzU8J+dKPkwg+zl8lqMr+TDBtPHDS6NdyYcJtNYkKXa6 +kg8TxGwtMpiwlXyYwGzUyb/HtpIPE4RMNA4v30o+TCCT+1la1Y18mGBgepd4 +nRv5MIFNxVmL227kwwQF67rau9zIhwmO+y/cN2Eb+TBBFq+p5Og28mGC/Xda +mkq2kQ8T1G8PrFBwJx8mKN2q4LPSnXyYQGpZTE+kO/kwQe7No2hxJx8mGOdT +PVe4nXyYoPBFu8m+7eTDBKcmN5ZlbicfJog17SPpv4N8mODjbTfP2TvIhwnS +r2zwCdxBPrj7FaK2qHIH+eDev/NJp+ZO8mGCsWkBjut3kg8TJOUmX4jZST5M +MPPq1kttO8kHd/9C6lyEHuTDBKG5c/vv8SAfJrBr++Se5kE+TJAYWRrT60E+ +TNA7ZtrdqbvIhwkOpHn6nNhFPkzQt881XvEu8mEC2/D6c8N2kw/u/Ru8y+fv +Jh+mOPsr7N2F3eTDFCl33B6+2U0+TBEVZbBGbQ/5MEXr9M7KlXvIhylMH0rr +Xt1DPkyxocZv7Kc95MMUK3enauvtJR+mqG9Lf+20l3yYwvZwjmPUXvJhiuPi +vw8a9pIPUxQWX3pvvI98mCLiVe7LTfvIhym2RcWHx+4jH6a4uezEmJZ95MMU +5s/3RZh5kg9T3E198HGLJ/kwRduxDa23PcmHKeS3pRS3eJIPU8h6fvAy208+ +TJG+81/v5v3kwxRHOmc4xO4nH6ZYsbjJq2k/+TDFlMLBe4y9yIcpDkveT3X2 +Ih+m6LP3+OebXuTDFIF/Ri2u8yIfppjIUwrjHSAf3M8HLkhac4B8mOLbFYWI +KwfIhynehpxc9/4A+TCF6HDlD9WD5MMUYVGWq5ccJB+muG+YFHrhIPngerUH +xT07SD5MoZDcfW6YN/kwhXDA0NmzvMkH9/pjflSd8CYf3OdxqZ6U600+TDHO +/9seqUPkwxSeLg7HJxwiH1xPX9HmvYfIhylUFj3Qe3CIfJhC6b/e+x2HyIcp +GrdOUjHzIR+mGBUQvHCjD/kwxeRu4YbrPuSDuz7dsfbvfciHKZbalg1WPkw+ +TLHRR+P6/MPkwxQZSTOH+h0mH2a4E+Y1L/8w+TBDUta7zVJHyIcZcg76rxx3 +hHyYYX//RwYeR8iHGbYt98m/c4R8mMFl3nBJwxHyYYar504E6BwlH2b4GzL8 +0bKj5MMMazJKHgUeJR9meKZZd674KPkww9Mkz6nSx8iHGTYsTCqdcIx8cNd7 ++RF/1zHyYYZ6qVuud46RDzOceB3r8+UY+TBD/sTabZrHyYcZYq12jnE4Tj7M +YL/fp9LvOPkwg2o+f2HucfJhhj5+N6J7jpMPM2RqS70WniAfZpC5vfHjphPk +wwzZlj1Z106QDzMsOFJz4M0J8mGGtKXWisNOkg8z1I42PmFzknyYoeBA+QvP +k+SDe78N83vunSQfZgjvLf9bf5J8mOGPeOs7jVPkwwzOBXYXFpwiH2a45OBr +fPIU+TDDrfn2lzJOkQ8zzBqc9LnzFPngXi+2dpCxL/kwwzjRz6GOvuTDDCmH +5NvO+ZIPM1y0WxqT70s+zHCGVy/p8SUfZjDf9SHR6jT54O6n7yppp9Pkwwyv +jpwSXDpNPsxQtebg+JLT5MMM77tX8qT8yIcZ9shOqxf5kQ8zTFNZfMLZj3yY +QS40XibUj3yYYXCV+9oyP/Jhho6eRyF9/MmHGSAdnSD2Jx/m2PFiWZSzP/kw +h5bR170h/uTDHOYhJ01K/cmHOWRvTn7U608+zFEcbm0gPEM+zLHt0Rl3pzPk +wxw9/VeHXDxDPswRv68gouAM+TDH6OrGU91nyIc5yus+zDUPIB/mOKiU990x +gHz8/79P2xsQQD7MMeBU9busAPJhDvuJ9lqdAeTDHC+kedA7Sz7MMajAc/Ki +s+TDHBZzPXjHzpIPc2yfYvY56Sz5MIepafqR+rPkwxz/3KZKK58jH9z9WP7B +yfYc+TBHX8Wom3vOkQ9zeMam5EefIx/maJURF7w5Rz7M8auLHysbSD7McYRX +4jomkHyYo05Hd4RzIPkwx7A1sy5cDCQf5kgUbvydF0g+uOsv9ZP8F0g+zPF7 +etVG/fPkwxyjLm3atvA8+TCHe5jDssPnyYc5vEem6CecJx/mqA+++6z6PPkw +R+/9eWuGXSAf5tAtTKmYcIF8mKNAT1pvywXyYY6HHTOXXL5APsyhPfHm1qcX +yIc5CnutNv53gXxw1zdcerJeEPkwR57h+H/zgsiHOff3Znv4wSDyYY7+FWN1 +4oLIB/f82guPvQ0iH9zzeOt7Sf+L5MMcVYqXf4oukg/u/kePlVl7kXyYY/Ly +1v/8L5IPC5gOKS1MvUg+LDD3cNehhovkwwJnN+5VVwgmHxYYNcPjMoLJhwUU +w3q7XYPJhwUS04W4HEw+LLCu2WBTXjD5sIDzrG+7vgWTDwuot8Zt1LxEPiww +NNN9/MxL5MMCvPBF3z0ukQ8LJE9zP3ftEvmwwJNV7+RKLpEPC3z1uLP35yXy +YYGSnr/ZvMvkwwJV9nXf7C+TD+714vbI7LtMPiwwYXL538jL5MMC4ep935Vd +Jh8WOC4yCv99mXxYYJKLg41+CPmwQO6hyIo5IeSDux4z4yn7QsiHBc5IdV+K +DCEfFvjlbPWqNIR8WGDH948/foaQD+7zVWv90w0lHxZIXyvTMiuUfFigNCQm +Y1co+bCAzAWt3VdDyYcFpkgOKBSGkg8LzNhTdakzlHxYoKZ3Vj+NMPJhge/H +GhZOCyMfFrh7NdvXLYx8cM9DSWd0cBj5sMDlXf63s8LIhwWWtEUHNYWRD66n ++5KNcuHkwwKFegma48PJhwV8VIrS1oeTDwsIZyTDL5x8WODnRf+4B+HkwwLG +L9b2vg8nHxbYmTTDWuYK+bBAd83i5RZXyIcFtny+ts7hCvngnrdR4xccuEI+ +LKBvPc7k5hXyYQGvifcbSq6QD0vI7U/2/36FfFiiXnGVusZV8mEJPc3Us1Ov +kg9LTDpd3bb5KvmwhOz0WmHgVfJhiSKt16tTrpIPSzg/L/OouUo+LOEysGa7 +7DXyYQntpZpLLK+RD0ukTgrRd7hGPiyxzmRz1f5r5MMS9u7X9kZcIx+WeL9k +Xm/BNfJhifsj/N06rpEP7nqSN+UrXicflriw+Hf/CdfJB3f9n+fw110nH5bI +lByYcvI6+bDEyAnBE+9eJx+W0L8Qr/vyOvngfv9bZfvv6+TDEqWNBje1I8iH +Ja78i7GZFkE+LPE8wqN0cwT5sMQAUajkbAT5sMSEbsvQpAjyYYmP/Ck1VRHk +wxJNf+qHSUWSD0v83G5lZBBJPiyx8pyFiV0k+bDEOLsuhW2R5MMSQfsCm89H +kg9LuDZrxD6KJB+WKHO4sfBDJPmwxLd14xr63CAflrCJ/upkeIN8WOJEVGWJ +3Q3yYYnPz/tpb7tBPizR43dkxfkb5MMSffU3HU6+QT64+/Eq7/y7G+TDEr1l +sQG9N8iHJTpMTXbr3SQf3Ofvmm9re5N8WMJKb3S/LTfJB9frev2tMzfJB/d6 +Nh7j798kH5bc368dya9ukg9LNA/YrP37JvmwhPHRru0aUeTDClrvw+IlUeTD +CopBuyvXRZEPK7TzzrUeiyIfVhjf9KclJop8WMFufNHr4ijyYYWpKwfeaY8i +H1YQeBe5jYwmH1bQeKqpIY4mH1ZQt5VPWhxNPqywvm+a9b5o8mGF1a36saHR +5MMKmY1r+j+OJh9WCCg+OKcmmnxYwWbZmcN9Y8iHFZ5PvxqhH0M+rPDY+snd +6THkwwr8gn7RLjHkwwrS+3af9o0hH1aoyTFeGRdDPqwgGWmqWhZDPqzgm3oq +uyOGfFjBcNCSpSNvkQ8rnBh/vUp4i3xwv79/z8xFt8iHFRQaGm/sukU+rDDs +jHTbxVvkwwon3d/qPrpFPqww7dDuqW9vkQ8reF1rnt99i3xwvW7a2KvHkg8r +dC0+P2pCLPmwgt+WL4McY8mHFXb7zyw9EEs+rDBmaZnXlVjyYQXhssOqmbHk +wwpJwz0iq2PJhxUGtSWoSd0mH9z1LrLz1rlNPqwQGTrrGW6TDyv8GZg7Yu1t +8mGF7W+fTPS5TT6sYD564dLrt8mHFR5OOr7myW3yYYW+0psWf7pNPqxw5NTA +cX3iyIcVxHm7BuvGkQ8rxF9NK0Ic+eA+3/fGPWviyIcVis4Olj8URz6452G0 +IOwqd97s5RScJsXH4EvO8pnc2WKHf5ddPz5qV6bv/cidO5wfzq2S4WOM1sSS +v9z5vmP17U0D+Djr8nOo5p0OicfCAQN+D+LjZNqviRO485iZAqeTQ/moPjVn +5Qru/HvS8izlEXycsO6/yZM7p4uPaETL8fFAz8LpMnf2No3bO1qRj6YLFfaP +uPNknVcv85T5GFD61+ANd5ZW+sd3UONjbe+T1v+4c/5gI/86DT7SF5hGKNzt +kJzqM69ppzYf7p+mThdx51k/907rx+NjY4r62/nceVjb9euB+nzw3z9e7s6d +K2qL/uoa8aEoGVd8hjufr+xalmDCh0ZxiOkd7ry4TOMhzLmzY9veYu6skjtN +rsKSj+K8SQ+buPO7FDe31QI+CgtDa2XjOyTh8cFF7SI+EnVH/jPgzmtuZhke +HM2HkeftATbcmRfadHioNR8fd+7pu447fzkrVx02no87kT4t3tw55vj48eaT ++AgPfJ0THs96gI9vGYdPp8WzHlP5GBscOOVtPOsxjY8lR+WafsSzHjP4CK4e +5q1wj/Ww4yPp53lp4T3Ww56PluF39829x3rM4+Pv6k0fXe+xHgv4mKnwXOh7 +j/Vw4OOl/ffd0fdYjyV8zOe9j829x3os52NUWFDZp3usx0o+MlPNa//dYz1W +8yGz484X9QTWYx0ffW/rvR2bwHo48RE5NTTdIYH1cOajYpjO2R0JrMcmPva/ +zFgYkMB6uPJxd/4h2bgE1sONj3Njd94uSGA9tvNxgB8h+ZLAeuzko99rldw+ +91mP3Xz0pNaO1brPeuzjI2Dj4Cvj7rMe+/mY7nCla/F91uMgHyN/R1nvvM96 +HOLudwrfPeA+63GED6mu6cG377Mex/g4+Ov73af3WY+TfNSMnJ78+T7r4cvd +T69p93rvsx7+fKS6/rusnsh6nOUjbpCXx5hE1iOQD99dhZKFiaxHEHc/s7/1 +uCWyHsF83OrujfFNZD1C+GjT7p0elch6hHHPi3H3qyeJrMdVPr729jh8SGQ9 +Ivjc98nwp78SWY8bfOwIl5goPGA9ovlYPSjYy+oB63GLD4UgnSd2D1iPOO7+ +/ar/seEB63GXj2H13zV9HrAeCXwoyziMDnvAeiTycaxrJJIfsB4P+Tg/bfT4 +5w9YjxQ+6o6VGLU9YD3SuNcfVS0zIIn1eMyHScneV7wk1iOLe16SIy9OTGI9 +crjn0XHzzKVJrEceH1HKb9p2JLEeBXyoWH8/4p/EehTxIRhUMjgmifUo5cOh +yOVYdhLrUcFHbPzLr++TWI/nfBg3qM75mcR6vOLj+oUp4SMfsh5vuO+D50s/ +mj1kPar4mJK4ceT0h6zHez5uTNo9es1D1qOaj9Me5+w9H7IetXyEzspefOEh +61HHh1+C3IK7D1mPBj5Gn/aTFDxkPZr4uPjAWrv2IevRyscHKf1vPQ9Zj3Y+ +do5zSFJMZj2+8SGr92aLVTLr0cVH4M5EhZnJrMcPPlzed8WvS2Y9fvNhLRMx +0SuZ9ejhQ9v/aUZQMuvxj4/oQW78+GTaDwHeDb4aVJBM+yHAdCWntk/JtB8C +9IvOH9OTTPshQI6wwkPhEe2HAG8MfG9YPKL9EMC67m/+9Ee0HwJcKBS/X/2I +9kOA2SZj6/Y+ov0QwHfOyOpzj2g/BJjiVVgS+4j2Q4AJbZvu5Dyi/RBA52XP +ofePaD8E2LvipO1/j2g/BMi8qdJnWArthwDOpUl3DFNoPwSoqXOxl6TQfggQ ++WNczZIU2g/u+mVGbXRPof0QYNrgNdUnU2g/uOvtyZ11PYX2Q4D/slxvp6TQ +fnCvN2nNv2cptB8CtM+NsWlOof0QwL3A/mDfVNoPAYZ6LLutlkr7IcA/pZfF +wlTaDwGeb82vtkul/RDA28K6YV0q7YcA6/uNqvVMpf0QYE5QdkVgKu2HAH4+ +zfdjU2k/BGhZlXQyO5X2Q4An6WYLqlJpPwT4xVs8vDOV9kMAebmJWQPTaD8E +GN2vyUk3jfZDAAuXdX/HptF+cD1uJ5yal0b7IcAsfvVAlzTaDwHCF3Qd8E6j +/RDAzPnHl4tptB8C+KR9nXw3jfZDgL4Xm8/lpdF+cPdzVOur92m0HwJsefF3 +6Pc02g8BTl81Gjc4nfZDgIW525fz0mk/BMh3qnOzTqf9EKAh7MSeeem0H9zz +7bPewzmd9oP7PLqHNhxMp/0QYNKRL3ZB6bQfArhEh+rHpdN+COBxIrYzO532 +Q4BBSmpJb9NpPwSQlXRu+ZpO+yHAsYZxyrIZtB8CfGjreaiZQfshQJLJxFni +DNoPAfq49n9pl0H7IcAQ79Xz12bQfghw0HBezp4M2g/u+deuMTmTQfvBXb+O +8rEbGbQfAnyt/PMyNYP2Q4DqzkuqzzJoP7ifj+ha0JBB+8Hd33I5n78ZtB8C +xOT2Rso/pv0QoML7SZrJY9oPAQx8VxdIHtN+CLB146dCh8e0HwKMPDcna8tj +2g8BTA7dve3zmPZDgMORA/yCH9N+CHDNyWntnce0HwIUGRaa5Tym/RBgmO2k +lsrHtB8C2GgUX21/TPshgMbP3TOlM2k/BNDkzWxUzaT9ECDu0wwvq0zaDwFU +PQ7ITMuk/RAgbGT7keWZtB8CrGu9/nNbJu2HAGf419Ycy6T94L4/pL8+Dsmk +/eBe/8QluXuZtB8C/Hx5bUVeJu0H9zxJjQipyqT9EGC5SltpRybthwCTDSQ/ +pbNoPwRINhmqpJZF+yGAF2+VqVUW7Qf3fdHfWmyTRfshwOf8G6JlWbQfAsjM +jTF2y6L94O73UTv5I1m0HwKMtw3sCs6i/RBg1fbjhXFZtB9CuLyxCHqSRfsh +hMWMgMWvs2g/hPjolzC0JYv2Q4ghm0JTe7NoP4RICFnoqPCE9kMIcVXNf8ZP +aD+EcK2aeXTiE9oPIW7PCBqw4AnthxBZJYWHNz6h/RCie0B7p+cT2g8hRCED +lgU8of0Q4qWyTlLkE9oPIV7Jj5d99IT2Q4hitTVzS57QfgjRkBjkX/OE9kOI +njW1T74/of0Q4viV2a0Dsmk/uM/zuWqIZjbthxArIs7rCbJpP4RQsz3An5ZN ++yEErK+IlmXTfghRV/XHfGs27YcQn09e1fDJpv0QojnEr29QNu2HEB7riz7G +ZNN+CPHaanVCejbtB/f6M+Z7VmTTfgiR1xFlXZdN+8Fdn9PGjp/ZtB/c5/0Y +FDo4h/ZDiAnnx0/SzqH9EIJ3z/GNMIf2QwjH1X2dp+fQfggRn2fVtiyH9oPr +37d109Yc2g8hHgkmfjiUQ/vB3Q8XE9sLObQfQnQ9fBgdnUP7IYSdacu/1Bza +D+7zFJbMLsuh/RBC5eLac59yaD+46z0TX/I9h/ZDCEls+j/ZXNoPIWo/nDFS +z6X94N5fxdzWMpf2QwjlqSGOk3NpP4SYYlO9eVEu7YcQM/5IbXPOpf0QQsNW +ZrNnLu0H93z0fFvhn0v7IYR7W4nNtVzaDyFWNYXqJ+bSfnD//pFTT14u7Qd3 +v3QsCitzaT+E+FvT7d+SS/shxIMHL2b+y6X9EKJoQcafEXm0H9zzsDUjSi+P +9kOI5fff2o7Oo/0QIrRIuWZGHu2HEC+WH9i2Io/2Qwj1fiN+bM2j/RBCYfWr +nYfyaD+EqJd50RiYR/shxDTfYQ4382g/hNjr6f8oOY/2g3v/NQ7yRXm0H0Ks +ebJlw/s82g8hwrVe323Po/0QIv/75Q6pfNoPIUanpxvJ59N+cM9H49QlBvm0 +H5y3ZvHBMfm0H9zzbx4UNjOf9kOIQ0qb76/Ip/3gvA5KydyaT/vB/dz5RK53 +Pu2HEE9PVGWdy6f9EMLrSfKDyHzaDyF8N+pfS8qn/RAiLtfgyNN82g8h3Aye +OL7Np/3grr+sx6oln/aDe56k3v3+k0/7wXn5tT5t2FPaDyEOfA310HlK+yHE +BY2TBsKntB9C5NyxKJv6lPaD+76qOOfm8JT2g/N8N6W/81PaD+753BJ7ce9T +2g8h7hpt0vJ9SvvBnaV6wkOf0n4IkTFsg+Kdp7QfQhzcGHf08VPaDyGuqrxu +KX9K+yFEpaDB7tNT2g8hvqfWXe98SvshRMWjF1/7FdB+CLHWPGWMYgHthwjJ +ciG7DQtoP0Tos2H/nTEFtB8inFVZ935GAe2HCO+1FvZbXkD7IcLxDQt5Wwpo +P0RY+drZ2quA9kMExyVBM/wLaD9EyCiqmXulgPZDhMZhc+fGF9B+iHD0X930 +rALaDxH2uEePflZA+yFC05hQrdoC2g8RZujn/essoP0QYXYf0zf9Cmk/RPh9 +sSxaoZD2Q4Qbt5LdDQppP0T4/rtJMLqQ9oN7fZvNLdMLaT9E+DB1YviSQtoP +EU7EOdu6FNJ+iCAlaG/aW0j7IUKe96ujpwppP0RIm8pTCSmk/RBBqP82MraQ +9kOE5tx/RmmFtB8iyOcH3ygupP0Qcf/9HKP6vpD2Q4SnS0efaC2k/RBhkOq0 +tj+FtB8iiILfzhpaRPvBXf/aXxGaRbQfIhhLR3VaFNF+cNcn0zBuUhHthwjF +zZn75xTRfoigLh6VtKqI9kOEv6tmNbgV0X5wvb/0l/Muov3gXm+i66iAItoP +EUa9855/tYj2g3teLKZvjC+i/RBhyPWcnZlFtB8iFFz5s6+8iPZDhPV+/+2t +LqL94O5fyX33jiLaDxFGZ4xd21tE+yGCbtppu+HFtB8irNC6Z65dTPvB9ZoQ +K2tVTPshQsOGg+8mFdN+cM9HMz9mTjHthwi+ivlbVxXTfogQbznF3K2Y9kOE +r563Ph8opv3gzuOlLvgX036IoHPPbmJ4Me2HCOly/tVxxbQfIrwKLfZML6b9 +EMH+0KDhJcW0HyLUfLMPe1dM+8F9Pu0QXksx7YcIR0Rd17uLaT9EWLTEUW1Q +Ce0Hdz/j359WLaH9EOHnql0/jEtoP7jXP2K2fGwJ7YcI7uP6JduW0H6IcCBU +auiSEtoPESrSjVZuLKH9EMEgwevGrhLaDxHMT/arP1pC+yEC9+eFzoUS2g8R +fgxNXhRZQvvBfd+UtvjcL6H94J73gHUxT0poP0S44KBdUFFC+8Fdn6bhp+oS +2g8Rwj95drWX0H6I8O6ybu/fEtoPzv84LemhpbQfIrx+6NFXo5T2QwSnP8bd +pqW0HyLc/jmpxbqU9kOE0nOpr2aU0n6I0C/hasqSUtoPEaLHdl7cWEr7wT2f +Ax9u3VVK+yGCRKpj4tFS2g/u+/TXNdnzpbQfIgwrKi+6Xkr7IULRTO8T90pp +P0SQsUucmFlK+yGCRci2ttJS2g8R6vo/uvi+lPZDhN5lZ61bSmk/RDi5/M+r +36W0H9z3U0nvlgFltB8ijNlxpUepjPZDhLCB748alNF+iNA1J11WXEb7IYJ3 +z5SjU8poP8QoyNvZPa+M9kOM0LULNq8uo/0QQ+FQ7YutZbQfYgSXG43xKqP9 +EONdj8EF3zLaDzHq7tQ0Xyqj/RBjmN+K8dFltB9iDBgXciypjPZDjKql1wpz +ymg/xGg4vl32eRnthxhfV4+U1JTRfoghf+CIe3sZ7YcYzzyKQ/+U0X6IMeJd +a9agctoPMdQmt1SrlNN+iHF9VNEvw3LaDzHybfwHjyqn/RBD7tcYlanltB9i +eL8u1ZpfTvshhqfTAu3V5bQfYtTqFapuLaf9EGPohVHD9pfTfojxVBD652Q5 +7YcYS/f8rbtYTvshxrH3q5/eKKf9EOPs67zI++W0H2JMqhR6ZpXTfojxan6s +XVk57YcYuglWiu/LaT/E2L02/21TOe2HGAnF2y/9LKf9EMN68aj5MhW0H2L0 +36AgLV9B+yFG+DK5BJ0K2g8xlM8Ll1pW0H6Ioe229/f4CtoPMX7aNFyYWUH7 +IYbXtsOmSypoP8TQmWqf6lRB+yHGD7lZNjsqaD/EuGPlXeBdQfshxsWG1un+ +FbQfYkQcD84MqaD9EGPz4sPCmAraDzHMrty7mlRB+yFGSYSBbE4F7YcYH6Pq +XCoqaD/EuNL8NfdDBe2HGL9v26u3VNB+iOFn+G/LrwraDzGiz8skyzyj/RDD +3tT5jxx3/j8LgrZY + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[0.560181, 0.691569, 0.194885]], + Line[CompressedData[" +1:eJw11XlcjO3bx/GkRJZWZUkoqtmvZUIqcxCyZ4lIiiiSPUp3paSEUtaSLZFI +ZMl2I0uUrW4kiqJmpplpZqJElhK/63nmuP7ymldprjnf52e+QwPXzg7S19PT +G9pVT+///k0o+cjdVOsEsTYJjT9bmyTGlwn5fqkTvBO9uWp4okmSdjT+yBWl +ExQsPjFxQnSTxHJH5ZxXWieoPJxSMm1xkyQzzKFXS4sTjJujZ79oUpPENmDz +oz7fncCj8tRdfbpJcmrKs2hBhxP8jV/zecvgJonTCBvnaXocMPwwYNni3k2S +C0PXfFppyIFRz+ZMWPRbK6F73z+905gDBZMuw7gmreTmTzP/syYcaLF2aVPX +aiXuDUutHltyYJHUw2nUf1pJ8Ytr/yn6c2ChiUBtdV8r8bxtlGQwmAOfHsc/ +3HhFKynLXSCxH8YBgcGjVI/TWsmsffk/xnI48Cp2z/GIQ1rJ25jOi4uFHHj0 +3o8wStFK/EK8VsTSHEh6XtJFE6uVSL2zhxwfxfz+wQT9/hu1kmD4Wn3HnQOz +w/L7p67QSrS8CXtrxnFgWEjOT49FWsk664zJ7Z4c6NtI/3SerZW06au79J/O +gTFRyt8BnlrJP59H3xo5mwNW/hZL7rppJX/fpWyY58OB8XFD5dMpreT/Pfw4 +EO1nndHTSavzWMKBmbVF778N0uo8gjkQalE21chSq/MI5cDTY1fugbFW57GO +A0/OXHPL0tPqPMI5kJln9W3ID43OI4oDt0Ns3B990ug84jjQal+xJ6lBo/NI +5EBcuv/2kBqNzmMXB9pev3uxokKj80jjQHDM7pEJTzU6jwMc2PIs9dit+xqd +RyYH7O7ltBnf1Og8sjiwwmvthI0XNTqPHA40Diw92Zar0XnkcWD574lU6nGN +zqOAA5Uvezu4p2t0HoUc6Ndvz50uqRqdx00OfM3JkNQkanQeRRwQRs/v+jRG +o/Mo5oAk+njQs00ancdjDmzWmJR/XK3ReZQznzck/qxhsEbnUcGB+yMXrxnj +r9F5VHGg7tXAozvmaXQetRz4verSNfkMDfbBgcUVgglenhrsgwNVq2a8fybR +YB8c8N5gZTxvlAb74EC6S9SaFkKDfXCgQmWWm8lBjw7mfG4/mjPLDj30uDB6 +Rqqt9UD0MOSCnvvoyxoL9DDmgs9l7q3nvdDDhAuxvnbafw3Rw5ILC1eb/7r6 +R419cIHr1XTkzg819sEFy0PhqS9b1NgHFzzsh6e2qNXYBxfOqb/62sjV2AcX +poSOvzGnVo19cOHquqLl6W/U2AcXHn3l0w3/qbEPLgzvukrm9kSNfXBhy+bx +cOKBGvvgwl2/+f373FZjH1xY8s7YbftVNfbBhW2HVm7uXqDGPpjz2DzoxIEz +auyDC4M3T9/OzVZjH1wY+Cz979PDauyDC1Ffvks3HFBjH1ww+3DHYHiqGvvg +QqkmEuqT1NgHFzY8+romZ6sa++CCuZF16PooNfbBhdu5GlPPTWrsgwt5+gnu +w9eqsQ8uPD/W8V/PEDX2wZzv+sCc9kA19sGFwvIbx774oUcmF76X1B1pmYce +WVxYb3k47sdM9MjhQnxkpLDbVPTI40JzUs0OmwnoUcCFmnf1YaMl6FHIhWdn +gioDXNDjJhc+3m5PS6HRo4gL9bc/ptwXoEcxF7qV/Ljc4Ygej7nwwLtc6W6H +HuVcEP0Tb5Fkgx4VXOgz7eWQKiv0qGKeN0vvp8AMPWq5kNzRGJ/cEz2kzH16 +rMhvNkQPJRc2XXizyFcPPbRckIr7Jjxvb8Q+uDBg5z/GHm2N2AcX/ls/68P9 +5kbsgwsm4T7q8ZpG7IMHMzg3B75oaMQ+eFCX1SMwoK4R++CBeY/J59reNWIf +POhYVSXbW9mIffDAKiW8nX7RiH3wQFQ3ob7maSP2wQPltXvbdj5qxD54sHzD +9Uq3e43YB/N+9bMetv3biH3wYFleL7h6tRH74IFJzWXXzRcbsQ8eWKf5nhl7 +rhH74EHNydCNpqcbsQ8e3Enaf6AhqxH74MErxye/ig43Yh88SPk4Pv3owUbs +gwd+CTv84vY0Yh88iEiZIwlJbsQ+eNBDnEv6bG/EPpjP/+Cuw5StjdgHD469 +tTYfF92IffAg+lmwakwEeqzjweuBhw/DBvQI58FHddVgz9XoEcWDpJjo0Nkr +0COOB6qP/TYELkWPROb9wtc7Rfijxy4e2BdFb9uzAD3SeCBrXrK5wBs9DvBg +U3XE75de6JHJg5JDHoY/p6BHFg9mbovYYT8RPXJ4UPD7S9ScseiRxwPX4Dtv +ktzQo4D5fGbGKfdHokchD8rcRh7spNDjJg9GWj1RjRGiRxEP3j5Z/k8CBz2K +eXA9TeXx3zD0eMyD6pfurjZD0KOcB8aSRJ81A9GjggeR+r/THlmhRxUPnqn0 +a23N0aOWB0sP2tAxvdFDyoPnpU0pdd3RQ8mDx7tHSicYoIeWB4My6x0v/VVh +Hzy4FKeZb9uhwj6Y57EKX7Xnuwr7YO7P3Qe+3VpV2Acftj12son7pMI++ECV +2eV1NqqwDz706ufRvqVBhX3wYbbJqO4G9Srsgw/k0WfPU2pU2AcfSrM+uPev +UmEffDBZvtonr0KFffDhlH2amft/KuyDD7Yr1gVVPlVhH8zvn503bW2JCvvg +Q8Dt4/d6P1BhH3z4u+zOzYt3VNgHHypqXci5N1XYBx9u+N2w+1Oowj74kHBJ +s/3cRRX2wQe9p8d9fPNV2Acfjs8qTO19RoV98OHHJBuHRydV2Acfmo9Irbcc +V2EffPB/aOvveliFffBh7DL9rx0HVdgHHzxby1/e24se6/hwy/vNl+270SOc +D3m7t8yctRM9ovgAS8eqbBPRI44PE3qeudQchx6JzPNVTz7zMBo9dvGhXlNZ +cngzeqTx4WFdV6NNG9HjAB/it58Mmr0OPTL5YHwwpZZahR5ZfPjqfyHYagV6 +5PCBk/r3z++l6JHHh5kfUk4oA9CjgA/XX6+e+HohehTyQRP/VFvsgx43+fBq +wpvka3PQo4gPo7dWDs33Qo9iPqiD+uWdmooej/lgePWvTZYnepTzQRn7ffMx +D/So4IPbz003j0vQo4oPkQKDqpOu6FHLeL6nX+aNRA8pH04Ic7IKafRQ8iHl +kN7Y+yL00PKhaJ/epRc8tg8+lK1b3ih1ZPvgQ6PAQPndnu2DD6vcLuf0GcL2 +IYCwed5DODZsHwLY4PXRe2I/tg8BVCqnjAm2ZPsQQOyRE9U7TNk+BOAb1zK4 +oBfbhwDyzOaZvu3O9iEA/54/TusZsn0IwAW6fhB0YfsQwJP1Vy8v6lRiHwIY +wZkxZM8vJfYhAPWeQcNL2pTYhwCUMyLv/P6ixD4E8CPggWzEZyX2IYB9X/0P +hGmU2IcANk16VH5FqcQ+BNC5c1XyN5kS+xCAQ0HN01F1SuyDeT7v44mxNUrs +QwCOOZNvP6lSYh8CiBNGLbesVGIfAuhTXr098KUS+xBA7wRTq8IyJfYhgO9L +q826PVViHwKQh34OX1iixD4EkLCq37jCB0rsQwDHtfbret9VYh8C6N9d2Rly +S4l9CCDN37XxyXUl9iGA5Z+N+NxCJfYhgBV/R5TuvqjEPgRQYXS78Gu+EvsQ +gGbf7i8LzyqxDwEYOF2MLs1RYh/Ma8pxNp2NHgUCmKzquvbkMfQoFIAJ5fvS +4jB63BSAJHNCWFI6ehQJ4Cyn0btzH3oUCyA7YOWGTWno8Zg5H7OOJ83J6FHO +PJ/Pi7mrdqBHhQCORNtZaRPQo0oAFjJno1Vb0aOW+XwtMx2aY9BDKoBRXR6s +2/gPeigF8HLqO3lHOHpoBfDsVWt0Yhh6tDAeU1e5mK1Dj+8COGV9ziprFXp0 +CIAcZmUuCkEPPSF01A/mPAhCD0Mh7OS5LZgbiB7GQjAfo8jS+qOHiRC8jh9u +37YQPSyFsKuXNNh2Pnr0F4JR2DjZLW/0GCyE02+mr1wwCz2GCaF8bMbvX9PR +gyOEU3dvpB+dwvYhhAj36SPGerJ9CCFmV3u1yoPtQwh33JdH7wG2DyF4npfY +urqzfQgh/534jsqF7UMIh0J+z0kfwfYhhBd7Z8on0mwfQoBeLct/idg+hLC4 +x/G6C3y2DyE8srObtIzD9iGEuumTsmwc2D6EYPCiXvbWju1DCJn8+6b7B7N9 +CCHc7p7DLBu2DyFsPXjLzqw/24cQNibt13/dl+1DCNXGokfp5mwfQuCMDA/y +M2H7EAIxaF6DfS+2DyEk3L435lN3tg8hSL6e2XDTkO2D+XvRRlsS9dk+hMD/ +8N7f+68C+xDCBV87i+G/FdiHEEpapYd+/FRgH0KY5TX08/M2BfYhhLl3tKYn +WxXYhxDKBs38G9mswD6EcFKx+MacJgX2IYTKHuKRIrUC+xDC9XZZdC+lAvsQ +gm/mru1amQL7EMJg4dTZZXUK7EMIgQGTPhbUKrAPIUx3ynPa/06BfTDv/yJD +FPlWgX0I4Uzd2C+LXyuwDyEUDqwOnfJSgX0IgReZesy5XIF9CGG41dEEu2cK +7EMIDqTrYLPHCuxDBG+H5IV2eaTAPkRQMdVhxdf7CuxDBBlDvpurihTYhwj2 +9A5YWXtLgX2IwC3y3KrXNxTYhwjW3RrWr+yqAvsQwU3TQaGll9FjmAheHFUs +LS5AD44IqAWv9e7no4dQBC/Nx8C9s+hBi6B8SdyQ+6fRY5QIlp/8kVV8Ej3c +RaD91LWoNAs9xongiUX38LKj6OEpglv7Qp6+zkSP6SIw2LfnWm06esxmnue9 +apRqP3r4iGDfq9pZX/egh58IMtfW/dFLRY8lIshyCxxjmowewSKInfS+z9Ad +6BEqgm/D7q2hE9FjnQj+qdvl5xmPHuEiEHf8+9YvFj2iRPB95fOasGj0iBPB +vGRYmRKJHokieGdzLC43HD12iUAwZvmg4jD0SBNBVLCjpG4dehxgPn/AiobO +1eiRKYKQsTd62oaiR5YIUleeKpSsYPsQwZvou+8Dg9g+mOefdzQ2KZDtg3l+ +k9cnLgSwfYjgQHO72xs/tg/m/FcXzP6zgO2D+TlnV72TD9uHCHoo+Apvb7YP +EYzaOjIgfhbbhwiO/5w2/fIMtg8RhPmaF0insn2IQFkijLOYzPYhgmVBU4sn +TmT7YJ43xCIsyoPtg/HqJt57Gdg+RFAW6jdQ7c72IYLfxe6mdq5sHyIYzE1e +4zeK7UMEsy7+Eh9yZv7/wNQBDnoExE6DwDeUQlJleiP8mj4BdnLrNguC+Xvd +6ivGGxLQZZRr0xwBcz6/u4sqjQjo0Rw88SCX+futZPJSYwIckhboVzsy56Xy +VbX2IsB53mtbm+EKSc6HbR7xJgS0JaQfXmLHnN/r81lm5gRY9Fy+8exghYTz +9E3HCUsCxPnG+S02zHne/eNDWBNg2jzJffQAhaTgquPVe/0JOGav5SRaM+d7 +bqaplw0BY+feWfvKkrkvJyJXfbQloOe0nb0HmzPnffDkk9VDmefdMUR/jQlz +f5KfD+u0J2Cd++xpd3spJFFbv8WlOBAwdVaLxsSYuU8Rgz4M5BBwcO5/tYFG +Cone6oku+TwCKhMfDLlhwNyvwLUHRwsJSH544lovfYUkcf6hL08JAkr3jT+8 +9G+DxHPGg+kLaALyrJLKb/9ukBiP1+Q1OhPQq8/4GX3bGyRlLhbdNo8iYMjL ++QPX/WiQpIncAo1cCbCdnEOXfWuQzB4edDfdnYDO793SOa0NOg9gzk8ZOHZH +c4POYxzz/gVHxI1NDTqPCQTUrU1fM1nToPPwJMDkhcvnfFWDzmMKAVWtq6+Y +KBp0HtMIKI6zubVR1qDz8CJg0GHCoKauQecxm4CO6vS0cR8adB7eBIyY7r4w +/32DzsOHgK2RFsF9qxt0HguY1zONL8a9adB5+BGgIS2dP1U06Dz8CTijGvbD +92WDzmMJAUanyG9Pyxt0HksJ+PmL4I1+3qDzCCYAGgYcyX/SoPMIYc73ZuM4 +29IGnUcoAR+4B4fue9ig81hDwBFPmxFGDxp0HusIIMOiYmPuokcYAdODL//+ +dhs9NhGwg3f3/Op/0WMzARU2p1JV19Ejinn++sDswKvoEcO834ouDR8vo0cc +AUmfts31u4ge8QTYf2r59v48eiQy5+0084HvOfRIImDWyXP/1pxBj10E+Gca +f1h0Gj1SmPu2M4orPYkeaYy/o3F20An02EfAvcWPJNpj6HGAgFrvfw03HEGP +DAJUPr++/DqEHpkEPP90sOu2dPQ4SkDqk2yX3gfQ4zjTcymx/9Be9MhmfD/7 +mAxPQ49TBPReJ7hwJQU9cglYcuJJ6Nhd6JHH+PwYO+VVEnrkE/Cjd6FnYCJ6 +FBCgf2zE0m/x6HGJuU+RjUeS4tCjkID0g4qWgVvQ4xoBh39NXXI5Cj1uEqAo +Hd3kGYketwkIpyv21IWjRxEBYTvoGZs3osd9AiRDttqbb0CPYqaPZR9NLqxF +jxICNpatNZ+8Gj0eE3DivS9XuRI9nhGweGDpvIQV6FHGeNu9S7cPRo8XBLgm +31E/XIoeFQS8bc2cEbQEPSoJWNl+5JFRAHpUEbDA4/OUfD/0eMf0ubqo3ssX +PWoJmG89MrHNBz0+MvexeufIo3PRQ8r8vofiu8cc9JAT8FIeWdw0Ez2UBPR3 +icxMn4Eeaub+/ds3auw09NASMLcsPvjTZPT4TMCmsm++hz3Ro4UA467ZPpMm +oMdX5vvgTNHCH+PQo42A6o9bg88AevwkIPHG8Ij5Y9Cjg4C1XFmKsRt6dDLf +X/2+5Ba5oIceCes9dz9aPxI99EmwXdba4OCMHoYkCDv9jD5Q6GFEQtw2Y94B +Aj2MSZg+ycVrmhA9epFwLcopzJCPHiYkTOL1S7/HQQ9zEg7B5Bv/OKKHJQlP +YjvfjBiOHtYkOG2P+PLVDj36k1D2pL37lSHoYUPCsP3PB663RQ9bEnIMRRzS +Bj2GkrDYMpRo7Y8e9iRcTywlrlqjhwMJrS07OBF90YNDgl5Re39XC/TgkWA5 +OKiLnhl6CElY2t+8rqQPehAkvIycdiWlF7sfJORVB/zjbczuBwmLMg6NGNSd +3Q8SxtR5KJWG7H6QMPrExR2Xu7L7wTx/GndQTBd2P0hoczA4NfmvHPeDhP0n +U/pbd8pxP0jI1usbp2iX436Q8Pjv5zdXf8pxP0joYrt6QOJ3Oe4HCZsyZNPm +fZPjfpAwMejUKqdWOe4HCSvdDCI7muW4HyQkpviuf/FJjvtBQumW3945Wjnu +BwnF38D+H7Uc94OEBUmxNTNVctwPEjISTWOcFHLcDxIyv04z6iKX436QELEz +NfJ9vRz3g7lfV0Svr36U436QkLwr2WJPrRz3g4SB9Z0uq97LcT9IGEDIJ0yu +luN+kBCae2iE41s57gcJ222ie3arlON+kBDer+mh4pUc94OEiueeAaUv5Lgf +JBSaN9eeKZfjfpDw74Zxbruey3E/SDAacCp69VP0iCdhwscNx2Y9Ro9EEs64 +Wh4fUYIeSSR8mqOJsXmIHrtICPs0363rA/RIIYHe8Khacxc90kh493nv7Nd3 +0GMf04OZY96dW+hxgASKq6nJvYkeGSTYOY7T7L2OHpkkpKenv465ih5HSdiX +45Wx8gp6HCfBecBb8fxL6JFNwhu//fkTC9DjFAn9Vn9pdz6PHrkkmCl97RzO +oUceCQG/Rw+1Pose+SRMi+n83j0XPQpIaC7vc6rjFHpcImGWpmZYczZ6FJKQ +tqwiSp6FHtdIMFy2+Ez1MfS4yZxPzrfc/46gx20SHDf9iSzJRI8iEvg3Pw0u +ykCP+8z9kVKHrh1Ej2ISTL+PkRXsR48S5vmSt3We3Ysej0n4UbJBfSoNPZ4x +92tB7Oms3ehRRsKKJBvyaDJ6vCDBwPZucuZO9Kgggbu5+mpGEnpUMvc1uj4/ +PRE9qpjXR6auS9+GHu9ImPwyrUvGVvSoJUGWZR9wKBY9PpLw3mrLjsMx6CEl +oeqpVcyxKPSQk5BQGeSWHYkeShJeVctLTkegh5r5PvnTo3/+JvTQkjD4yyzn +y2Ho8ZkEudR5wM316NFCgn8C8fjeWvT4SoKFSZHrk9Xo0UZC/GifiFeh6PGT +8YCoyJoQ9OggYXzN6bHK5ejRyXx/TfB+8SUIPfQoqBxpYPdnKXroU2CtpV16 +BqKHIQUay0DL/ovRw4iCwMbehY7+6GFMwZC+NyxH+qFHLwqySz+M8vRFDxMK +jp3SHzx/PnqYU+BbnlsaMg89LCnoVTdVFO2NHtYUkJ/C5qfNRo/+FKgSssee +mokeNhTMzffR3JiBHrYU6H3oP7t8GnoMpYDqvWqzfAp62FPgd7rCr30SejhQ +sCGh4o+ZJ3pwKPD507KIOwE9eBS41RRGenigh5ACY5/sWYvGogdBQekQUERI +0IOmoH2BtXi/O3o4UzA4vcD9oit6jKKg+/vdXcpc0MOVgslH3GPVI9HDnYJz +9yZeNxqBHkBB8lEq10HM7gcF/t93zZhIsftBQU+99wXBBLsfFKzwPVeaJGT3 +g4LTFkkH8/jsflDwMLdjQBmX3Q8KDDr2z2t2YveDgoCltZ4Wjux+UPDj2JLP +I4ez+0FB2utLkxfZs/tBgX6Gz4JtQ9n9oOB2g9zm3GB2PygQ2jXsfzWI3Q8K +Zg1SFf0ayO4HBZa9og/bDWD3gwJZMsWZ1o/dDwoGZB8OCbdi94OClOvjF2Zb +svvBPN/RB51l5ux+ULBr18mZv0zZ/aBgyYDIOQ4m7H4w5z+tytC7N7sfFHTE +ey2P78nuBwXc7RsjLvdg94OCzbHvnKVG7H5QML7D7KRZN3Y/KNCqLt8eZ8Du +BwUzDk6P36jP7gcF869GtuXqsftBQdK855bv/8hwP5j796mqrnenDPeDAq8p +zvPGdchwPyhIPBYZEfFLhvtBgSDc1ePCDxnuBwUnH5j9K2+T4X4w9+H5/uoB +32S4H0yvoxdkz26V4X5QkGPVbp7cIsP9oMDqfh/Bo88y3A8KBrWJmjubZLgf +zHm8+D5/lFaG+0HBy+LfIWFqGe4HBd5ZNUMvqmS4HxR4pAritAoZ7gcFf8x3 +Jzg1yHA/GH/jg8JgmQz3g4Ju5+pjcupluB8URMb13CD/KMP9oIC/8bKx/QcZ +7gdzPx+u8VxaI8P9YD5P13rHnHcy3A8KwoeezFdUyXA/KKgImF3h+FaG+0HB +K5/UIysrZbgfFLj+/NqtoAI9yihwTBxq2foSPV5QsDfjWvGIF+hRQcF3Gy/z +6HL0qKTgy7zd+sXP0aOK+f58b7K/+zP0eMf0vTf8odcT9KhlPK4t2Z9Rih4f +KWh4u16//hF6SJn3q/Q05TxEDzlz/6UJdzY8QA8lBf3innUruoceaqav7bc+ +G91FDy0Fl/v9CJtzBz0+U+B5wS496xZ6tDD3r0e9d9NN9PhKwdFxFRddbqBH +GwVNd47mJl1Dj58U3OTJR70tRI8OCu7umRw8/Ap6dDLfx64bhZsuoYceDfXP +jPaWFKCHPg3PnfJ2W11AD0MaPu7utF+Rjx5GNPSOPTfnVh56GNNwpXyuTe+z +6NGLBjrjdMziXPQwoeHCeIi4moMe5jQc3lXWvccp9LCkYcuKL6R/NnpY05Dm +NK+1MAs9+tMQEHBysvFx9LChYac2ZuSSo+hhS8PCtj23bx5Gj6E0JHze9sY0 +Ez3sabi3929CSAZ6ONAQKzv/tPggenBoyOY7n7I5gB48GiwNxplF7EMPIQ0p +42MsKvagB0EDabY3T5CGHjQNUyodX+/cjR7ONLSOaUpTJqPHKBpKJ+2s89iF +Hq40ZIjOPcjegR7uNAyIaHfWS0IPoOFQ43C3gET0GEfDmX3Vr+9uQ48JNLx9 +cve3bTx6eNKw2D7xemwcekyhQbmlWk+6BT2m0WDzO6hmXAx6eNEQ//f9hNNR +6DGbBitSIen+D3p409Azmnoauhk9fGiI6bNe+iIcPRbQwFngtUO8CT38aBhm +El2UGYYe/ozf8Ny4v+vRYwkNM19Hvwpahx5LaXi25+LFsjXoEcych/XnAeLV +6BFCg1tgo/nRUPQIpUGRPyPDYCV6rKFh6LpXZ1avQI91NBxcOXR8VTB6hNFw +O705dGwQemyi4Zjok+35peixmYbdF5/7WQeiRxQN8hduw7ctRo8YGq7vaI1o +9kePOOa+mmZ5+y1Cj3ga/Gu/3Xq6ED0SaSg5nntupC96JNEwYdJy+9z56LGL ++Xk3zbC+Pux+0LBogqwgYS67HzSYnO/64Nscdj+Y+z1Yf2HQbHY/mM9/f2/0 +25nsftCw/FPw8Ele7H4w5zVmxNxb09n9YF7vuNpTMI3dD+b+1KRPOjGF3Q8a +tNv29bSczO4HDUuMFnnv8GT3gwZTx/N2nRPY/aBh9Ufn8A3j2f1gzn/ppRmN +49j9oOHpsIp8/7HsfjDnVzZr9xsJux803HBXtk4bw+4Hc3/3T6x95MbuBw2D +h3Enu7uy+0HDsuhJLtdd2P2gQW/pgvOiUex+0HD8reXJvBHsftDQ0ZseMMyZ +3Q8aLkasssyi2f2gofnfyD0DKHY/aJh3xSI1nWD3g4a8TV97WYjY/WC+D7wq +eu0RsPtBw/nikN29+ex+0HAnKSw1mcvuBw3dU/NMjDnsftDwpr3EdKcjux80 +eHC27e3uwO4HDX3OHdm7Yxi7H8x98nhm2sOe3Q/Gv+Vpn11D2f2gQSadm9xz +CLsfNMRFc7bvtmX3gwYjX72/JoPY/aBh46T0tn0D2f2goXHymRCrAex+0LDm +QLPv4X7sftDw317eU1trdj9o8KqzvnqqL7sfzPNMXz+QY8nuB/P9V//tT4E5 +ux9iqCsP8Hc2Y/dDDNLYtS53TNj9EIPBPmmaRx92P8QQWBG25Hkvdj/EIBzb +cGFOT3Y/xJBg+mVjbQ92P8RA58y/HtSd3Q8x7N77bn1zN3Y/xOCcRZ2JNGT3 +QwzNj+zmGRiw+yGGUF7IljR9dj/EYMUvGTywC7sfYlDP/O5y5q8U90MMrt53 +yug/UtwPMewcUlV1/7cU90MMs152WzijQ4r7IYaqCz3n1f6S4n6IoZPKfbLy +pxT3QwxmPTKu/Pouxf0Qw7HuWRY726S4H8z7ucRq+32T4n4w5/Pqp0teqxT3 +g/n5iPI/Ll+kuB9i2NBR5PK8WYr7IYYe8hSN32cp7ocYHD50mDY3SXE/xKA1 +Kj+/VSvF/RDDxIvFRZYaKe6HGB5Oy/I42yjF/WDeP2n4GDeVFPdDDMF0vwsv +FVLcDzGIZo/eE9Qgxf0QQ3dzL1W7TIr7IYY/5f2v7JFKcT/EcKW3f4tDvRT3 +QwxkYl120Ucp7ocYSiwDS70/SHE/xFD2JG9RUw16LBFD6rt/lie8R4+lYjDN +z5TbvEOPYDFoyp69ulaFHiFiGNReQXq9RY9Q5vP3i+iqrkSPNWI4Nzp64rbX +Usn/AG06oOM= + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Hue[0.1421359549995791, 0.6, 0.6], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[0.560181, 0.691569, 0.194885]], + Line[CompressedData[" +1:eJw113dczf3/x/EkK4pL2Su7sz/jiKzzlE2JFEVK00pCZaRBS4OMlKQ9iOzM +rsjMnmWvsiKniK4y0u/z/fm8/rpu79vVOOfzfD/OXX1dl9l46Oro6PRtrqPz +v/+GXX4p9XtuiuCeYZUNtZ81+keYN9vLTTG8IDysykSridu9Ifnoe1O0fPf5 +STKv1RhvLJ15r8oUvuXD9G6N0WqSVg5q9+WLKZot72meZ6XV9HZefcnwP1Os +2PzHopW9VpM15fo6xS9TvL1w38XORasxNes5xFJHgrWXppqULdJqDvT11i5u +IUFCm/zhR5ZrNbxBcU6UvgSGz7I8Wq3Rak41/OO0t70Eo1PfWWqDtZpRb906 +lxhLEB/0ZfjKCK3mwp3jt991k6DHmA81ObFazcTCVpF6fSSI04uMitum1dzM +ddD0HyBBOyfVqGE7tZoZ2/bXj5FIMGRIy7u5KVrNw8DGQ/OVEizZtK3qdaZW +47jIemEwL8G19BdzqvZoNeW2GSapwyQY3Cp56PV8rcYT3x7/O0qC7cXSduuP +aDVVsvFbn1lI4NUuV9vxhFbj0yVx8s+JEnzl+27ZcEarqdP92KyblQSXUjNn +3T+r1aytHn5mqI0ESR1fOetd1GqansSumDVbguLyoC3dS7Sa/9/DUYIzFgHJ +nW9o/+7hIoF1vz+tf94W9/CUoGGB8cgr98U9lkiQumnZqnUPxT18JJi8wKO8 +11NxD38JbGNtIve/EPcIkOB7Y8D8QeXiHiESTDgWMT3urbhHuASH+iZKPnwQ +94iWQMd68EFllbhHnAQvh6Zt86wW94iXQG56Oijuq7hHkgT6n04b7vsu7pEm +wUzr0NIT9eIe2RKMCYqwP/FT3CNPgpiwXd3zGsU9DkpQ++PMtjid6r97HJNg +YcURdnHz6r97nJKgYMMhF/OW1X/3KJJgxYr7EY2tq//ucUECl9cZTSfaVv/d +o0SCZ8cDBnoaVv/d45YEw/UbLNv+U/13j/sS9Bsw9kquUfXfPR5JUN1j2Yth +nav/7vFceH+GZ5+e71ot9iGB+uA7XYse1WIfEoRVWyae7lUt9iFBS6dxD01N +qsU+JPhy2fxXXL9qsQ/h/oV+GF0zoFrsQwKT1iGPJgyuFvuQQu99WUOCpFrs +QwrzgpDSV7JqsQ8p6vo0JfVVVot9SOG28KG/I1Mt9iGFQeihhC1ctdiHFA69 +V/Q/q64W+5BCdnTe+Hdm1WIfUny+ZtK/pXm12IcUhQery01GVIt9SHExfnvO +kFHiHrwU45ZEbhmnEfcYJkXJkqaLVmPEPUZJMXbDH+cZY8U9LKTIynEPtR4v +7jFRiqtDGqdOmijuYSXFwhWxD0dMFvewEb6/5/PR0qniHrOlOD29JM3IStzD +UYpZB8cbNUwT93CRIuOO39FH08U9PKXwM92z46iNuMcSKf5MdyvdaCvu4SPF +/qv+4XNmiXv4S+Ez/MfZwfbiHgFS6E+1S/jiIO4RIsXQH9Ymx+eKe4RLMSSx +/XK/eeIe0VJYuffbzTqLe8RJcfhmWMHH+eIe8cLrtdtzM8VV3CNJ+Hpz3/+s +3MU90qSwKbId+9ND3CNbCsfRZpcyF4h75Ekxqmlz1MRF4h4HpVh02jWrcjH1 +IZy/T+gb4UV9SBEyeEFHE2/qQ4odezeGn1hGfUjhtMQwavJy6kOKmO2/pU9W +UB9ShD7/tdrDl/qQos0Tx5BqP+pDil4GPab5rqI+pOj49lX1f6upDyk+hLVY +5r+W+pAiNyLpaW0A9SHFxgjnUV6B1Ifw/DMeZFYEUR9STLgv7zwrhPqQItnM +OfPKeupDhvLhvW3UodSHDIGHXqrSwqgPGd6UPB7fKoL6kKFETz/VK5L6kGGd +/lCLOxupDxlWuGtHqKKpDxmKzcLjY2OoDxl2vexn9yGW+pAhcsOpCM1m6kMG +w+2tuB1x1IcMh0ZmOlduoT5kOH3jcxfzbdSHDJd0+7hFbqc+ZJjiPn7yg3jq +Q4auPhb3eyZQHzK0LrvTwj2R+pAhMTG4au9O6kOGu4qi6Kok6kOGDltvf5Il +Ux8y6E4o67h4N/Uhg+XcO21yU6gPGWY673z4KpX6kMHX6lNwl3TqQ4Y2K53a +WWVQHzJkWXpvCMmkPoT3N9e38kgW9SGDpC5/XHk29SHDzdZDUwxzqQ/heVcY +N5jvoT6E/d7K57rtpT5kWDhyys3oPOpDBq+tvWYc3kd9yBBzYFTNg/3UhwxM +X9P8unzqQ4bk8jGbOh2kPmSYXfEokT9EfcjwNK/PPevD1IcM0eVDRy8+Qn0I +z6Pc9O2Go9SHDF/M3pUkHaM+ZOgtmVJ9sID6kOG2mYnjhePUhwzx23p0Lj1B +fchgv+u5yduT1Idwf6Z+Cak9RX3IUHbs7vCm09SHDCec3tnoF1IfMuSeC71l +9C/1IYNrUs3BHkXUh/D+Xef+6nuW+pBjQk7liUHnqA85fF32vpMUUx9yDPRI +jpGdpz7kuLXnaL7sAvUhx8v0O5bSi9SHHLa7Ly0bfIn6kON7O7tu/S9TH3JM +fT7EqtcV6kOO8FMG7TuXUB9yyOf7uRpcpT7keF5VP6X5NepDjradjW7WX6M+ +5CgPGfrx03XqQ47xP6/mPL9BfcjR62zvFrduUh9yPD4Rqv/vLepDDqt/zU7n +3aY+5Gi8u9g44Q71IbzfeRP7rL9Lfcih9Kp8vvge9SHHs5dO02fepz7kGJx3 +eN3wB9SHHB/0nriblFIfwuvfc7VtizLqQ46PtR6+lWXUhxzj5Jsyrj+kPoTn +v7dDwv5H1Iccfr9P2cY8pj6E56cc/3rRE+pDDvfXi0dMfEp9yMEFPlzY/xn1 +IYeju2zJn2fUhxxPjPTGP35OfcjhOqO24fAL6kOOszbBURtfUh9ydHon++H0 +ivqQw227zxT1a+pDDt2bRcGty6kPOea3WJf8rJz6kONRedv0AxXUhxxFNlfi +gt5QH3LsOfPba9pb6kN4PoY/zHu9oz7kmNXQ2PDpHfUh7P1tQv7J99SHHO+8 +W88K/UB9yFFRtazBqpL6kMO+e/aOLh+pDzlM6y+pXn+kPuTIrqy6uucT9aFA +1lOZm3cV9aFAvcNWHfVn6kOBaYf6ZTV8pj4U2PjjleW/WupDgeUTLzYFVVMf +CugcKS5CDfWhQAufKxubfaE+FHBo+Nf1/BfqQ4Go9pFWIV+pDwXavW02dXQt +9aHAf/1bzPtVS30oMNLILfzkN+pDgaDK7ldXfKc+FFj5YW4/RR31ocDvu9Y7 +39dRHwr8aFYgS/uP+lBA7x1ezqqnPhToW593xKCB+lCgw7OUPRcbqA8FNF5X +zq/+QX0oYHfvajPFT+pDgSWbxy96/ZP6UOB9wuMf235RHwrUOnU7Pu439aHA +ic+7dtf9pj4UGPCx6khOI/WhQOjhhP9s/1AfCqS79vVq3kR9KHCq1sD4SBP1 +oUD7oU9r5unUiH0ogIYmHf1mNWIfCozXqZt0XDj/7UMBViK75qxbI/ahwKEl +HULbNK8R+1CA0RquPiqc//ahQIXBprw5ejViHwrM99d21W1RI/ahQJ+BcSV7 +hfPfPhTICbh1YlrLGrEPYa+pOpXfhPPfPoT7sP6A085WNWIfCnxd+LrLyNY1 +Yh8KtL3yo/Mr4fy3DwVM9o2dt75NjdiHAm1K9T73068R+1AgszDk0kXh/LcP +BcxS6j+4ta0R+1DgXFju7ObtasQ+hN9nX2KcKZz/9qGAwfstJjCoEftQYmul +2boXwvlvH0oc6fLJdK1hjdiHEumqx4M7t68R+1Di6C/Z2iPC+W8fSkyfb9DX +soO4Rzclit0zer3vIO7RR4kLI/WXB/8j7jFAiRY2C3p27SjuIVHC36rM5HBH +cQ+lEi4m3sETjcQ9eCWWGUw2f2kk7jFMifVH1lr7Got7jBK+vl2ni206iXtY +KGEZNXhHaidxj4lKuLY6d4XrLO5hpURl+Tv7K53FPWyUWNGQZenQRdxjthKv +TvzJrOoi7uGoBHr9cAzsKu7hokRCyfYAw27iHp5KrKm72ZTaTdxjifA8t6Z/ +UnYX9/BRQs+89aiz3cU9/JU4afGr3rKHuEeAEr5XvHs+6yHuEaJEY5bd/oU9 +xT3ClaiLSs+p6ynuES18/+9R7Tb0EveIU8JwXvfXBr3FPeKVKKvu0y+pN/Uh +PN9XZg/696E+lHCwHP/9QB/qQwl+sipiqAn1ocTo9w+jik2oDyXmmfXUmdyX ++lCip92Lj3f7Uh9K7PP6b6J9P+pDiYOFY3q86kd9KKGfnOju0Z/6UGJz6O0B +Vf2pDyU2GhU6+gygPpSwMmYM6gZQH0pkBv8xWzOQ+lCiW3jd098DqQ8lZsZV +/AgeRH387/1sjdcdTH0o8Svzxv6wwdSHEnaPHNDSlPpQYpqP7sxIU+pDCXPL +6LetJNSHChGZBXWREupDhcDWYyNbSakPFer86pIipNSHCl8mRytbyKgPFUyt +z44PlVEfKmwutnytI6c+VDhjVfMnUE59qDC2j1PmTzn1oRI+n61v+CuoDxUS +965ZW6ugPlRoPSTu8FIl9aHCipMW3pVK6kOF+9/HFbiqqA8VjMeND32uoj5U +MNz29ZkdQ32o4Ozx39nbDPWhgnXFH/lElvoQfv/tgkHnWOpDBZMdxflmHPWh +wvHJ184d4KgPFfbl+84fwFMfKixTLojbxVMfwvd/G2vVQU19qND8Yf6ucDX1 +ocIPL4vVP9XUhwqf22a/9x5Cfagw6Oqy9xVDqA8VMgMsVs8yoz5UsL16cvc1 +M+pDBdcei21HDqU+VJh5uDbt4FDqQ4Uh8R+DTYZRHyqc2vvu+9Zh1IcKI/as +a9I1pz5UeNh/bPpKc+pDBZstNx+9Mac+VOij2Z87czj1ocIwTx+Di8OpDxX0 ++uW340ZQHyrIV2uz00dQHyp4zrnw0HAk9SG8vryTmetGUh8q7DdzaPNpJPUh +3JdrbNvZo6gPFbb1urH34ijqQ4WKTwcqVKOpDxWuv3E5nTya+lCh+PRSRSsN +9aHCoj6zNSs01IcK3W9k1jwXzsY9NncfpMPAak3p6Imo0TzqcNL/uC6DTjXe +iiPCOanl6/vjWjAI/P2tsPsY4fn8bq0qbcXga9DbT6HCuXctG+Omz2CLW/GZ +z8K5/MOcD7XtGATntZTbWdRosl+Ejt3QnoHafYimSDh7PshP+6cjg1NF174P +GFujkVwr+5VuzOB1g8YqVjhXnf0zm+nCIGKl0eRvwvlgweCCc90YFOw9W+kw +Tni++6Z3sO7J4CZXKC8Wznz6Gq+XvRnk3VrfadB44XnvyLy6tC+D0/bRWTHC ++VTMjQGN/RkYPJn66ItwDlj/PSR2EAPvgJFH7CYI92lVrxc9JAwcE+6xZ4Sz +ztIJ5vtlDIzygx16TxTul+uyHcOVwuvxOmO6QTiH2+/8eo1hYHPye9o74Txx +2nkrB55Bfkr6uUmThL3GfcqrHMJgurtq437hfNPcqOXqYcLPD//np8FkYT/V +SNdWI4TncfREJx/hbDPQ42zCKAYOZZue3Zss7gEGw4xbWPJTxD0sGOHvoagF +8VPEPcYzsMvfqKybIu4xkcHQyKUZdlPFPaYwSAnLKj4+VdzDksHItjtiO1mK +e1gzGFWyv4WfpbiHDYOK/dPlpZbiHrYMhtdV6fBW4h6zGZyTvAvdaiXu4cCg +vkfW8RorcQ9HBu0/xyRYTRP3cGLg36bF4P3TxD1cGCxQr1zQ2lrcw41BUPBw +ew9rcQ9PBqNnnP1x3lrcYxGDeA8Xy97TxT2WMJhzJ3z62uniHt4M7v+Ibflw +uriHDyP8e+uRNztD3GOlcL90rkTFzhD38GNQtvbk7A8zxD1WM3j1Tf/JGBtx +jwAGD3N7G+62EfcIFO6banp9nY24RwiDG7G/461nintsYGBqEvJh70xxj3Dh +/h42q2lmK+4RyWC5V0D+HFtxj2gGrSr39jlmK+4Ry6C0b7fJbe3EPeKE+7Jh +oMTNTtxjG4PwF4OKz9iJe8QzsPb1M+w4S9wjkUFq3ULjRbPEPZIYHAi2v39u +lrjHbgaajF2TOs8W90hlcHdO+Cqv2eIeGcL76ePtfGG2uEcWg2OP8nS62ot7 +5Ar361Xs3KX24h55DD61WeJzwV7cYz8DZCZqujiIexxk8GyJx7UlDuIehxkY +Zw8yLHYQ9zjGgG+uMDSeI+5xnEFs0bVrC+aIe5xisC3MakzhHHGPQuH3Rbb2 +M5wr7lHEIMR/iofLXHGPYgabgl07FswV97jAYN/MtHUtHcU9LjO4N9A6295R +3KNEuH/556L2OYp7XBfuW7/Rit+O4h43GWyf2CXOap64xx3h88It8VDqPHGP ++wzOWOjH1cwT9yhloDuqUAEncY9HDDLZupgtTuIeT4T+onX3vXYS93jOQDlK +sZFxFvd4yeD49bODQpzFPcoZ5IzRibjjLO7xhoHX6OG5veeLe7xnMO9zQeTS ++eIeHxlsbXlK+u98cY8qBnceR8fpu4h7VDNYBN8T9i7iHl8Y9DhwJi3XRdzj +G4PKvtlTv7uIe9QxqBvif2aMq7hHAwPnTv6fN7uKe/xi0GFDZfkzV3GPRgaJ +pf8km7qRHyy+/x7S1c+N/GCxNHSnw3k38oOFQ9Q6ZwN38oPFx2sDpA7u5AeL ++l0PTme7kx8sbmqvG35xJz9YvLa2lIzwID9Y5FXtbBPhQX6wmBH19dBdD/KD +RUhcQs8enuQHCyO9q9YenuQHi1exlycf8iQ/WLj73Nb/4Ul+sNic0He7xQLy +g8WCqMaKmAXkh/D6/NJ/li4gP1gMlE962msh+cHCuC+3wXMh+cFigHdy7cGF +5AeLwPvFsvqF5AeL6GMvFZpF5AeLfb3YHxGLyA8WTy5923R7EfnBwraF6+dO +i8kPFuPfnjCet5j8EF5vB0Wr7MXkB4s9FX8uflpMfrAocfKcyi4hP1joqlNS +Vi0hP4SvL3p+pmgJ+cHiVHOnrOZe5AeLjYUOsyd7kR8sZk5q/WizF/nB4nFh +Rr9SL/KDRd3DecO7LSU/WAzV9+3ttJT8YOHr3+NO5lLyg8U8bbTlh6Xkh7Bf +bUOCzJv8YHHsdPLBZd7kBwutLG/7MW/yg0XMm1kT6r3JDxatVE+vDF9GfrAw +/Gdhp6Bl5AeLjmOGcOeXkR8s7sj8e+n5kB/C+/nm9GiCD/nBotuK/vOjfMgP +FvPN6k/e8CE/WFREGrwyWE5+sCjySCqzXk5+sFgXeSt563Lyg0XivOfsg+Xk +h9DTPw2JxivIDxaeG21u260gP1jInHuVJawgP1gsqonKf7SC/BD2s7pm23Ul ++cHCakq72/YryQ8W3VeEdk1aSX6wSDZ0Vj9ZSX4IfQ2607ebL/nB4lFQ83J7 +X/KDRXWSbMVOX/KDhdNp/7JHvuQHi4IXRm27+JEfLPRLWKNZfuQHK/y9/Ucb +70d+CHvl5SU/8CM/hN//1rlnR3/yQ/j/i6x9pvuTHyyy/s1J3OxPfgi9Dtiy +7aY/+SE8330jXPRXkR/C++te1nzSKvKDRZhe1NrwVeQHi8zC0MsXVpEfwtfP +/vq2aRX5weJ4QePjkavJDxbwLc1Ys5r8YPHjd7rmxGryg8XygM1Ha1eTHyyW +VZT8VK4hP1jYVK3qumQN+cFiVedrbfesIT9Y7Nr/rrRiDfnBgjlTv7L3WvKD +xb1uko8Oa8kPFlsWZ6l3rCU/WMzttGXW3bXkB4s5ll2t2waQHywmtfXoPSGA +/GBR2iXtUkgA+SG8n2Y1YwoDyA/h83tAYEJdAPkh3Nfs5RdU68gPFodXfr+8 +aB35IXweTFNkZK0jP1gMLxpr+2Id+SF8/nVyftU5kPzgoF2dazE9kPzg4PkQ +QVGB5AeHwZ9st10IJD849BvdJvhXIPnBwUYaNk4dRH5waF9WVeEVRH5wmMt7 +zskJIj84DG/Tbf+LIPKDQ+NHSVmnYPKDw85hZx9bBZMfHKoG/zoeHkx+cOhU +2GJpUTD5weHCZd0/34PJDw6rPndeJA8hPziUZ3sedAshPzj0Met8e1cI+cGh +w+nJV++FkB8cUrsOTGm9nvzg0LLjTUvNevKDw6Ahro/81pMfHC6+1R+Vv578 +4PBg1sfAivXkB4eSeJNdXTeQHxzuBzzYOm0D+cFBXjTAI2wD+cFh/ksz4zMb +yA8OMebytJoN5AeHvY2DWg4MJT843FFOnjwnlPzgEJxbsDAulPzg8Nwoxv1S +KPnBof6XduSPUPKDQ8czf74qwsgPDs+CX4a6hpEfHE7ZHaxNCCM/ODR1jxt9 +I4z84DA6ImNBUxj5ITz/gS29+XDyg4OL04sZC8LJD+H1e080Sg4nPzgovdyP +3g4nPziMG2+r0o0gP4T7WWwWPSSC/ODQLVh2cWEE+cGhomHG0+QI8oPDjZBr +d25HkB8cflocyWoWSX5wWDHedJY6kvzgYLfQ6oNnJPkh3C9PzeykSPKDw4kH +PXNvRJIfwn3oq1faGEl+cCjbaVSu2kh+cGBcFt1y2Uh+cEgM6J+0fSP5wWHY +ZedxlzeSHxwG1o+4899G8oPDptwyc9Mo8oND7bgpYQ5R5AcHZ5OTB6OjyA8O +aW7mpwujyA8OKztXZ32OIj84XOlV690rmvzg8HaEa/dp0eQHh/wOc3ODoskP +Dte6/eh4KJr84PD6qP38V9Hkh/DzOiVsbh9DfnAomHMrRRNDfnBYu7r75mUx +5AeHg+OSnNNiyA9hr5UeHe/EkB9CL0m7cv/EkB8ckiW2PZWx5AeHN34HVs6L +JT84GB05nx8bS35wCNHuLymMJT+EfntFXvoUS35wYI29s7ptIj84rAkLcJ+0 +ifzg4KZ7vdWqTeSHsLeu76acTeQHB4tn2+sebCI/OBheHAvdzeQHh/D7KUuZ +zeQHh9zm/wY6bSY/OEi5Uz6xm8kPDqd75E84s5n8ED5/5h9p+rCZ/OCgWl+e +3CmO/OAQ+caux9g48oODye3BQT5x5Idw3xf7XEqJIz+Efq5Mqr4eR34In+d3 +r/2qjyM/OFg5ttMO2EJ+cIgwkVyYsYX84MCfHBYQtIX84GCeOrPr/i3kh/Dz +hm5LerSF/OCRfLVdU/Ot5AePbwHPJjFbyQ8e1c6dVzluJT94JC4oi9q4lfzg +sXcxs75gK/nB4/RAzbzXW8kPHi7Du/Vut4384OGsenhx6Dbyg8c5n03T3LaR +HzyaT591dvM28oPHeL1JRme2kR88XPetsXq3jfzg0W287tIO28kPHmZFDctH +bCc/eBwrX+nouZ384PFqQbR863byg8eGKpuKwu3kB4+m6jeB77eTHzzWPZvZ +1CGe/ODRrNlpzxHx5AePtARJgUc8+cFj+5nCD3Hx5AcPzxXhzc7Ekx88jPfv +bvY2nvzgITXvUmmwg/zgoX2sf3zoDvKDh79y00KXHeSH8PtvZ/6J3kF+8Oh0 +JCy4YAf5weOuj9mHFzvIDx47Im4OaZVAfvAI7+O4hEkgP3hEzPgZ6ZBAfvDQ +9Pg3ZkMC+cFjqPsJ//0J5AePut+Nk0oTyA8evdP26DYmkB88krQlWQMTyQ8e ++3xXyqclkh/C+993drd/Ivkh/Hxt0ffURPKDhyIyXF2SSH4I92+fxLEmkfzg +scDv7JIuO8kPHuna2W6aneQHjwd6+mMX7CQ/eOHv0Ur9uJ3kh/B8FrYqPLGT +/OCFvz/Xzny5k/zgcTnAtrRFEvnB4/CLvFGKJPKDx/ULm+Jsk8gPHr8ijK4H +JJEfPCYun6bNTCI/hNd/bMaPa0nkh/D8vZjqL0nkB4/O2Y03uuwiP4T7a31z +2+hd5AePwdMOWXjsIj94fPE6/SxmF/nBw8+tcd7RXeQHj11lm6493kV+8Jg9 +M7B30y7yg0eZ/UvHgcnkB4/oaRfDpiaTHzxmRI1OWJ5Mfgj3Y4rr1sRk8oNH +r2L4FyWTHzzO630e9yaZ/ODxsY9/Y+vd5IdwX1p8TVPuJj94WOz0ltvuJj94 +WOboZK3ZTX7wKKo81TxtN/nBI65t3rRLu8kP4b5lV4R+3E1+8BhntirLMIX8 +4OHDrz7Ap5AfPIp//pdhn0J+CM/7id76wBTyg4e6zbEpmSnkB497xzo2XUkh +P3gcrVGnVqWQH8Ln1XmpaYdU8kN4Pb1apKhTyQ8ek96U/rZPJT949Hu7b2Jg +KvnBo8PLxMCMVPKDxz9B+amXU8kP4fc7/9j3MZX84HHBIC7TII38EF5vs6Bw +No38ED5fMh/OtEsjP3hkTMozWJNGfgjPd3jLY7vTyA/h8/VA49jiNPKDR97l +tOI3aeSHcD93/jZtlU5+8LCSDAiUppMfwv1eMqjIKp38UGPmGKOPPunkhxqt +g37qxKeTH2qUvKnSO5lOfqhxa0D99yfp5IcaU9/I7v1OJz/UWHUkKalPBvmh +Ru4/06dZZJAfaszxd6pxzyA/1JhrUbouMoP8UOPq1eL/8jLIDzVkVvy8mxnk +hxpbm484XJ1BfqiR0OFDTYdM8kON9VETevKZ5IcaNr4Lh9hlkh9qPCyZO2JV +JvmhRtESlTIpk/xQY++wWoPCTPJDDYuGo0+fZ5IfakzzCIn/k0l+CN8vWzTC +JIv8UKO4MuDOmCzyQ41H0pLpblnkhxoflzucC8siP9SYoRrTIzeL/FCj/nKs +e0kW+aGGQ/SU5Mos8kN4nhc2nmuTTX4IzyvX7p40m/xQI3D+ubtTs8kPNVzb +lhZ5ZZMfarQ9nrFzUzb5oUb7eWYuB7PJDzV61qV1uZNNfgj3weVzYU02+aFG +hb9kWocc8kON5k3ut5kc8kONPgX5I2fkkB9qzBprmLQ8h/xQY5xl4putOeSH +Gh1d7HoezSE/1IhqOWvs/RzyQ43eBbn2tTnkhxotS20dO+bWaP4PFz+jkw== + + "]]}, "Charting`Private`Tag#3"], + Annotation[{ + Hue[0.37820393249936934`, 0.6, 0.6], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[0.880722, 0.611041, 0.142051]], + Line[{{8., 0.}, {8., 1.}}]}, "Charting`Private`Tag#4"]}}, + "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + ListPlot, "GroupHighlight" -> False|>|>], + ImageSizeCache->{{4.503599627370496*^15, -4.503599627370496*^15}, { + 4.503599627370496*^15, -4.503599627370496*^15}}]}, + Annotation[ + GraphicsComplex[CompressedData[" +1:eJw013dcjX8fx/GMZI/23uOMxhkZWeeNiMjOzoxCEkISycooWYmGURQZCUkq +RUO7bCpK0h6Unyjjvu6H7+f+5358H+mc61yv63nevwxWbpy9urucnNxADTm5 +////3ZyhRcIBipBj/0u98G7sWjVF1F2UaHk43pQ93bYrLtpAEdtC2v5z9kuS +PXMw0K8WKuJdkfb4FsvHsnLjrOMGwxTx/K5r8ZmuTFlNl2u3ZVCEj72tT5Bj +juzL8/6bw+0V0cNL59utBfmyzmu3q97NVcRnf9uHampFMnm/uXPUlimid/2t +WfHTS2SDFvzImLtWEct28VSWej+TaViFW5/cooinU7809D75XGbUC1eKdylC +5bnfoFVnX8gs339SGXBIEYVvnBqOB72Ujbjnf9D+pCKq+vV2nrHjlWz8UWGH +f7gi0rZUrhow/7Vs2spil6xoReyoKEz/zX8jm2ez5W33eEU8G1s61Lj9jWzF +YLUpSFbEi6cm7UvvvpWtr32YtCtLEdcWhjzbsP6dbOujpYLkYkWcHnfxdYdW +qcw3uHvYj3eKkMhV7ArKKpUdcYvuN6xaEfaHv2ncdy2TnZ5g77OlRRE/LWYc +/CBfLjuv2dJ0+4ciJl5YOmdjRLns6tcTTi3dlTAo98jRTKv3sn89lNBTd7OZ +OPW97F8PJcz76jRebtIH1kMJXmXFWtvyPrAeSjjZpfilxL6C9VDCnK6DcVOe +VrAeSnjXb6FDX/1K1kMJL9zbPt1eVMl6KMF1nUH+rVOVrIcS3ldcOqSeX8l6 +KEGaEWzUrdtH1kMJmqdh7z30I+uhhNj3altOu35kPZSg8evtz6WhH1kPJRza +MsymLu8j66GEjfVnLo3p/Mh6KGGm9rTC9bwq1kMJH1XOntztWMV6KOHV8Vwl +P78q1kMJScE3C3fdqGI9lNA8/Ojl3a+rWA8lvMl43Rrwt4r1UMLd2Rn7480+ +sR5K6L30Zdq36Z9YDyWccQkIXLr1E+uhDLf/Zm3+HvqJ9VBG/4qLJzLSPrEe +yqjTC7tX8OkT66EMnW59Z6orVLMeyshc9uHwDX4166EM3pAxY4OmVrMeythV +Ydgz262a9VDGf5NixfMCq1kPZcR/66qwvVnNeigjsHdby5mCatZDGddGbu09 +q7Ga9VDGjHGhUdv7fGY9lBFrdkBhkNln1kMZirfUpmvZfmY9lDHNbuOai8s/ +sx7K+FQ8TC3S5zProYy194rljM9+Zj2UEfHB8QL/7mfWg/s8G/2m3S/8zHoo +Y6rc2nGFtZ9ZD2XMbzI9u6lbDeuhjBVvVzlEa9awHspwDsoZ5y6tYT24+9l3 +4aiCqTWshzKcljysebKqhvVQwdKeq9/O3VnDeqigxjArcP/JGtZDBZUFU9zn +XqthPVRwJNG5IDuthvVQgfqsnTXvX9WwHiqwsMurDWusYT24s8vo+u7dalkP +FYTGD21TVq1lPVQQ4Trn5wtBLeuhAs/nBp/HyWpZDxWIF+UELJ9Ty3qowO3G +jQdil1rWQwV3VgwwSvSuZT1U8DD1tFdbYC3roQIfueoFny/Wsh4qmJmqNv/E +3VrWQwWfoiZ2dmTVsh4qMNH7lqr5tpb1UMFmswDFrvpa1kMF185nOYV21bIe +KvhzcGDXz/51rIcKGpc1j9LSrWM9uPdvXer617KO9VDBlSSrtBhZHeuhgtaV +s7apzKxjPVRRNtw8ecryOtZDFZEDm+9M9KhjPVRxOqHYu++eOtZDFYY6a3jn +gupYD1UUrC3PbTtfx3qoYqLayDXqt+pYD1Vkzrn4u29qHeuhil+JBw7n59ex +HqpQ3t36a1FpHeuhivr5V20f1tWxHqro1mOrXcP3OtZDFWn3J9U09qxnPbjr +91//K02xnvVQhW9pyjIX/XrWQxV/O/MrqyzqWQ9VFLb3nyodVc96qOJ3wl/n +hZPrWQ9VBF2d/8XRsZ71UIVXvEEsf2U966GKwLw6+1fu9ayHKmyl7w4u3FnP +eqhi24yqAYn+9ayHKtzHvznacqqe9VBF8txjV+Uu1rMeqvCU/FVouV7Peqjh +z8Dl9omJ9ayHGi49HNffKaOe9VBDVEJsTUVRPeuhht7TxBtRWs96qGFfpvII +38/1rIcaLt9wLAn/Us96qCFzamHGua561kMNBRNtw7b1amA91LBu26Fy6ZAG +1kMN7f339n2u1cB6qCH5i92VWaYNrIcaFm6VCu6KGlgPNbSsblfqGNnAeqjB +3P18qc7EBtZDDUG1me1mMxpYDzW0Dd77XGVhA+uhhgX3A2prVzawHmrYOd3u +0nm3BtZDDQdPFa8fua2B9VCDfPiA+GTfBtZDDR1eT94aHm5gPdQQ7HRmssfJ +BtZDDcsdTZ0vhzWwHmoICRgSmHa5gfVQw2irXkZPbjawHurYW1W478b9BtZD +HS7lC/v5pjWwHur4GV6kNDKngfVQR8G0oP/elzSwHup4mjFZYf27BtZDHf6j +fkV8/NjAeqgDt/sMGN/QwHqoQ6XdJjqgjXqoI8gjJ+lxJ/VQx3833c587N7I +eqij03fV4aa+jayHOkb/3v6hSrGR9VBHlWX/ykzNRtZDHVf9DN6cNGxkPdTh +/nN5PwdBI+uhjvV8o4x2cSProY6utu0T/G0aWQ917nmwLFEY18h6qMPRsfT6 +1smNrIc6jFo/qD2b0ch6qKOP5ea5mvMbWQ917Jt15eKspY2shzoUhqwfuX11 +I+vB3a/OiKVH3RpZD3Vsju415diWRtZDA86pK6z3eDeyHhoIfbd60Qq/RtZD +A49G57aLDzWyHhrYs9xuRNuxRtZDA/tXRC+NDG5kPTTQNTElfHx4I+uhAWUD +T7MXkY2shwbmKb+QzL3WyHpooMXq99esuEbWQwPtkpEhvPvUg7se8845PinU +QwMT/75b8PgJ9dCAU3bMq44c6qGBEj2Xn3rF1EMD/Rc5ttm8oh4amOLf3Dyx +jHpooGZbk7rtR+qhgZjguResa6mHBg4kbLqh1kw9NJCRWr6uuY16aEDe1rfn +/R/UQwN9B4VEbvpDPTQQtS9pg37PJtZDA5G/Xgc+6dPEemhAMnCsYMGgJtZD +EwsSzq/9qNzEemiip+eAzUs1m1gPTSxa1GttsV4T66GJ6783brE2aWI9NHHF +6XxCoKCJ9dCE+IHjnDKrJtZDE37TCjfqDG1iPTSRMeCOxdyRTayHJj5N5Mf5 +yppYD0284r1QuWjbxHpo4umCwr0JU5pYD03cXpygmj69ifXQRHD361/T5jSx +HpqofzJbmrCgifXQxPrubzsvODWxHpp469i6Zs/KJtZDE1l33gbPc2liPTTR +L3jFFUO3JtZDE7/Vau9UezSxHpoo7rGgLHxrE+uhic3Fw0ZN9W5iPbjPHxLW +0rq7ifXQxIrew4Yc3Uc9NLF0/IxH2oeohyb4N/MHXwmgHlr4mGVuZHyCemhh +yceTg0KDqYcW9D8/au0VSj208OO70vt156mHFlYYnfuSFUk9tDCoq3O8egz1 +0MJ9s8CmFdephxacfkyVj4qjHlpw/fz+ctld6qGFo/2Dq/o9oB5aKL/s8Uqa +Qj20MHq97uk56dRDC9YKv6zXZ1IPLRx2VS/yzqEeWriqM3Tr3gLqoQXVqKey +vSXUQwsqNzrHe7+kHlq46Bx3at1b6qGFLzd2T5pTTj20cGn6w43WldRDCwlC +d6OB1dRDC7tdVmytrKUeWrhxWG3H9UbqoYVTYc/GbWylHlp4JguqErZTD21s +yRzk/vE79dBGqtWL70Gd1EMbcXZaQcP/UA9trDvdNPltt2bWQxsnnQYN2yzf +zHpooyBysKt8n2bWg/v3gyZ8OdG/mfXQxrb6tAq1wc2shza0f7nYhSg1sx7a +iNxVPXywWjProY2eX2Me79VsZj20IevR+q1Fp5n10MZ258cf5xo0sx7akIto +C7ln3Mx6aGPDB0Ozgbxm1kMbt0vSzq8QNrMe3PUtlh8YZ9nMemjDZ/2ffd/F +zayHNlruHRg0Ymgz66GN8ZsmpW0Z0cx6aMNOM/XStVHNrAf3+wYjst6NbWY9 +tKF2+e3wnuObWQ9tZGmdUuBPbGY9tDGiv2j85MnNrIcO/G5O+2/F1GbWQwdr +Ty223Da9mfXQQeKsK537ZzWzHjo4ojPF5dhc6qGDwvVj/E7Opx46eBMw0/HE +Iuqhg8kT+V+OOFEPHQic7Zb6LqceOtgyr+cN91XUQwfViac+L1hDPXSw/GMP +tbFrqYcOcjzHTtN1ox46WFDT+8RPd+qhgzs95L8Wb6IeOtjjFb/9kif10EHJ +zHUi9+3UQwfiHWtNh3lTDx2Ejn7q/NOHeujAKN37e6Iv9dBBjM+imk17qYcO +pt3XlpkdoB46UGib3++tP/XQgcbafY77j1APHUR5d5iZB1IPHdhptQQUB1EP +XdSN33fM/ST10EXwgoRhfYKphy6uhq8OuhBCPXShdmJBpDiUeuhCcfmwHWnh +1EMXNTiqM+UC9dDF8LKnZ4suUQ9dnLs67tuMy9RDF4fnSm0Ko6mHLl5qpnpM +ukY9dLHd+HNU8nXqoYtvVSFl5reohy4s1l3VD71NPXSRn1Oys8dd6qGLocvu +/OeaQD10oTf09Zm8ROqhiySHmy68h9RDF3s7j3vsTaEeuig0z0h6+4h66KI5 +y32q8DH10AWvJsbCO4N66GLpSDfXrCzqoYudk6N6DMihHrq4+VBXYVYe9dDF +lmuh3icKqIcefKpTVxcVUQ89LB+pWaLwjHroYXya+eMxL6iHHqYqLoXHK+qh +B+3I7o4X3lAPPfC/LOqV/4566GHAi1OL28uohx6eJ2YvVv9APfQwrurngJGV +1EMPvBv6OxZUUQ893MvQurylmnroocQ1KfhoDfXQQ8i1hLkX66iHHl5HPKyN +b6Aeeoi/tNwxvYl66GFekOXl/BbqoYeKjnOlL75QDz3MWqzw920b9dBD1rI8 +tbJv1EMPyQqmwtLv1EMP0bXTZK9/UA89RCxasai4k3roYfb9rXuzflEPPdjs +Dkh98Id66KE9+OyQa3Itspc/ciy3d9PH98279p/p3iJbWK1ZG9xLH7sdBmn7 +9WyRfSh2u3Cvnz6yZip9cO3VInNOfjT/xWB97MmTlTj0bpHVRw8e3Kaij7sL +R/+w6tsicz+5Mmewlj6eaUcuHtS/Rda+694eK319iCq6/jYOaJF5re1lM91E +H68nZjdkDWqR/Z674KubQB/hXRsNIoa0yPYi9tpRK30klC+8tkmpRaZg/mtF +rLU+Ag3e+E9QaZEFqk3XzLXRR9/DFk8U1Vpkij0uPq8dqw+bvcFOFeotspCW +r0d62epjiePIZdc0W2TapRMmmEzRx6ppIws8tFtkl7KCuyZM10dJafS1obot +MtP42rsr5+hjYNb+Xx16LbLr4TZufgv0MdyuIDfRoEUmOnTU+KKTPuxHrVXd +atQiS9jyvvzRSn2UjR1Va2XSIhu1zCr4vYs+Rr9VGVdn2iJLt/dz+OWmD82w +dN55Xots4rAX8lqb9XFxWkfkLEGLLM/A5JHNdn0MUFh3r7t5i2zGgO3bFvjo +49WS1BXxFqyHnz6w80ickxXrcZD7/NOWRSqIWY+j+jh/7tHYOAnrcVwfFkL9 +w3OtWY9gfRxs+HKgYyjrEaaP0m6nbM4OZz0u6mPwL6erw2xYjyv6kFaff/18 +JOsRqw8357M5bqNZjzh9nK7eu7fnWNbjnj7mXA3oHSpjPZL0Udn0bqnFONbj +kT4cex04/Gg865HJ9fU5H+Bgy3rk6qPTzMKtdCLrUaSPkQ6W5qvtWI8X+nBP +Ci5pnsx6vNWH03sHJ0971uM9dz/qhr/+MZX1qNJH/SehbKcD61GrD+2CH2Fd +01mPJu5+XHf+smMm69Gmj3d3zfF9FuvRoY/18+WDPOawHr/0YbAytLJuLvkw +gLJi0Ihl88iHAcqtdoe+mE8+DBBg2tln0kLyYYBFWoFH7i8iHwb40zNJz2QJ ++TBAypOOAu6bkPkwgKk0OfjXUvJhgCD7DbtWLycfBhjB9/AvWEE+DGAp1k0U +ryIfBviklTco2Jl8GGDPoLTT31eTDwM03cWUeS7kwwDn7I6K7rmSD+767r5w +GLyOfBjg3SW7y+vWkw8DCAMHDM9wIx8GaH9r20fTnXwY4GqVvO7GjeTDANtV +Vno98SAfBhj7e5WW8mbyYYBpCwf1dd5CPgxQY7nC7o4n+eA+f9mM13+2kg/u +/pvlJ03ZTj4MsNQx5dtJL/JhgIEjeh0p3UE+DFApO+Cjv5N8GOBF4KBiZx/y +YQDtnlv3x+wiHwZomOd7oW43+eCuR7vNkLeHfBjgeMWRIWv8yIcBFgu7uUfu +JR8GCLvQa9T7feTDAJP+TNqpeoB8GGDnKFfx9IPkwwClAX2X7/cnHwa455XT +J+kQ+TBAt8/DxE2HyQfX2/TzW52j5MMAyQOcekwPIB8GiP1heNcnkHwYIOd9 +QsO1Y+TDAGmLg268CiIfBpB/atT19zj5MMD54VVveSfJB3c9Z6wnzzxFPgzQ +44bv1G2nyYcB9IRGn0ODyYchjqXvUHt0hnwY4pHuu+qKEPJhiGd9T86QO0c+ +DDFh7ZeFeqHkwxBr7Uf1GhNGPgyR+/3evIXh5MMQc7pCHTwjyIch1g01bQ48 +Tz4M8fLDkeHRF8iHIfac+2GZepF8GIK/M/TV80vkwxDv1EMsaiPJhyFsTw62 +6YwiH4boH2Hc2e8K+TDEi6CmzdrR5MMQYzfsuiKMIR+GyGupPWVzlXwYwj9o +BCZdIx+GuHNm+41ZseTDEFaG10oXXycfhqgXFRY43yAfhlC4WbHf7Sb5MITq +trc9t9wiH4botv76dK848mGIP1smO/vcJh+GcAgIs/ONJx+GaMoP6Nxzh3wY +Qm+TnK/fXfLBvX/969d77pEPQ+77tqmHbwL5MES1tVZvn/vkwxDzTYZ/3p5I +PgzRb4RixOYH5IPrP8db7JZEPgzhlmVz2fkh+eD+/RS1jsXJ5MMQPMXXwtkp +5MMQ214OtbVLJR/c/S5oGzfqEfkwREJ2lqllGvkwxDiB8ze9dPJhiAt/fG8M +fkw+DPHj29OZck/IhyEqN9ZWtTwhH4awPnrQuTyDfBii1cj+TU4m+TBEVcWL +0feyyIch5g19cuZ8NvkwROjm2zX+T8mHIfyyhll65JAPI6SOb3Cfn0s+jDC8 +eUnsmDzyYYSJqTpVhvnkwwgvYh6oKRSQDyN4Xbk/raGAfBhhY1LE3oJC8mGE +wB9fHt4sIh9GGHxc1BFYTD6M4F7wbsSGEvJhhB76Ln5Tn5EPIyTwZz7nPScf +RtiT3MdC/gX5MMKPSvlTlS/IhxHiP9+VT35JPowQsnjPwdOvyIcRRhu8Ut3w +mnwYob+rxgPbN+TDCFsexq/Xeks+jPC9m5z061vyYQTrwFWDst+RDyNUqth0 +Dy0lH0aIyi7tv6GMfBihHRdFsnLyYYS3kR/cB78nH0a4FFCXU/mefBhxez0Q +tz+QDyMsNY14tbuCfBih6WXlkWmV5MMIp5QMV2h8JB9GiF4Rs/DzR/JhhNyP +97beriIfRsCygPven8iHEaRzNujYVpMPI5TXn77e/zP5MEKcwtiVLz+TDyN4 +TL80PqyGfBhhnOF/DitqyYcRzt3acMC0jnwYwaZxdENDHfkwQr9LJ3fdqicf +RvjtHo5NDeTDCFlFB6yljeSDuz8aXou+NZIPIygEht6910Q+jDBthuI4z2by +wT2/nt17SlvIB3d/ugX8/tJCPoxws73Q8lYr+TBC/rjPZ9d9IR9GOFHVNNr0 +K/kwhkvJb62PX8mHMbIHWA0PayMfxthzLThobjv5MEaPDFvTAd/IhzH2H3P4 +lfmNfBhDsDBN0ec/8mHM/fdLzDrJd/JhjIqEIfJ138mHMTL3KXwK7yAf3PuV +HJef9YN8GONV5N0NPX+SD2P4Szy1E3+SD2PIml4qru0kH8ZYuvX1LM0u8mGM +orjtpXld5MMY6akJN71/kQ9juMoHFPB/kw9j3LL+Nvztb/JhjBLbmi8H/pAP +Y/TaveCH5C/5MIbiTtmMir/kw5j7e/7Y9yNyrcyHMa6/H9o6tFsr82GMoUNN +R1Zy538+jLF9lMPbw91bmQ9jbFwSkifp0cp8cNe3vnVIGXf+58MY86PHxu3t +2cp8GMM0yS2KL9/KfBjjb/qy1mLu/M+HMQJm/Dq1tVcr82EMK3eTk5oKrcyH +MSwupTQ84s7/fBjjfcOl8yt7tzIfXJ+iu7fk+7QyH8aIm1usdZU7//PB/bxf +Sc2Uvq3MB/d+A44PbuTO/3wY45tc3bmj/VqZD2NM1YnbJ+zfynwYQ2KRXJTH +nf/5MMbRE5U71w5oZT6Mscy27ajCwFbmwxjJZY//XubO/3xwz0OA3ttxg1qZ +D2MstihV+cCd//kwhq1NWtKOwaxHmzGOPA/NVB7CenQYY0PW8OFxQ1iPX8Yo +O7JMcYoi69HNBLpTS+dVKbIevUzgX+kuv1OJ9ehnAsXSKl0lZdZjsAnkdndG +xSqzHiom2FjgFTxOhfXQMkGDn963Nyqsh74JvmvEpW9QZT1MTBD0rKaruxrr +ITDB7Wv7o0PUWA8rE2SunfhYqM56WJtAJ7J6Wpo662FjAqdb2vazNViPsSb4 +mBidVK3BetiawODByPBtmqzHFBP03xnUpqDFekznfv/SotSzWqzHHBO0RM78 +zdNmPRaYQP6Z8d0H2qyHkwlCFhyusNNhPVaa4JCt1b7XOqyHiwmafbPPO+uy +Hm4m3N/rvyVtuuTDBH5/98JXj3yY4Mix7jn99MmHCfop8PJC9MmHCbJfRdgZ +GZAPE0TPHTDulgH5MMHLAt3EEYbkwwQlXjtinxiSDxP4HszQmmZEPkwg0Yjs +98qIfHD3e2qSt5Mx+TCBVHJj7Wdj8mGCteXa79xMyIcJ1sy/kdtuQj5McCGu +c5S3Kfng3r8tWiRnRj5M8FU84+oBM/JhgiH7jkf15ZEPE4zroWAUxCMf3PPz +1sFMiU8+TDBW+vf2GT75MEHZzHvpGgLyYYJnPvLzwwXkwwRvFI946grJhwlO +7nmrcVFIPkyw0u6gnYE5+TCB/h+zP5fMyQf3+rNnjTW0IB/c7/cP7h1pQT5M +Udf/gJOBJfkwxdtZd8ZctCQfpljRceOarhX5MIXYpmdkuBX5MIWD5yQzTRH5 +MEU8r9vIEBH5MMWXzvRSJTH5MMXzhCF9j4vJB/f+U3fn9JOQD1OYvDuu6i8h +H6Zwu/z8Wzcp+TCFrkHBKh8p+TDF1S89nb9LyYcpulf3+L7RmnyYYvTv6Zr1 +1uTDFN891j9bMZR8mOJTzHut0qHkwxQAv3PWMPJhiimDnrnlDiMfprhtON8T +w8mHKfo9GT0kcTj54H7fo7utxQjyYYq2JrMBUSPIhyk+DzXfoG5DPkyx6lCg +c6AN+TDF+ZW57d1Gkg9TDDiwRGvbSPJhiqz2J2X1I8mHKV51bB/qNIp8mCJE +/zuvZBT5MEWviYn3x48mH9z1HrCuuDeafJgi374m0nQM+eB6TbXtfnYM+TDF +1yNZv3uPJR+mcFxQGbxjLPkwRcecvvn1Y8mHKSa4P4hYKCMfpthSv3Rgrox8 +mCJv0SadESAf3Pn6yvxokA9TuGpdUVcZRz5MIZPFyu8bRz5M8bjnp5NfxpEP +U6y8k5buNJ58cD+viwvKG08+TPEyylBu+ATyYYqBow8qRk0gH6bY5TL56UBb +8sF9/pGvdb1tyYcZlIThGp9tyYcZjg3o9WDGRPJhBk1N386kieTDDOcbN300 +mkQ+zDDOb/KGwEnkwwy7ZW7nv08iH2YY9nXi1mV25MMMqTlj2p7akQ8zfF6e +rCKaTD7MMPCRSWXIZPJhBt6MT7P+TiYfZkhpWbh+zRTyYYavn1rMC6eQDzMc +/dQrRGpPPszQOLhX7Dl78mGGQX9nrPlrTz64zxtvV+A8lXxw54gZ5blTyYcZ +HsXmnrGcRj7MMM1a7dfJaeTDDG/7nOvfMY18mGGNR1zOIgfyYYbpRg9FjxzI +hxkEjuoTDaaTDzM8uc3rtX86+TDD94VTPGumkw8zxLS8CZo8g3yYIcxfvDB2 +Bvkwg0vSnZJ+M8kHd33Bid/dZpIPM2jHhuUXziQfZlCXfzjDchb5MEORZMO+ +Y7PIhxnaZINcWmaRDzPIHv7sdJhNPswwOf6A7OZs8mGGOYn9hvafQz7MIKz6 +VLZuDvkww8/81da5c8gHd/9P1401m0s+zJBc8aRr/1zywV1fmf36qrnkwwyv +bV4HyhzJhxn6jHi4KtyRfHDXGzq1+Ycj+TBDVvwHgeM88mGGLfOeasfPIx9m +cGtZkNF/Pvng7t/6Gl3X+eSDu1/TnlhlzCcfPAyMGPtdZwH54GHCzxubvRaQ +Dx56HV165fkC8sHDpf6Xj5kvJB88qOcWWh5cSD54qAoXHqxYSD54iPreP3jE +IvLBw4c36YtPLCIfPMS/Ci6tX0Q+ePDTKB0yfjH54OHOirJuoYvJBw/zutfG +fl1MPngo+zxlwJQl5IOHR4Ez+ReXkA8eJEp2f78vIR88PDPbEeTgRD54GKcq +KY1yIh88fH6SUvHTiXzw4HNj1cUZS8kHD4VNHtpXlpIPHuxtLOd2LiUfPDz4 +VG03Yxn54CG/9H1H1DLywUNR7ArnH8vIB/f+ux8ETltOPnjYpivwvLicfPAg +/fZb7dty8sGDQ++dO+xWkA8ebHvWnQ1dQT64+yE5uq15Bfng4f6eWEWsJB88 +fPE5uuHkSvLB3f+FW/yrV5IPHjKbopcPW0U+eLC6ve6n/yrywf38SffZ71aR +Dx7u1t1ZJ3AmHzzoBjyasNOZfPAQm+r6Pt+ZfPDQd+i34dqryQcPp2ZFzXFb +TT6419d8IEpZTT54eAfP4n5ryAcPM3Q0RIvXkA8eNt+tnR27hnzwUH9bf8TP +NeSDh+HuPSvtXMgH16v1uf0ZF/LBw+9P+V7VLuSDh/CrluskruSDjw2TRurt +cSUffIg9Lc4WupIPPi6fmfRKcy354KPH44zXLmvJBx9HEqrC760lH3y0f640 +67aOfPDRsaVru8M68sFHnnhj4Ll15IOPs5Ferp/XkQ8+unXN6SNeTz74OGMw +xsNnPfngY1bcxoin68kHH4O+mJ5QdCMffCh8ipjq5EY++Jg4alBhjBv54EN3 +eYJKmxv54OPqhjyT0RvIBx/CoYd+H9hAPvgIHcOLKN5APviQz/zUU8OdfPDR +J6XdeqU7+eDDtuS4xXV38sFHzsr2lnZ38sHHwcA5O0ZvJB98pBvWF+zfSD74 +2Hmzsb5gI/ngo2bTyRJlD/LBR+EGZb8lHuSDD7mFV7uiPMgHH5lX9qPRg3zw +MdKvYoZkE/ngI/dFC3/HJvLBx+FxdUVpm8gHH7GCbrJem8kHHx+uu3tP20w+ ++Eg5v9rv5Gbywd2vUM25bzeTD+792x636WwhH3yMSA5yWrWFfPCRkJl4+uoW +8sHHlAsbzjZvIR/c/QutdpV4kg8+wjJn9NruST74sG/+6JHsST74uBtVePWv +J/ng4+/wibcmbCUffOxK9vbz30o++Oje7aJh/lbywYddRM2JgdvIB/f+tb7F +s7aRDwGO/wgvO72NfAiQdNP9/ptt5EOA6GiT5ZrbyYcATZPa3i7ZTj4EENzv +aXBhO/kQYHVlwIiP28mHAEu2PdQz8iIfAtQ0p7x29iIfAtjtzXCK9iIfAhy0 +/n2v1ot8CJCbf7act4N8CBD5KvPl2h3kQ4CN0XERsTvIhwBXFvoPb9xBPgQw +f74jUuhNPgS49fDeh/Xe5EOA5gOrm657kw8BlDYm5Td6kw8BFLzf+wh3kg8B +Urb8+btuJ/kQYF/bZMfYneRDgMXz6n3qd5IPAcbn9tvO8yEfAuyVlU9w8SEf +AnTzOvjpig/5EODkr6Hzqn3IhwBjDFXDDXeRD+7nfWYnLN9FPgT4el458vwu +8iHAu9BDK8t3kQ8BpHvfftfYTT4ECI+2XDZ/N/kQ4I5pQtjp3eSD69USfOPZ +bvIhgHJi54mBvuRDAEnvAdOm+pIP7vWHfy/19yUf3OdxrRib6Us+BBgZ+HW7 +3B7yIYC3q+PB0XvIB9fziHSd1x7yIYD63HtG9/aQDwFU//t7p3UP+RCgbsNY +daEf+RBgaFDInDV+5EOAcZ2S1Zf8yAd3fQYjHMr9yIcAC+yK+qntJR8CrPHT +vjRrL/kQIDVhyoCAveRDiJvhPjOz95IPIRLSy9bJ7SMfQmTsDlwych/5EGJn +rwcmnvvIhxAbF/ll39xHPoRwnTlIVruPfAhx4YR/kP5+8iHE79BBDxbuJx9C +LE8teHByP/kQ4plO9Yn8/eRDiKcJ3hN6HiAfQqyek1A4+gD54K733APR1gPk +Q4gauWtuNw+QDyH8X8f6fT5APoTIHlO1Uecg+RAi1mrLcMeD5EMIh51+bwMO +kg8hNLJFczIPkg8hugVcjuk6SD6ESNOTey3xJx9CyF9f82GtP/kQ4ollV/pF +f/IhxOx9lbve+JMPIZIX2KgMPEQ+hKgaxvO3PUQ+hMjZVfzC+xD54N5v9ayu +24fIhxARf4t/1xwiH0L8st5Qpn2YfAjhkmN/evZh8iHEWccjvEOHyYcQ12Y5 +nE09TD6EmNov4VPbYfLBvV5sVV/eEfIhxEhpxwCnI+RDiKQ9Ss0njpAPIc7Y +L7iafYR8CHHMsEbWdYR8CGG+9f1dq6Pkg7ufR5b2dD5KPoR4te+w+OxR8iFE +6fLdowqOkg8hyjuXGMoFkA8htitMrJEGkA8hJqrP83cJIB9CKIbFyYcFkA8h ++pV6rCgKIB9CtHY9CO0WSD6EQM+YeOtA8mGOzS8WRrsEkg9z6Jp98QoNJB/m +MA89xC8MJB/mULgy7sHfQPJhjvwIGxPJMfJhjo0Pjnk4HyMf5ujqtSz0zDHy +YY64HTmROcfIhzmGVdQd7jxGPsxRXP1+hnkQ+TDHbtWsb05B5OP//z7ZKyiI +fJij9+GKsvQg8mEOhzEOum1B5MMcL3oawug4+TBH3xzvcXOPkw9zWMzwNDxw +nHyYY9N44aeE4+TDHAJByr6a4+TDHH/cJ/RUO0E+uPux6L2z3QnyYY7uKtFX +tp8gH+bwjk3KjjlBPszRJG+d8+YE+TDHj3ZRrMJJ8mGOfYYFbsNPkg9zVOsb +DHY5ST7MMXD51NNnTpIPc9yVrPmZdZJ8cNdfGCD77yT5MMfPSaVrjE+RD3MM +Pbt245xT5MMcHuGOC/eeIh/m8B2SZBx/inyYoybk1rOKU+TDHH/vzFw+8DT5 +MIdBblLJ6NPkwxw5Rj2N1p8mH+a43zpl/rnT5MMcemOubHh6mnyYI/ev1Zr/ +TpMP7voG9RxnFEw+zJFlOurPzGDyYc79vdkSsTuYfJijV8kI/RvB5IN7fh0k +B94Fkw/uebz2raDXGfJhjlKVcx3SM+SDu/8xI+RXnCEf5hi3qOm/wDPkwwKC +/oW5D8+QDwvM2Nu+p/YM+bDA8TVeWsoh5MMCQyd7nkMI+bCASvjfTrcQ8mGB +uykSnAshHxZY2WCyNiuEfFjAZerXrV9DyIcFtJpurNE5Sz4sMCDNY9SUs+TD +AoYRc795niUfFkic6HHi4lnyYYHHS8sUC86SDwt88bzp1XGWfFigoOv3E8Nz +5MMCpQ7VXx3OkQ/u9W5sl99xjnxYYPS44t9R58iHBSK0upcVnSMfFjgoNYv4 +eY58WGCsq6OtcSj5sEDmnqiS6aHkg7seIW/8jlDyYYFjcp1no0LJhwV+uFi9 +KgwlHxbY/O3D945Q8sF9vgrdPwZh5MMCKSvkG6eGkQ8LFIZeTd0aRj4sIH9a +d9uFMPJhgfGyXcq5YeTDApO3l55tCyMfFqj8O7WHdjj5sMC3A7VzJoaTDwvc +uvDkiHs4+eCeh4K2mJBw8mGBc1sDr6eHkw8LzG+OCa4PJx9cT4/5axQjyIcF +co3idUZFkA8L+KnnJa+KIB8WkExOREAE+bBAx5nAG/ciyIcFeC9W/C2PIB8W +2JIw2Ub+PPmwQGflvEUW58mHBdZ/urjS8Tz54J63oaNm7zpPPixgbDOSf+U8 ++bCAz5g7tQXnyYclFHcmBn47Tz4sUaOyVEv7AvmwhJHOw+MTLpAPS4w9WtG8 +7gL5sITCpCrJyQvkwxJ5uq+XJV0gH5ZweV7kWXmBfFjCtU/lJoWL5MMSegt0 +5lteJB+WeDg21NjxIvmwxEr+utKdF8mHJRw8LnpFXiQfliifP/NvzkXyYYk7 +gwPdWy+SD+56Etdmq1wiH5Y4Pe9nr9GXyAd3/Z+mi1ZeIh+WSJPtGn/oEvmw +xJDRIWNuXSIfljA+HWfw8hL54H7/69uWn5fIhyUK60yu6EWSD0uc/3PVdmIk ++bDE80jPwnWR5MMSvaVhsuOR5MMSozstwxIiyYclPojGV5ZGkg9L1P+qGSgX +RT4s0bHJyswkinxYYskJC759FPmwxEj7duWNUeTDEsE7TjaciiIflnBr0I59 +EEU+LFHkeHnO+yjyYYmvK0fWdrtMPixhG/PF2fQy+bCEf/TbAvvL5MMSn573 +0Nt4mXxYoitg3+JTl8mHJbobr92beJl8cPfjVdapssvkwxJ/i2KD/l4mH5Zo +FfC3GV0hH9znb59lZ3eFfFjCymhYj/VXyAfX61LNtWNXyAf3eraeo+5cIR+W +3N+vrYmvrpAPSzT0Xqf38wr5sARvf/sm7WjyYQXd8vA4WTT5sIJK8La3K6PJ +hxVaDE80HYgmH1YYVf+r8Wo0+bCC/ai81/nR5MMKE5b0udkSTT6sIPbNcx8S +Qz6soP1UR9s6hnxYQctOKWFeDPmwwqruyTY7YsiHFZY1GceGxZAPK6TVLe/1 +KIZ8WCEof/f0yhjyYQXbhcf2dr9KPqzwfNKFSOOr5MMKj2we35p0lXxYQZTT +I8b1KvmwQs8d244euUo+rFCZwVty4yr5sIJsiECj6Cr5sMKRh4eftF4lH1Yw +7Tt/wZBr5MMK/qMulUqukQ/u93dunzL3GvmwgnJt3eWt18iHFQYe69l85hr5 +sMIhj3cGD66RDytM3LNtwrtr5MMKPhcbZnVeIx9cryu2Dlqx5MMK7fNODR0d +Sz6sELD+c1+nWPJhhW2BUwp3xZIPKwxfUORzPpZ8WEGycK9GWiz5sELCIM+o +iljyYYW+zfGactfJB3e9c+199a+TDytEhU19huvkwwq/+mQOXnGdfFhh07vH +Y/yukw8rmA+bs+DSdfJhhftjDy5/fJ18WKF7z7XzPl4nH1bYd7jPyG43yIcV +rLO29jO4QT6sEHchOQ83yAf3+b7VbV9+g3xYIe94P6U9N8gH9zwME4df4M7r +fJxDkuVE6HfWRSmNO1tsDmy37yFC1ZIUrw/cudXl/oxSeRGG644p+M2d7zhV +XF/bW4Tjrh0DdG62yjzn9O79s68Ih5J/jBnNnYdPETsfGiBCxeHpSxZz559j +F6WrDRbB36bXWm/unGK9TztGUYR7RhbO57izr+CG1zAVEepPlzg84M7j9F+9 +zFIToXfhb5M33Lmn6h+Ro6YIK/4+bvqPO2f3Mwus1hYhZbYgUvlWq+xwt5n1 +W/RE8Pg4YZKUO0/t8JrYw1CENUla72Zx54HNly6dNBZBVP5okQd3LqnK+21g +JoKKbGT+Me586m37wni+CNr5oYKb3HlekfZ9mHNnp2avfO6snjlRscRShPys +sffruXNZkrv7MrEIublhVQpxrbKIuJC8FqkIdw2G/DHhzsuvpJvuHiaCmff1 +3rbc2TCsfu8AGxE+bNnefSV3/nxcsSJ8lAg3o/wafbnz1YOjRpmPFSHi5OuM +iDjWAyJ8Td17NDmO9ZggwoiQk+PfxbEeE0WYv1+x/nsc6zFZhJCKgb7Kt1kP +exESOk71lNxmPRxEaBx0a8eM26zHTBF+L1v7we026zFbhCnKzyVHbrMejiK8 +dPi2LeY26zFfhFmG5bGZt1mPRSIMDQ8u+nib9VgiQtpD86o/t1mPZSLIb775 +WSue9VgpQvfrRu9GxLMeziJETQhLcYxnPVxEKBmof3xzPOuxVoSdL1PnBMWz +Hm4i3Jq1R+FGPOvhLsKJEVuu58SzHptE2CWKlH2OZz22iNDjtXpmtzusxzYR +uh5WjdC9w3rsECFoTb/zI++wHjtFmOR4vn3eHdZjtwhDfkbbbLnDeuzh7neS +yCPoDuuxTwS59kkh1++wHgdE2P3j262nd1iPQyJUDpmU+OkO63GEu58+E2// +vcN6BIrw0O3POa27rMdxEW709fEcfpf1OCnCka25sjl3WY9g7n4++drlfpf1 +CBHhWuffq0fush6hIjTr/Z0UfZf1COeeF17nq8d3WY8LInz52+X4/i7rESni +vk8GPf1xl/W4LMLmCBlf+R7rESPCsr4hPlb3WI9rIigH6z+2v8d63ODu34+a +76vvsR63RBhY803H7x7rES+CmrzjsPB7rMddEQ60D0HiPdbjvginJg4b9fwe +65EkQvWBArPme6xHMvf6QyvkeyewHo9E4Bd4vTJMYD3SueclMerMmATWI4N7 +Hp3WTVmQwHpkiRCt9qZ5cwLrkSOCus23fYEJrEeeCOK+Bf2uJrAehSI45rke +eJLAepSIEBv38kt5AuvxXARercb0jgTW45UIl06Pjxhyn/V4w30fPF/wQXif +9SgVYfzdNUMm3Wc9ykW4PHbbsOX3WY8KEY56nnDwvs96VIkQNvXJvNP3WY9q +EQLiFWffus961Iow7GiALOc+61Evwpl7NnpV91mPJhHeyxl/7brPerSIsGWk +Y4JKIuvxVQQFozfrrRJZj3YRTm65qzwlkfX4LoJreXvcykTW46cINvKRY3wS +WY8uEfQCn6YGJ7Ief0SI6esuikuk/RCjrN+F4JxE2g8xJqk6N39MpP0Qo0dM +9vCuRNoPMTIkJZ7KD2g/xHhjcuSyxQPaDzFsqn9nT3pA+yHG6Vzr8mUPaD/E +mMYfUe31gPZDjCPTh1SceED7IcZ4n9yC2Ae0H2KMbl57M+MB7YcY+i+79pQ/ +oP0Qw2vxIbv/HtB+iJF2Rb3bwCTaDzFcChNumibRfohRWe3qIEui/RAj6vvI +yvlJtB/c9csPXeORRPshxsR+yysOJdF+cNfblTn1UhLthxj/pbtdT0qi/eBe +b+zyP8+SaD/EaJlx1bYhifZDDI8ch93dH9J+iDHAc+F1zYe0H2L8UX2ZL3lI ++yHG8w3ZFfYPaT/E8LWwqV35kPZDjFU9hlZ5P6T9EGN68JOSkw9pP8QI8Gu4 +E/uQ9kOMxqUJh548pP0Q43GKcHbpQ9oPMX4YzhvU9pD2QwwlxTHpfZJpP8QY +1qPe2SCZ9kMMC9eVv0ck035wPa7HH56ZTPshxlRRRR/XZNoPMSJmt+/yTab9 +EEPo8v3zmWTaDzH8kr+Mu5VM+yFG9zMNJ7KSaT+4+zm06VV5Mu2HGOtf/B7w +LZn2Q4yjF8xG9kuh/RBjTuamRYYptB9iZDtXu9uk0H6IURvuv31mCu0H93z7 +rfJ0SaH94D6PwZ7Vu1NoP8QYu++zfXAK7YcYrjFhxjdSaD/E8PSPbXuSQvsh +Rl9VzYR3KbQfYijI2tZ/SaH9EONA7Ug1hVTaDzHeN3fd10ml/RAjgT9mqnUq +7YcY3dx6vbRPpf0Qo7/vslkrUmk/xNhtOjNjeyrtB/f861Xyj6XSfnDXr692 +4HIq7YcYX97+evkwlfZDjIq2sxrPUmk/uJ8Pbp9dm0r7wd3fYkW/36m0H2Jc +zfwbpfSI9kOMEt/HyfxHtB9imBxZliN7RPshxoY1H3MdH9F+iDHkxPT09Y9o +P8Tg77l13e8R7YcYe6N6B4Q8ov0Q46Kz84qbj2g/xMgzzRVmPKL9EGOg3djG +t49oP8Sw1c6/0PKI9kMM7Y5tU3qm0X6IoWM4pU4jjfZDjBsfJ/tYpdF+iKHh +uUt+YhrthxjhQ1r2LUqj/RBjZdOljo1ptB9iHBNdXH4gjfaD+/7o+eVRaBrt +B/f6/mcVb6fRfojR8fLi4qw02g/ueZIbHFqaRvshxiL15sLWNNoPMcaZyDp6 +ptN+iJHIH6CqmU77IYaP4VKBVTrtB/d90cvG2jad9kOMT9mXpQvTaT/EkJ9x +leeeTvvB3e/99kr70mk/xBhld7I9JJ32Q4ylmw7m3kin/ZDA9Y1F8ON02g8J +LCYHzXudTvshwYeA+AGN6bQfEvRfG/bwbzrthwTxoXOclB/TfkhgXVr5H+8x +7YcEbqVT9o95TPshwfXJwb1nP6b9kCC9IHfvmse0HxJ09m5p835M+yGBNLT3 +wqDHtB8SvFTTT4h6TPshwSulUQoPHtN+SJCvuXxGwWPaDwlq7wYHVj6m/ZCg +a3nV42+PaT8kOHh+WlPvJ7Qf3Of5VNpf5wnthwSLI08ZiZ/QfkigabdLNPEJ +7YcEsDkvXfiE9kOC6tJf5hue0H5I8OnQBW2/J7QfEjSEBnQPfkL7IYHnqrwP +V5/Qfkjw2mpZfMoT2g/u9SfP8i55QvshQVZrtE31E9oP7vqc17R2PKH94D7v +h+Cwfhm0HxKMPjVqrF4G7YcEhred3kgyaD8kcFrW3WVSBu2HBHFZVs0LM2g/ +uP7dm9ZuyKD9kOCBeMz7PRm0H9z9cOXbnc6g/ZCg/f79mJgM2g8J7AWNfx5m +0H5wnye3YFpRBu2HBOpnVpz4mEH7wV3vsbiCbxm0HxLIYlP+KGTSfkhQ9f6Y +mVYm7Qf3/urmdpaZtB8SqE0IdRqXSfshwXjbinVzM2k/JJj8S26jSybthwTa +dvLrvDNpP7jno+vr4sBM2g8JPJoLbC9m0n5IsLQ+zPhuJu0H9+8fOHdlZdJ+ +cPdL3yL3bSbthwS/KzsDGzNpPyS4d+/FlD+ZtB8S5M1O/TU4i/aDex42pEYb +ZdF+SLDozju7YVm0HxKE5alVTs6i/ZDgxaJdGxdn0X5IoNVj8PcNWbQfEigv +e7VlTxbthwQ18i/qTmbRfkgw8chAxytZtB8SeHkHPkjMov3g3n+5o1JeFu2H +BMsfr19dnkX7IUGE7utbLVm0HxJkfzvXKpdN+yHBsJQUM6Vs2g/u+aibMN8k +m/aD89ZgvXt4Nu0H9/ybB4dPyab9kGCP6ro7i7NpPzivfZPSNmTTfnA/d/HP +9M2m/ZDgqX9p+ols2g8JfB4n3ovKpv2Q4Mga44sJ2bQfEtzINNn3NJv2QwJ3 +k8dO77JpP7jrL+qyasym/eCeJ7myn7+yaT84Lz9WJQ98Svshwa4vYZ76T2k/ +JDitfchE8pT2Q4KMmxZFE57SfnDfVyUn3B2f0n5wnm8l9XJ5SvvBPZ/rY894 +PaX9kOCW2VrdI09pP7izXFdE2FPaDwlSB65WufmU9kOC3Wtu7H/0lPZDggvq +rxuLn9J+SPBWXGv/8SnthwTfHlZfantK+yFByYMXX3rk0H5IsMI8abhKDu2H +FImKodtMc2g/pOi2eufN4Tm0H1IcV19ZPjmH9kOKct05PRbl0H5IcXD1HMP1 +ObQfUix57WLjk0P7IYXT/ODJgTm0H1Kk5lXOOJ9D+yFF3cAZM+JyaD+k2P+n +elJ6Du2HFNs9YoY9y6H9kKJ+eJhuVQ7thxSTjbP+tOXQfkgxrZvgTY9c2g8p +fp4pilHOpf2Q4vK1RA+TXNoPKb79rBcPy6X94F7fdl3jpFzaDyneTxgTMT+X +9kMK/xsudq65tB9SyIlb6r1yaT+kyPJ9tf9wLu2HFMkTDNVDc2k/pJAYv4uK +zaX9kKIh849Zci7thxRK2SGX83NpP6Tcfz9f1SjPpf2Q4umCYf5NubQfUvTV +mNj8K5f2QwppyLupA/JoP7jrX/EjUieP9kMKXs/oNos82g/u+uRrR47No/2Q +Ir8hbef0PNoPKbSshyYszaP9kOL30qm17nm0H1zvz70UffNoP7jXG+M2NCiP +9kOKoWW+sy7k0X5wz4vFpDVxebQfUvS/lLElLY/2Q4qc8792FOfRfkixKuA/ +r4o82g/u/hXc8WjNo/2QYljqiBV/82g/pDBIPmo/KJ/2Q4rFurfN9fJpP7he +o2MVrPJpP6SoXb27bGw+7Qf3fDSIrk7Pp/2Q4ohK9oal+bQfUsRZjjd3z6f9 +kOKL97VPu/JpP7jzKLnTgfm0H1Lo37YfE5FP+yFFimJgxY182g8pXoXle6fk +035I4bCn76CCfNoPKSq/OoSX5dN+cJ9PL9SwMZ/2Q4p90vZLnfm0H1LMne+k +2beA9oO7n3HlRzUKaD+k6Fi69TuvgPaDe/19wkUjCmg/pPAY2SPRroD2Q4pd +YXID5hfQfkhRkmK2ZE0B7YcUJvE+l7cW0H5IYX6oR83+AtoPKbg/L/RPF9B+ +SPF9QOLcqALaD+77prDR704B7Qf3vAetvPq4gPZDitOOejklBbQf3PXpmH6s +KKD9kCLio3d7SwHthxRl5wz+/i6g/eD8j9TtOaCQ9kOK1/c9u2sX0n5I4fyL +1ykopP2Q4nrH2EabQtoPKQpPPHw1uZD2Q4oe8ReS5hfSfkgRM6LtzJpC2g/u ++exzf8PWQtoPKWRyrWP2F9J+cN+nPy4qnCqk/ZBiYF5x3qVC2g8p8qb4+t8u +pP2QQt7+7pi0QtoPKSxCNzYXFtJ+SFHd68GZ8kLaDyn+Ljxu01hI+yHFoUW/ +Xv0spP3gvp8K/q7vXUT7IcXwzee7VItoP6QI71O+36SI9kOK9ukpCtZFtB9S ++HaN3z++iPbDGjlZWzpnFtF+WCNsxex1y4poP6yhvKfqxYYi2g9rhBSbDfcp +ov2wRlmXyekjRbQf1qi+Wdlwtoj2wxoDAxaPiimi/bBG75GhBxKKaD+sUbrg +Ym5GEe2HNWoPblJ4XkT7YY0vy4bIKotoP6yhtGufR0sR7Yc1nnnmh/0qov2w +xuCypvS+xbQf1tAc11ihXkz7YY1LQ/N+mBbTflgj2zaw39Bi2g9rKP4Yrj6h +mPbDGr6vC3VnFdN+WMPbebbesmLaD2tUGeVqbCim/bDGgNNDB+4spv2wxlNx +2K9DxbQf1liw/Xf1mWLaD2scKF/29HIx7Yc1jr/OirpTTPthjbFvJd7pxbQf +1ng1K9a+qJj2wxoG8VYq5cW0H9bYtiL7XX0x7Yc14vM3ne0opv2whs28obPk +S2g/rNFrtXJPpRLaD2tELFSM1y+h/bCG2inJAssS2g9r6Ll7/RxVQvthjQ7b +2tNTSmg/rOGzca9gfgnthzX0Jzg8dC6h/bDGd8WptptLaD+scdPKN8e3hPbD +GmdqmyYFltB+WCPyYEhaaAnthzXWzdsruVpC+2EN4fnbFxJKaD+sURBpopBR +QvthjQ/R1a4lJbQf1jjf8CXzfQnthzV+XnfQaiyh/bBGgOmf9T9KaD+sEXNK +PlH+Ge2HNRwELr8UufP+rA+CreU8+Grvr/vR1iTrGy/6dOojD++sXt2Tv9gk +CwrfG3anhodbyy9OmujTJFM+9HLOs0YeXoYGZE1b3iQ7t8W0/5cvPIyfI2fk +NLlJprvMK3Pgdx4mvIx61F3aJIuyz/Ox6OLh7173lt16TTLeMO2h0+T4kH+v +6bx8QJPspoF78zp5PkbkzZno9KtRJh2QfuVwXz5uTY7H+KZG2YMfQ5ZeHcTH +FzWb/+rLG2VjqlepPlXmw+njBN6IokbZk+KEos8afCweZFGvmt4os0tW8O+p +x0fz070ZnncaZQXRC2VGxnxY9Mw8NuFKo2zWyesd4/h8PPM9fn772UbZ612/ +45Zb8pFZukSkENAoW7J2hquvlA///KxuDb6Nso9zL+mfH8H9++D93TU8G2Vr +0P42ZQwfs7dc1zjm2ihrFE48UTaeD+O1l39McGqUeaiFTOm040OlTvpj6OxG +2X/d67tpOPAxdmfNr2V2jTLvlpEPh8/mQ3Wp0opHoxtlf98FbJ43nw/bPQaf +HCSN/3os4cNniVpIP17jvx4r+JhZnlr6TafxX481fKxXKpiqoNz4r8d6PnIj +7qShb+O/Hh585MQkjL4g1/ivxzY+zl1T/abf0fCvx04+ktdqj8lsbvjXYw8f +bUbPj/tXN/zrcYCPPWeWHlxb1vCvxxE+/nvxrtj1ecO/HkF8rNkVOHx/bsO/ +Hqf52J13LOJhesO/Huf4MEy7/F/fBw3/elzgw3XGxomecQ3/elzmo04rO/K/ +6IZ/Pa7x4fJrkuTY+YZ/PW7x8bJkgOmYMw3/etzlQ139eEq3Yw3/ejzgo/1y +iKzsQMO/Hql8WPos6JG7q+Ffjyd8yHzOr87b2vCvx1M+vBoGFX7Y0PCvRyH3 +edfuvSq/puFfj+d8pA9f7j52acO/Hm/4qHimFX5oXgPzwccvt9sJn6Y3MB98 +LH9uMXGGXQPzwccbt+mlebIG5oOPuZtV+84b0cB88HHGZqf7F1ED88HH89oh +0ef4rEcXd3+SM+fMMmQ95AQYOf2YrpoW6yEvgNyYkfENSqxHXwHmxwse5vdn +PQYJ4LvIsDFJnvVQFmDxBsWf9/7UMx8CCGY0haV01DMfAiif3Xas5Es98yHA +BCOTY1/q65kPAWLr2xdpf6pnPgSwX2+bOKe8nvkQ4J5HqsuZV/XMhwCZ7ebS +6qJ65kMAkx5uVaNz6pkPAXZ72eLi43rmQ4BHSxZoDEyuZz4EWPGu7+iD9+qZ +DwH2nV3n1ftWPfPB3Q8vnYunY+qZDwH0vBwOCi7VMx8CaOWd+ZsbWs98CLDz +6/ePm0/XMx8CDHmf0tPkWD3zIUB2ww5U+tczHwJszmx3v+xXz3wIoKigtn7T +znrmQ4Dk6IbBdlvrmQ8BrnXfP8ZkYz3zIUB+RFdRv7X1zAd3fzetvNy5sp75 +EOBuYWLE1yWsxzkBvmdVhH2Zx3pcEGCTcuiejpmsx2UB9u7YYdlrKutxTYBW +/7JD2hNZj1sClL2r3DJSxnrcFSAvZvXLZTasxwMBPiR3BgVIWY9UASqTPwSk +W7AeTwToldUR32XGejwV4PHcwpoxhqxHoQBW3nuV/LVZj+cCDJxWov9GlfV4 +w13vBbkfFkNYj3IBjnbV7T3aj/X4yD1PTz9fb5VnPWoE2HrzldMiOdajUYCP +1ir78zvrmA8BNA97953wXx3zIUDRplnv01vrmA8BBm2bX2/bUMd8CDGd/0Cr +uLqO+RCi4kKflcsq6pgPIRT7TIn9710d8yFEl9ubqhMv65gPIVQDtnVKi+uY +DyGsKiZWluXWMR9C1CSk7TucWcd8COGy+f7L0Wl1zAf3fpWzMv5LqmM+hHC+ +1h/37tUxH0IMKosf5RVXx3wIoRa0KGZcbB3zIURZ5HrPwVfqmA8hUvxPna6+ +UMd8CPHMLOdnamgd8yFEwAfbM+HBdcyHEEv2H1qy53gd8yHE9oA5srVH65gP +IfpYR4vnH6xjPrjP//iRqb1fHfMhRMRrNcXxPnXMhxA+eWtqx25nPTyEeKEV +GorNrMc2IT7Uv9Gz28B67BTCf5fP+tmurMceIWo/qG9euYr1OMC937ZNvO1L +WY8jQhil+uw7vpD1CPofXXcaTuUe/v1fUqSUzDONEpY1oDQ5U5IiDRQqQkgk +pUiIQjIlCiFkSInmedCskZRSGs2zdX1LaSD1X7///l7n77jv47j3s+vIzrLe +6/R59NpbH5qI247T9rTHIX3YXhf854Ud7ZGpDxWH5w37tYj2yNOHpVHB+yYs +oD2K9OH0n6+hK+bSHiX6MNPrZm3sLNrjtOjnGyudeGca7XFBHypnTUsb5NMe +V/VhmtLj9jkc2qNcH9489t4ZrUd73NOHy8nt855PpD0e6UPdi9kzNXRojyp9 +kDaPWeWvTnvU6EOI+J/kB0q0x1t9eNou/lFLjvb4qA8eaRqCcBnao1Efnj3s +SayXoj3a9OFR0rRGSwnao1sfNDMbdM/+a6f3oQ9nI7sctQba6X2IXo9SkN+B +H+30PkSfn1t3nYf3ttP7MICoR1M0IoXt9D4MgF85vmSwo53ehwGMUpnXv6ul +nd6HASwfM11KoqGd3ocB8I48fZb4oZ3ehwE8zPs0W/VtO70PAxjjvWlVSU07 +vQ8DKJyQPHb283Z6HwagtSHA8/WTdnofoq8/sdJmc0U7vQ8DcL2Re1vmbju9 +DwP4t/7m1TM32+l9GEDNRzOew9V2eh8GcGXNlfF/L7TT+zCA6LNde0+eaaf3 +YQBiT3JXOZe20/swgNxlF/bLHG+n92EAPxdqTH5Q0E7vwwBIdqPyrtx2eh8G +4HJfy2VmVju9DwOYu17820BaO70PA7DqrXpxO4X2CDCA6/a1X/cm0R5BBlCS +tGvpsjjaI9QAwGNuu1YM7RFpAJYjj58lkbRHjOj11Vkfvx9Ge8QbQEPX64qs +HbRHsgHcrx8quX0b7XHIAPbsLfBcHkB7ZBqAdFriR74f7ZFnAN9cTnkpbaA9 +igxAb/+/v388aI8SA1j6KfFomyvtcdoALr/atODVatrjggF07XnSfW8V7XHV +AF5a1iZcWkF7lBvAjN2vx5Xa0R73DKDTU6WkcDHt8cgAhl38p5FnRXtUGUBb +xI8dOfNojxoDmPVr+9Vcc9rjrQGEGEq8LZhJe3wU9XwveFEyjfZoNICjnKK8 +CwLao80AEg+Lzb1jRHt0G0B5qtjZan32PgygMsC7o1GXvQ8D6DCUaPsxgb0P +A/Cbda5otA57H4YQuNJeR0+DvQ9D2Gr32X6BCnsfhvC6bdEcLwX2PgwhIvto +3T5Z9j4MwTnyi/bpUex9GELJ2JWyb6TY+zAEl5E/j4kNY+/DEMxg6CfDIex9 +GMLjLRfPrR1so/dhCKZ6S3QO/G6j92EInQc0J1X0tdH7MIS2JSE3/3xto/dh +CD9d7zaZMm30Pgwh9ZvLocCuNnofhrB94YOq821t9D4MYTDOL+F7Uxu9D0OY +fPrDk+n1bfQ+RK/PPjcm4kMbvQ9D0C2yvvH4bRu9D0OI5IR6K7xuo/dhCKOr +6va6v2ij92EIMtGyShcq2+h9GMIPj7qxw5+00fswhGZfJmh1RRu9D0OI9lOx +uHC3jd6HIeR2TwiQudVG78MQVKXaBn2ut9H7MIRkl5kdjy+30fswBG9G0mDq +hTZ6H4aw4Z/pw6QzbfQ+DKFG8saFb6Vt9D4MoSs16evqE230PgxBYsqZsIdF +bfQ+RM983eWCfNrjtCFYtw/dXJBDe1wwhDF85xfyWbTHVUMwz7QMjE2nPcoN +4YReh/1gKu1xzxDyXTdu3Z5MezwSvT9jBx6TBNqjSvT6VlU7+O2jPWoMITts +vFJ3NO3x1hDkm0wk/XbTHh9FP9+XpZNJOO3RaAjTh9wN2LaT9mgzhBeL3zUP +BNEe3Ybw9GVvWEwg7fFF1GOxn9nYANrjhyEUKp9UyvOjPQYMgTdRSc7Ih/YQ +48BAg7beXU/aYxgH4vRnOTm40x7SHJCb05rX7UJ7jOGAXW5Wf9Rq2kOBA/Gj +Gr20HGkPVQ5IBlo0XbenPbQ5cKzWdqPTMtpjIgeq5mb8+W1Le+hxoPDWlfQj +i9j74EDwbFvTuVbsfXAgPL6/rn0eex8cuDnbO+wAsPfBAasyc62Zs9n74EDp +O+Ob7WbsfXDgsM+fFemm7H1woDplafMCAXsfHIBRX7x/G7H3wYF1I3LrTxmw +98GBB+PHL1yvx94HB+ptF+ZpTGbvgwMS1Q1Nb8az98GBTIM7sge12fvgQND4 +25OXabD3wYHdadfHj1Vl74MD22IPir9SZO+DA3XSRg/S5dj74IDetCDPNWPY +++AAV3Nly4RR7H1wIPrG7TlCKfY+OGD+7fjWq8PY+xD9fWGSu2LE2fvggMGn +9y72/1rpfXDglPN4+Ul/Wul9cKCit/Hwz1+t9D44sMxuHPOsr5XeBwccbnbL +FvS20vvgQKXm0n8hpJXeBwcKWtddWdHTSu+DA69HGE8z6myl98GBy/1NYaPa +Wul9cMA5M35vd1MrvQ8OaHMWL6+sb6X3wQF314WfT39spffBAdspJVMOvmul +9yH6/tUZRiFvWul9cOB4/dyv61610vvgwAX1Ot9FL1rpfXBAP2R/jklVK70P +DkxSOhI9/mkrvQ8OTObN1B77qJXehxG80SnxHfKgld6HEdQsnrzh251Weh9G +//PfP5NrL2+l92EEB2RcN3683krvwwhmhZz0e3Wlld6HEQRcn6hSebGV3ocR +XJXV9H14jvaYaATVR1o97p2mPfT+xzu8ErtTSntwjOCF3By4fYL2EBhBlVuk +zp1jtMd0I/Au+Jl3r4D2mG0E3cKh5Q/zaA8LI3gsLxVUeYT2sDKC66k+T15l +0h62RiCReuDSx3TaY7no9bxvn95+kPZYZQSpLz8u+3aA9lhjBJmb6/+K7ac9 +3Iwgb5b7HNkE2sPLCCIWvh89bh/t4WsE3yfe9hfE0B4BRrCzPn6N1R7aI8gI +jAeuvVkTQXuEGsGPjc8+BIbRHpFGsDIBNiaG0B4xRvBOIyeyOIj2iDcCwzne +mvcCaY9kIwj10jWvD6A9Dol+ftcNLYObaI9MI/CZe2Wkli/tkWcE+zcWXjDf +wN6HEdSG3Xrv7sneh+j1rzwSEevO3ofo9Y95dfSUK3sfRnCI9M+qXcPeh+j9 +33R6+V8n9j5Ef64X3zBlFXsfRjCi1aDV3p69DyOYvnua655l7H0YQe4vG9tz +S9j7MIJAZ7nTjYvZ+zCCtgpOpLw1ex9GsN5z8b0FC9j7EL1eH/nA0HnsfYh6 +DTdOOQfsfRhBpe8a9c7Z7H0YwZ97s2XHz2Tvwwi0pyb4r5nO3ocRLDvz2/iw +iejfV9+vNlmMCxE24F7LbzV/K3sl6JI4F8Y3K/fJc0V/3/CGmvnDuDBk+sye +FYai9+ePlNFrSS6MIF4L0qaK/v5eXoKHNBcmxzqJ1+mK3q925/beUVwwWflK +S2NSq3nRp6h5e8ZwoS86PcttvOj9e1WWN1aOC/Ijvbed0G4113tSO3BUgQvG +pdKlXzRE7+etv6u4ylyQJQtnz1BrNT99UffibVUu5Ezo1otRFr2/J5fK2mlw +Ya7Dzc0vFUSfl6Mhfp+1uDDSJk5GW070fqcVPN40TvR69+mI+48RfX4Snk0c +nMCFgNnLbW6NajUP3f09MnEyFxYv+9I1Rlr0eQrW/KSux4U0h+cf3SVbzcU2 +LTAr1efC65i7OlckRJ8v981pMzhcSLh/9NIo8VbzGMfDX59wufAwdX6Wx78W +c6sld22dBFwoUYqtuvGnxVx6fldJhwkXRo2ev0Sxv8W80kx++I7pXNB54age +8LPFPNlolrvkTC5oWRcJKr+3mC+f5HkrfTYXBn8MT9frbfmvB4jevzb3uftI +y389LETf/3S2cUdPy389LLlQvznd37qr5b8eVlwYU23GlLa3/NdjERfe9m46 +P6a15b8eNly4F6lxfVtTy3897LigmcWV+FDf8l+P5VwYqEtPtvjU8l8Pey6Y +2s5eXfq+5b8eq7iwO0TeS7Gu5b8eTqLnpdJnImtb/uuxhgtdPAUTYU3Lfz1c +uHC8feJP5xct//Vw44JkIe/7k6qW/3p4cOHXb67+jGct//Xw4gK0qGWXPm75 +r4eP6P292mGh9bDlvx6+XPg0NW1c6v2W/3r4cyHbSsNU8m7Lfz0CuMALDI0I +v0V7BHLB1uvcn+83aI/tXNinf6ts0zXaYwcXajQK97dfpj1CRa+/wT3f/SLt +ES76fhuGtHw+R3tEciFWGOWw5gztsYcLE4Rfvr8voz1iRO/3lKV3nU/SHrFc +WFZw8tqH47RHPBdcMqU/rT1GeySKPm9xoVMbC2iPZFF/Xel8z6O0RyoXbq97 +YN6dQ3sc4sJH+2vDtmbTHhlcaF/1++vvw7RHJheeCdOGRqXTHke4sP9xvpnM +IdojV3TPD7kHD6fQHvmivsyqMZOSaY9CLsgEGJ46n0h7FHPB7ehj37nxtEeJ +qM/PuYtextIepVz4KXPByj2G9jjNBfEcU4/ve2iPs6LPU0hHdmwk7XGBC+lp +rV/Ud9Eel7iQ9Xux27lQ2uMqF1ofzuixCqE9bnAhSFBzoD6I9ijnQuA+wZId +22iPO1ww19k9QW4r7XFPdB/rP485tZn2qODCtsrNctabaI9HXDj63nlq20ba +4ykX1qk/XBm9gfaoFPUe/y59ghftUc2FmQk3O+970B41XHjTm7nE0432eM2F +jf3ZDyRdaY+3XHCaxywqXUN7vBPd56byBjtn2uMjFxyVp8X0raI9Pos+j3Vx +04440B6Noq+f1/pj3grao5kLL5pD7vUspT3auKBqFpKZvoT26BR9/q4phs61 +oT26ueBQucdLaE17MFzYXvndOcuK9vjCBemh+asWWtIe30S/D46Xr/5pQXv0 +caHu826v40B7/OJCzJVJwY5zaI8BLmye2pQoPYv2GBT9/lL5WlxuRnuI8WCL +VdKDLdNoD3EeaK3vbZlsQnsM4wFncI3kJz7tIcmDyChp/UNc2kOaB7YLzexs +OLTHKB5cCp0SOMyA9hjDg4X6Kum39WgPOR4cBusrO3VpDwUePI4YrDWdRHso +82DK3uCv38bTHqo8qHzcL3Veh/bQ4MHEg8/Ut2jRHlo8KBpmpMfToD3G8WCd +gi+3V5X2mMCDyzEPuReVaY/JPOj9sk8vWJH20OOBWHm/6kx52kOfBwrankPE +xtIeHB54qMrVV4ymPbg8eBFicz5xFLsfPCipc91pL83uBw/WZhw21ZRi94MH +c+rntbUNY/eDBzOOntl3bii7H6LXnzxVM3wIux886JssUWj9r5nuBw8OFiSq +Kg820/3gQb6YYmRrfzPdDx48+sfUXvzVTPeDB0O0NqnF/Gim+8GD7RlNNiu/ +N9P94MECz0K/Kb3NdD94sHGWRMgAaab7wYOYROct1cJmuh88eLjrj31RdzPd +Dx7c+w4TdnY20/3ggVNsxIel7c10P3iQESMbPqW1me4HDzK/2UgOaW6m+8GD +4Lj9Ie8bmul+iD5f541eXfzcTPeDBwnxCfIHPjbT/eCBesOgmd/7ZrofPFDj +Nlta1zXT/eCBb/FhU903zXQ/eLBXI2zk8NfNdD94EKTSc7/1ZTPdDx7UPLNy +fVjdTPeDBxfkyMfjVc10P3hwbavFrPhnzXQ/eCCpVhi26QntsYcHlp+35ix7 +RHvE8OD4TIVc0wraI5YHwhVd4Rr3aY94HgQKHWcNvUt7JPJAsPVBXdct2iOZ +B++YlOWvbtIeqaJ7GKtbcvM67XGIB/ypXR+Kr9IeGTwYr2vRlXKZ9sjkQXp6 ++qvwi7THER6kFtllbDxPe+TywETtjbHjWdojnwe1aw6WLjhNexTyQGXT136T +MtqjmAdj25zHTz5Je5TwwPXPjHHKJ2iPUh7YhA/+kCqmPU7zgFSNLhwopD3O +8mBZ14eJJJ/2uMCD5PU1oc15tMclHgxbv+54XQ7tcVX0/hR9L36eTXvc4IHu +9r8hFZm0RzkPDK4KtcszaI87os9PI//wpTTa4x4PZH/MaTp9kPaoEL2+hKjB +Eym0xyMe/KzY2lmYTHs8FX2+nCKO5SXRHpU82BCrwTuSQHtU80BC61ZCZhzt +UcODqTvqLmbE0h6vRZ/XsIbS9Bja463oOXtxQHoU7fGOB9Yvkodk7KY9PvKg +KW+C6+EI2uMzD94r7dqXFU57NPLg7ROl8JxQ2qOZB9GvPWflh9AebTx4Wddc +cSyY9ugU/T75O0K1dDvt0c0D7a/LTM4F0h4MD5obTdSubqE9vvDAJZr76PZm +2uMbD+THlM98vIn26OPBnhmrgl/60h6/RD0gNOSDD+0xwIP5H47NbfOmPQZF +v78s7au/etIeYnx4PU1i/F8P2kOcD8rdArOR7rTHMD50KbgrqK6jPST54N4h +c0HXhfaQ5oOO4hWFaWtoj1F8yH/4abqVM+0xhg85heLajo60hxwfnKuKH/qs +pD0U+DCqfrFRmD3tocwHnjDQMXk57aHKh/bo/LmFS2kPDT44lK7qurKE9tDi +g9gn1eVVNrTHOD7wZfx2NC+iPSbwYc2xmjX9C2mPyXzYGl3zd6wV7aHHh1V/ +v6ydakl76PNh1ocLIfPm0R4cPkivyl+2di7tweXDQx1oDTanPQR86HdSNj44 +m/Yw4YN2+unZZ2bSHtP5IPU+aUilGe0xkw/W2bMjOqfRHrP5cPL2gsuSprQH +8CHhCL94sjG7H3xw+RG/ZAGf3Q8+jBR7f9qLy+4HHzY4n3wYy2H3gw/H5GPT +SgzY/eDD/eIBtcqp7H7wQWLg4Eoyhd0PPrh6fLSS12X3gw8/c9yYaZPY/eBD +8quz1msnsPvBB/GMVU5R49j94MONlmaNk9rsfvCBM77l4EtNdj/4sEyzvfy3 +OrsffFAYFZY1Xo3dDz40JfD1bFTY/eCDWn6WT5ASux98SLw8f3W+Arsfotd3 +5O5gpRy7H3yIjy9Y+luW3Q8+uKmFrJg8ht0P0ftv83aYvQy7H3wY2GPnvWck +ux98mLp3W/C5Eex+8GFHxDuTRkl2P/gwf2Bswdjh7H7wobv93A0LCXY/+LAk +zXbPNnF2P/jgeDGkr1iM3Q8+xK58pvD+bxPdD9HnT/i2Xmawie4HH+wWmay0 +GGii+8GHmJyQ4ODfTXQ/+GAYNHPeqZ9NdD/4UHB37LXmvia6H6LPw7ODdWrf +m+h+iO51hlP+8t4muh98KFLql0v40kT3gw9Kd0YbPmCa6H7wQbPPiAz2NNH9 +EL0f1T8cp3c30f3gw4t7f3wCO5vofvDBPu/DuDPtTXQ/+DBvv2Fkd2sT3Q8+ +/JVLip7S0kT3Q9RfOo3j1dRE94MPw082hBc1NNH94ENI5MitzZ+b6H7wwWDb +OekJn5rofog+n/f9rTw+NNH9EP08Qxt0i9410f3gQ9C4gtLWt010P/hQ47q8 +RvdNE90PPrxctT974+smuh98mPnr2/DTNbRHJR90Y8Yp9L6gPar5kJJx6Z5p +Ne1Rw4cfGnZyYVW0x2s+fF2ZJH7vGe3xVvT78/2Yg1JPaY93ovtOCbpv95j2 ++CjqccntYMZD2uMzH1rebBFveEB7NIq+32srWb37tEez6PPfGH1z613ao40P +KpFPh5ffpj06Rfe19zojeYv26ObDOZWfgStu0h4MH6xOjU/Pu057fBF9/kY0 +2PdcpT2+8eGIRc0Zsyu0Rx8fem4eKY69RHv84sNV/ebpby7QHgN8uHXA2mvS +edpjUPT7eOY2zvaztIeYABqeSqZUnKY9xAXwbEpJktIp2mOYAD4nDU7YUEp7 +SApAJuLkiusltIe0AM5XOWjInKA9Rv2PhzgWvq6Y9hgjgFPzIfhiEe0hJ4Cs ++EqpEYW0h4IAdm34ynPJpz2UBZA8ZWXvhTzaQ1UArq4F1tK5tIeGAOK6w6e5 +HaE9tASwuu/AjatZtMc4AUQzUbWymbTHBAHcTvkX7ZNBe0wWQERT2ZN7abSH +ngDyDUwKNQ7RHvoCUJCwGBucSntwBJA4P1y+5gDtwRUAb2xKiWEy7SEQwKLX +uq/ikmgPEwH0zulJbkugPaYL4OHCuPp58bTHTAFkGJ28m7+P9pgtALXgfhOx +WNoDBHC4Y9Is1xjaw0IAx1PrXt2Koj0sBfDm8a0/WntoDysBrJsQczkikvZY +JIC2XXVijbtoDxsBaPzx/GARTnvYCWDPv/eWx0Jpj+UCUOK1mkvtpD3sBTAy +jP/EdwftsUoA4aO3NFYH0R5OAtBzsttnvJ32WCOAiWPCyjMDaQ8XUb9JxZH/ +ttAebgJY+irspWcA7eEhgKcHzpyp9Kc9vETvhzKjZryJ9vARwCz3DrkjvrSH +rwBaS5dkSGykPfwFMC7g5fFNG2iPAAGkbRw3/60X7REogBvpxHeuJ+2xXQA5 +RkKtMg/aY4cAks48W6PsTnuECqC5etakqHW0R7gALu/rDSYutEek6PMqm2e/ +Zi3tsUcALh+/X3+ymvaIEUBFbvHJac60R6wALBd6Tyh2pD3iRX8+vGui4ip2 +PwSw1rLpdLQDux8CGFM29O73Fex+iD7f2uKrPZez+yH6+e+khL1Zyu6HALyF +XpMW2rH7IXq/5pg6XLdl90P0vO/iSEMbdj9En58P6QuPLmL3QwDdUakjFazZ +/RCAm+Ra+31W7H4IQFa3bPygJbsfAtj02SRo63x2P0Tvv8fZJR0W7H4I4MnE +mlKXuex+iN6/ymVJtebsfgjgyuy2Xps57H6IPr8HF3x8MIvdDwFoT5xqPXsm +ux8CWB+20OyyGbsfAhDzcCozms7uhwBy3ygUlJiy+yGAARmB2kQTdj8EcCbY +TyFPwO6HAMi1kANqfHY/BLDyvPz+dC67HwIo2f5tlLwRux+i3wd2NaMOGLL7 +IYCyez5JMgbsfgjgZmzg/oSp7H4IQGp/yRhpPXY/BFDbXyEbp8vuhwDm6UWl +SE1m90MAo09mp+ybyO6H6PM076nsiAnsfoj6f3kyOn4cux8CaGp0SBipw+6H +ACLD9PYmabH7IQBJZ7F/YzTZ/RDAtoXpfanq7H4IoMP6uI+SGrsfAvA/RJyz +VNj9EMDzFP0nWsrsfgjArl75YqEiux+i12O7RV1Pgd0P0e+/hu9/T8ux+2EM +9VWuLiZj2f0whsaIzWY3x7D7YQwSqY3J80az+2EM7jWBbs9GsfthDJy5LadW +jGT3wxiiZb9u+ziC3Q9jEBQ5XvaUYvfDGJJS3m0hw9n9MAaTPP7xkGHsfhgD +eTB+pYQEux/G4KvvsytZnN0PY1AyqNBWH8LuhzF0Lv1hdvxfI90PY5hpf7NS +8LeR7ocxxOm8fXvnTyPdD2NY9mL46iUDjXQ/jOHtqZErP/5upPthDIP84scb +fzXS/TCGsSMyzv/+0Uj3wxhypPLk4/oa6X6Ivp9ZRLfK90a6H6L35+Uvs5Le +Rrofoj83rfpr9rWR7ocxbB0oN3tGGul+GMOI5sSuNUwj3Q9jmPxpQJb0NNL9 +MIZuyaqy3d2NdD+MYcGZe+UKXY10P4zhvk3evBMdjXQ/RN8/dtKcWe2NdD+M +wUugcupFayPdD2MwWj7jgGdLI90PY5CSs2vvb2qk+2EMf6tUzx9obKT7YQzn +ZVy+TG5opPthDLyY+vzyz410P4yhQsH9of2nRrofxlD5uGRtzwfaw80Y9r/b +6R39nvbwMAbZ0sxmjXe0h5cxdFU+fXnpLe3hYwya/TU8uze0h6/o51cJHtr5 +mvbwN4aTM8IWRL1q/H96kBkXY6K7dYToQYa39rzLFgjRg2xrnC5RNVeIHmTI +Fg2zElshepCt+/9aSDoK0YO03Ktxc3ATogfZ+WCxTq2PED1I+oiyGee2CNGD +jP5Q6CkZIkQPMie31UYYIUQPcmjXlxmBe4XoQdTntpNjiUL0IMkSsXHJqUL0 +IKNcjGZPPyxED2JiMvxFcY4QPYhvUmp3Q4EQPciTo5+cu48L0YPoSmZPe1om +RA9y8M7UUbvPCdGD+I0qFspdFqIH+SoYd2DPdSF6kAe5BStrbgnRg2TK1btK +3BeiB7nTuOuA2iMhepDrFqHZSs+E6EHsxv+V6n8uRA/yy1th1sMaIXqQ3KTN +wWFvhOhBrL09GzXfC9GD2Ccujy39JEQP8n0wdN3kRiF6kAUX9i5NbhGiBzkz +LkOvvV2IHkTMTvc0p1uIHuTztLxUL0aIHsRgyrVdyV+F6EGku66NPvldiB5k +hV3U68s/hehB5u7a63i5X4geJCE6S61kUIgepPf39dRkMQY9yIamc7yNQxn0 +IBf3nHEzG86gB9m6tWbvoBSDHsStIf/f5ZEMepAPl0IneY1m0IPMkP5lM3Is +gx5k/MR5D4vlGfQgjPrmT9OVGPQgBqNvvb+rwqAHMT7dKm6hzqAHiWZsMq5p +MuhBhrvMfzNFh0EP8qXCbCB5PIMexCSqfQ6ZyKAH0ZGKfLtAl0EPItFW+ytd +j0EPYnYx8nW9PoMepE/7X+Y4DoMexGPDm6A1XAY9iEzUmfQDfAY9iJPW1gm3 +jBn0IPrn11q2mjLoQXqe6EwYbsagB7lxmmnUmcmgB7l/6OAxk9kMepD5vrEH +5psz6EEe+f67bzuXQQ8yb89f12XzGPQghcfWR9lZMuhBHpsMLl5oxaAH2bA1 +8c1MawY9yDyNj3OmLmbQg1xb+ihP3pZBD7LytKX8ryUMepD86u3n3y5l0INs +n3I87fxyBj3I36Uer/fZM+hBSh8HxTivZNCDBMz4fUvXkUEPIr3YIf2LE4Me +ZNpvO51Lqxn0ICYZY7ZsX8ugB7FdP/4Iz5VBD3K2Mvpi5zoGPchKh+OVOe4M +ehBbs20/bNcz6EGWl9vP6/dk0IOsmWP6oMCbQQ8y+9/+OCsfBj2IzzX3wo6N +DHoQn+8Lxu31Y9CDROp6y+n4M+hB0k7si7m8mUEP4uI7Os56C4MeJOHgn6nv +tjLoQaI+Duzw3MagBxnxbk0ks51BD6Ipo75kWzCDHkSupZ75sYNBD9IePWxz +0E4GPUjx3sz3vaEMepB9e11n+4Uz6EEC8l8VNO1i0IMsqDFQWhnJoAfJNnUt +eLibQQ/SOENruXEUgx4k/Mxno7xoBj1I86M6S8m9DHqQRxLSuX6xDHqQMOlp +FtX7GPQgW9cLZxrFM+hB7pjGHEpMYNCDZH0e79CeyKAHid1zda/5fgY9yOiD +kvy0ZAY9yJlZBa4dBxj0INee9SibpTLoQR6Ia3vEHmTQgyxab2n96hCDHkQl +wKJGI51BDyJVWz1sfQaDHiQjI6L7xGEGPcgLw/L47kwGPYhsyvMu/WwGPYj4 +glq5jUcY9CA2q6tHFOcw6EFWuB5+U5/LoAfZZtsVoXyUQQ8yItBllG0+gx6k +0MZ/T2QBgx7k2uptHecKGfQgen1l8xuLGPQglVLTckYXM+hBtjYp/DI7zqAH +CW8xWO1xgkEPsmHWosr4EgY9iF+K5rKzJxn0IAmnZpNXpQx6EO64KWV9ZQx6 +kOzGuUmKpxn0IKua3mYIzjDoQd6XaL+0O8ugB4lvnDZn4zkGPciKxikte84z +6EG+mLY+yrzAoAfR0lvEnL7IoAd5bqqz5t4lBj3IoVR1pdeXGfQgjlkfdVqu +MOhBYhd/iey9yqAHqb3wYsa/awx6kMsurculbzDoQYpvR1XJ32TQg7hnktPq +5Qx6EK776oFxtxj0IAuOdVyefJtBD7LN7USr3h0GPcgkz+wE/bsMepCq4+fL +9O8x6EE+H622mXqfQQ9if+TBZt0HDHqQ76McVCdUMOhBFn80sdV8yKAHibkq +M0bpEYMexGDddneZxwx6kI/dPxcNfcKgBxmpJF/58wmDHqQxclpn11MGPYhl +/+NjH58x6EE0b2kNq6pk0IPUXY6SvlnFoAexvWl6reQ5gx5k8MVGhfRqBj1I +1Vor7d0vGPQgHL+OjxtfMuhBPnx2WbqihkEPoltyNmzGKwY9SLvEu/U6rxn0 +ICOPPx45rJZBD9LZ67mto5ZBDzLfICn/6RsGPcikE7LppW8Z9CDb/1y1T6hj +0IPEcCwbfN4x6EHWN2ycafWeQQ/CD3+zYcIHBj3ImvX6vn8/MOhB3slLWNZ9 +ZNCDuC/r/XX2E4Me5NbyiLh9nxn0IIqt+r9d6hn0IB4HAxYZNzDoQcQryyOk +Ghn0IOuGhWV/aGTQg7xtHHn0VBODHqR8+cPkXc0MepDj1//4LWlh0IN0jv5t +ptnKoAdZ+WvwV1crgx7E8tuCsittDHqQVn+plVHtDHqQpu7Nv2w7GPQgjmpF +acqdDHqQKT8fGDV0MuhBijq6Hx/vYtCDFL7X9/DvZtCD/HRKETPuYdCDLDkz +vvBXD4MeZN/vepubQgY9yBar+/92MQx6ELFzd8qBMOhBhgU83DfkC4MexOnX +Tfe7Xxj0IHFjYm0jvzLoQUa1DFk8p5dBD/JjwrC1A70MepBZ8h4xV74x6EF2 +dag93vqdQQ8S2L56vGEfgx7kzwu7w219DHqQ30Mu6uf9YNCDSLTC55U/GfQg +436WnJP5xaAHkf2Qc/z+LwY9iLnfw7s7fjPoQRxePh5i2M+gB/Hdb+nT0M+g +B2lLr/udOsCgB+l1Ub00/w+DHuRyT9aRvj8MepCJnd3njg0y6EGizqb/sP/L +oAc56j7Ob+g/Bj3I1V4ZhXP/GPQgY6a9J2vFCHoQ+PVPTHoIQQ9iKda38JLo +mfUgPD39J67iBD3IGV/ZqBFDCXoQrnD0jvOiZ9aDNMkklThLEPQg64KEKuLD +CHoQ7UnJj06InlkPciy06vKS4QQ9yK7FYh3fRM+sB3HafcrlsCRBD/J1Q4Py +LCmCHmTkw99K9VIEPYjOyXlrd48g6EFGvJboGS9N0IMU3Ih8cF/0zHoQ05yf +7R4jCXqQ29HFq4aOIuhBvjo+UigQPbMeRKbtgA7IEPQgKR2mYZ9Ez6wHOafc +NWXnaIIe5KhRna7SGIIe5PyA/s5zomfWgyxdJzPORpagB7mzPl+zTZagB7k3 +S3pLxFiCHmTYcm8NFTmCHiTItlbnrBxBD+Km4x9hJU/Qg2yWsTb7LE/Qg+w+ +t9NumwJBD+I2SvH+CEWCHsQmTjctV5GgB3GXvP2Qr0TQg3Q0tjo+VCLoQbb+ +KrRxUiboQeov/y3oViboQUDz95pwFYIeJP3RwdDRqgQ9SEhf5b9cVYIeJCXl +aBdHjaAHkTCTmn1LjaAHuWIx8NNGnfyvB3nor/FBnaAHGSx0KN2gQdCD9MUd +PdanQdCDXPkze9QeTYIeZPRatQYZLYIepJbRHp+pRdCDBNWbvpqgTdCDONlY +fj+lTdCDCKyN9k7TIehB5rS9ibujQ9CDrDXVELMeR9CDaDh86nwxjqAHOen3 +w8pxPEEPcvrGXPX68QQ9iHR2xnrPCQQ9yP6o5xO7JxD0IPvkb6wJmEjQg9gq +cGX6JhL0IAURf01DJhH0IKoxfe//TCLoQVYkN/2OmEzQgwisUw6J6xL0IAMF +z0qjdQl6EIe3TjB8CkEPsiRAfEXsFIIexMwmvkVSj6AH2VtwsS9Wj6AHCZea +Fys5laAH6dvel7l3KkEP8sU6njNMn6AHmWJ3yzJKn6AH2X/HpkHMgKAHuW5L +/oYbEPQg87RdCvoNCHoQ2Q92z4IMCXqQjBMhO3sNCXoQKZPks5s4BD3I1isW +/h0cgh6k5vv8i+5GBD2IwnzLqI9GBD3I6NSvHxy4BD2Iq+ePW8+5BD2IXdNf +AyseQQ+S8fzi5Ns8gh5EJ+1OmSmfoAe5ZP3k9ik+QQ9ysmzbuokCgh5kM8c7 +OUtA0IPofJtnK2tM0IMMfVOWFWNM0IP89rPY0W9M0IP0jCxq8zch6EEmP97c +1mRC0IMUhFrsWGlK0IPYP75y5IkpQQ/irr7RftY0gh5kxdnevNPTCHoQk0Od +ETrTCXqQqydav6dMJ+hBZh4P+yduRtCDvJkw72igGUEPsvxA5dtmM4IeRNu8 +tHjFDIIeZLpXgMz9GQQ9iMT4slH8mQQ9iMEOYdHRmQQ9iJfzvTejZxH0ICYl +VwrCZhH0IKWmTiO6ZhH0ILJPeCNXzSboQVI1n524P5ugB2nqOtVkNIegB3na +7HYtew5BD3Ln2iZDSXOCHsRHe5X5VnOCHkTtWQH5KHpmPYhtyOs5VkDQgygS +f8NzomfWg4T/+XZDbS5BD/J1V0tXlOiZ9SAHPO5c7xE9sx4komS4gYMFQQ9i +vN7EvFz0zHqQq+VPvk+cR9CDNPwyt00UPbMeZG+gvPU30TPrQS6euNXhNJ+g +B6nk3zC4I3pmPUhJ1W7FyZYEPcg1x/jCBNEz60Fk3i1++0X0zHoQ/9BZ5xwW +EPQga9Jf8q6LnlkPIl8W4aRlRdCD7PW7PmWP6Jn1IMuvfM9rFT2zHqQs5+jt +hQsJepCl6432lYqeWQ+yJmZsv4w1QQ9ifP6yYoDomfUgTrVJH15aE/Qg0xWG +2QgWEfQggy/ivA8tIuhBHMr2cfoWEfQg02I35TssJuhBcqIL71xaTNCDzBqZ +lqhoQ9CDzH5UOmy7DUEP0lS61OC1DUEPMqOvW0xgS9CD3NZrjUqxJehBfqoX +XiK2BD3ImJ6EdNslBD1I0IhhuqVLCHoQb+NAbyk7gh5kV8QMR087gh5kzrJb +v+/aEfQghzzdbLSWEvQgztUxS3cuJehBan4nDn+zlKAH0Tn51p+3jKAHuSr2 +MC5xGUEPUrvzyqr2ZQQ9SP036XdzlxP0IG+KtUYfWU7Qg1w0WvqzbzlBD/Is +8c8huxUEPcgUncj2EysIepCGs6ZkiD1BD7LFL7TM2Z6gB5HsOKF9wZ6gB3k9 +TtV6pANBD7J0zyQ9DweCHiTm0+Q71x0IehC7bdtHy60k6EFy+zYo+Kwk6EFO +RTjW3F5J0IOY52ctVFpF0IO8cI4J9ltF0IM80/Z3vbeKoAe58LZETMWRoAdx +qE9cvcmRoAfpGuEbcM+RoAeBggxzZSeCHuSDr+cTXyeCHkShaPLoO04EPYhg +qOFoBWeCHiSx/MkTb2eCHiQ12nbuDWeCHqQrVmr76NUEPUhk0CJPt9UEPUhS +hLvcxdUEPcjJFXlhw9cQ9CAvJ9kVOa4h6EG8y27HnVxD0IPMGT/H8M8agh7k +oJVysu1agh5ExiPjTO5agh7kuoV0MllL0IOIz75hCC4EPUgBry/hgAtBD5IT +L36ywYWgB+HMNtzHdSXoQS49vTU50pWgBzk2V2xvtStBD+I3Z0ax1jqCHmRt +z8XYTesIepCU4Ven3lxH0INU18UnS7sR9CA+sO2yoxtBD6J+6npesRtBD9Ix +rmjxdzeCHqTPJOj6XHeCHsRVMahnvztBDyK7p6PxgztBD5Lxemz2FA+CHuT7 +HxOV7R4EPcimqMNOdz0IehCnuDBXmfUEPUjnk4lTndYT9CA/s15dK1pP0INU +Cp+O/rKeoAdpsLPRm+lJ0IOUdB8esdeToAdZFvf1zAtPgh4kMjldQ92LoAeR +l3hs5+lF0IPUJ1ZYn/Ei6EHWBzyX/u1F0IPsTx930MKboAfxjhtsSvAm6EEq +tx/tf+1N0INMMlj4XnMD+V8PMo6/x2sDQQ8y0T+79/QGgh4kvOaO/s8NBD1I +/IXPhuY+BD3ISU3e770+BD3Iuwffkp77EPQg9sPcexQ3EvQgli2XFdZuJOhB +vGUNJYs2EvQgx5v+3u/aSNCDPHLxWszzJehBxI1zcoJ9CXqQ4+Ufr5f7EvQg +V4e6FA71I+hB9t1wWmXtR9CDrFgo9Xa/H0EPUncjf/xrP4IepO/N2hmqmwh6 +kGnS27RcNhH0INuC1KsLNhH0IGuF8Tbtmwh6EPneX+n6/gQ9yIVr2ac3+xP0 +IEL9koMX/Mn/epDmlQt++hP0IJJG7x/O2EzQg4weu0Fx12aCHkRurgn/7maC +HqRaP0hTIoCgB6n75vJ2QQBBD6K6dcK6uACCHmSd6c8rzwIIepCmWJl6mS0E +PUi5Z2at3RaCHiQstio7ZQtBD5Kx9iPv1RaCHuT72F8ZClsJehCvfcufO2wl +6EH0XTVr07cS9CA+JK7s7VaCHuS47RN7lUCCHsR20ajnjoEEPYja1iiVzECC +HiR7tKvxu0CCHqRkcvU41W0EPcjbXUMbHbcR9CBMpv7Ww9sIehCXa0G1b7cR +9CAXP8mPVN5O0INIP+LJr9xO0IOE9P0VHtpO0IMklJRkv9pO0IOUtLhqyAUR +9CAJPnYBS4MIepDCm8cy9gcR9CD7Jx5IrQwi6EHCTs50kw4m6EGy1WqHLgwm +6EGiJeJ2xgQT9CAFN6Iq7gUT9CDZq762/Asm6EEuXRysm7WDoAeBba/zQ3YQ +9CC//xw1v7yDoAfZErr/fO8Ogh5kc9Ojfk4IQQ+yvDtYxTeEoAcJVnoy8ngI +QQ+SVdr6uimEoAfhXv8ZqLWToAd5qarX6bSToAc5sLHQOG0nQQ+yWvHAyhc7 +CXoQZxsVu5GhBD3IwpGeWgtCCXqQ18p5DyJDCXqQ30PI3BuhBD2I08Tw9L5Q +gh4ko2jLPaMwgh7kbOD3Cp8wgh5kxRLD/MIwgh5kRvk8+09hBD1IuKJrvVI4 +QQ8i3FFssTScoAfxegO74sIJehDdLvvUe+EEPcj4OSMiBsIJepDlU6PnG+8i +6EHG1HY3+e0i6EFWC7ycj+0i6EFmjFAt/bSLoAcZ7NSrVYwg6EEOT79VZxtB +0IN06w5ciokg6EEUbwzbVB5B0IPcqxD/+z2CoAcJ7lHyMYgk6EEai7xOe0QS +9CDapkrPsyIJehDZa9aPX0YS9CC5KpNypHYT9CDD5SptzHcT9CCTTdzfbt9N +0IPcb5GeXbaboAd5tbIzvGk3QQ/y6JBOlsoegh6kJvRVypI9BD2IQflEz+g9 +BD3Ius+mCtf3EPQgCWYGeWQPQQ9yYnDy8ElRBD1INcfa2jmKoAeJKL64ITmK +oAf5KJ+w/kEUQQ/yc0A463cUQQ8id/3vV8Nogh7kQ8TnKPdogh7kqsPp3vRo +gh7kn1rynGfRBD3InL353v+iCXqQ4EnD/QUxBD2Im8unZd4xBD3ICX8r+ewY +gh6E47f+/PMYgh5kvqW9kfhegh5EeMc03mQvQQ+iGqF/f8Negh6k6dey99l7 +CXqQZ5FPqp/vJehB+i3OFQ6JJehBtlpOWWkcS9CDOGywbfeKJehBFL3MV2XG +EvQgl19pFD+LJehBho+TeD0YS9CD1B6WbzTaR9CDcN18qtz2EfQgGaETMg/u +I+hBple4zq/YR9CDTPo5s/rHPoIeJKm41mxKHEEP0jt/UbRTHEEP4qpz5XR8 +HEEPkudhdu1GHEEPEqjEFPbEEfQgDzV7/TXjCXqQlpnuakviCXqQMtnVxbvi +CXqQJ6q/5c7EE/QgDecd19XHE/QgDxXT949JIOhBLjpX5ZgnEPQgO3eo7d+c +QNCDnJ6f6ZqXQNCDNAV6ylUnEPQgrzKziv8mEPQg2Xr2GpxEgh6kefupwLWJ +BD2I/Lm7ZYmJBD1IpLD00Y1Egh4kVzP2QVciQQ/CU/AvVE0i6EFCokPXL0wi +6EE8xJ9KBicR9CCXxbclHUsi6EEsPhzse5VE0IOMvj8PxPcT9CAxNTmbuPsJ +epDioTfDXfYT9CBT+VcDEvcT9CDX1MsWXN9P0IPIrjv3r30/QQ9itLsxWzGZ +oAeJbXZQn5dM0IPoPNfdFZBM0IM82xjwICeZoAeZ/3Ah8zSZoAfxevFk4Gcy +QQ9iu2aUcOIBgh5kr47evWUHCHoQwZXpobsOEPQgZrkrVEoPEPQgXtNSM98e +IOhBsh+P+jc0haAH+Rb6YSE3haAHYVyVgtekEPQgGd61cftSCHqQExu5uy+m +EPQg1yaZr21IIehB3Gaoao1KJehBXI3e3J+WStCD3A5IWuKRStCDDF268tb+ +VIIexFJiofz1VIIexP1kiG1rKkEPomopvkn2IEEPYlr+a8vMgwQ9yIXGwDVe +Bwl6kHrveIOUgwQ9yJ7u5U03DhL0IP+Y5vC2gwQ9SNiHFf9kDxH0IEOGXPOa +eYigB8lL17voeYigBzl4/UZ78iGCHsRra8yQ64cIehCF0iNDWg4R9CBTzZQ7 +ZNIIehBhnfSlaWkEPUgQJ2mDWxpBDzLkecHf+DSCHkTxXHTExTSCHuRFgGn7 +pzSCHiRtb6WJZDpBDxKjvcaXm07Qg+xd1h/rlE7Qg5ir30zYk07Qg0xbfzmo +NJ2gB+n7M7jwdTpBD6KVd1x8MJ2gB8kUPiqclEHQg5zcFmiwJIOgB1E4eetI +UAZBDzJNWP49N4OgBzGMjTF+lEHQgzAn9daQDIIexHv7LV/lwwQ9yFHhKg/z +wwQ9yCsJ6Xnehwl6kP3pHdLJhwl6kBcbJG9cPkzQg0wy2Lni82GCHqQi1P71 +sEyCHuTsp5LZhpkEPcjTe0nJ9pkEPcjAXvmnoZkEPYjVliXCgkyCHoS5sOz3 +k0yCHiTGj8t8ySToQZSKBp8pZxH0IKZ2lalzsgh6EN0lZyw8swh6kC9+1z4k +ZBH0INs9BteezyLoQbJqk57UZRH0IKtWhGv9yyLoQWodP6+ZlE3Qg8QvuR+9 +OJugB1kWNyd9SzZBD7J3kXtKRjZBD6J5B4LKswl6kLsSPfObswl6kE7toEGp +IwQ9SN+wr3mcIwQ9iMVhfwP7IwQ9iM0xscKQIwQ9SHnH1aF5Rwh6kOSRJUse +HCHoQbSKmqI6jxD0IPNNgwtH5xD0IAGCHacEOQQ9yJ3+H/mOOQQ9iNI7id3h +OQQ9iPGIC4sKcgh6kJcX5P49zCHoQc4T49zuHIIe5PbdqVNkcwl6EC3NYTnG +uQQ9yMLm138ccwl6kPEtJ63Ccwl6ENnPGeH5uQQ9yNhdZbkVuQQ9yEvX3yc7 +cwl6kHsyyQUyeQQ9iPGQXTG8PIIexKvgzQqHPIIeJH9hiUxIHkEPYjNj+IUj +eQQ9yNBTg/Pu5BH0ICUVeXea8wh6kJjDf6ZIHiXoQWz1JoZPPUrQg1j5Ti63 +PUrQg6yYK98ZcJSgB5Ha1S926ChBD/KouVviylGCHqRq4s/v744S9CCLm/Vf +/jlK0IMEn8vM1M4n6EGKxy5dYpFP0IM4B7mQ9fkEPchqi9dhsfkEPcjjx3d+ +lOQT9CD6toK1lfkEPUjK0JlnmXyCHiRdtp3IFhD0ILvjFmgICgh6kOXbNpg4 +FBD0IG8erZ4ZXEDQg5T7GnEyCwh6kBPTe2VuFBD0IBa/zr//WEDQgyzxjDz0 +t4CgBynX95mpU0jQg9zpCK2eW0jQg7yd+mipRyFBD9K5xel2dCFBD7LMaK56 +cSFBD/KzInH9o0KCHsQpflF2RyFBD1J8b9/tEUUEPcjjYoeXU4sIepDwdbdf +LC4i6EHcR74u9ysi6EFGXso/nFRE0IOMWWvqdrqIoAfR6MtTri4i6EGk3Hpu +kCKCHqQpSG+J7DGCHmTov/XPuccIehDti2Wzlh0j6EFWzhudueUYQQ8y3yaj +OeUYQQ8i5+agcf4YQQ8SN3zlvJpjBD2I1sVix95jBD3I8Nf2a+SKRX/+//+j +A2L/xz/G/4/nL//X13/B/98I+5UXHps815eRw+fyvHdzfJTloOMoXz3A4ZT5 +o6DwM8Xj5CAoo7dv/e5r5i9tx+m06MvBu+caFgznrvnHiRUHxpnKQc2FDdXp +Aw/M2wY2DHEFOQhbND8s2eGx+ZeaUVuPLJKDoTs0v592fGbeX3K26Z29HLTG +zr+urPzcfNhu+xXKrnIg1Xl62bklL8zHOP66b+8jB67hUxRddr40VzU6Ypwa +KAePFn/pkkqtMZ8wHI5Vh8uBYs3uMR6HX5lzPjUryuyTg6q3a7sOJL82n34x +du+iVDloGim13i6k1twiQf9n7BE5uB3Y4CGz6o25jXu1d0WxHITUV90Z1Htr +vtIssE78nBy8nPPeZOK3t+ZussrWcEMOXj2a9M3lQp25b/v1a+EVclDilPFy +k+878+23XKbeqJaDQ3OPvvmp/t48Ik08+9c7OeCL1YcnV7w3j/crHmnaIgeL +4r6rXt7wwfzQvEVhgYwc/Da02/t52EfzXDWm5+wvObDMc1mxOeej+YmvKWsZ +cXkY8yQ+4YHRJ/P/esiDhNZWXV75J/P/esjDyq9rLcQWfKY95GHHh2r1oKef +aQ95SB2Q+/JiUT3tIQ8rBvaesX5UT3vIw7uRTrbSOg20hzy88u9tPuvcQHvI +w4aN456dPthAe8jDp/r8fSrPGmgPeRDcT5swZEgj7SEPaodg0U6TRtpDHk5+ +Ug48tKGR9pAH1T91v12yGmkPedgXaGrW8bSR9pCHzZ3p+bP7G2kPeViqYVPl +O6WJ9pCHRsXDqbscmmgPeag98ER+9+4m2kMerqWdqgova6I95EE4LaFo15sm +2kMe3t5/QxL/NdEe8nBh+f3oc7rNtIc8SLm8vv19STPtIQ/p3olJLtubaQ8F +8OtbtvVHVjPtoQCj6o+m3L/dTHsoQId29sXK5mbaQwE0h0gvVZFsoT0U4IHr +57gyvRbaQwGmjJ09J3lxC+2hAOH14yUe+rXQHgrQt+Akb2VSC+2hAOe+D9TP +P9VCeyhAklQvk17ZQnsoQMmM7VLLultoDwWwm5tVGDyilfZQgJO6MZJjdFtp +DwWQO628RH1+K+2hADZWm72OrmulPRSgudpUuSCslfZQAJ+L1WITD7fSHgqQ +89khT+9CK+0h+nk277a5XNVKeyjAYjGfuVXtrbSHAqzqmXx4y5A22kMB3Oo8 +bIvV2mgPBVif/Hiuv6CN9hC9n9JOMysXt9EeCrB2zfW2ex5ttIciuEh41tmH +ttEeitA2viIpOrWN9lCEhkprf/uSNtpDEeKvrK98eLuN9lAElWWhbZ9q22gP +RTC0etqe3d1Ge4ievWd1ig9ppz0UIeucSa+CUjvtoQg5G1b8fjW1nfZQhG01 +41rnmrfTHorAc36cuG5FO+2hCH5lZVd53u20hyKcd5OZcGVnO+2hCNfLD+3o +TWqnPRQhTKzFsfVoO+2hCEvLlVelXGinPRShudCy/2dFO+2hCJO0v5er1bXT +HoqwVTdRbqCznfZQhJLcirVZA+20hyL83Tt64PeoDtpDEbpdhTPVtTpoD9H3 +Jy4b/nE6aA9FOHbN6PZx8w7aQxGI+7IgxaUdtIcSfJhmcMN6XQftoQQFo4Xn +LQM6aA8lOHSpeqd0ZAftoQTjNb2mZCZ30B5KUOnz8UlvbgftoQSWyjO8VE53 +0B5K8GDF0UHp8g7aQwn+XImJe/asg/ZQAoVd5I/z+w7aQwk6V52Yf72jg/ZQ +giFDt1t1/eigPZTg9uUFbd0SnbSH6PXH+v65LddJeyhBxPubrt46nbSHEvzr +f9bQZNhJeyhB1bdRiwUzO2kPJRi89G+908JO2kMJkk+s+uLg0El7KMGOc+NO +6rl30h5KkPS0Y1GtfyftoQTzBe/2OoV20h5KEGTXJHMltpP2UAJ/i7cJzMFO +2kMJbtjvPyF2tJP2UIJt/H+STGkn7aEMf0evW3TlSiftoQz51+eOWnu/k/ZQ +hsJLJ9vqn3fSHsogZcPbDO87aQ9liHqgMD2itZP2UIaiMocXR7500h7K8GBx +1f3MgU7aQxkqLednBw3voj2UYWPQvo+CsV20hzJ8G7VHuka9i/ZQhhtfrI4t +m9xFeyiD03bB1AvcLtpDGRjPb/I/Z3TRHspg4J/7XtOyi/ZQhuT2B9907bpo +D2Xold1To+jURXsog+PlxPZ29y7aQxlCl1jl5/p10R7KsPdgte+MoC7aQxmG +HZE5dyOii/ZQhp877tWNj+uiPZQhbW36woDULtpDGdY5TF5flN1FeyhDRuLY +pNtFXbSHMswyGj7h3qku2kMF9jRVRZVd7qI9VMD7o9PIiNtdtIcK/D7yXH7G +4y7aQwUqbZL7Pr3ooj1U4NH9hZK+77poDxWInfknp7Gxi/ZQATg7Qsaiq4v2 +UAHFb2bFib1sDxVIDnh87W4/20MF+k75pTeKd9MeKtAf4RHXI91Ne6jArMHg +z01y3bSHCjRxRjU8UOumPVTgxO5xb1PHd9MeKuD/e91I26ndtIcK+OpNuP+N +1017qMBAb/C8WLNu2kNF9HngvJCc2017qICDw/vS7Qu7aQ8VmEA+K7+066Y9 +VGAEZ6u92qpu2kMFopYdO7rMpZv2UAHJsb4zgj27aQ/R+9Wf45Lg1017qMDW +4uHW+wO7aQ9VWF/uZhy5s5v2UIWsd57Obru7aQ9VuDXryTfevm7aQxUi11lN +793fTXuoQrRbsUtBWjftoQoDljePWBzppj1UQWHcNt1XBd20hyqsVHjFty/p +pj1UgTEa/Fpxppv2UIVv/BkZUy6zPUSvx6B/RdhNtocqWP5753j3HttDFdY+ +PF778zHbQxVeaHv/1q5me6jCKGeHXrNatocqWMcKhZYf2B6q0BbUozK/ke2h +CsfT7POM29keqhBzaUuZspDtoQr3yz9uFPayPVRh2PwIicu/2B6qID0mo2DL +X7aHKhRGXdukI9FDe6hCwZ83SfdG9NAeqsAfPWeq45ge2kMNHC/l+jQq9NAe +aiCxTWari1oP7aEGzs7Dfaq1e2gPNSgd3BxoPKmH9lCDY2tzLyVN7aE91IB3 +1WHFB6Me2kMNdttUbdY06aE91OC+zHlD+xk9tIcaNFvqnYkw76E91KB2yivF +o/N7aA81eORYteeSdQ/toQZnV19SurOkh/ZQgzTx0q+3V/TQHmrQeW+54JJj +D+2hBr7idf15a3toDzWocyBeke49tIcaVJyvS1vp3UN7qMHINLdj4/16aA81 +GFRuP98S0EN7qEH1UMcPR7b30B5qsLXadObinT20h+jnz8hmyK4e2kMN3KRM +xyZEsT3UwMXC7pbGPraHGuideiZ7LJHtoQ6NFQYTJqawPdRhTWPqmKw0toc6 +6LTeIsOz2B7q8OuH/KeNuWwPdXCbkPmlooDtoQ5jBvotVI6zPdThsm5Sj1sp +20Md1v5aPKzwDNtDHTa0fir6cIHtoQ4Jo9KaRl5le6jDx6KAWsFNtoc6zPLV +OrTiDttDHYwl/xj7PmB7qEPcBpXnOx+zPdThhKbJ9j2VbA91UCp8ZL7nBdtD +HRTL+i12vmZ7qMPR9WcObqxje6jDl7JdC1Z8ZHuoQ/6S65uNG9ge6nBJ33/C +6Ba2hzrs8nbb3tDO9lCHsjjlkNJutoc6HMx+OXczYXuow0vz5Cb9b2wPDQh8 +MMa/8QfbQwPKjV79SO5ne2jAGSv15Gl/2R4asPFQz8K6IULaQwNS144x3TpM +SHtoQGWB7IZhI4S0h+jrx8z7kjJKSHtoQFDn7XplWSHtoQEaf7ytMuSFtIcG +FIS3TJNVFtIeGiDx9fjdPWpC2kMDzIeS74ymkPbQgOD1dxvtxwlpDw0Qy+nN +uDhRSHtowKbP43VHTxHSHhpw9sXtXDd9Ie0hen2rh40+wxHSHhoQ5vs36gdP +SHtoAHMxZsx0EyHtoQEWWxbcDpwupD00wEqtPL9kppD2EP3746ZXvJsjpD00 +QLmobpqEhZD20IAK9YOSepZC2kMDpo/iWixcKKQ9NGH3KZs+t8VC2kMTfA6u +5gQtEdIemnBl2bH+6GVC2kMT4jWtvffbsz00ocp39u7UVWwPTXibuNQhxZnt +oQkLLfW+xK9le2jC1PVWLhHr2B6aELhSoszfg+2hCS1XDrY6erE9NGFd41Dl +OT5sD014vG2OjZYf20MTHNukUn77sz004fzQYV+rt7A9NCFyx7ng/G1sD014 +sXQj1z+Y7aEJvBCfyaY72R6akDXr0frfYWwPTZhwZ+ePKxFsD004HubctmUP +20MTbC5rmOvGsD00QbJ31ci6WLaHJqj6RDlEx7M9NKFw509dgyS2hyZYqTOJ +1clsDy3osIja75/K9tCCNMdLpiPS2B5acOKIZ3JeBttDC5RTHAt4WWwPLZBb +Zxpy+wjbQwvaIEHTOo/toQXTPjw6/Dyf7aEFmSfmfrcrYntoQZy9wKyqmO2h +Ba/VygMWlLA9tCB4YmvhjVK2hxZ8b8r4YHCa7aEFhhtP6GSdZXtowbPHL0KH +XmB7aIGJ6/m+DZfYHlqgbfIm/ekVtocWXLM95T3lOttDC/b0HwjYc5PtoQVV +Bvev1d1ie2iBsMJ/sf5dtocWTGk7brjzPttDC1xm+G2oqGB7aEHowsKhMo/Z +Hlpw6rqW5LKnbA8tCCzJ2plSyfbQhrCWcs/nz9ke2rBuhtoLyZdsD22wuG1w +d/Yrtoc2LJZzgYBatoc2aBSIO+S9ZXtog94X5+HP3rE9tEHm1cHV3z6wPbSh +5srD1Sqf2R7aMLfpt8yMBraHNkwp0wlxbGJ7aMPF++pFgS1sD214seFaWkIb +20MbMkou2R/tYHtow5uc6+3nutge2nAuf53DnR62hzasTOYUPWPYHtpQ/zPz +/asvbA9tWLZa8l9dL9tDGypcnyp/+M720IYbkpP13/9ge2hDcbuN+ZtfbA9t +yHF2c67uZ3tow/LL2/dU/GF7aIPZrsTyq3/ZHtrwLe3w2BIxxvz1r8ec4CE6 +8GNreHS6OGPu1KLWnjZcB3bZjtHYLcGYf672y7s4Ugcqlsp/3jD8/+PpzuNi +6v//j2dJruzZSWraZp8zM5GQeVqzZd932SlCCFGWREq2QikUopAklYqk0l52 +EkX7ouwh9Dufb+/X789zi5kz53nuPS5/XHPqNMvj781+2tkQbpmafNu2dZqq +y507f+luiKi5Q38qdOs064/bpXfua4jH+sHzO7Wv03zdddtNYWgIrqixqaZD +ncZ5TRurSaaGeDE6rTq1U53m74w5n+3FhjjbuMEosEudZi/Crh5WGCK6cO7V +jV3rNDrSP0vDLAzhbfTSY2T3Oo13z0l9MqwMoXtIlqzXs06j1+r8k4phhrDa +67uwqFed5lTdZ882owyxYObgxVf71Gn0C0aONB1niGUTB2c76tdpLqT6No6c +ZIj8gstXBxjUacwiK6LsphuiY+r+Pw396zThZ63s98wxhKVNdkaMUZ2GO3jY +5PxCQ4wfsqbHFuM6TfTmt4X37AzxZtiQCoVpnWbIYoXv21WGGPqq+/BKszpN +0vg9tn/sDdEnIEkYJKzTjB74VLvvJkOcn9gQPFVcp8k0Mr1ntc0QHXTW3m4p +rdNM7rBt6xwXQzxfkLg0Usb22GMI7PSMWKhgexzgP//ExcE6SrbHYUMEnbk3 +LELF9jhqCJnE8NAMC7aHryEOVH9ybxjA9ggwREGLE1anLdke5w3R+c/CKwOt +2B6XDKEuDXrxZDDbI8wQ9stPp9sPZXtEGOJk6d69rYexPW4bYvoVr7b+GrZH +nCGKa18vkg1ne9wzxMw27ofujWB7pPD7ugR52Y5ie2QY4re5zL5gNNsj1xCD +beXSFTZsj6eGWB/nm/9xLNvjlSEWvrVd6DSe7fGWvx6Vli9+TmB7fDBEVYlE +s9OW7VFhCP3snwGNk9getfz1CF/+afsUtscXQ7yOkuLHVLZHgyHWzdb2cZzO +9vhjCCM7/+LKGeTDCN30fAYtnkU+jFCo2O3/dDb5MIKX2e//xswlH0aY19fb +88488mGEf63j+psuIB9GSEhuyOZ/EzIfRjBTx/v+WUQ+jOAz3mHXiiXkwwiD +RI4e2UvJhxHkSoMY5TLyYYSSvpmdfJeTDyO4dbp/8scK8mGE2iiMm7WKfBjh +jM1h7vZq8sGfX9RT285ryYcRXl+wubh2HfkwgsS7g+VDe/JhhK+vRv3XZz35 +MMKVD9oGGzaQDyNs627nnOxIPoww7O+yvt02kQ8jTJzbSXf5ZvJhhHL5Uptb +TuSD//xvJr/4t4V88NffPCtu3DbyYYRFMxO+HXcmH0boOKiNZ8F28mGEYo27 +i+FO8mGEp96d8pa7kA8j6Lfesj90F/kwQvUs13OVu8kHfz76XwRCN/JhhKNF +nl1W7iEfRpgvabE+eC/5MELAuTZD3u4jH0YY82/Mzh7u5MMIO4esVk46QD6M +UOClu2S/B/kwwm3n9P/iDpIPI7QoG6isPUQ++L3Nyl71O0w+jBDfYWGrSV7k +wwhhPwVRLt7kwwjpb6Orrx4hH0a4P9/n2nMf8mEE7UfGjU1HyYcRgiw/vBIe +Jx/8+fhZjJ1ygnwYodU11wlbT5IPI/SXGJf5+5IPAY4kbe95z498CHDP4HVp +0SnyIcBj3eOTtc6QDwFGrvk0t78/+RBgzfghbawDyIcAGT9uz5p7lnwIML3R +39YpkHwIsHaA2UfvIPIhwLN3npaXz5EPAdzO/JQnnicfAoh2+j9/coF8CPC6 +1ylZRTD5EGDU8c5Wv0PIhwDtA01+t7tEPgR46lO7Sf8y+RBgmMOuS5JQ8iFA +Zl3FCasr5EMAD59BGHOVfAhwy2/btalh5EMAheBqwfxw8iFAFZeTvfwa+RBA +53rRfvvr5EOAHltftd58g3wI0GJd+CTnCPIhwL/NY5e73CQfAth6Bdi4RpIP +AWqzvH673SIfAvTfqOW6J4p88O9f9eKF223yIeB/39a2co0mHwKUWvRt63KH +fAgw29SybFsM+RCg3SC9wE2x5IPff/oOpX0c+RDAPtXq4vK75IP/8+N6NsyP +Jx8CCPVeSKYlkA8Btj4bMMomkXzw1zv7y/Ah98iHANFpqWby++RDgOHi5d/6 +J5EPAc79c73W+QH5EODnt0dTtJLJhwDFGyo+1CWTDwEsDh9YXviQfAhQbzz+ +ZXoK+RDgQ9HTobdTyYcAswYk+wWlkQ8B/DfdLPd4RD4E2JM6UO6YTj6MkTii +ev3sDPJhDMuPC8KsM8mHMUYn9vsgyCIfxngaGttTJ5t8GMP50p2J1dnkwxgb +4gL3ZueQD2N4//x093ou+TBG56Ncg3ce+TDG+uzXgxzyyYcxWhmu2jPhMfkw +RrRoyhPhE/JhDLf4/2TaT8mHMX4Wa58ofko+jBFZFqUd/4x8GOPUfLcDJ5+T +D2MMNXrew+EF+TBG+9W9Y0e9JB/G2Hw3cl3fV+TDGD9aaKk/vyIfxrDwXtYp +7TX5MEZxd6uW/gXkwxghaQXtHd6QD2N8xXlOU0g+jPEq+N36zm/JhzEueFWm +F78lH8Z8rzvi5jvyYYxFZoHPdxeRD2PUPiv2nFhMPoxxoqtgae/35MMYl5eG +zi17Tz6MkfH+9pabH8iHMbDY686OEvJhDPV0h36jSsmHMQqrToa3LyMfxojQ +GWb3rIx8GMNx0oURAeXkwxjDBd9tl1aQD2OcueHgblZJPoxhVTO0urqSfBij +3YXju25UkQ9j/F1/FhuryYcxUnPdLdQ15IO/Pr2d532rIR/G0PH2j7pdSz6M +MXGy3nCnj+SDv3+dWrZW15EP/vq08Pr7qY58GOP61xz5jXryYYys4WWn134i +H8Y49qF2qNln8mGCVfl/+77/TD5MkNZBYRnwhXyYwO2qr8+Mr+TDBK0ejjLr +8I18mGD/Eds/Kd/IhwnEc+/ruXwnHyb8f7+ErlX9IB8mKIruol35g3yYIGWf +TsnZBvLBv1/+Ue2pP8mHCZ4HRzm0/kU+TOChctKP+UU+TKCpfaa35jf5MMGi +LS+m9mkkHybIjdhWkNlIPkyQlBh9fccf8mGC1dpe2aK/5MMENyy+Wb76Sz5M +kD+q/JP7P/Jhgja75/xUNZEPE+jt1EwuaiIfJvy/54/88NSqZz5MEP52QP2A +FvXMhwkGDDAbXMwfN/swwbYhtq8OtaxnPkywYcGpTFWreuaDP7919V3e8MfN +Pkww+/KwiL2t65kPE5jF2YeItOuZDxM0JS2uz+OPm32YwGvynxNb2tQzHyZQ +rDc93kennvkwgexCQvU9/rjZhwneVl8Ismtbz3zw++RG3dD+r575MEHEjLy+ +V/jjZh/8z9vll4/TrWc++PfrcLRzDX/c7MME37QqzxxuV898mGBCv4h9kvb1 +zIcJVLL43Ez+uNmHCQ4fK965pkM982GCxaO+HNbpWM98mCD+zYOmi/xxsw/+ +fvDq/2p4p3rmwwTzZQXd3/HHzT5MMMrqftz2zmyPLybwfOKf0q0L26PBBA6p +lpYRXdgef0zwxnOx3jg9tkcLUxhMKJj1QY/t0cYUHsXrtXd2ZXu0M4VewQeD +rt3YHp1NobX7d0hYN7ZHd1NsyHb2Hd6d7dHXFNV7+n972Z3tYWiKH70jkhx6 +sD1MTeHzuLyxZU+2h9gUN6/uv3yqJ9tDYYqUNaMfSHqxPSxM0S+4dOL9XmwP +K1MsvKE/flpvtscwU7yPuRxX2pvtMcoURrGDz27tw/YYZ4r2O32+6PRle0zi +//6FeYmn+7I9ppuiLnjKX6E+22OOKbQfm0TF6rM9Fpri1JxDRTb92B52pjg4 +SrHvRT+2xypTfHRNC1puwPawN+X/vf5X9cWAfJhiT9NeuPYnH6bwPNIyvZ0h ++TBFOx1h5ilD8mGKtOeBNsZG5MMUl2d0GH7DiHyY4lm2QcwgAfkwRb7z9rBk +AfkwheuBh30nGpMPU6h6B7d7bkw++Os9IW7HQhPyYQq16tqaMhPyYYo1hfqv +7U3JhylWzr6W8dWUfJjiXMTvITvMyAf//l8uc1rm5MMUn5WTr7ibkw9TdNl3 +NERXSD5MMbyVjrGPkHzw988rW/OuIvJhimHqppt+IvJhijdTbif1FpMPUzx2 +0Z59Vkw+TPFSz9PJQEI+THHc7VXv8xLyYQo7mwM2RlLyYQrDf+b/LkjJB//6 +06YOE8jIB//32/u2DZaRDzNUtndfaCQnH2Z4NfWW9Xk5+TDD0oZrVw0U5MMM +SqvWwWcV5MMMtk5jzPtw5MMMkcIWg09x5MMMn34nFXRVkg8zPInuontUST74 +95+wO72dinyYwfT10R4eKvJhBvuLT761UJMPMxgYZS9zUZMPM1z51Hr5DzX5 +MEPL0lY/NliQDzMM/TupT5UF+TDDD8d1j5cOIB9mKAl927dgAPkwAyD6PXUg ++TDDuE6P7f/3/TjNPsxwUzDbCZbkwwztkod2ibEkH/zfd2w5SjaIfJjhS615 +h5BB5MMMZQOkDr2syIcZlh30Xu5tRT7MEGSX8bXFYPJhhg7uC/puHUw+zJD6 +NflN1WDyYYbnDdsGLBxCPsz+9/xtYf4Q8mGGNqNj7owYSj7483W3KLo9lHyY +IWt8ebCZNfng95owquVpa/Jhhs+eqX/bDiMfZpg5p9h3+zDyYYaG6bpZVcPI +hxlGro8NnKshH2bYXLWoY4aGfJghc97GfoNAPvjjcLusyyAfZljd91Kv7sPJ +hxk0mjDt/30fTrMPMzxoXXL803DyYQa7W/eTFo4gH/zPKyN8MkeQDzM8CxFo +WY4kH2boOPSAXshI8mGGXavGPuo4inzwn3/wC4Mdo8iHObpKzvYuG0U+zHGk +Q5vYyaPJhzn69HH9HTeafJgjqGbje+Mx5MMcw/eMdfAeQz7MsVtjH/RjDPkw +x8DPo7cstiEf5khMt/7yyIZ8mKNsSXx3biz5MEfHe6bFp8aSD3MIJ5dMbRpL +PsyRUDd33cpx5MMcn0vqpDnjyIc5Dpe0OfW/779p9mGOms5tws6MJx/m6NQ0 +eWXTePLBf95Im+zlE8gHfxw4uTBjAvkwx72wDD/5RPJhjokWPf8cn0g+zPHq +vzPtGyaSD3OsdIxIn2dLPswxyfgud8+WfJhDPLPXaKNJ5MMcyTeFbfZPIh/m ++DF3nFP5JPJhjtC6lz5jJ5MPcwR4KOeGTSYf5lgVdyu/3RTywZ+fb8wP+ynk +wxz6YQFZOVPIhzl6ad+dLJ9KPsyRq3LYd2Qq+TDHF02nVXVTyYc5NHd//bad +Rj7MMTbSXXN9Gvkwx/SYdgPaTycf5pB8KHmzdjr5MMevrBUWGdPJB3/9T1YO +M59BPswRX5TcuH8G+eDP7834dR9mkA9zvLB64a2ZST7M8d+gu8vOziQf/Pn6 +T/j4cyb5MEdq5DvxzFnkwxybZz3Sj5xFPsxhXzfnYfvZ5IO/fuvKDVbPJh/8 +9ZqYrHg4m3wI0TFw2I9+c8iHECN/XdvkPId8CNHm8KJLT+aQDyEutL94RDqX +fAjRKyNHfmAu+RDiw1nJgaK55EOIkB/tfQfNIx9CvHuZNP/YPPIhRORz34Kq +eeRDiD29C7qMmE8+hLi19E0L//nkQ4hZLSvCPs8nH0K8KRvXYdwC8iHEPe8p +ovMLyIcQqq42TT8WkA8hHptv/7/vs2n2IcTwHqqCkIXkQ4iy5ISiXwvJhxAu +15adn7yIfAiRU+uof2kR+RBivJV8xu9F5EOI2JJSm8mLyYcQWQVvG0IWkw8h +csOWLv+5mHzw77871nviEvIhxFYDsdP5JeRDCPW3vz2/LSEfQti23bndZin5 +EGJU68rT/kvJB389VIe3flxKPoS44xamBzvyIcQnl8MOx+3IB3/95272KLUj +H0Kk1F5eMnAZ+RBCcXPtL49l5IP/eXLLaa+XkQ8hoipvrRUvJx9CGHjdG7lz +OfkQIixx9dus5eRDCN0B3yz1V5APIU5MDZluv4J88K/fJ5ZLWEE+hHgNp7x2 +K8mHEJP79ebmryQfQmyKqpgWtpJ8CFF103DQ/76vptmHEJbrWxfbrCIf/F71 +T8b7rSIfQvwtyXIuXUU+hDh7Rb5WtZp8iOAwZnB/t9XkQwSlk+x0zmryIcJF +vzHP+6whHyK0evDwxao15EMEz+gPZ2+vIR8ifC0rNm+xlnyI0LC5cZvtWvIh +QqZyg/eZteRDhNPBzqvL1pIPEVo0Tv/vf99P0+xDBD8ja0eXdeRDhKkRGwIf +rSMfInT6ZHZMz558iKBTEjhhoT35EGH0kE45ofbkQwSDJdHdv9iTDxGuOGSa +DnUgHyJIBhz86+5APkTwtxYG5jmQDxG0U0pa915PPkT4L+Grhd168iHCqPyj +svD15EOEdLuvdV/Xkw8RDnhP3z50A/kQIUlQlb1/A/kQYef1mqrsDeRDhPKN +x/O7OZIPEXIcuu1Z4Eg+RNCae6UxxJF8iJByaT9qHMmHCIP3FE1WbSQfImQ8 +rRNt30g+RDg0vDL3/kbyIUKYuIWmzSbyIcK78PU7Jm4iHyIkBK3Yc3wT+eCv +l3+fGa82kQ/+/b88+NJvM/kQYVC8z8Jlm8mHCNEpMSevbCYfIow753D642by +wV8//9LVKifyIUJAyuQ225zIhwjjP753jHciHyJEheRcaXIiHyI0WY6+MXIL ++RBhV/yOPR5byIcILVucF2RtIR8i2ASWH+u4lXzw71/hmjd1K/kQ4+jPs29O +biUfYsRdX3/n5VbyIcbly6ZL+mwjH2LUjvnyasE28iGG+E5ro3PbyIcYK4q9 +Br3fRj7EWLD1bn9jZ/IhRvnHhBfLncmHGDZ7Hy687Ew+xDhg8fd2hTP5ECMj +63ShcDv5ECP4ecqzNdvJhxgbLkcEhm0nH2JcmuthWbOdfIghfbI9WLKDfIhx +4+7td+t2kA8xPrqvqA3fQT7E6LohLqtmB/kQQ2fHWxfJTvIhRsLmf01rd5IP +MfZ9GTszbCf5EGP+rCqXqp3kQ4wRGe22CV3Ihxh7NYUjV7mQDzFaOB8oueRC +PsQ4/mfArFIX8iGGtaDHWcEu8sH//L9p0Ut2kQ8xPgd1Cw7aRT7EeO1/0K5w +F/kQQ7331Y/eu8mHGGcvyxfP3k0+xLhlFh1wcjf54Peq8732eDf5EKNbzO9j +HV3Jhxiqth0mTnAlH/zrW/4o8HAlH/znWV00LMWVfIgx2PvzNi038iHGjtUz +Dwx1Ix/8np7qtc5u5EOMXjNuG992Ix9i9PjedKvejXyIUekwrJdkD/kQY4DP +qekr95APMYb/Vq24sId88OdnNMi2cA/5EGOOTW67nnvJhxgr9+hfmLqXfIiR +GD2ug9de8iHB9bMuU9L2kg8JopPerNXaRz4keLjbe8HgfeRDgp1tYk2d9pEP +CTbM25N2fR/5kGD1lE6ain3kQ4Jzxzx8DPeTDwn++neKnbuffEiwJDE79vh+ +8iHB436lx/73/TDNPiR4FL1jZGt38iHBiunROUPdyQd/vmdiuS3u5EOCcq2r +9tfdyYcEHi/C9pS5kw8J0qw/bOh3gHxIEKbYbDnzAPmQwHbnnldeB8iHBL3T +uOkpB8iHBC28LoY2HiAfEtzvr/VC5UE+JNAOX/lujQf5kCBZ3ph03oN8SDBt +X/Gulx7kQ4L4OVbdOx4kHxJ8GCj0GHWQfEiQvivv6Y6D5IN/vxVTG28eJB8S +BDbl/S0/SD4k+GPh8Eb/EPmQYFX6+JPTDpEPCU7P9BQePEQ+JLg61fZ04iHy +IcGEdtElXw6RD/71wj7oCj3JhwSD1Q0dFnqSDwni3Lp+POZJPiTwGz/nSpon ++ZDgiKBc0+hJPiSQbnkbpThMPvjr6bmo9fLD5EOC5/sOKU8fJh8SFCzZPST7 +MPmQoPD3AoGWF/mQYJvO6HK1F/mQYHSvWR6rvMiHBHoBEdoBXuRDgnYFjktz +vciHBPWNsf4tvMmHBGgdGmnhTT6k2PR07uVV3uRDCgPzT87+3uRDCqn/QVGO +N/mQQufS8Ngmb/IhRVaglanqCPmQYkPsEcflR8iHFI1tFvv7HSEfUkRsTw9O +P0I+pBhYVHno9xHyIUVe6dvJUh/yIcXuHqnfFvqQj//9+XhnHx/yIUXbQ0Vv +knzIhxS21rYGX3zIhxRPWwtgfJR8SKGbvmP4jKPkQwrZZCeB+1HyIcXGEZKS +6KPkQwqxOGFf+VHyIcW/9SNb9zxGPvjrMe/tcptj5EOKlt0vX9p2jHxIsSMs +Li30GPmQolbbIv3lMfIhxc+vXJjOcfIhxT5Btv3/vr+l2YcUpYZGnVcdJx9S +dFwy4aTfcfIhRZRq5a/U4+SDP/8cL8334+RDil9jClaanCAfUgw4vWbD9BPk +QwrHszPn7j1BPqRw7RJnEnmCfEhRfurG46IT5EOKpltTlnQ8ST6kMMqIyx96 +knxIkW7c2njdSfIhxZ36cbPPnCQfUvS3vuTw6CT5kCKjSbHy+0nywZ9fp9bD +jX3JhxSpZkP+TfElH1L+35t1gbt9yYcUbfIHGV7zJR/8/Wurcn/tSz74+/Hq +t+w2fuRDioLuZxrUfuSDv/6hg7SX+pEPKYbPq/3u7Uc+ZBC3z8m460c+ZJi8 +96tbhR/5kOHoSue+3U6RDxkGjHU6g1PkQ4buZ5t+258iHzJEJahw5hT5kMGu +2nRN6inyIcOqCZ+3fD5FPmToW3ttZb/T5EOGDvcdh4w7TT5kEATO+OZ0mnzI +EDPa8dj50+RDhgeL3uhlnyYfMnxyuu7ccJp8yJDd+DdZcIZ8yFBgW/rZ9gz5 +4F/v2jbt7WfIhwxDh+f9DTlDPmQI7NvyTe4Z8iHDAbV54K8z5EOGYatnjjLx +Jx8ypLiF5E/yJx/8+UiEI7b7kw8Zjmj9Ph3iTz5k+LlK8TzHn3zIsOnbux8N +/uSD/3xFBv+MAsiHDAlLtWv+930rzT5kyPG/krglgHzIoH3SYOu5APIhwwjN +rm4ZAeRDhrHbCk5/CSAfMhQ3TWilf5Z8yPDNvWL66LPkQ4Yb55I9158lH/z9 +kP0l9NRZ8iHDmS3e4UlnyYcMsz+G+v7v+1WaffB7Os5eqRdIPmTIMI7sNySQ +fMiwp1dm/LJA8iGDamwMvALJhwwNft7XbgeSDxmET5c2FQaSDxk2R4+10g4i +HzL8Lp41TxZEPmRYV3LebmYQ+eDvtwFDpv3v+1SafchgYjVYdCmIfMjgYn2r +IjuIfMihtzPG+1sQ+ZCjvPuivvrnyIccxv3uHh15jnzIMexw0ce158iHHDpj +PqiOnyMfcmQavFgcd458yLHqSa5T8TnyIcfq/4o3/u/7U5p9yNF/Tr/Z8vPk +Q467w/xNZp4nH3LYidYW7DxPPuSwdTzvHHyefMhROHtKU/p58iHHrc7e6+vP +kw/+fGLWpHW/QD7kODnrV5uhF8gHf/4lkzi7C+RDjvuaXSMOXiAfcnQZesr6 +xgXyIYfJyQijZxfIB//3P7+q+3WBfMiRU2l6qX8w+ZAj6N+VUaODyYccT4Kd +ctYGkw852qoDNEeDyYccQ3/LA6KDyYcc77gRxQXB5EOOqj/lHbVCyIccDRsV +5qYh5EOOBcdkovEh5EOOweO/dtsQQj7k8N1+vPpECPmQw75aPyw2hHzIkTvz +4vS3IeRDjs92gytaXCQfcowK/bTc7CL5kMPj8qvs8RfJhxwlT1r133CRfMjR +6LVv/omL5EOOliZr9sZcJB/89XieeuLNRfIhR1NumE/TRfIhR71YtNX4Evng +P//XqTY2l8iHHArjga3WXSIf/F4Xyq8euUQ++Ncb5TTkf99/0uxDzv/7tT7m ++SXyIUd127X9f10iH3II93/dqH+ZfChgUHg2QnOZfCjQ3XfrK7vL5EOBOsGx +WvfL5EOBIVV/aq5cJh8KjB+S+SLrMvlQYOSC/67XXSYfCihdM9d3CSUfCug/ +6qdvEUo+FOhr0zV6Vij5UGBZy3ir7aHkQ4HFtSZhAaHkQ4H7lUva3AslHwr4 +ZO2eVBxKPhQYNffI3pZXyIcCT8acCza5Qj4UuGf14MaYK+RDAS69VejqK+RD +gdbbtx72vEI+FCh+KFxw7Qr5UEDTRdw79wr5UMDz7qHk+ivkQwEz3dlzulwl +Hwp4DLlQoLpKPvi/v3PbuBlXyYcC3SoqL265Sj4U6Hik9Ue/q+RDgYOOr41i +r5IPBUa7bR35+ir5UMDlfPXU31fJB7/XpVG2fcPIhwJfZ50YMDSMfCjgta5M +d2EY+VBgq/e4nF1h5EMByzm5LkFh5EMB1dy9ve+HkQ8Fojs5hRSFkQ8FdD9G +9tEKJx/8+c4Y72oYTj4UCAmY8Bjh5EOBP/+ldF4aTj4U2Pj6gfWecPKhgHTg +9DkXwsmHAneGHVjyIJx8KNCy9ZpZ78PJhwL7Dv03uMU18qGAReqWdkbXyIcC +EefiM3GNfPCf71vltiXXyIcCmUfbdXW7Rj74+2Gg8uw5/nity/JT8Voc2p1e +1fU+f/x/3/fTisOHBQnO7/jj+lV3Jhdoc7A0sM7+yx/fWlgUvqYth6OrGzr0 +u16vcZretu0vXQ4H439aD+WPLccplx/swKHo0KQF8/njX8PmJfXszMHDqs2a +HfxxgsU+/VA9DreNZcvP8Meu4mvOA7tzqDqZbxvLHw83fP4stSeHtjl/TV/y +x617/ONm9uGwtOlB7Xf+OK2duXepPoeEaeLgbjfqNYdaTKna3J+D4/uRY9T8 +8YQG59GtBBxWxvV9PZU/7vjxwoXjJhy4wnvzHPnj/A+Zf43MOXTXDM46wh+f +ePV1bqSIg36Wv/g6fzwrV/8OpPzxwo/OWfxxr5TRevlyDlmpw+5U8cdv4tav +X6zkkJER8EEnol4TGHEqs07NIcqoyz9T/njJpSSz3QM5mO8IbzuKPxYEVO3t +YMXh3eZtLe3447KjekVnh3C4HrKnxpU/vnJgyBDpMA6Bx188DIxge4DD58S9 +h+Mj2B4jOQw6dXzE6wi2x2gOs/frVf2IYHuM5XCqqKNrt5tsj/EcohtOtFbd +ZHvYcqjpdGP75Jtsjykc/i5e887+JttjGodx3Z6oPG+yPWZyeGb7bWvoTbbH +bA5TBYVhKTfZHvM4DDjrm/v+JttjAYf7d6Uf/t1keyzmoL3pelnfSLaHHYeW +4cavB0WyPZZzCBkZkDAzku2xikN+R8OjmyLZHms47HyWON0nku1hz+HGVDed +a5Fsj/Ucjg3aHJ4eyfbYyGEXF6wpi2R7bObQ6kWvlBa32B5bOTTe/TDI4Bbb +YzsHn5XtggbfYnvs5DBmZtDXWbfYHrs5dPl12WrzLbaHG3+94zhHn1tsj30c +tL6OORV+i+3hzmH3z283Ht1iexzkUNxlTEzJLbaHJ389XUbfbLrF9vDmcNf+ +35m+UWyPoxyu6bo4WUaxPY5z8NySoZkexfbw5a9n8ufG9VFsj1Mcrv5uuuIZ +xfbw5/Cxf9OYy1Fsj7P8/SL8/fxBFNvjHIdPTY0z30axPYI5/vdJp0c/o9ge +FzlsCtSIut1me4RyWKx7ykVxm+1xlUM3X8MH42+zPa7x1+9n+Y8Vt9keNzh0 +LP/Wb89ttkckh57aMweevc32iOLg/rULYm6zPe5wODF64JAnt9kecRxK3bPN +P95me8Tzrz+gSLttNNvjHgdRtvNzQTTbI4m/X2JC/Kyj2R4P+ftx4dpxc6LZ +HqkcLvd8+XFTNNsjnUMvq2/7vKPZHpkclLrZ7a5Esz1yOMzMXO2eHM32yOcQ +FvHsU2E02+MJB2FF70kN0WyP5xwunBwR2OUO2+Ml//vgyZx3kjtsjwIOI6JW +dhlzh+1RyOHisK0Dl9xhexRxOOx0zHbHHbbHBw4BE5JnnbzD9ijl4BWpN+3G +HbZHBYeBh7006XfYHlUc/G5b9f9wh+1Ry+GtlsnnxjtsjzoOmwfPjO4ew/b4 +zEHH+OU6RQzb4yuH45ujuo2LYXv84LC68GuEXQzb4xcHK+1ga5cYtkcjh/7e +jxJ9Y9ge/ziE6q7nImKoH0q8aXfONz2G+qHEmB7LP76PoX4o0So0zbIxhvqh +xENVvlO3WOqHEi9NPS/KYqkfSliV/k0bE0v9UOJkhkXh4ljqhxITRYNKnWOp +H0p4TupSdCyW+qHECJeM7LBY6ocSQz+uuf4wlvqhhOGzRrfCWOqHEs7zD9p8 +j6V+KHH/Uq8WHeOoH0qsyom+bhZH/VCiuHS1rSaO+qFEyI/BxbPjqB/8+WsP +WOkYR/1QYnS7JUUH46gf/Pk2pky4EEf9UOJ7kn14XBz1g3+9YUv+PY6jfihR +N/nKqOo46ocSjum2u1vepX4o0cFpbnifu9QPJf71eJalukv9UOKJQ1rR+LvU +DyVcZVYVdnepH0osazXgw4671A8lJvkm5x+/S/1QwmtP9a2wu9QPJWoWRR9M +vkv9UOJBgmRawV3qhxI/BbM6fblL/VCiq5510n/x1A8lBraqWm4UT/1QQrba +7u+geOoHv0d45KEp8dQPJSZwRf+tjqd+KBE47esu13jqhxKSVT/K/OKpH0rs +if80/EY89UOJln7Vx1LjqR/89RxQ+7wwnvqhxLqnfzt8i6d+KHH4nPngdgnU +DyWmp2ycJ0igfiiRtrx0vVUC9UOJirMe26YkUD/4+3vPMqdVCdQP/vMYua3Y +nUD9UGLYvrLxvgnUDyVWhwaYXEugfijh5BH2JTmB+qGEbo8+0a8TqB9K6Gi+ +rPuUQP1Qwr1icE+dROqHEm8/Nt7pl0j9UCJaZD3BIpH6oUQL+zbPxidSP5Ro +77p46tJE6ocSu82mPNyWSP3g7//+xaIjidQP/vwNe7pfTKR+KPHp1Z9ndxOp +H0oUfTnd+3Ei9YP/eeev0yoSqR/89c3T2/M3kfqhxJWUppCu96gfSuS7PogX +3aN+KGHquThdc4/6oYTDyvcZM+9RP5TocmxS0rp71A8lRG43wvfco34osTek +rdepe9QPJc4vX770+j3qhxKZZhmSh/eoH0p0tBlW8+oe9UOJUfpZ5+ruUT+U +0G/YOq71feqHEv0E4yp736d+KHHt/VgXxX3qhxK9nXZpj75P/VDibJe6ffPu +Uz+UsKu90LDhPvVDiSPc+SXu96kf/O+P1p/u+d+nfvCv73Fa7+Z96ocSDc/O +z0+9T/3g7yetzv4F96kfSszr9TGn/j71Q4nhppqG1knUDyViRB169Emifijh +IlgkViRRP/jfF22sLEYlUT+UKEm7qJ6bRP1QQnvyFeH6JOoHf733j++6L4n6 +ocQQm+NfTyVRP5RYtPFAxrUk6ocKq1/KfB8kUT9UkI31mfUiifqhwjuvyA41 +SdQPFdqvCbjblET9UCHSf/rCbg+oHypYFBR/Fz6gfqhgXzBuv/UD6ocK4WN9 +2057QP1QISk7Y+/KB9QPFX63rfuy4wH1QwW1f9u5Pg+oHyo862kYHfKA+qHC +865DdGIfUD9UyOqzZHL2A+qHChVRvt7FD6gfKjQu+fDg2wPqhwoHgibWtk2m +fvCfp6Sgfb9k6ocK84NPGCuTqR8q9LHZxY1Opn6oAKsg9dxk6ocKpQV/pA7J +1A8VSg6e09+TTP1Qodrfq6VvMvVDBadlme+uJFM/VHihWByZkEz94F9/7NQd ++cnUDxVS6y9blSZTP/jzW76yviGZ+sF/3ne+Ae0eUj9UGHpiyLD+D6kfKghu +Lnypekj9UGHh4parxjykfqgQkar4OPch9YPfv2XtGoeH1A8VYpXWb90eUj/4 +67FaZHPyIfVDha937oSGPqR+qDBeXPPv7kPqB/95MrIn5j6kfqjQy2/psfcP +qR/8+R6JyP72kPqhgiYs4Z9OCvVDhQ9vj5j3TaF+8O/fS2ojT6F+qNBzpP/C +4SnUDxVGjCpaOyOF+qHC2D9aG1alUD9U0LfRXrsjhfrB3x+Nn+d7p1A/VHD8 +mD3qfAr1Q4VFVQEmUSnUD/7Pxy5vTE2hfvDXy1CW8SqF+qHC3+Lf3jUp1A8V +bt9+Ou5fCvVDhcxpiX86p1I/+PvBIfGycSr1Q4V5t17bDEylfqgQkNmzeGwq +9UOFp/N2bZifSv1QoW+rzj8cUqkfKnRb/HyzWyr1Q4Vy7aeVx1OpHyqM9uw4 +81Iq9UMF5x3esTGp1A/+/ZfM7JqZSv1QYcmDdSsKU6kfKgQavLhRl0r9UCHt +25l6rTTqhwoDExLMu6ZRP/j7o3LkbNM06gfvrdpit2Ua9YO//6W+Z8elUT9U +cOux9tb8NOoH71U37r5DGvWD//kqjxTXNOqHCo88CpKOpVE/VHB5EHM7JI36 +oYLnSpPz0WnUDxWupZjue5RG/VBhvemDha/TqB/8+ec2KmrSqB/8/aT15tef +NOoH7+XnsviOj6gfKuz6FOBk+Ij6ocJJ/YOmqkfUDxUeXpfljnxE/eB/X+Uf +Wz/zEfWD93wjrs2qR9QP/v5cF+bn/Ij6ocIN8zUGno+oH/yxVmNgwCPqhwqJ +HVd0v/6I+qHC7pXX9t97RP1Q4VyvFzV5j6gfKrxSVox//4j6ocK3u6UXvjyi +fqiQH/v0U6t06ocKS6Vxlt3TqR9qxOj5bzVLp36o0WLFzuuW6dQPNY72sisc +m079UKPQYHqreenUDzUOrJguWJdO/VBjwYtVVi7p1A81Fs72HeudTv1QIzGz +eHJQOvVDjcqOkydHpFM/1Nj/r3RMUjr1Q41tjqEDH6dTP9Sosgww+JBO/VBj +rEnqvy/p1A81JrYQv2yVQf1Q45dfbmi3DOqHGhevxjiaZlA/1Pj2q0o5MIP6 +wb/+qLU1YzKoH2q8HWkdODuD+qGGx7VVNqszqB9qaCnrqpwzqB9qpLo+338o +g/qhRvxIQS//DOqHGiqT1yFhGdQPNapT/pnHZ1A/1OiadupiVgb1Q83/9/OV +3oUZ1A81Hs0Z6FGbQf1QQ7f36I9/MqgfaqhPvZ7QIZP6wZ//0p/B/TKpH2oI +W1/+IsukfvDnp10xeFgm9UONrOr7OydlUj/U6GsxIHpRJvVDjb+LJlSsz6R+ +8HuXtdFzzaR+8K9nbT/AJ5P6ocaAN65Tz2VSP/j7RTZmZUQm9UON9hcebr6f +Sf1QIz3oz/a8TOqHGsu8vjsXZVI/+OuXfcuxPpP68b/nuQxa2pRJ/VDDKP7w ++E5Z1A815hvclPbPon7wew0N01FkUT/UqFix+82wLOoHf39Uc1cmZVE/1PDs +nuawKIv6oUaEfIR0fRb1Q41PO66W7MqifvDHQ7ROemdRP9QwvDneOjCL+qFG +gp530bUs6ocazwOydiRkUT/UsHXT7ZSdRf1Qo/iz7dk3WdQP/vP19xfUZFE/ +1Nin/nrhdxb1Q40Zsxf20c2mfvDXM6LwcO9s6ocaDYu2/BBmUz/4198nmTco +m/qhhuPgVjE22dQPNXYFaHWYnU39UCM/wXzBymzqhxqmkS4Xt2RTP9SQHmxV +vj+b+qEG/88Lw5PZ1A81fnSImRGSTf3gf9/k1Oy5lU394O93H7srD7KpH2qc +nNk/PT+b+sGfXz+z90XZ1A81At/v+FqXTf1Q480Zo6a/2dQP3v9gg9Ydcqgf +ary449RSP4f6ocbyP8Lf4hzqhxrhDcNqrHKoH2rkHLv7fGwO9UONVpHn4mbn +UD/UCB30xW9lDvWDvz//u+OwJYf6oYZGq956fw71g/99+vO8zokc6ocaHTPz +Mi/kUD/UyBzn6nEzh/qhhvb4KOv7OdQPNWT+Gz7m5FA/1ChtE+tXmEP9UKNp +7lGrmhzqhxoH5/15/iuH+sH/fspuWtc2l/qhhuWmoMYeudQPNc7+V7jfNJf6 +ocbXSQk6FrnUDzVcG0fsH5FL/bBAeurm31NyqR8WCFg6be3iXOqHBbq5fXjq +kEv9sMCpPHNLl1zqhwXeNJqe9Mylflig9Hpx9elc6ocFOnrNHxKaS/2wQNvB +/u7RudQPCxTMOZ/xMJf6YYGKAxt1nuRSPyzwaXEXTXEu9cMCXXftc6zLpX5Y +4LFTVsCfXOqHBTq/qU3SzaN+WKDP8JqiXnnUDwtcGJD50yyP+mGBtFHe7Qbk +UT8soPfTstfIPOqHBVxf5BhMzaN+WGDH8mn9F+dRPyzwwTijt0Me9cMCHU4O +6Lgzj/phgUfKgD8H86gfFpiz7W+pXx71wwLuhYsfXcyjfljg6IvUkFt51A8L +DHul2pGUR/2wwPOpYeNz86gfFjCKVHQvzKN+WGDr0rTXVXnUDwtEZm083ZBH +/bCA1awBU7XzqR8WaLOiW+uu+dQPCwTO1Ys0zKd+WKDnCdUceT71wwL91zv/ +GpJP/bBAw6iKk+PyqR8WcNmwVzw7n/phAcORtneX51M/LPBDb8KoTfnUDwtc +V7imu+ZTPyzgV1E7xjuf+mGB4AOn7vvnUz8ssHbWXtWVfOqHBSRBN89F51M/ +LJAdbKrzMJ/6YYF3l0tX5+dTPywQVP0p5W0+9cMCv8Jt+9bkUz8s4GX2b93P +fOqHBUJPaMdoP67//88DsRWv+qPHH+9PfSfeUiiEq/7+yp9fajW6kVzJifdC +vFY8v619vlbjc3ZvwK1yIW4sOT9mtEutptvBZ9Mf1wjxzN8rdeKSWs2ZzWbt +P30SYsR0LeOFY2s1BoudUzr+EGLks5B7LdW1mpDxmS6yRiGa9q6v292/ViMc +qD9gopYI2m/7LF/SoVZz3Wj9x7XaIgzKnD564Z8ajbpD0qVDuiLcGBuJEbU1 +mtifXRZd6STCp55W36sKazTWpct6POomwsL3I4WDcms0yXnRuWW9RZjfSVbV +I6lGYxOv49G6vwgfH+196HSrRpN9ea7G2EQEWeuUIyMv1WimHg9vGC4S4bHr +0aBtp2s0L3b9jVgiFyGlYAGn41WjWbBm8mpXtQgeWaktql1rNO9nXDAMGsT/ +ed/9LXs71WhW4uurBGsRpm0O731kdY2mRjL62JsRIpisufhz5MIajWPPU+N+ +24jQvVL9c8C0Gs33llUtetuKMGxn+Z/FNjWaHXWD71pOE6HHoq5L7w2t0TS9 +9to0a7YIo9yMSmxVNc17LBDBZUHPU+2ENc17LBVhSmFiwbd+Nc17rBRhXdfs +CTrdapr3WCdCRuCt+9Ctad7DUYT00Oih57RqmvfYKsKZqz2+GTZUN++xU4T4 +NfrWKR+rm/dwE+GL8ZOjHqXVzXu4i+Dmt+jAmjfVzXt4ivD96eu81U+qm/fw +EWHlLm/L/RnVzXucFGF35pHAu0nVzXucEUFw/+J33djq5j3OibB68obRThHV +zXtcFKGyb1rw98vVzXtcFWHVnzGqI0HVzXvcEOFZfgcza7/q5j2iROjV62hC +iyPVzXvEivD14inNG/fq5j0SRZC7zGmVsau6eY9kETQuQSsyt1Q37/FIBOfq +TjnvHKqb98jhP++avVe0V1Y37/FEhCTLJeuHLapu3uOlCEWP+549OKua+RDh +j/3N6JJJ1cyHCEueyEZPtqlmPkR4aT+pIFNTzXyIMGNTD91Zg6qZDxH8rHau +/8RVMx8iPKnocvmMiO3RyF+f+JTpUwVsDy0xBk86YtCzL9tDWwwt68GR1V3Z +HrpizI4U381qz/boJIbrPEFNnDbbo5sY8x30ft3+V8V8iCGeXBuQ0FDFfIjR +7fTWI/mfqpgPMUYamx75VFXFfIgRVvV1nn5JFfMhxvh1o2KmF1YxH2Lcdkxc +5fe8ivkQI+WrVF2aW8V8iGHayv7D0PQq5kOM3c6jcP5BFfMhxr0Fc3p3jK9i +PsRY+lp36IHbVcyHGPtOr3Vue6OK+eCvh3O/8ydDq5gPMfo72x4QX6hiPsTo +m+nXlOFfxXyIsfPzj/ebTlYxH2J0eZvQ2vRIFfMhRlr1dhR7VDEfYmxK+br+ +4p4q5kMMPZ2e6zburGI+xIi/XN3ZZksV8yHG1Zb7rU03VDEfYmQFNua2W1PF +fPDXd6Pdxd92VcyHGFE5MYGfF7A9zojxI7Uo4NMstsc5MTZ283drmML2uCjG +3u3b5W0msD2uilHv8eag/mi2xw0x3rwu3jxYw/aIEiMzdMWzxVZsj1gx3sX/ +9vFSsz0SxSiOf+eVJGN7JIvRJrUhstGc7fFIjAczcsqtBWyPHDEUO/Z29dBn +ezwRo+PEfMOXPdgeL/nzPaf1U9aF7VEoxuHGyr2H27E93vP306Oy8Hpttke5 +GFuuP184T4vtUSPGe4vu+7N+VzIfYvQ5tEN35PdK5kOM3I1T3ybVVzIfYnTa +OrtqVHUl8yHBJFFs37zSSuZDgqJz/9ktLqpkPiTQ+29c2PfXlcyHBI32Lz8c +e1bJfEjQw2vrb3VeJfMhgaJodPGbjErmQ4Ly6Pv7DqVUMh8SrNp059nQ+5XM +B/9+xVMffo+rZD4kWH61PW7frmQ+JOj0JnKIc0Ql8yFBT595ocPDKpkPCd4E +r3PqfKmS+ZAgwePEydJzlcyHBI/N038l+lcyHxJ4vRvld9a3kvmQYMH+gwvc +jlYyHxJs85quWXO4kvmQ4D+Ly8rZByqZD/7zP7hnNn5PJfMhQeCLnnojXCqZ +DwlcMldWDNvG9nCU4Glff39sYntsleBd1cv+Ng5sj50SeOxyWTdtNdvDTYKK +d7022S1je7jz77d1o3DbIraHpwTGiS77js5le/hI8KF+qfONGWyPkxJsebXt +T/5ktscZCVJPj9T+OZ7tcU6CKfu2HTQew/a4KMGNP593Th/O9rgqwZCVCc89 +hrI9bvCfr4uuV5Il2yNKguyhlr5/VWyPWAkse6RXDJOzPRIleJG+asd+Edsj +WYI7PhUjc03YHo8keJVvPUTfkO2RI4Guxn32+r5sjycSbG/5xyelB9vjpQSZ +FS0LDfTYHoUSLPPVV+/qwPZ4L0FWWq1XUVu2R7kEj7wt349uzfaokaDfmWLz +m00VzIcEN92q5xg0VjAf/Pn02Gp/9EcF88HfP/cezGvzpYL5kGLfI6G+28cK +5kMKVbbg6t/KCuZDiva9Rv7eXVrBfEgxrdOgtq2LK5gPKZRnM7O83lQwH1Kk +nXtr3ftlBfMhRadVDrOvPqlgPqQIMfbpYp1bwXxIYbDaccWzjArmg//zV2ZN +3JBawXxIsTg+6H6HBxXMhxRNyxNiIxIqmA8pnhRaKWfGVjAfUsQsiBH8i6pg +PqTYf7P6QFhEBfMhhVZG0Ox54RXMhxRBU6OOdAitYD6kaBirb5YSXMF8SFEf +8L7n7qAK5kOKRQ8NFg3xr2A+pBi+vOXXRt8K5kMKmy85+fePsT0cpbg74/nn +A95sj61SXPXePWXqIbbHTimwbHiFgTvbw02K0e1Cb9a7sT3c+fN7NS70oQvb +w1OK4upnqf7ObA8fKR4WtdLZ4sT2OCnF3gPBK6Y5sj3OSKHr61Wosmd7nJPi +66LrK3usZntclEJ0pOnfn2Vsj6tSTHnrdb58MdvjhhR3njqMeTqf7RElRfXe +jJrk2WyPWCkej35+OHo62yNRisF7nhmFT2Z7JEtRtaLX1ZAJbI9HUmjfbtI/ +Z8P2yJGi3PWHc+BItscTKYb+3BIbpGF7vJRiu6z1y+AhbI9Cfs8Cdf5VS7bH +eynOyy+ei1KzPcql8DqtNTxJwfaokSLxuNbNPAn5kCLbcVXle3PyIUWlrHX5 +D2PyIYX90MiLHQ3JhwybZ80wFOmTDxk2TX43Y0wv8iHDs/Lxw1Z2Ix8yuAac +f3WwM/mQYZ7bp/432pMPGa52mdX5RVvyIcOidg2XtLTJhwxWaPVW1oJ8yJC+ +8Xbkwr/lzIcMA0WTDI/+Kmc+ZKg62s809Xs58yFD+aTtCX8+lzMfMjQsfvBh +YF058yHD8a+LTm6uLmc+ZNgyNiXnVnk58yHD30P2h799KGc+ZDC78SZjUFE5 +88Gf34wgd9c35cyHDOYXx8WnvyxnPmRwk+9c1e1ZOfMhQ8ecVwfs8suZDxk6 +7O/cIyq7nPmQ4ceyV13aZJQzHzKUrKvbOj+1nPmQYb99rxFRD8qZDxmCaowd +O9wrZz5k6N22/O+au+XMhww+i4ZUpt8pZz5kWFWnIxVHlTMfMqxuGpjmHVHO +fMjwRCc+6mt4OfMhQ/Vx78/zr5QzHzK0Fka4pF0sZz74Y5X5NPUFtscNGcZV +tNoQHMj2iJKhk2pefld/tkesDJozozd7+LE9EmW4Iqqc8fc42yNZhguL127a +4sP2eMRfny6N6fWH2R45/PnNzptpf5Dt8USGABdBj5r9bI+XMnT9MEDHfg/b +o5D/fJ+mmNXvYnu8l2FQiweOTjvYHuUy5E94XdK4le1RI0Pm4y8u7pvZHp/4 +PSbYW3VxZHv8kCGkZ1iPc/Zsj0YZlCY99BRr2B5acjQW9xc9WMH20JbjkGTo +3Jl2bA9dOfSGlZ2rWcT26CTH5CD/3/vmsz26yeHZ/v1Kgzlsj95y6Gwe8eHu +DLZHfzkuPbddO3cq28NEjpzhp/78smV7iOQIuRfjd3Y8+ZBjm7XtwOE25EOO +XZ6/X1WMJB9yJFivcjkK8iGHzTWNwRBr8iFH+GuLhAor8iHH6TV/pvsNJB9y +5B2bUjJGTT7kQPtPq34pyIccS/4LKrouJR9ypAgEY5eLyIccRbZjz+mbkQ85 +WucVf3ghIB9ynJEmdT7Rn3zIsVVw32yqPvmQY4/vXUGX3uRDDiePEy2fdicf +crzSVaT46ZEPOUSWW1cs6EQ+5OD6zSo1bk8+5Ngff3/Yx7bkQw7N19BNsdrk +g389F53d7i3JhxzStwWLZjSVMR9yXJ8n6Gr6p4z5kCP1y/vTDT/LmA85pk42 +qsv6XsZ8yDEzoaZz8Jcy5kOO7H5TmrbXlzEfcgSXLYmZXlvGfMjx7D8LS0VV +GfMhx53fH1zal5cxH3LMO+N5oOZDGfMhR3/5hGnZRWXMhxx2i8e+u1FYxnzI +YSu8Kjzxuoz54N8/75Ri+4sy5kOO0KLhn5c8LWM+5Ijq+2rd+Pwy5kMOyfYj +gQNyypgPOUx7nN0vyCxjPuQwUw7p3+VRGfOhwAvDq+tapJQxHwo8mWC2+mtS +GfOh+N/3n+lVJJYxHwoc7bB4beHdMuZDgaHbw+yfxpQxHwo43jXplX27jPlQ +ILZzv3VpkWwPEwXyzpYtS77B9hD97/93eKqVFM72kCuQrzcM96+wPdQK5Cx1 +M0y6xPYYpMCq4IZzycFsD2sFaj62Skw7x/YYoUB617Zbs8+yPWwUuHt8TcbT +M2wPWwVaHz8aXejH9pjGn09BxaCKE2yP2Qocf1w49etRtscCBc5sKPqndYTt +sVSBc0PthnU+zPZYqYDr2IKORgfZHusU+GZyf73ane3hqMCOIs8FNnvZHlsV +sGiMe7HAle2xU4Efa7PebHZhe7gpMOsw1nptZ3u4K/BaP9Dt8la2h6cCsmGr ++iVvZnv4KLBzpbmmyJHtcZL//ItXl/51YHucUWDN8Jh2BuvYHucUOLI2JEqz +mnwo8NzlXoHdCvLBn/+ss64eduSDP/9OT89fX0w+FDhZ/3vo8wXkg7/+Djem +/ZtLPvifizyLhbPJhwL/lUnLZswgHwoM2mO5eO9U8qFA0M+JtpGTyIcCm+fp +3Xg/gXwoUJ4qd+s6jnwosHzFhOQxY8gHf75rum7eOZJ88Hu1sTgWCfKhQPa6 +BX2rrMmHAn+SrTsLhpAPBfqLD69fMIh8KDA14pfF6QFl7HnrHFwnwu65qow9 +b52DoKTn965cGXveOocWg4bUTpeVseetc/ivfuUYX3EZe946BzOPuS1fmZex +561zGDDrqYG+aRl73jqH7/v9/JcKytjz1jl0bbfK6Ur/Mva8dQ4W4brhn/TL +2PPWOXSuH2s9uE8Ze946h0DjGpF7zzL2vHUOw2cmbHjcrYw9b51Du4mHOvTX +K2PPW+fP96Bhy/Wdytjz1jk4Wk+beK99GXveOocJUz9Vd9ItY89b5+A7M7fQ +TqeMPW+dwzP3B4YxrcvY89Y5HH54Prp9yzL2vHUOacdH+S9rKmXPW+dwtYdH +TvyfUva8dQ7tO46a1P13KXveOgfD/Dl9HRtK2fPWORiMu6jO/lbKnrfO4e+P +Nn6iL6Xseev89Su3G36wvpQ9b51//xsBFpW1pex56xyKNvitH1ddyp63zqFT +nlVdeEUpe946h5dfHG51Kitlz1vnkOymf9fpQyl73jqHfv5c6zdFpex56xwa +X/n5jHhbyp63zmGgrfX88IJS9rx1Dnu2d13Z/VUpe946fzxFN8LteSl73jqH +amW3AR+flLLnrXMIrTBpmJdfyp63zkEnRPktI6eUPW+dw89fnGRwVil73joH +lPYJCE8vZc9b569vbOUIg7RS9rx1Dm/FvkbHH5ay561zCLDRH6jzoLR5D0cO +ys07XXfdY3ts5mC7MvLPt3i2xxYOByX3rjnEsT2cOTzRDzlScYftsZM//2K7 +C3a32R67+Pdb3aL0XSTbw42Dx8d9MxdEsD32cjD++OlbwTW2hzt/vYVTHswL +Y3t4cJgaHBb3JpTt4clh0RndtwsvsT28+Pvt0E7x+2C2hw+/v7nuhRXn2R7H +OdxfkqKpCWR7nORQOCNOe1MA2+MUh4rZvz7/Os32OMMh66Nvq31+bI+zHI6k +X7DqcJLtEcR7TuNOnD7G9rjA71s3u5OpD9sjhEMHR9n1W15sj8sclp5PXzfc +k+1xld+nYfj4xx5sj3AODR2ibOzc2R43OLQMHLjs2162x03+ftpeGeDhxvaI +4uDnW/ap7262RzQH/18TlkbuZHvEcihLG1xrs53tEc9hq/rJ0aKtbI9EDpsP +qic5O7E9kjhoDPcY621ieyTzPpa/63R9A9sjlYNT9ga9cQ5sj0cczhfME5ev +ZXtkcljSN23W/tVsj2x+b8FrP+OVbI88DkMOJ1Q9XMb2eMLhxZczk1YsZXs8 +47D2d0CKzmK2x0sOc0fWjQ9fwPZ4zft0SCyePI/tUchhTk9L9++z2R7v+Pvx +1SHLszPZHu/5Pz+y7MfI6WyPEg75JduTa6ewPco59LbafsZvEtujir//4rrv +HD6R7VHDYWb23pUfx7E96jhsyf42z9+G7fGJg26rC7PHjmZ7fOV/H4Qmzm8Y +wfb4zuHVuz0rQ8H2+MnBPcZ025xhbI9GDhvEH7x0h7I9/vK/v3p9vpxoxfbQ +UmKjjXfKRku2R0slDJZ/KTUbwPbQVkL+d4HOWxXbQ0cJt326kpMc20NXCdux +VpMnytke7ZWI3incrC1le3RSYqykl999EdtDT4nTGBezw5zt0U2JdNe/zwea +sj16KiE8sO3zVwHbo7cS2em/294yZHvoK2FyIqvvRgO2h4ESF7UVIqU+28NI +iSXd1nFferM9jJW4457G3e7J9jBT4sung6Jt3dkeIiW0En/3HtKV7SFRolv/ +FS20urA95Eos661XlNqR7cEpkb994i2v9tQPJa6+Wrxjhi71Q4mFp04P7NeW ++qHEsKKR5eXa1A8lBp+POBjZivrBn7+PuN+uFtQPJb6btQ4Z11TC+qHEiWCv +3j3/lrB+KHFBq7tb2e8S1g8lHjXVPb/9s4T1Q4kWBg593H+UsH4oseXUh4mz +vpWwfigxZkWIvfBLCeuHEmuHtt7eWF/C+qGEu9e8jXkfS1g/lEjb/WfGxZoS +1g8lkr/BeEdVCeuHEnM9XN9MqShh/VDilHvnXcKyEtYPJc58najToqSE9UOJ +bYeObC8oLmH94O+vW4qnt9+VsH4ocdjzcNejhSWsH0r0Lf5rZV9QwvqhRB+u +ZPS4VyWsH0qsu3x6oPmLEtYPJQ7ou7Rr86yE9UOJrb1qH5Y9LmH9UOJJls3i +tLwS1g8lovTqC0NzSlg/lIjbNGKoZ1YJ64cSOn1CXBwy2B57lRj9blPg/6vb +TsOhfOO/j5dUIhJSaUGbRMvMNZS0nJFKkRZEWbKnJCEqa9kJoQgha1napSKl +PaVNotI2o0U057f8Uqlwz/8+ruv7P+4H9zw7j3nAXO9z5vPotfYu2yOKR44b +quTq32Z7xPCIeH1HyPibbI94HvET2ywYdJ3tcYBHGN9bLzqusj2SeeQlTVn3 +7ArbI1XyfRipVXqlmu1xiEf4MzpaSy6xPTJ4ZJKWUUdKFdsjk0fS09OfhVSy +PY7ySGqRRcbWc2yPXB7RU2sW2Jxhe+TzyHO7tPJlp9gehTwyZvv3P3oVbI8S +Hhn5aeOkaWVsj1Iecfw3X3P0CbZHOY+YhfT+lClhe5ziEXioUPi3kO1xhkfW +drROgXy2x3keSXZtDGrLY3tc4JHBrpuPv8hhe1ySPJ+iHyWPstkeNTyitatv +z+1Mtkctj+heEqvXZrA96iT3R8g/cuEw2+MGjyj+XCQ6lcb2uC35/xIiek+k +sD3u8siv275fCpPZHvcl98s2rDgvke3RwCNbYsbzjiawPR7ziPTEqwmZcWyP +Rh6ZsftFZUYM26NJcl+D35enR7E9WiTn7FU+6RFsj5c8YvokeWDGPrbHax4R +5U12PBLG9njLI69UQ2OzQtgeQh5pqVcNyQlie7TxSGST24L8PWyPTzzy9EXb +7eJAtscXye9J37Cx5bvYHp08ov59rd5ZP7YH5ZE2oZ7apZ1sj2884hA55+61 +HWyP/3hEeUSt4b3tbI9uHtk/f0Pg021sj9+SHiRoT6sn2+MvjyxtLV7yyYPt +0Sv5/TKxfPzdje0xgE+a5kpP6nNhe0jxyehOxkDOme0xmE86VJxVxm5mewzl +E+d2+fNaDmwPWT7RGHVRZa4d22M4n+TfeTNv+Ua2xwg+ySmUUrexYXso8cnG +hyV3PK3ZHip8MvzdqtnBlmyP0XzCE/vZJK9je4zlk8+R+UsK17A9xvOJVfmG +jour2R4T+WTAm7HrHpqxPTT5hC/vtbttJdtjMp/YFTfa/VnB9pjGJ76RjX0j +l7M9tPlkQ983+xkmbA8dPlnQen6PsTHbYxafyG7IX2u/hO0xh0/uaJCPgYvZ +Hgyf/LEdLUhbyPbQ4xP19FMLTxuyPebxicyrxIENBmwPQz4xzV4Y9mUu22Mh +n5RdW1Y1VJ/tQfgk4Si/ZJqA2w8+cfgZv3oZn9sPPpEb8OqU+xxuP/hky8ay +OzGzuP3gk2LlmMOlutx+8MnNkr9qDTO4/eAT6b9p1jCd2w8+cXR5vVxZi9sP +PvmV40TnTuX2g0+Sn50xtZ/M7QefSGVssI3Q5PaDT2o+tI0vU+f2g09mTfqQ +9nQCtx98snbC59qecdx+8InK8OCsSWrcfvCJKIGvbTaG2w8+UcvP8gxQ5faD +Tw5ULd2Ur8Lth+T/O3q9t0GJ2w8+iY8vWNOjyO0Hnzip7Vk/bQS3H5Lnb9Yy +2FKe2w8++bvfwmO/HLcffDIj2j/w7DBuP/hkd9hLPeFQbj/4ZOnfkQUjh3D7 +wSedn8/WGElz+8Enqw+b7/eX4vaDT2wq93SXDOD2g09irB+ovOoTsfshuX/i +lnfyvSJ2P/jEYqWetdFfEbsffBKVsycwsEfE7gefzAwwND75S8TuB58UXB95 +ua1bxO6H5D48SHuh9kPE7ofk+zrfNn9dl4jdDz4pUv2jlPBNxO4Hn6jWKcy8 +RUXsfvDJhO7Z0PtVxO6H5Hk8/mkzr1PE7gefPLnxz9Pvi4jdDz6xzGvVPP1Z +xO4HnxgnzQzv/Chi94NP+pQSI6d/ELH7Iekve3iWu0jE7gefDCl7H1L0XsTu +B5/sCZfzbXsrYveDT3T9z8pOfiNi90NyP296L3dpFbH7Ifk8g95rFb0UsfvB +JwGaBeUfW0TsfvBJo+O6Rq1mEbsffPJ0Q1L21iYRux98Yvj7vyGnGtkeDXyi +FaWp0vWE7fGYT1IyLtzQf8z2aOSTn+MtlIIfsj2a+OS7daLUjQdsjxbJ7+er +EWky99keLyXf75SAmxb32B6vJT0uOKVl3GF7vOWTD807pd7fYnsIJX+vabmi +9k22R5vk/gsjr/heZ3t84pMx4feH1F5je3yRfL+iq+nQq2yPTj45O+aX3/or +bA/KJ8tPTkrPq2Z7fJPcv2HvLb9eYnv8xydHjRpPG1xke3TzydcrR0tiLrA9 +fvPJJZ22ec3n2R5/+eTqQVP3qefYHr2S32ND/1m7zrA9BjDk/f2hKbdPsT2k +GPJgemmi6km2x2CGvE3snbylnO0xlCHyYWXrq0vZHrIMOffQarz8CbbH8P/x +EMUhm0vYHiMYcnIpCawsYnsoMSQrvkFmWCHbQ4UhoVu+8xzy2R6jGZI83brr +fB7bYyxDHB0LTGVz2R7jGRLXGTLX6SjbYyJDNnUfrLmUxfbQZEgkjXiumMn2 +mMyQayn9kZ4ZbI9pDAkTVdTfOMz20GZIvq5e4fhDbA8dhqhIG40MTGV7zGLI +gaUhyo0H2R5zGMIbmVI6M5ntwTBkZZPWs7hEtoceQ7oWfU3+lMD2mMeQOyvi +3hnHsz0MGZIxu+x6fizbYyFD1AL/6A2IYXsQhhxpn7rAMYrtYcSQ46kvnl2N +YHuYMKT53tV/E/ezPZYzZPPkqKqwcLbHSoZ8Cn0xQBjK9jBjyPh/bq1GIWwP +C4bs739lUhzE9ljHEFXex8Uye9kelgyRC+bXb9vN9tjAkBCFncLHAWwPW4Zo +21rECnaxPewYMmVEcG2mH9vDQdJvakl4/062hxND1jwLfurmw/ZwYcj9g6dP +N3izPdwlz2M0VRNsZ3t4MmSBc7vS0W1sj20M+Vi+OkN6K9vDmyGaPk+Pb9/C +9vBhyOGtmktb3NkefgypSYdtS9zYHrsYkjNbPLHChe2xmyGJpx/YjXZmewQx +pO3xgqkRm9keIQypiu0KBAe2R7jkvirmWdrZsz32M8Th9Y/q+k1sjyiG3M4t +KZu7ke0RwxCTFR6TS2zYHvGS94d0TBm1gdsPhtibiE5FWnH7wZARFYOu/1jP +7YfkfqtLbXJbx+2H5PPXpQQ3r+H2gyEeYvepKyy4/ZA8r0X6VtXm3H5IzrGV +cjPNuP2Q3J/W9BXHVnL7wZDOiFQ5FVNuPxjiNNTeMnY5tx8MUdSqmNRrwu0H +Q7a/1QvwXcrth+T5u5xZ3W7E7QdD6qc0ljss4fZD8vwa1iY+X8ztB0MuLvzU +ZbaI2w/J/U1b9vrWAm4/GKI+ZYbpQkNuPxjiGrzCoMqA2w+GDHCxrZg9j9sP +huQ2qxSU6nP7wZC/8ozaFD1uPxhyOtBLJY/h9oMhcHnPQTU+tx8MsT6nnJQ+ +h9sPhpTu+m+48mxuPyS/BxaNww/O5PaDIRU3PBPldbn9YMiVGL+khBncfjBE +Jql0hKw2tx8Mef7ntmKcFrcfDDHWjkiRmcbtB0MUyrJTYqdw+yG5T8b3FYdN +5vZD0v9bvUK8JrcfDBEJrRLkNLj9YEh4sHZ04kRuPxgydOOA/hETuP1giP+K +9O7Ucdx+MKTd9Linqhq3HwzxPgQbs8Zw+8GQRyk69RNHc/vBEIt3oysLR3H7 +Ifl/zHeO01bh9kPy+/f+R98pJW4/BOTdQ0cHvZHcfgiIMGyHwZUR3H4IiHSq +MNlYgdsPAXFu9HN6MJzbDwGZteTDyfVy3H4ISKTid//Xw7j9EBCmyKbKTYbb +DwFJTHm5E4Zw+yEgenn843sGc/shIHBrkrW0NLcfArJNxzM0WYrbDwFR1b2t +Pm4gtx8C8mXNT4Pj/UJ2PwTE0PJKA9MnZPdDQOI0Wlrq/gnZ/RCQtU+GbFr9 +V8juh4C0nJSzft0jZPdDQHr5Jfe2/hay+yEgI4dlnOv5KWT3Q0ByZPKU47qF +7H5I/p5BWOeYH0J2PyTP5+lvg9IuIbsfkvf1H/YZfBey+yEgvn9rDR6AkN0P +ARnWdqDDjgrZ/RCQaW/+KsJXIbsfAtI59GHFvk4hux8Csuz0jVqVDiG7HwJy +0yzP+ES7kN0Pyd+PmbpowWchux8C4s6MOfnko5DdDwGZvW7+QbcPQnY/BERG +yeLzH5GQ3Q8B6Xs49txBoZDdDwE5J+/wbdp7IbsfAsKLepdf+1bI7oeA3FZx +vmP5Rsjuh4A03Cu1/9rK9nASkKSXez0iX7E9XAREsTyzbfxLtoe7gHQ03H96 +oYXt4SkgE/408iya2R7bJJ9/TOCgL01sD28BKZsfvCzimfD/60HmV0ZFdmqI +0YMM+fj1ZTYjRg/iL5wn/XCJGD3IwJ3jDUrNxehBfJP6jIbaiNGDfLjR6GTl +JEYPsvfWKo3nnmL0IOnDKuaf3SlGD6LQWug2dI8YPcii3I9m4jAxepBDod/m ++0WL0YOMW/IZig+I0YMkS8fEJaeK0YMMd5i9cN4RMXoQPb0hT0pyxOhBtiWm +dr4vEKMHqT/2ZmPncTF6EK2h2XPvV4jRg6TVzRi+76wYPYjX8BKxUpUYPch3 +RvPg/moxepBbuQXWjVfF6EEyld45St8UowepE4YeVLsrRg9SbRSUrfpAjB7E +YlKfzJ9HYvQgvz1UFtxpFKMHyU3cERjcLEYPYurhJpzwSowexPLAupjyN2L0 +ID96gzZPE4rRgyw7H70m+YMYPchpzQztz5/F6EEGWGidmtUpRg/ydm5eqjsV +owfRnX45NPm7GD2IbMdlhbIfYvQg6y0imqp+idGDLAmNtqn6I0YPkhCZpVba +K0YP0tVTnZo8gKIH2SI6y9s6iKIHqdx/2slgCEUP4uvbGN0rQ9GDOL3P76+S +o+hBWi8ETXVXoOhB5sv+NpMbSdGDTJpifKdEmaIHoeN2vJmnStGD6CpcfXV9 +DEUPIjj1UcpoHEUPEknNMi5PoOhBhjgsbZ6uQdGDfLtt8Dd5EkUPohfxeRFM +oehBNGTCW5ZpUfQg0p+e/07XpuhBDCrDm97pUPQg3er9mZqzKHoQly3NAXZz +KHoQ+YjT6Qf5FD2I7UTfyVcFFD2Izjl7k4/6FD3I13qNyUMMKHqQmlNUqGFI +0YPcPJRWrLeQogdZui3m4NLFFD3I3W39N82XUPQgxvv7HNcaU/QghcWuERYm +FD3IPb3eVSuWU/QgW3wPNBuaUvQgxuNfL5qxiqIHubzmbp6yOUUPYn3KRPn3 +aooeJP/xrnMtayh6kF3Tjx8+t46iB+lb49IUa0nRg5TfC4jaaE3Rg/jM77mq +ZUPRg8iuskr/ZkvRg8ztsdC4sImiB9HLGLFzlz1FD2LuOukoz5GiBznTEFn5 +ZTNFD2Jtdbwhx5miBzE38P9p7krRg6yrtTT+40bRg9gt0r9V4EHRgyzsT4pb +7knRg3hedi5s30rRg3j+WKYZ7UXRg4RreShpeFP0IIdPxEZV7aDoQRy2KcSZ +7qToQRLS/s146UvRg0S8/rvbzZ+iBxn20i6c7qLoQSbIj1vtH0jRgyh9eEd/ +7qboQT5HDt4RsJeiBymJznzVFUTRg8RGOy70CqHoQXzynxWIQil6kGWNuqrW +4RQ9SLa+Y8GdfRQ9iHD+xHWCCIoeJOT029l5kRQ9SNvdFyZDoyl6kLvSsrle +MRQ9SLDsXKPHsRQ9iK+r2HB2PEUPUqcfdehAAkUPkvV2ktXnAxQ9SMz+S9GL +kyh6EIW0ofzDyRQ9yOkFBY7tByl6kMsPvo42SKXoQW5JqbvEpFH0ICtdTUyf +HaLoQcb4GDWOT6foQWSePx7smkHRg2RkhHWeOELRgzyZWRvfmUnRgyimPOrQ +yaboQaSWPVfaepSiBzHb9HhYSQ5FD7Le8Ujzu1yKHsTfvCNs9DGKHmSYn8Nw +83yKHqTQzHt/eAFFD3J5k3/72UKKHkS7u2KpsIiiB2mQmZujUELRg/iKVH4b +HKfoQUI+6G5yOUHRg2xZsLIhvpSiB/FKmbD2TBlFD5JwciE8K6foQeZoTq/o +rqDoQbKFSxJHnaLoQTaIWjKY0xQ9yKtS9acWZyh6kHjh3EVbz1L0IOuF0z/s +P0fRg3zT/3g38zxFDzJReyU9VUnRgzzS17C7cYGiBzmUOk61qYqiB7HJeq3x +4SJFDxKz6lt41yWKHuT5+Sfz+y9T9CBVDh/XydZQ9CAl1yIeKl+h6EGcM+HU +uFqKHmSO86a/mlcpepBlxe1V065R9CD+Tic+atdR9CBT3bITdK5T9CAPj5+r +0LlB0YO8PfbYbMZNih7E8uitHVq3KHqQH8Otxk6+TdGDrHqtZz7hDkUPEnVJ +foTqXYoeRHfzLmf5exQ9yOvOXysH1VP0IHKqyg2/6il6EGH43C8d9yl6EJM/ +94pfP6DoQSZcnTj4YQNFD/KiKkL2ykOKHsT8iv7l0kcUPUjvk60q6Y8pepCH +9svV9z2h6EFmebW/3vqUogdpfeuwZn0jRQ+iVXomeP4zih7ks/RLV40mih5E +7vg9ucHPKXqQL11u/u3PKXqQpbqJ+febKXqQqScU08tbKHqQXf8uWSa8oOhB +omaZvPd8SdGDuL7farj8FUUPwg9p3jK5laIHsXPV2dbXStGDvFSWNnnxmqIH +cV7b9fvMG4oe5Oq6sLjYtxQ9yKiPOj0O7yh6EJc0n5WC9xQ9iFRDbZiMkKIH +2Tw4OLtVSNGDtAjljp0UUfQgtevuJIe2UfQgx6v/ea3+QNGDfFHoMZjwkaIH +sf7d+7vjI0UPYvLfsoqLnyh6kI/eMtYRnyl6EFHnjt/m7RQ9iI1a0eHRXyh6 +kOm/bs1+/4WiBylq77x3vIOiByl8pePi3UnRg/yyTRkg+ErRg6w+Panw91eK +HiS2553ZFTFFD7Jz+c3+UErRgww4W1dLgKIHGexzJ3bgN4oexPb3Fefr3yh6 +kLgRMebh3yl6kOEfBq5a1EXRg/ycPNj+bxdFD7JA2SXq4n8UPUhou9o93x8U +PYjf502TZnZT9CD/nlgc+dRN0YP0DKzUyftJ0YNIfyRvrX9R9CCav0rPyv+m +6EEUW3OO3/xN0YMs9rpzfXcPRQ9i9fTewJl/KHqQbUkmnu//UPQgn9Jf9KT+ +pehBuhzGXlj6j6IHqfqadbT7H0UPMuVL59niXooeJOJM+k/LPooe5Jizpteg +fooe5FKXvMrZfooeZMTcV2A/ANCDkN/9A2QHAnoQkwHdKy5IzpwH4Wnr1DtK +AXqQ09sUI4YNAvQgc8QKu89JzpwHEcknlm6UBvQgmwPEY6QGA3oQ9anJd09I +zpwHKQ56WLV6CKAHCV01oP0/yZnzILb7TjocGQroQb5veT96gQygB5G706P6 +TgbQg2iUGdvvGwboQYY1SX+dJAvoQQpqwm/dlJw5D6Kf8+uzixygB7kWWbJh +0HBAD/Ld5q5KgeTMeRD5Twc1iDygB0lp1w9+IzlzHuTs6I7pexUAPcix2S+0 +VEcAepBzf3X2npWcOQ+yZrO8ppkioAepc82f8EkR0IPcWCC7M2wkoAcZvM5j +/BglQA8SYP5c44wSoAdx0vAOW64M6EF2yJsavFUG9CD7zu618FcB9CBOw0fd +HDYK0IOYxWkdzh0F6EGch167w1cF9CDtwo82d1QBPYjv70Iz29GAHuRdVV9B +52hAD0Im9NiFjAH0IOl304IUxgJ6kD3dDf25YwE9SErKsY5ZaoAeRNpAZuFV +NUAPctHo7y+zcfC/HuSO9/jWcYAepLfQqnzLeEAP0h13rLh7PKAHufhv4fD9 +EwA9iIK92nv5iYAe5DlVn5Q5EdCDBLzTfzZZHdCD2JqZ/DipDuhBGNPZ0XM1 +AD3Iok/NcXUagB7EXn/8AFNNQA8y3urNlyeagB6kzOvncptJgB7kVM2Sce8m +AXoQ2ewMV7fJgB4kKeLRlM7JgB4kVrnGzmcKoAcxV5kj3z0F0IMUhPXp75kK +6EHGRnW/+jcV0IOsTxb1hE0D9CCMacohKS1AD/K34EF5pBagB7FqsSVDpgN6 +kNU+UutjpgN6EAOz+A9DtQE9SHRBZXeMNqAHCZExjhk6A9CDdO/qzoyeAehB +vpnGzxqsA+hBpltcNYnQAfQgSXVm7wfoAnqQanPoC9EF9CDG6g4Ff3QBPYhi +q8WDgJmAHiTjxJ69XTMBPYiMXvKZ7bMAPYjvRSPv9lmAHqTxx9JK59mAHkRl +qUnE69mAHkQh9Xur1RxAD+Lo9vPqozmAHsRC1Ke7nAfoQTIeVU67xgP0IBqH +6yr0+YAe5IJp/bWTfEAPUlbhv3kKA+hBdszySM5iAD2Ixn/G5ooCQA8yqLki +K0oA6EF6vIx2/xEAepCvckWfvPUAPci0ezs+ifQAPUhBkNFua31AD2J57+LR +en1AD+I8bqvlgrmAHmT9ma68U3MBPYjeoS9hGvMAPcilEx9/pMwD9CCGx4P7 +pQwAPUjzZONjfgaAHmTdwYaWNgNAD6K+uLxk/XxADzLP3Uf+5nxADyI9qWI4 +3xDQg+juFhcdMwT0IO4bbzQrLAD0IHqlFwuCFwB6kHJ922EdCwA9iGI9T27D +QkAPkjrhwYmbCwE9iKjjpGj2IkAPcr/N6XL2IkAPUnd5+8yhiwE9iKf6hsW+ +iwE9iNqDAngtOXMexHxP06LlBNCDjALvmWclZ86DhPz7r0ZtCaAH+R76oSNC +cuY8yEGXuuqvkjPnQcJKh+haGQF6EIGr3uJayZnzIJdq639MMQb0IO9/LzY/ +IDlzHiTaT9n0P8mZ8yCVJ6622y4F9CAN/BrdOsmZ8yClD/eNmmYC6EEu28QX +JkjOnAeRf7mq5ZvkzHkQ76AFZ62WAXoQu/SnvGrJmfMgyhVhthOXA3qQaK/q +6fslZ86DrLv4I++j5Mx5kIqcY9dWrAD0IGtcZ8eWS86cB7GLGvlH3hTQgwjO +VY3ykZw5D2L7PLH1qSmgB5mnMtiMWQnoQXqfxHkcWgnoQawqYmd1rwT0IHNj +tudbrQL0IDmRhXUXVgF6kAVyhw+MMgP0IAvvlg/eZQboQUTla3SbzAA9yPzu +zgGMOaAHuab9MSLFHNCD/BpXeAHMAT3IiK8J6earAT1IwLDBWuWrAT2Ih8DP +Q8YC0IOEhs23cbMA9CCL1l7tuW4B6EEOuTmZTVwD6EE2Po5as3cNoAdp7Dkw +pHkNoAfRKGvx5q0F9CCXBtyJO7AW0IM833txw+e1gB7k3X+yL5esA/QgzSUT +FY6uA/QglbPX/OpeB+hBHhz4d8hiPaAHma4R/vnEekAP8v6MPgy0BPQgO72C +KjZaAnqQoe0n1M9bAnqQJs2xpnJWgB5kzf6p2i5WgB4k6s20umorQA9i4b9L +Qcka0IPkdm9R8bQG9CAnw2war1kDepDF+VkrVDcAepAnG6MCvTYAepAH6t6O +NzYAepDzLaUDxtgAehCrdwc2bbcB9CAdw7b53LAB9CCkIGPxaFtAD9K6za1+ +my2gB1EpmqZQZwvoQZhBMxVUNgJ6kAO19fUeGwE9SGqk+ZKajYAepCNGZpfC +JkAPEh6w0s1pE6AHSQxzVqrcBOhBytbnBQ+xA/QgT6daFNnYAXoQj4prcWV2 +gB5k0aRFM//ZAXqQtOWjk83tAT2IvEvG6Vx7QA9SbSSbDPaAHkRqYc1M4gDo +QQp43QkHHQA9SE68VNl7B0APMmvhzNg5joAe5ML9q9PCHQE9SPGSAdGPHQE9 +iNei+SUTNwN6EPuvlTHbNwN6kJQhl2Zc2QzoQR6/iE+WdQL0IJ7Ev8rGCdCD +jDtZnVfiBOhB2jWLVv1wAvQg3XoB1UucAT2I46iAr0nOgB5EcX+7sNUZ0INk +NI3Mnu4C6EF+/NMbs8sF0INsjzhie90F0IPYxgU7yrsCepAv9VNm2LoCepBf +Wc8uF7kCepAG8X2Fb66AHuS9hZm2oRugByntPDIs2g3Qg6yN+376iRugBwlP +Th8/zh3QgyhL37Nwcwf0IO8O3DY97Q7oQVx9Hsn2uAN6kKR0zTQjD0AP4hHX +K0rwAPQgDbuO/WnyAPQgU3VXvJqwBf7Xg2jy97tvAfQgU7yzu05tAfQgIY11 +Or+2AHqQ+PNvZy72BPQgZRN4PdGegB7k5a3/Eh95AnoQy8HOX0dtBfQgJh+q +VOy3AnoQD8WZQ4u2AnqQ46K+mx1bAT3IXQf3VbxtgB5ESpCTE7gN0IMcr31d +XbsN0INcGuRQOMgL0IPE1thuMPUC9CDrV8i0JHkBepAXNfmTmrwAPUh3s/38 +sdsBPchcWf+JDtsBPYh/wLjHBdsBPYi9ON7s83ZAD6Lc9TtdxxvQg5y/nH1q +hzegBxHrlKad94b/9SBt1st+eQN6kKGzX92ZvwPQgyiM3DIqdAegB1Faose/ +vgPQgzzWCZgg7QPoQV7859CyzAfQg4z1nbw5zgfQg2zW/3XxgQ+gBxHFyL+T +3wnoQWrdMp9b7AT0IMExD7NTdgJ6kAz717xnOwE9yI+RvzNUfAE9iHvsukdW +voAeRMdxwvN0X0AP4glxFS2+gB7kuHm95Rg/QA9ivnL4Ixs/QA+i5hsxJtMP +0INkKzgKXvoBepDSaY81x/oDepCW0EFCG39AD0IzdXyP+AN6EIfLAc9b/AE9 +SOUbZbnRuwA9iOxdnrL1LkAPsqe7T3xoF6AHSSgtzX62C9CDlH5wHK8UAOhB +EjwtfNYEAHqQwivFGUkBgB4kacrB1IYAQA8SXGboJBsI6EGy1Z4PWhEI6EEi +peP2RgUCepCCmojbNwIBPUj2hu8f+gMBPciFyt4XC3YDehDi35S/ZzegB+n5 +d2xx1W5AD7IzKOlc125AD7JDdPfPrD2AHmRdZ+CYbXsAPUigar3c8T2AHiSr +/GOTaA+gB5lT/ctv4l5AD/J0rPYX272AHuTg1kLB4b2AHmTTqIPWT/YCepCN +ZmMs5IIAPcgKObeJy4IAPUjT6Lxb4UGAHqRnICypCQL0ILZTQtK7gwA9SEbR +zhuzgwE9yBm/H7c9gwE9yPrVM/MLgwE9yPxaY8s3wYAeJGSU4zvVEEAPIt5d +YrQmBNCDuDeT0LgQQA+i1WGZeiME0INMWjQs7G8IoAdZNyNyqSAU0IOMeN4p +8goF9CCbGPeNxaGAHmT+sLHlb0IBPUjvF+3no8IAPciReVdfmIcBepBOrb8X +osIAPciomsHba8MAPciN21J9P8IAPUjgV1VP3XBADyIscj/lEg7oQdT1VR9l +hQN6EMXLpveehgN6kNwxU3Nk9gF6kCFKDWaL9wF6kGl6zi279gF6kJsfZBdW +7AP0IM+sv4SI9gF6kLuHNLLG7Af0II1Bz1JW7wf0ILq1U9wi9wN6kM1v9VWq +9wN6kAQD3TzYD+hBTvROGzI1AtCDPJ5laroxAtCDhJVUbkmOAPQgr5UTXG9F +AHqQX3/FC3oiAD2IUnXf95mRgB6kNexthHMkoAe5ZHWqKz0S0IP0qyUvehAJ +6EEWRed79EcCepDAqUO8mShAD+Lk8GatRxSgBznhvVw5OwrQg8zycj33KArQ +gyw1sZwtFQ3oQcR1+vF60YAeZGyYzs0t0YAeRPR77avsaEAP8iC8/vGjaEAP +8sfobOHAGEAP4msy3VoQA+hBrLaYf3aPAfQgo9wXb8iMAfQgVc/GlzyIAfQg +QzSlm3pjAD3I8yPKwtmxgB5kjpPnQ6dYQA+SETQ5My0W0IPMu+249HYsoAeZ ++svw8c9YQA+SWPLcYHocoAfpWroy0jYO0IM4alw8FR8H6EHyXAwu18QBehA/ +VVr4NQ7Qg9yZ0OU9IR7Qg3wwdFZbHQ/oQSoUN5WExgN6kPqxPUqn4wE9yPtz +NpvfxQN6kDuj0pNGJAB6kMqND3MWJwB6kL271ZJ2JAB6kFNLMx3zEgA9iMjP +TelxAqAHeZaZVdKXAOhBsrUtx886AOhB2nad9LM/AOhBlM9erzhwANCDhIvL +79YcAPQguRNibnUcAPQgPBXvwrGJgB5kT2SQ64pEQA/iInV/aGAioAepkvJP +LE4E9CBGrWndzxIBPYjCTWMilQToQaIac7bPSQL0ICWDroQ4JAF6kBn8Sz4H +kgA9yOVxFcuqkwA9iOLms/2fkwA9yOx9wuxRyYAeJKbNapxxMqAH0XikFeqT +DOhBHmz1uZWTDOhBlt5ZQe8nA3oQ9yf1f38lA3oQc7vh4ikHAT1ItIb2jbUH +AT0Ic3FeUOhBQA9ikLt+TPlBQA/iPjc1s+UgoAfJvje8f1AKoAf5L6h1xZwU +QA9CHVUD7VIAPUiGx/O42BRAD3Ji65x9lSmAHuTy1MX271MAPYjT/LETh6cC +ehDH2c0356YCepBrPomrXVIBPcigNdZXk1IBPYiJ9Arl6lRAD+Jctsf8Yyqg +BxlrIrVdMQ3Qg+jX/t5pmAboQc4L/ezc0wA9yDuPeN2UNEAPsr9znagmDdCD +9NO2kE9pgB4kuHV9v+IhQA8ycOBld8NDgB4kL1270u0QoAdJq675nHwI0IO4 ++0YNrD4E6EFUyo8O/HAI0IPMMBjdLn8Y0IOIX8hemHsY0IMEzErc4nQY0IMM +fFTQF38Y0IOMOhsZVnkY0IM88dH//OYwoAc5HN2gNzQd0INEqdttm5MO6EGi +1/6JsU0H9CCLx11J2J8O6EHmulYFlKcDepDuf70rmtIBPcjEvONSvemAHiRT +fLdwagagBynz99NdnQHoQVTKrh4NyAD0IHPFtT9yMwA9yMyYKMHdDEAPQsu0 +7SAD0IN47Lq6bfQRQA9yTLzBZfERQA/yTFrW2OMIoAdJSm+XTT4C6EGebBla +U3UE0INM1d27/u0RQA9yO8iyaXAmoAc586Z04cxMQA9y/0ZismUmoAf5G618 +PygT0IMs37laXJAJ6EHo+bU99ZmAHiTKaw79lgnoQVSLeh+MzgL0IPoWDamL +sgA9iNbq00ZuWYAe5JvX5daELEAPssul1/5cFqAHyXqeWP8iC9CDbFgfMrE/ +C9CDPLd5azc1G9CDxK++GbkqG9CDrI1blL4zG9CDRK90TsnIBvQgE+pIQG02 +oAe5Lv11aVs2oAf5oh7QK3MU0IN0D/6eN+sooAcxOuKta3kU0IOYFQ8o3HMU +0IPUtl8alHcU0IMky5WuvnUU0INMLBJFfDkK6EGW6gcWKuQAehAfZvdJJgfQ +g9T9+ZlvkwPoQVRfSu8LyQH0IIJh51cW5AB6kKfnlfrv5AB6kHMgyO3MAfQg +167PmK6YC+hBJk4YnCPIBfQgK9qa/tnkAnqQSR/KlofkAnoQxbcZIfm5gB5k +ZGhF7u1cQA/y1LGn7EsuoAe5IZ9cIJ8H6EEEA0OjeHmAHsS9oHm9VR6gB8lf +USq/Jw/Qg5jNH3L+aB6gBxl0ste4Lg/Qg5TezqtrywP0IFFH/k0fegzQg5hr +TwmZcQzQgyzfNq3W/BigB1m/RPmLzzFADyIT+mfAoWOAHuRuW6f0xWOAHuTh +lF8/Xh4D9CCr2nSe/jsG6EECz2ZmqucDepCSkWtWG+UDepCNAQ7gmg/oQTYZ +NQXH5AN6kHv36n6W5gN6EB1zxr4hH9CDpAwyPEPzAT1IuuJnUCwA9CD74paN +ZwoAPcg6/y16VgWAHqT57ibDwAJAD1K7bfaszAJAD3JiXpd8TQGgBzH6fe7V +6wJAD7LaLfxQXwGgB6nV8TTUKAT0IHXtQY+XFAJ6kJYZd9e4FAJ6kC87ba9F +FgJ6kLWzl4wrKQT0IL9uH3C9WwjoQWzjV2a3FwJ6kJIbsdeGFQF6kHslVk9n +FAF6kJDN156sKgL0IM5yTbVeRYAeRO5C/pHEIkAPMsJe3+lUEaAHGd+dN/px +EaAHkXH6WgNFgB5EFKC9WrEY0IMM6nd9NKcY0IOoV1YsWFsM6EGsjRUydxYD +epClZhltKcWAHkTJyWr8uWJADxI3xNq4sRjQg0ysLLHpKgb0IEOaLO2USiTv +/9+XBhnw/7w0SFbm/7y+s+8L8Px/ANvR/pQ= + "], {{{}, { + EdgeForm[], + Directive[ + RGBColor[0.368417, 0.506779, 0.709798], + Opacity[0.1]], + GraphicsGroup[{ + Polygon[CompressedData[" +1:eJwl2FPQGEi3BdAvtm3btu1MbNu2bduY2LZt28ZMbE3uyv0fVp1+7qpT3Xsn +atS+UrvAAQEBgSgc/H9zRNiAgELOBSlAfvKRlzzkJhc5yUF2spGVLGQmExnJ +QHrSkZY0pCYVKUlBcpKRlCQkJhEJSUB84hGXOMQmFjGJQXSiEZUoRCYSEYlA +eMIRljCEJhQhCUFwghGUIAT+cw8E8DtYQMB//OInP/jON77yhc984iMfeM87 +3vKG17ziX/7hJS94zjOe8oTHPOIhD7jPPe5yh9vc4iY3uM41rnKFy1ziIhc4 +zznOcobTnOIkJzjOMY5yhMMc4iAH2M8+9rKH3exiJzvYzja2soXNbGIjG1jP +OtayhtWsYiUrWM4ylrKEv1nMIhaygPnMYy5zmM0sZjKD6UxjKlOYzCQmMoHx +jGMsYxjNKEYyguEMYyhDGMwgBjKA/vSjL33oTS960oPudKMrXehMJzrSgfa0 +oy1taE0rWtKC5jSjKU1oTCMa0oD61KMudahNLWpSg+pUoypVqEwl/qIiFShP +OcpShtKUoiQlKE4xilKEwhSiIAXITz7ykofc5CInOchONrKShcxkIiMZSE86 +0pKG1KQiJSlITjKSkoTEJCIhCYhPPOISh9jEIiYxiE40ohKFyEQiIhEITzjC +EobQhCIkIQhOMIIShMAEIoDfQe0/v/jJD77zja984TOf+MgH3vOOt7zhNa/4 +l394yQue84ynPOExj3jIA+5zj7vc4Ta3uMkNrnONq1zhMpe4yAXOc46znOE0 +pzjJCY5zjKMc4TCHOMgB9rOPvexhN7vYyQ62s42tbGEzm9jIBtazjrWsYTWr +WMkKlrOMpSzhbxaziIUsYD7zmMscZjOLmcxgOtOYyhQmM4mJTGA84xjLGEYz +ipGMYDjDGMoQBjOIgQygP/3oSx9604ue9KA73ehKFzrTiY50oD3taEsbWtOK +lrSgOc1oShMa04iGNKA+9ahLHWpTi5rUoDrVqEoVKlOJv6hIBcpTjrKUoTSl +KEkJilOMohShMIUoSAHyk4+85CE3uchJDrKTjaxkITOZyEgG0pOOtKQhNalI +SQqSk4ykJCExiUhIAuITj7jEITaxiEkMohONqEQhMpGISATCE46whCE0oQhJ +CIITjKAEITCBCOB3EPvPL37yg+984ytf+MwnPvKB97zjLW94zSv+5R9e8oLn +POMpT3jMIx7ygPvc4y53uM0tbnKD61zjKle4zCUucoHznOMsZzjNKU5yguMc +4yhHOMwhDnKA/exjL3vYzS52soPtbGMrW9jMJjaygfWsYy1rWM0qVrKC5Sxj +KUv4m8UsYiELmM885jKH2cxiJjOYzjSmMoXJTGIiExjPOMYyhtGMYiQjGM4w +hjKEwQxiIAPoTz/60ofe9KInPehON7rShc50oiMdaE872tKG1rSiJS1oTjOa +0oTGNKIhDahPPepSh9rUoiY1qE41qlKFylTiLypSgfKUoyxlKE0pSlKC4hSj +KEUoTCEKUoD85CMvechNLnKSg+xkIytZyEwmMpKB9KQjLWlITSpSkoLkJCMp +SUhMIhKSgPjEIy5xiE0sYhKD6EQjKlGITCQiEoHwhCMsYQhNKEISguAEIyhB +CEwgAvgtHP3HL37yg+984ytf+MwnPvKB97zjLW94zSv+5R9e8oLnPOMpT3jM +Ix7ygPvc4y53uM0tbnKD61zjKle4zCUucoHznOMsZzjNKU5yguMc4yhHOMwh +DnKA/exjL3vYzS52soPtbGMrW9jMJjaygfWsYy1rWM0qVrKC5SxjKUv4m8Us +YiELmM885jKH2cxiJjOYzjSmMoXJTGIiExjPOMYyhtGMYiQjGM4whjKEwQxi +IAPoTz/60ofe9KInPehON7rShc50oiMdaM+fgN2WNrSmFS1pQXOa0ZQmNKYR +DWlAfepRlzrUphY1qUF1qlGVKlSmEn9RkQqUpxxlKUNpSlGSEhSnGEUpQmEK +UZAC5CcfeclDbnKRkxxkJxtZyUJmMpGRDKQnHWlJQ2pSkZIUJCcZSUlCYhKR +kATEJx5xiUNsYhGTGEQnGlGJQmQiEZEIhCccYQlDaEIRkhAEJxhBCUJgAhHA +70D2n1/85Aff+cZXvvCZT3zkA+95x1ve8JpX/Ms/vOQFz3nGU57wmEc85AH3 +ucdd7nCbW9zkBte5xlWucJlLXOQC5znHWc5wmlOc5ATHOcZRjnCYQxzkAPvZ +x172sJtd7GQH29nGVrawmU1sZAPrWcda1rCaVaxkBctZxlKW8DeLWcRCFjCf +ecxlDrOZxUxmMJ1pTGUKk5nERCYwnnGMZQyjGcVIRjCcYQxlCIMZxEAG0J9+ +9KUPvelFT3rQnW50pQud6URHOtCedrSlDa1pRUta0JxmNKUJjWlEQxpQn3rU +pQ61qUVNalCdalSlCpWpxF9UpALlKUdZylCaUpSkBMUpRlGKUJhCFKQA+clH +XvKQm1zkJAfZyUZWspCZTGQkA+lJR1rSkJpUpCQFyUlGUpKQmEQkJAHxiUdc +4hCbWMQkBtGJRlSiEJlIRCQC4QlHWMIQmlCEJATBCUZQghCYQIH+V4r+Nv7j +Fz/5wXe+8ZUvfOYTH/nAe97xlje85hX/8g8vecFznvGUJzzmEQ95wH3ucZc7 +3OYWN7nBda5xlStc5hIXucB5znGWM5zmFCc5wXGOcZQjHOYQBznAfvaxlz3s +Zhc72cF2trGVLWxmExvZwHrWsZY1rGYVK1nBcpaxlCX8zWIWsZAFzGcec5nD +bGYxkxlMZxpTmcJkJjGRCYxnHGMZw2hGMfJPz81whjGUIQxmEAMZQH/60Zc+ +9KYXPelBd7rRlS50phMd6UB72tGWNrSmFS1pQXOa0ZQmNKYRDWlAfepRlzrU +phY1qUF1qlGVKlSmEn9RkQqUpxxlKUNpSlGSEhSnGEUpQmEKUZAC5CcfeclD +bnKRkxxkJxtZyUJmMpGRDKQnHWlJQ2pSkZIUJCcZSUlCYhKRkATEJx5xiUNs +YhGTGEQnGlGJQmQiEZEIhCccYQlDaEIRkhAB/1/z/6n6/sT9P1/+P89+wP8B +FXwYrA== + "]]}]}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[0.880722, 0.611041, 0.142051], + Opacity[0.1]], + GraphicsGroup[{ + + Polygon[{{3204, 3205, 3206, + 3207}}]}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[0.560181, 0.691569, 0.194885], + Opacity[0.1]], + GraphicsGroup[{ + Polygon[CompressedData[" +1:eJwl1tMSGIqCAMHYtm3btm3btm3btm3btm3bObm9tQ/9CVM1CRu1r9QuUIAA +AQJSKNj/K0wRilKM4pSgJKUoTRnKUo7yVKAilahMFapSjerUoCa1qE0d6lKP ++jSgIY1oTBOa0ozmtKAlrWhNG9rSjvZ0oCOd6EwXutKN7vSgJ73oTR/60o/+ +DGAggxjMEIYyjOGMYCSjGM0YxjKO8UxgIpOYzBSmMo3pzGAms5jNHOYyj/ks +YCGLWMwSlrKM5axgJatYzRrWso71bGAjm9jMFrayje3sYCe72M0e9rKP/Rzg +IIc4zBGOcozjnOAkpzjNGc5yjvNc4CKXuMwVrnKN69zgJre4zR3uco/7POAh +j3jME57yjOe84CWveM0b3vKO93zgI5/4zBe+8o3v/OAnv/jNH/7yH/8IEFwD +BCIwQQhKMIITgpCEIjRhCEs4whOBiEQiMlGISjSiE4OYxCI2cYhLPOKTgIQk +IjFJSEoykpOClKQiNWlISzrSk4GMZCIzWchKNrKTg5zkIjd5yEs+8lOAghSi +MEUoSjGKU4KSlKI0ZShLOcpTgYpUojJVqEo1qlODmtSiNnWoSz3q04CGNKIx +TWhKM5rTgpa0ojVtaEs72tOBjnSiM13oSje604Oe9KI3fehLP/ozgIEMYjBD +GMowhjOCkYxiNGMYyzjGM4GJTGIyU5jKNKYzg5nMYjZzmMs85rOAhSxiMUtY +yjKWs4KVrGI1a1jLOtazgY1sYjNb2Mo2trODnexiN3vYyz72c4CDHOIwRzjK +MY5zgpOc4jRnOMs5znOBi1ziMle4yjWuc4Ob3OI2d7jLPe7zgIc84jFPeMoz +nvOCl7ziNW94yzve84GPfOIzX/jKN77zg5/84jd/+Mt//CNACP0TiMAEISjB +CE4IQhKK0IQhLOEITwQiEonIRCEq0YhODGISi9jEIS7xiE8CEpKIxCQhKclI +TgpSkorUpCEt6UhPBjKSicxkISvZyE4OcpKL3OQhL/nITwEKUojCFKEoxShO +CUpSitKUoSzlKE8FKlKJylShKtWoTg1qUova1KEu9ahPAxrSiMY0oSnNaE4L +WtKK1rShLe1oTwc60onOdKEr3ehOD3rSi970oS/96M8ABjKIwQxhKMMYzghG +MorRjGEs4xjPBCYyiclMYSrTmM4MZjKL2cxhLvOYzwIWsojFLGEpy1jOClay +itWsYS3rWM8GNrKJzWxhK9vYzg52sovd7GEv+9jPAQ5yiMMc4SjHOM4JTnKK +05zhLOc4zwUuconLXOEq17jODW5yi9vc4S73uM8DHvKIxzzhKc94zgte8orX +vOEt73jPBz7yic984Svf+M4PfvKL3/zhL//xjwAh9U8gAhOEoAQjOCEISShC +E4awhCM8EYhIJCIThahEIzoxiEksYhOHuMQjPglISCISk4SkJCM5KUhJKlKT +hrSkIz0ZyEgmMpOFrGQjOznISS5yk4e85CM/BShIIQpThKIUozglKEkpSlOG +spSjPBWoSCUqU4WqVKM6NahJLWpTh7rUoz4NaEgjBofRIQMZQH/60Zc+9KYX +PelBd7rRlS50phMd6UB72tGWNrSmFS1pQXOa0ZQmNKYRDWlAfepRlzrUphY1 +qUF1qlGVKlSmEhWpQHnKUZYylKYUJSlBcYpRlCIUphAFKUB+8pGXPOQmFznJ +QXaykZUsZCYTGclAetKRljSkJhUpSUFykpGUJCQmEQlJQHziEZc4xCYWMYlB +dKIRlShEJhIRiUB4whGWMIQmFCEJQXCCEZQgBCYQAQnAv9A65S9/+M0vfvKD +73zjK1/4zCc+8oH3vOMtb3jNK17yguc84ylPeMwjHvKA+9zjLne4zS1ucoPr +XOMqV7jMJS5ygfOc4yxnOM0pTnKC4xzjKEc4zCEOcoD97GMve9jNLnayg+1s +Yytb2MwmNrKB9axjLWtYzSpWsoLlLGMpS1jMIhaygPnMYy5zmM0sZjKD6Uxj +KlOYzCQmMoHxjGMsYxjNKEYyguEMYyhDGMwgBjKA/vSjL33oTS960oPudKMr +XehMJzrSgfa0oy1taE0rWtKC5jSjKU1oTCMa0oD61KMudahNLWpSg+pUoypV +qEwlKlKB8pSjLGUoTSlKUoLiFKMoRShMIQpSgPzkIy95yE0ucpKD7GQjK1nI +TCYykoH0pCMtaUhNKlKSguQkIylJSEwiEpKA+MQjLnGITSxiEoPoRCMqUYhM +JCISgfCEIyxhCE0oQhKC4AQjKEEITCACEoB/ofTPX/7wm1/85Aff+cZXvvCZ +T3zkA+95x1ve8JpXvOQFz3nGU57wmEc85AH3ucdd7nCbW9zkBte5xlWucJlL +XOQC5znHWc5wmlOc5ATHOcZRjnCYQxzkAPvZx172sJtd7GQH29nGVrawmU1s +ZAPrWcda1rCaVaxkBctZxlKWsJhFLGQB85nHXOYwm1nMZAbTmcZUpjCZSUxk +AuMZx1jGMJpRjGQEwxnGUIYwmEEMZAD96Udf+tCbXvSkB93pRle60JlOdKQD +7WlHW9rQmla0pAXNaUZTmtCYRjSkAfWpR13qUJta1KQG1alGVapQmUpUpALl +KUdZylCaUpSkBMUpRlGKUJhCFKQA+clHXvKQm1zkJAfZyUZWspCZTGQkA+lJ +R1rSkJpUpCQFyUlGUpKQmEQkJAHxiUdc4hCbWMQkBtGJRlSiEJlIRCQC4QlH +WMIQmlCEJATBCUZQghCYQAQkAP884X/85Q+/+cVPfvCdb3zlC5/5xEc+8J53 +vOUNr3nFS17wnGc85QmPecRDHnCfe9zlDre5xU1ucJ1rXOUKl7nERS5wnnOc +5QynOcVJTnCcYxzlCIc5xEEOsJ997GUPu9nFTnawnW1sZQub2cRGNrCedaxl +DatZxUpWsJxlLGUJi1nEQhYwn3nMZQ6zmcVMZjCdaUxlCpOZxEQmMJ5xjGUM +oxnFSEYwnGEMZcj//T+DGMgA+tOPvvShN73oSQ+6042udKEznehIB9rTjra0 +oTWtaEkLmtOMpjShMf8D9k1QNA== + "]]}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[0.368417, 0.506779, 0.709798]], + Line[CompressedData[" +1:eJwl2VMQGMoOANDatm3btt1b27bbW9u2rVvbtm3bdvtO532c2Zl8Zia72SRh +w3aV2gYMECBAwWABAvw9AxGYIARFOEBwQhCSUIQmDGEJR3giEJFIRCYKUYlG +dGIQk1jEJg5xiUd8EpCQRCQmCUlJRnJSkJJUpCYNaUlHejKQkUxkJgtZyUZ2 +cpCTXOQmD3nJR34K/M0BhShMEYpSjOKUoCSlKE0ZylKO8lSgIpWoTBWq8g/V +qE4NalKL2tShLvWoTwMa0ojGNKEpzWhOC1rSita0oS3taE8HOtKJznShK93o +Tg960ove9OFf+tKP/gxgIIMYzBCGMozhjGAkoxjNGMYyjvFMYCKTmMwUpjKN +6cxgJrOYzRzmMo/5LGAhi1jMEpayjOWsYCWrWM1/rGEt61jPBjayic1sYSvb +2M4OdrKL3exhL/vYzwEOcojDHOEoxzjOCU5yitOc4SznOM8FLnKJy1zhKte4 +zg1ucovb3OEu97jPAx7yiMc84SnPeM4LXvKK17zhLe94zwc+8onPfOEr3/jO +D37yi9/84W/xByQQgQlCUIIRnBCEJBShCUNYwhGeCEQkEpGJQlSiEZ0YxCQW +sYlDXOIRnwQkJBGJSUJSkpGcFKQkFalJQ1rSkZ4MZCQTmclCVrKRnRzkJBe5 +yUNe8pGfAhSkEIUpQlGKUZwSlKQUpSlDWcpRngpUpBKVqUJV/qEa1alBTWpR +mzrUpR71aUBDGtGYJjSlGc1pQUta0Zo2/L2829GeDnSkE53pQle60Z0e9KQX +venDv/SlH/0ZwEAGMZghDGUYwxnBSEYxmjGMZRzjmcBEJjGZKUxlGtOZwUxm +MZs5zGUe81nAQhaxmCUsZRnLWcFKVrGa/1jDWtaxng1sZBOb2cJWtrGdHexk +F7vZw172sZ8DHOQQhznCUY5xnBOc5BSnOcNZznGeC1zkEpe5wlWucZ0b3OQW +t7nDXe5xnwc85BGPecJTnvGcF7zkFa95w1ve8Z4PfOQTn/nCV77xnR/85Be/ ++cPfhz8ggQhMEIISjOCEICShCE0YwhKO8EQgIpGITBSiEo3oxCAmsYhNHOIS +j/gkICGJSEwSkpKM5KQgJalITRrSko70ZCAjmchMFrKSjezkICe5yE0e8pKP +/BSgIIUoTBGKUozilKAkpShNGcpSjvJUoCKVqEwVqvIP1ahODWpSi9rUoS71 +qE8DGtKIxjShKc1oTgta0orWtKEt7WhPBzrSic50oSvd6E4PetKL3vThX/rS +j/4MYCCDGMwQhjKM4YxgJKMYzRjGMo7xTGAik5jMFKYyjenMYCazmM0c5jKP ++SxgIYtYzBKWsozlrGAlq1jNf6xhLetYzwY2sonNbGEr29jODnayi93sYS/7 +2M8BDnKIwxzhKMc4zglOcorTnOEs5zjPBS5yictc4SrXuM4NbnKL29zhLve4 +zwMe8ojHPOEpz3jOC17yite84S3veM8HPvKJz3zhK9/4zg9+8ovf/OFv0x+Q +QAQmCEEJRnBCEJJQhCYMYQlHeCIQkUhEJgpRiUZ0YhCTWMQmDnGJR3wSkJBE +JCYJSUlGclKQklSkJg1pSUd6MpCRTGQmC1nJRnZykJNc5CYPeclHfgpQkEIU +pghFKUZxSlCSUpSmDGUpR3kqUJFKVKYKVfmHalSnBjWpRW3qUJd61KcBDWlE +Y5rQlGY0pwUtaUVr2tCWdrSnAx3pRGe60JVudKcHPelFb/rwL33pR38GMJBB +DGYIQxnGcEYwklGMZgxjGcd4JjCRSUxmClOZxnRmMJNZzGYOc5nHfBawkEUs +ZglLWcZyVrCSVazmP9awlnWsZwMb2cRmtrCVbWxnBzvZxW72sJd97OcABznE +YY5wlGMc5wQnOcVpznCWc5znAhe5xGWucJVrXOcGN7nFbe5wl3vc5wEPecRj +nvCUZzznBS95xWve8JZ3vOcDH/nEZ77wlW985wc/+cVv/vD3wx+QQAQmCEEJ +RnBCEJJQhCYMYQlHeCIQkUhEJgpRiUZ0YhCTWMQmDnGJR3wSkJBEJCYJSUlG +clKQklSkJg1pSUd6MpCRTGQmC1nJRnZykJNc5CYPeclHfgpQkEIUpghFKUZx +SlCSUpSmDGUpR3kqUJFKVKYKVfmHalSnBjWpRW3qUJd61KcBDWlEY5rQlGY0 +pwUtaUVr2tCWdrSnAx3pRGe60JVudKcHPelFb/rwL33pR38GMJBBDGYIQxnG +cEYwklGMZgxjGcd4JjCRSUxmClOZxnRmMJNZzGYOc5nHfBawkEUsZglLWcZy +VrCSVazmP9awlnWsZwMb2cRmtrCVbWxnBzvZxW72sJd97OcABznEYY5wlGMc +5wQnOcVpznCWc5znAhe5xGWucJVrXOcGN7nFbe5wl3vc5wEPecRjnvCUZzzn +BS95xWve8JZ3vOcDH/nEZ77wlW985wc/+cVv/vB32BeQQAQmCEEJRnBCEJJQ +hCYMYQlHeCIQkUhEJgpRiUZ0YhCTWMQmDnGJR3wSkJBEJCYJSUlGclKQklSk +Jg1pSUd6MpCRTGQmC1nJRnZykJNc5CYPeclHfgpQkEIUpghFKUZxSlCSUpSm +DGUpR3kqUJFKVKYKVfmHalSnBjWpRW3qUJd61KcBDWlEY5rQlGY0pwUtaUVr +2tCWdrSnAx3pRGe60JVudKcHPelFb/rwL33pR38GMJBBDGYIQxnGcEYwklGM +ZgxjGcd4JjCRSUxmClOZxnRmMJNZzGYOc5nHfBawkEUsZglLWcZyVrCSVazm +P9awlnWsZwMb2cRmtrCVbWxnBzvZxW72sJd97OcABznEYY5wlGMc5wQnOcVp +znCWc5znAhe5xGWucJVrXOcGN7nFbe5wl3vc5wEPecRjnvCUZzznBS95xWve +8JZ3vOcDH/nEZ77wlW985wc/+cVv/vB30B+QQAQmCEEJRnBCEJJQhCYMYQlH +eCIQkUhEJgpRiUZ0YhCTWMQmDnGJR3wSkJBEJCYJSUlGclKQklSkJg1pSUd6 +MpCRTGQmC1nJRnZykJNc5CYPeclHfgoE+/8O5H/4eBgV + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[0.560181, 0.691569, 0.194885]], + Line[CompressedData[" +1:eJwN00OCIAYAALDp2rZt27Zt27Zt27btdm3btm01hzwhCRu1r9Tun4CAgISB +AwIKBQsIKEwRilKM4pSgJKUoTRnKUo7yVKAilahMFapSjerUoCa1qE0d6lKP ++jSgIY1oTBOa0ozmtKAlrWhNG9rSjvZ0oCOd6EwXutKN7vSgJ73oTR/60o/+ +DGAggxjMEIYyjOGMYCSjGM0YxjKO8UxgIpOYzBSmMo3pzGAms5jNHOYyj/ks +YCGLWMwSlrKM5axgJatYzRrWso71bGAjm9jMFrayje3sYCe72M0e/uU/9rKP +/RzgIIc4zBGOcozjnOAkpzjNGc5yjvNc4CKXuMwVrnKN69zgJre4zR3uco/7 +POAhj3jME57yjOe84CWveM0b3vKO93zgI5/4zBe+8o3v/OAnv/jNH/4SEDwg +4B8CEZggBCUYwQlBSEIRmjCEJRzhiUBEIhGZKEQlGtGJQUxiEZs4xCUe8UlA +QhKRmCQkJRnJSUFKUpGaNKQlHenJQEYykZksZCUb2clBTnKRmzzkJR/5KUBB +ClGYIhSlGMUpQUlKUZoylKUc5alARSpRmSpUpRrVqUFNalGbOtSlHvVpQEMa +0ZgmNKUZzWlBS1rRmja0pR3t6UBHOtGZLnSlG93pQU960Zs+9KUf/RnAQAYx +mCEMZRjDGcFIRjGaMYxlHOOZwEQmMZkpTGUa05nBTGYxmznMZR7zWcBCFrGY +JSxlGctZwUpWsZo1rGUd69nARjaxmS1sZRvb2cFOdrGbPfzLf+xlH/s5wEEO +cZgjHOUYxznBSU5xmjOc5RznucBFLnGZK1zlGte5wU1ucZs73OUe93nAQx7x +mCc85RnPecFLXvGaN7zlHe/5wEc+8ZkvfOUb3/nBT37xmz/8JSCE/wQiMEEI +SjCCE4KQhCI0YQhLOMITgYhEIjJRiEo0ohODmMQiNnGISzzik4CEJCIxSUhK +MpKTgpSkIjVpSEs60pOBjGQiM1nISjayk4Oc5CI3echLPvJTgIIUojBFKEox +ilOCkpSiNGUoSznKU4GKVKIyVahKNapTg5rUojZ1qEs96tOAhjSiMU1oSjOa +04KWtKI1bWhLO9rTgY50ojNd6Eo3utODnvSiN33oSz/6M4CBDGIwQxjKMIYz +gpGMYjRjGMs4xjOBiUxiMlOYyjSmM4OZzGI2c5jLPOazgIUsYjFLWMoylrOC +laxiNWtYyzrWs4GNbGIzW9jKNrazg53sYjd7+Jf/2Ms+9nOAgxziMEc4yjGO +c4KTnOI0ZzjLOc5zgYtc4jJXuMo1rnODm9ziNne4yz3u84CHPOIxT3jKM57z +gpe84jVveMs73vOBj3ziM1/4yje+84Of/OI3f/hLQEj/CURgghCUYAQnBCEJ +RWjCEJZwhCcCEYlEZKIQlWhEJwYxiUVs4hCXeMQnAQlJRGKSkJRkJCcFKUlF +atKQlnSkJwMZyURmspCVbGQnBznJRW7ykJd85KcABSlEYYpQlGIUpwQlKUVp +ylCWcpSnAhWpRGWqUJVqVKcGNalFbepQl3rUpwENacT/n5Oh6A== + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Hue[0.1421359549995791, 0.6, 0.6], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[0.560181, 0.691569, 0.194885]], + Line[CompressedData[" +1:eJwN09OCGAYAALBbbdu27Xa1bdu2vdq2bdu2bdt2l4d8QhI2bFex7T8BAQEJ +AwcENAoZENCYJjSlGc1pQUta0Zo2tKUd7elARzrRmS50pRvd6UFPetGbPvSl +H/0ZwEAGMZj/GMJQhjGcEYxkFKMZw1jGMZ4JTGQSk5nCVKYxnRnMZBazmcNc +5jGfBSxkEYtZwlKWsZwVrGQVq1nDWtaxng1sZBOb2cJWtrGdHexkF7vZw172 +sZ8DHOQQhznCUY5xnBOc5BSnOcNZznGeC1zkEpe5wlWucZ0b3OQWt7nDXe5x +nwc85BGPecJTnvGcF7zkFa95w1ve8Z4PfOQTn/nCV77xnR/85Be/+cNfAkIF +BPxDIAIThKAEIzghCEkoQhOGsIQjPBGISCQiE4WoRCM6MYhJLGITh7jEIz4J +SEgiEpOEpCQjOSlISSpSk4a0pCM9GchIJjKThaxkIzs5yEkucpOHvOQjPwX4 +l4IUojBFKEoxilOCkpSiNGUoSznKU4GKVKIyVahKNapTg5rUojZ1qEs96tOA +hjSiMU1oSjOa04KWtKI1bWhLO9rTgY50ojNd6Eo3utODnvSiN33oSz/6M4CB +DGIw/zGEoQxjOCMYyShGM4axjGM8E5jIJCYzhalMYzozmMksZjOHucxjPgtY +yCIWs4SlLGM5K1jJKlazhrWsYz0b2MgmNrOFrWxjOzvYyS52s4e97GM/BzjI +IQ5zhKMc4zgnOMkpTnOGs5zjPBe4yCUuc4WrXOM6N7jJLW5zh7vc4z4PeMgj +HvOEpzzjOS94ySte84a3vOM9H/jIJz7zha984zs/+MkvfvOHvwSE9p9ABCYI +QQlGcEIQklCEJgxhCUd4IhCRSEQmClGJRnRiEJNYxCYOcYlHfBKQkEQkJglJ +SUZyUpCSVKQmDWlJR3oykJFMZCYLWclGdnKQk1zkJg95yUd+CvAvBSlEYYpQ +lGIUpwQlKUVpylCWcpSnAhWpRGWqUJVqVKcGNalFbepQl3rUpwENaURjmtCU +ZjSnBS1pRWva0JZ2tKcDHelEZ7rQlW50pwc96UVv+tCXfvRnAAMZxGD+YwhD +GcZwRjCSUYxmDGMZx3gmMJFJTGYKU5nGdGYwk1nMZg5zmcd8FrCQRSxmCUtZ +xnJWsJJVrGYNa1nHejawkU1sZgtb2cZ2drCTXexmD3vZx34OcJBDHOYIRznG +cU5wklOc5gxnOcd5LnCRS1zmCle5xnVucJNb3OYOd7nHfR7wkEc85glPecZz +XvCSV7zmDW95x3s+8JFPfOYLX/nGd37wk1/85g9/CQjjP4EITBCCEozghCAk +oQhNGMISjvBEICKRiEwUohKN6MQgJrGITRziEo/4JCAhiUhMEpKSjOSkICWp +SE0a0pKO9GQgI5nITBayko3s5CAnuchNHvKSj/wU4F8KUojCFKEoxShOCUpS +itKUoSzlKE8FKlKJylShKtWoTg1qUova1KEu9ahPAxrSiMY0oSnNaE4LWtKK +1rShLe1oTwc60onOdKEr3ehOD3rSi970oS/96M8ABjKI/wEwmK/v + "]]}, "Charting`Private`Tag#3"], + Annotation[{ + Hue[0.37820393249936934`, 0.6, 0.6], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[0.880722, 0.611041, 0.142051]], + Line[{3204, 3207}]}, "Charting`Private`Tag#4"]}}], <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{3., 17.}, {0., 1.}}, + "Frame" -> {{True, True}, {True, True}}, + "AxesOrigin" -> {3.0000000000000067`, 0}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> Directive[ + GrayLevel[0], FontFamily -> "Times", FontSize -> 10, + Filling -> {{1} -> 0}], "AspectRatio" -> GoldenRatio^(-1), + "DefaultStyle" -> { + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[0.880722, 0.611041, 0.142051]], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[0.560181, 0.691569, 0.194885]], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[0.560181, 0.691569, 0.194885]], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[0.368417, 0.506779, 0.709798]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, "Primitives" -> {{{}, { + EdgeForm[], + Directive[ + RGBColor[0.368417, 0.506779, 0.709798], + Opacity[0.1]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxl3Hdczf0f//FQskd7j9PeZ4WsnoiI7OzMKCQhK5GsSIlINEhRyUiStJSW +dtnJKGkvlMso9Pv8ft/366/f9c91e9/SOZ/zeXzu53n559Jev33hxv4SEhJT +B0pI/N9/JxValpsMl4EE+8dsZ0C33VHx/3e2N3b+I/Psi/UWL6eQ9MNixJ6X +SpHiznEnJkwwPSSGv/6/rb8qv1g3nJWpCT8gxu9b9qpt3JkX1nJk+H4xrrR+ +zfvAndfeyNY/tEeMjzH1LpXcOSIhpLhzlxilUXrSudz5Xaqb25odYphcuXc1 +mTsr5U2XqXQTY8uSI8I47rykXO0hXMWIOhGSFcqdz1d1L0/cLMbFpvYZAdy5 +sq74r7azGHcsvAu9ufOIjmvXgpzE+CEz22Ynd579c9/0AevF0Jpmn+bEnU/1 +m9+ya40YXtuPGC/lzgVDDQLqV4nx06bpwizuLKnwj++wQgxNt32/J3DnKVqv +XuYvFUPxvHCZOXf2Nr69b4yDGBHLZRK1uHOG+Kha7EIxBm6Uk5Tlzr8nr8hW +nC+G1RLLBVLceewsgdNJezESS3Zc+lnxxdpj0aBBv+3E2LOu4G0Ld77vWHNr +80wxtBMt5N9z5y/OD+dVTxfj1YJ4u3Lu/P96TBNjcpXQM7uC9YAYZ1/nR9+v +YD0mi3H8/Zqn1ytYjwliLNv7t/5iBethJcZTQdifkxWsxxgxhl+wHHGggvUQ +iVGnU6S8rYL1EIjh6bRQc00F62EuhvfrMo0FFayHqRgyv8YqTatgPYzEKLAJ +GGpZwXoYiHHNsviXfgXroSuGypS2GqUK1oMnxqh37dlDKlgPTTGeeZSE/Sln +PdTEkD141L2znPVQEePrmtHWteWsh6IYTSd2SD8vZz3kxaheFlmUW856yIgx +aHzo8eRy1mOUGCP8V06ILWc9hotRf6e29VI56zFEjHe9ehf8ylmPQWKEVBiM +9SpnPaTEkDtc92JbOesxQIywdQu3rClnPSTEKMzf1TO/nPX4J4J379RjU8tZ +j14RuudmSIvLWY/fIoQPfn9Mr5z1+CHC2J1XehXKWY9uEfJL+7YOKmc9volw +csWfV7/LWI9OEfqWn7VqK2M92kWoH/jo4vsy1qNFBLPQ7R1lZaxHkwhSdkmT +sspYj3oRimd5+94rYz3qRBhRXFF8rYz1qBHh7K9I6fNlrMd7Eawlvkw6VsZ6 +VIsgHPxw2+4y1uONCLHjui5uKmM9XokwIPFq6tIy1uO5CGXn0l7NLGM9KkW4 +9XNym1UZ61EmgtMfwx7jMtajWITXDz36q5WxHoUi/B6vITm8jPXIF+HdZe2+ +v6WsR64IEZ88uztLWY9sEdzV9T/VlLIej0W44KBZWFnKeqSLsChwfdyTUtYj +VYR+ZW0+90tZj4ci/Biesji6lPVIEuHc3GytC6WsR6IIpicHNB4rZT3uiqCX +6HV9dynrcVuEygyDVZtKWY+bIhwMkxi+tJT1iOWub/yAFNtS1uO6CLVHTVaM +K2U9okT4uXr3D8NS1uOqCIUJ708rl7Ie4SIsXuqoMqSU9QgV4aio+1pPCesR +IoK2ZiivrYT1COZe/5t9+LsS1iNIBPvDQ0aWlrAeZ0V4FVbimVHCegSIkCET +UHO7hPXwE0Hrnt2kiBLW46QIXydIXAgoYT2Oc2fPm58PlrAeR0VIMJ9q6lZC ++yGCn3zBttUltB8iXG/lx80tof0QoWnjoXeTS2g/RJCYGC9tUUL7IcJKjXum +miW0H9znSz9tN7KE9kOEMZnj1vUV035wz2fpffcvxbQfImzw/29fTTHtB3c/ +r/zZX1FM+yHCsGu5u7KKaT9EOGE2Y1NCMe2HCJbvvBdcLab9ECF9kqtlYDHt +hwirGgbKeBfTfojwd/XsJrdi2g8RVMWWyauLaT9EKGnNOjC3mPaDez2ppvGT +i2k/RDCUjOkyK6b9EOHDul9R6sW0HyKIQt7OHl5M+yHCEOXpHX+KaD9EeLps +jG97Ee0H97znxSm/L6L9EEG2IOR6SRHthwitef8M0otoP7j7p/s2Or6I9oO7 +vmk8pdAi2g/u+8n71bFTRbQfXC9BZ8u+ItoPEXxvO9u6FNF+cNc/bVLE0iLa +DxFabLa0zSii/RDh++8WwZgi2g/u+biZ4q5XRPvB+b9YHitXRPshwpx+xm8G +FNF+iDBTN/9fVyHtB/f6Y8M06gppP0TY6x475lkh7YcIx/7Vz8gupP0QoXnE +vHkJhbQfImQW1867Ukj7IYLj0uCZAYW0H1zv185WXoW0H9zzsnERb2sh7YcI +7zUWDVhRSPvBfZ8qrX8/s5D2g/u+2XjgzthC2g8RUmRC9+gX0n4Isc40dax8 +Ie2HEJWPXnwdUEj7IcT3tPprXU9pP4SoEjTZfXpK+yHEVaXXbRVPaT+EOLTp +9rHHT2k/hMgcsVH+zlPaDyHuSvRGhD2l/eDOBps1/J7SfgihtjX+4r6ntB9C +rLybOtD5Ke2HECWV59wcntJ+CJF7x6x82lPaDyEuqJ3UEz6l/RDi4NcwD62n +tB9CJPzakD7iKe2HEFMl3v3+U0D7IcSY8l6LtgLaDyHc9J44vi2g/RDidp7e +0acFtB9C+G3SjUwuoP0QwutJyoPoAtoPIZ76VmefK6D9EOKws2+edwHthxAn +hqRmbSug/eB+rrDl/soC2g8hXpsGh88qoP0QIrFVfGhsAe2HEN3N05bqFdB+ +cNefkWEgW0D7IUTB98tfJApoP4SI0Hh9tzOf9kOItU+2bnyfT/shxIq1DrLF ++bQfQuzzDHiUkk/7IcR0vxEON/JpP4RolHrRHJRP+yGE3JpXuw7n034IoTpg +1I9t+bQfQrxYcXD7ynzaDyHCihVrZ+bTfnDvf/+t7Zh82g8hPLZlxujk034I +Ubww88+ofNoPIR48eDHrXx7thxB/a3sC2vJoP4T4qGVWVJVH+yFE7yOn3vw8 +2g8hVreE6Sbl0X4I4d5RahOZR/vB/fnebysD8mg/uOfTVmqLZx7thxAz/0hs +d86j/eCeJ5uaLYvzaD+EUJwW6jglj/ZDCBUlU1vzPNoPIeo+nDFQzaP9EMI6 +PuOfdB7thxATzySUfs+l/RBC6eK6c59yaT+EQFHpnPJc2g8h7Izb/qXl0n5w +z8fDh7GxubQf3Pu7GNleyKX9EOKRYNKHw7m0H9z96t++eVsu7QfnJd+iY3ku +7YcQjmv6O8/Ipf0QgnfP8Y0wl/aDu97zEyZr5tJ+CNH0MThsaC7tB+fZadOX +nzm0H0Lkf4mxqs+h/eA+z8wFnpU5tB/c82+xJjEjh/aDex42FH+My6H9EKI1 +1L9/cA7thxCfT15V88mh/RCivvqP6bYc2g/u9a2uiJbn0H5w98P2IH96Du0H +d31R53UEObQfnLfP1cPUc2g/OK9X5rQPyqH94J6PtXVPvj+h/eA+b1JwQO0T +2g/u+0pl7bzSJ7QfQrySnSD96AnthxAvFbWSo5/QfgghCh20PPAJ7YcQPYM6 +uzyf0H4IkV1adGTTE9oPIW7NDB608AnthxCu1bOOTXpC+yGEuLr2P8MntB/c +5wld5Cj3hPZDiGGbw9L6smk/uP7+icPbsmk/hDCbGbjkdTbthxAub8yCn2TT +fgiweseJotvZtB8CTLAN6g7Jpv0Q4MgxO9mj2bQfAkjNizN0y6b9EOBzwXXR +8mzaDwG8B1qJbbJpPwTw4q02tsim/RAgxWi4gko27YcAU/Ssf0pm034IsEKp +o+xLFu2HAC4So0Krs2g/BPj5MnJlfhbthwC3fS/J3Mui/RBguuTXx6FZtB8C +nOFHrj2eRfshwPr2az+3Z9F+CBA+uvPoiizaDwGUPQ5KTc+i/eBe/9NML4ss +2g8B1HmzmpWzaD8EUPu5Z5ZkFu2HADZqJVc7H9N+CDDCdnJb1WPaDwGK9YtM +ch/TfggQ6eS07s5j2g/ufkcP8g95TPshgNHhu7d8HtN+CDCa+wvG1se0HwJs +2/SpyOEx7YcAen5rCq0f034IUOn9JN3oMe2HAHF5fdGyj2k/BDhdIePzN5P2 +Q4Cvo7oXNmXSfghQ03VJ+Vkm7Qf386o/L9MyaT8EmKylePx6Ju2HAM6atUZn +Mmk/BDikPz93bybthwDDvNcsWJdJ+yFAP9eBL+0yaT8ESDaaNFucSfshwIeO +3ofqmbQfAhxvGq8onUn7IYC0ddfWrxm0HwIMUVBJfptB+yGAh298V04G7Qf3 +PMWG6d7OoP3grv9og11wBu2HAPu0D288lEH7IUCuzwYP5wzaDwGawn33zs+g +/RCgwKnezSqD9kPA/ffzjhW8DNoP7v5eNRg/NIP2Q4CtL/4O/55O+yFAp2X7 +q/fptB8C9L/Yei4/nfZDAJ/0r1PuptN+CGDi/KPhYjrthwARC7sPeqfTfggw +m18z2CWd9oP7PLcST81Pp/0QwMxl/d9x6bQfAowZ0OKknU77IYCszKTswem0 +HwL84i0Z2ZVG+yHAkwyThdVptB8CtK1OPpmTRvshgL9P6/34NNoPAeYG51QG +pdF+CLBhgGWdZxrtB/d9YWbVtD6N9kOA59sKauzSaD8E+KfwskSYRvshwHCP +5bdU0mg/BHAvtD/UP432g7uf8+JsWlNpPwSInrz237NU2g8B/st2vZWaSvsh +gF9v3uxrqbQf3PfH0LU1J1NpPwSwkrLc5J5K+8G93o/xtUtTaT8EqK13sbdO +pf3gnv+y5Dv6qbQfAmTdUOo3IpX2g+ux8qTtf49oPwTQetl7+P0j2g8BJnZs +vpP7iPZDgKleRaXxj2g/uOudO7rm3CPaDwHmGI2r3/eI9kOAC0Xi92se0X5w +11//t2DGI9oPAd7o+V03e0T7wT3fwkoPuUe0HwIMiC0Y25tC+yHADAWnjk8p +tB8CvBt6NbgwhfaDj9ghbvyEFNoPPjQDnmYGp9B+8Ln7FzXJK4X2gw+X990J +61NoP/gI2pUkNyuF9oMPaZ03Wy1SaD/42DXeIVk+hfaDjw8Sut96H9J+8HHx +gZVm3UPaDz7GnPa3LnxI+8GHf6LMwrsPaT/4CJuds+TCQ9oPPk57nLP3fEj7 +wcf1yXvGrH1I+8HH1KRNo2c8pP3go+j5so8mD2k/+Lh2YWrE6Ie0H3wYNinP +/ZlM+8FHfMLLr++TaT/4cCh2OZ6TTPvBh2BI6dC4ZNoPPpSsvh8NSKb94CNG +8U3HzmTaDz4sHbfMWpZM+8FHZUr0xUnJtB98GJXue8VLpv3gI9CyRmpQMu0H +H/XHSw06HtB+8HF++pgJzx/QfvBxvHs0Uh7QfvChKOUwJvwB7QcfIxq/q/s8 +oP3gXv9X44+ND2g/+JAL1npi94D2g481Q0K8LB7QfvCxM8LaSO4B7QcfR0+N +fPorifaDj699vQ4fkmg/+Bhn2PPqSRLtBx8dmn0zYpJoP/i42dMX55dE+8GH +VM63Xrck2g8+/HYXWS9Kov3g4/YQL4+xSbQffKS5/rusmkT7wcdLr+n3+u7T +fvBRO3pGyuf7tB98HPr1/e7T+7QffEh0zwi5dZ/2g4+lqXz3wPu0H3yM/h1j +tes+7QcfMxyudC+5T/vB3b9NQ6+Mv0/7wUdvWt04jfu0H3wMeK2U1+8+7Qcf +B/lR1g2JtB98nBu361ZhIu0HH3cXHJa+nUj7wceBl5mLAhNpP7jnZYTW2Z2J +tB98RE8Ly3BIpP3go/8tnbfjEmk/uPu5806DaiLtBx9ZaaZ1/+7RfnDPY3hw ++ad7tB98LOC9j8+7R/vB3U/773ti79F+8DFL7rnQ7x7tBx9/12z+6HqP9oOP +tpF398+7R/vBR/LP85LCe7QffITUjPCWu0f7wd3vYzItPxJoP7jnJSRo6tsE +2g8+vmUeOZ2eQPvBR0TQ69yIBNoPPu5E+7R5J9B+8PFx197+6xNoP/gw8Lw1 +yCaB9oOPJO3R//QSaD+474OisDrpBNoPPkryJz9suUv7wYeaY8e+kru0H9y5 +JNT4zl3aDz7krceXnLlL+8EH//3jFe53aT/42JSq+nbBXdoPPtw/TZshukv7 +wUfGQuMoubu0H3ys63vS/t8d2g8+BpX91Xtzh/aDj5YLlfaP7tB+8PFAx8zp +8h3aDz58rQZu9rxD+8FHzam5q1beof3g42T6r0kT79B+8HHW5edw9Tu0H3yM +1ZhU+vc27Qcfdasy9n28TfvBx9BLzrJZ3Hne8L17lv2xAH+MIPwqdy7W1nts +9dMCxWeHyh7mztPHvJBS7bLA2O/Ne9dy52w7H/s/7RZIuJpeDO48YY1F8Icm +C4jzdw/V5s7Juz68f1xnwX2fDB7fjzvzT57Wjfxggf6Sm5d8uvXF+la4latP +lQUeTj6x9gl31k9sSlr/wgKmYxYtu8adr+UH904rt8COt08m+XBntepp0/SK +LPBncN6oddw5pPOb38A8C0SHzX4G7iwzIPJ502MLnFxs563FnQMU56oUpVpg +SEeiigR3ljb9sy7+gQWSR3pE18R/sT6C+JunEywgXH5EOYs7/1287JtrPPf5 +lpV7XeHO+zYPtJp7wwJ7AmaVHeTO3QcfHLaItID/1oYhjtzZLWh94agwC3Qv +OW85kTu3xIwa1RVsgc4bNvaq3Nkp/fHSF2ct4BXZuqDn5hfrjxWuVx+ctsD0 +w3umveXOy+tVmoJPcNfr/lb7EXd++avQfK+PBUackey4eJP18LKAXFPz9d03 +WY+9FrA+sHfW4pusx04L+E64Vi28yXq4WkB/yNJlo2+yHs4W8Es7lfMljvVY +z/3+aGPl8jjWw9ECtbmGq27HsR7LLCC5f89pvzjWYxH3PBQOiHWJYz3mWuCx +1ZO7M+JYj1kWeD7japRuHOthYwGb5WeO9I9jPSZbILDk0NzaWNbDygJZzWsH +Po5lPcQWWNOuGx8Wy3pYWGBD/3Sr/bGsh7EFVG1lk5fEsh56FlB7qq4mjmU9 +tCwg8C52Gx3LeqhaYNqqwXc6Y1gPeQvYTSh+XRLDeoyywISWP21xMazHUK4X +71z78RjWY6AF5IP3VK2PYT36WUDjfXiCdQz5MIfhse4dajHkwxytg7Zo/r5B +PswR9epLyqsb5MMcfTYeE+7fIB/mGH2t8eaZG+TDHBY6YwZsvUE+zNHSvcDW +9gb5MMcXY6M9OjfIB/d65fGBfdfJhzmev8o//+46+TBHf93NR1Kukw9z9Pof +XXn+Ovkwx+fnAzS3Xycf5vCNqSq1u04+zGET+9VJ/zr5MMe39eOb+l0nH+Yo +d7i+6EM0+TCHa6ta/KNo8mGO4P1BreejyYc5xtt1y22PJh/mWHXOzMgumnyY +4+cOCwO9aPLBff4/jSMkosmHOT7yp9ZWR5EPc0zsMQ9LjiIf5hgkCrM+G0U+ +uPsR5VG2JYp8mOPKvzib6VHkwxxlzXo3NKPIhzmkv1V1/r5GPsyheyFB++U1 +8sH1mhgy6e418mGOLOuDU09eIx/c73+ey19/jXyY48KS3wMnXiMf5ihO2Vwg +f418mOP+qAC3L5Hkwxzvl87vK4wkH+awd4/cFxVJPsyx3mhL9YFI8mGOtMmh +ug6R5MMcmsvUl5pHkg9zuAyu3SEdST7M4fy83KP2Kvngrkfj9ZrUq+SDu/4Z +dcKgq+TDHJNP13RsuUo+zKGjnnZ22lXyYY5G+dWqalfJhzlkDqQEfL9CPszg +Nel+U+kV8mEGXavxRjeukA8zqFpOWHjwCvkww9bPkesdrpAPM/TULllhdoV8 +mGFX8kwrqSvkwwyGL9b1vY8gH2b4eTHg9oMI8mEG4cwU+EeQDzP4KBWnb4gg +H2Yo0klUnxBBPsww3H3pJpkI8mGGpR2xwS3h5MMMl3cH3MoOJx9mOFvaFRsS +Tj7McPdqjp9bOPkww/fjTYumh5MPM9T2zR6gFk4+zDBzb/WlrjDyYYap1gfl +isLIhxmkLmjsuRpGPsxQFhqXuTuMfJghY51U2+ww8mGGJzUa/7TDyIcZdn7/ ++ONnKPkwwy9ni1dloeTDDGckei5Fh5IPM3w1MZy6P5R8mCHvcHTl3FDyYYbJ +Lg42uqHkwwwnRAYRvy+TDzNEqPZ/V36ZfJhh4pSKv9GXyYcZUm7vldp/mXyY +odq+/pv9ZfJhhtLevzm8y+SDux6PO/t+XiIf3Odb/U6m9BL54F5vuvu5yEvk +wwy8iMXfPS6RD65nlvuEWZfIB/e8td/epH6JfJjBefa33d9CyIcZ1rfqbc4P +IR9mSMoQ4nII+TCDfHhfj2sI+TCD5UyPywghH9zzsGmfqlwI+TDDvCPdh5su +kg8zGA8rK0q7SD5MMWVF+38BF8mHKX7FjpNad5F8mKJa/vJP0UXyYYrtN7+X +DrxIPkyhYS88/jaYfJhiYOU4rdvB5MMU7xo6Iw4Fkw9T5OtP+Dc/mHyYwnKk +5BSdYPJhiqI+i03/XSAfptCcdGPb0wvkwxQPv8xaevkC+TBFoY6kztYL5MMU +2kWplRMvkA9T9N2fv3bEBfJhisaQu89qzpMPU3iPTtVNPE8+TOEe7rD8yHny +wV3fpc3bF50nH6b4PaN6k+558mGKHWX+1v8FkQ9TJAk3/c4PIh+mGLF29oWL +QeTDFPVa2qOcg8iHKY7ySl3HBpEP7v538+Olg8iHKdqlxIVvzpEPU3jGpxbE +niMfpugvH3Nj7znyYQrpFR+cbM+RD1P8c5smqXiOfJjC2DjjaONZ8sFd/1ST +z8lnyYcpzOZ58I6fJR+mGFLoOWXxWfJhiheSPOicJR+msJ9kr9EVSD5MMehU +zbvsQPJhijE16fsCA8mHKQ4p5H93DCQfpqio/zDPNJB8/N8/33yq5wz5MEXC +/sKowjPkwxS9A9eEXjxDPrjn8dEZd6cz5MMUJRFWesIz5IO7HzemPOoLIB+m +MA09aVQWQD6459fg677QAPJhip0vlsc4B5APE0AyNlEcQD5M8KX3UWi/APJh +gqHV7uvK/cmHCWTCEqTC/MmHCaYrLfF19icfJtgrPb1R5E8+TPC+ZxVPwp98 +mKB67aEJpafJhwleHT0luHSafJign99qSafT5MMEprs/JFmcJh8mOMNrtO71 +Ix8muGi3LK7Aj3yYIPWwbMc5P/JhgvGin8Md/ciHCf7E1w0x9CMfJpg9NPlz +1ynyYYKbC+wvZZ4iHya45OBnePIU+TCBc6HdhYWnyAf3euJt79ROkQ8TRPRV +/G08ST5MkLVxQe+9k+TDBIUHK154niQfJqgbY+hrc5J8mCB9mZX8iJPkwwQL +j9YefONLPkyQY96bHelLPkwgdWvTx82+5IN7P02J10Jf8sHdT//rsb0nyIcJ +lAv4i/JOkA8T2B/wqfI/QT5MEG+xa6zDCfJhgoJJddvVT5APE/i+jvdpOE4+ +TNAocdP1znHyYYLky4/4u4+TDxNsXJRcNvE4+TDB02TPaZLHyYcJnqnXnys5 +Rj5MsDaz9FHQMfJhgr+hIx8tP0Y+THD1nG+g1jHyYQKX+SOtm46SDxNsX+FT +cOco+TDBgYGP9DyOkg8T5B4KWDX+KPngrjf73RaJo+TDBHfCveYXHCEfxshM +njXc/wj5MMYmH7VrC46QD2Mssy0fqniEfBhDpD3O/r0P+TDGlB7hxms+5MMY +loEhizb5kA9jNG+brGTiQz6MofBf3/0vh8mHMZQWP9B5cJh8GCPKT7Rl32Hy +YQxPF4cTEw+TD2OMD/i2V+Iw+TBGP5eayXne5IO7vrE/qn29yYcxhIOGz5nt +TT6MIZfSc26EN/kwxqrO4NvPDpEPY9zXTw67cIh8GCM8xnzN0kPkg3v9I1U/ +lA+RD2O8DT25/v1B8mGMb1fkoq4cJB/GCBq8MHntQfJhjEk8hXDeQfLB/fyP +5ZJ6L/LBfZ59Jz7f8CIfxjhi/X6asxf5MMbUoqF7Db3IhzFWLmnxajlAPoxx +tGumQ/wB8mGMjF3/+rYcIB/GkPb84GVygHwYQ3Z7akmbJ/kwRsfxje23PMmH +Me6mPfi41ZN8GMP0+f4oE0/yYYwby33Htu0nH8bYHpMQEb+ffHA9X+W93Lyf +fBijqOTSe8P95MMYJ8R/HzTtIx/GsD2S6xizj3wYo7Ej47XTPvLB9dqTpqmz +j3wYY2Ot/7hPe8mHMYwfSmpf3Us+jNE+o6tq1V7yYYyYGL21KnvJhzFS77g9 +fLOHfBjj7K/wdxf2kA8j2DR5VyzYQz6MYBvReG7EHvJhhP79Inklu8mHEQ6m +e/r47iYfRugbO/3utN3kwwhJ0WVxfR7kwwh2HZ/c0z3IhxHC8uYN3OtBPrj3 +D613EXqQDyPMurrtUscu8mGE5LyUC3G7yIcRxqUHOm7YRT6MkNf1pEt9F/kw +gkmoyuKqneTDCBlXNvoE7SQfRvh4y81zzk7yYYR4437WA3eSDyOcmtJcnrWD +fBih6EWn0f4d5MMI431q5gl3kA/u/W8cQ5s7+TCCxPK43mh38mGEsm1yPqvc +yYcRGncEVcq5kw8jHLjT1lK6nXwYIZvXUnpsO/kwwomARfsnbicfRihc393Z +7UY+uPtXedbslhv5MMLgjG7xejfyYQSpvM+Sym7kwwihkwwjKraRD+5+WZ78 +e3wb+TBC3LZivYnbyIcRNNYmy3e5kg8jTJ8wsizWlXwYcX+fj5jt6Eo+jDDy +q/45GVfyYYQFCdsjnm4lH0a4qD3J3Wsr+TBCv95FgwVbyYcRLkXtc2nYQj6M +UCzYHnB5C/kwws9dvXvtt5API3Q31Br020I+jOCXXBf+YDP5MMKAJ7mvnTeT +DyNcvzjjlcpm8mEEgYfZpTIX8mGEbTPGax52IR+GCI8z3yJ0IR+G+Pu5ZF+9 +M/kwhN2X53YXncmHIca6SdbaOpMPQ7Tc0xr3exP5MMTOpKaF8ZvIhyHmqSvz +V24iH4Z4C4+KoZvIhyHyVB7xMzaSD0OcXxC9yHUj+TDEEMvvY9U2kg9DxGe6 +fChxIh+G0PB/PO2AE/kwRFLz/S3GTuSDe/2c/gvfbiAfhrC4t+W37wbywf28 +PWbtmA3kwxCJy3f51q8nH4b46nV6W9B68mGIh4fjZbCefBjinfD0no515MMQ +NpLNl0LXkQ9D2A86sN92HfkwhOj7X8Xva8mHIfZoGHtEriUfhphy6FHAnLXk +wxDl8eucfq0hH4Yoqf7wM3oN+TDEo8/1tvPWkA+ul5X54p7V5MMQZe3uajdW +kw9DeN3eEDlvNfkwRENORs1vR/LBvb+CsDrakXwY4pnB/kB7R/JhCKGsbd+P +VeTDEI8D5htFriIf3P1omDV81iryYYgl/Zviv60kH4a4v+5dv9CV5MMQPsrV +o6euJB/c/X8VXN2ygnwY4uOb7JXnVpAPQ0T/GBY8bgX5MERduMmJmuXkwxBK +RWXmJ5aTD0NcG3b9jOly8mGIgadX33i+jHwYYtrv2zv3LSMfhhgRMfmH+jLy +YQC1OTkWuUvJhwGctzZquCwlHwZw7VyWO2wp+TDAriVP1RKXkA8D5Cd+NHZY +Qj4M0BU6u+OXA/kwwOBxaRvCHciHAV5bvQ6wdiAfBlB6Z7e1bjH5MEB6TU7v +scXkwwAjLzRPNlhMPgzwu2SjuGgR+TCASd3nd1sWkQ8DLEoZajlsEfkwwMzE +49Z3FpIPA1in/e6xX0g+uOu1HuncuYB8GKBcuO3omQXkg7s+qbR55gvIB3e/ +4sNKyuaTDwPMCU754TqffHD3L/V+5dD55MMAYb6C5fHzyIcBYjvfBM6cRz4M +8GP5LI/GueTDADn3DAcem0s+DGDsoDRdey75MMBcnTT+Y3vyYYBN7gmFK+zJ +hwGqBl8e9nMO+eCuT6z4J2gO+TDA4/iii+ZzyIcBzkTMe180m3xw50TbUqfZ +5IO7/33zNvXZkQ8DtI0aGH/ZjnwY4PTngSEiO/JhgG+fO03LZpEPA2R0Lt+6 +aRb5MIDhvM8L+maSDwOMeKxXGzKTfBigYW26PH8m+TBAZuGkrqe25MMAY75N +373GlnwY4JC165UfM8iHAab4zNwWMIN8GOBK245POjPIhwFUVLx7UqeTD+7z +Dh/4aN508mEAWZNw5QYb8qGPr+Nfa3jakA99HHSe+XSEDfnQx4iJJ2Sip5EP +fbyM5kmMnUY+9PGkOSGweCr50Mf6+1nZjlPJB/dzyc9BX6eQD31YW8dLHZ1C +PvThonpDSX4K+dBH8a31JTEgH9x5xQ71cSAf+tjVsnpEkTX50Mc0t0cRy63J +hz5+LhpS0jKZfOjDYVlt8P7J5EMf3/zy/w6aTD64zz/bpv+lSeRDHyV2jVH6 +k8iHPoYeF9c8mEg+9DFwesrDqRPJhz5CtH4YVk4gH/p49XOvpeME8qGP/O6c +dy3jyYc+hh9fpbpnPPnQx5X1Rd39xpMPfWw4GeAUYEU+9NFgabpNyYp86KOr +3WB49DjyoQ+497cxG0c+uOvNmTg6ZSz50Mc93lIPjCUf+pg18plr0Rjywf0+ +jHoWjCEf+vgc+0G12pJ86OOH+9Zn6yzJhz4m/p2r0iImH/roXz/gx3Yx+dBH +3FdJpx8i8qEPDe3SDV4i8qEP1+vPv/cTkQ996L09q+ArJB/6aJ59qHCokHzo +43ny6CFnBeSD69WTXS0rIB/6SDTsNz6ETz70Ye8xw0CFTz70IbCSjAq3IB/6 +WPfz9k0NC/Khj6oF9ydFmpMP7v2HHXfUNicfeggaFjwoyox86OHdwgWTeWbk +Qw9a/wz+XTMlH3pYb3vCVtuUfHC/f7hKOdKEfOjhjYyfh4YJ+dDDMy+ppeHG +5IN7/fkPspWNyYceJov67l00Ih962F5lbyBrRD70MGWAtE6gIfnQw+ijZ6OH +GJIPPXwTzIs7bkA+9FDZFcOXMCAferia0DPBU5986GHT0ttF3XrkQw+b36u9 +ddUjH3oQCW9vbtAlH3q4NzvV01GXfOhBqBw19JUO+dCD94lc1Tk65IN7/337 +43N45EMPL0s1UsbxyIceYhYPn3JXm3zooeBVhK2ONvnQw1Bpw+IQLfKhB78z +/QuHapEPPfj0HYG3JvnQw8Sqv8IuDfKhhw7vgitOGuRDDydtLI6+VicfeghZ +dqrGVp186EHqmW7SIzXyoYfOqPl/DdXIhx4cr63IvKRKPvQw7EBgl7Qq+dCD +9qPx4XtUyIcePqXEpNYrkw/u9++q2S1UJh96UI+qn5OlRD70kLd5+hMTJfLB +3e+bx2JCFMmHHgKfNfb2VyQfevihnJC9TYF86KHVR/P7G3nywT0/pfuCp8iT +Dz1IHOqJjpcjH3qQqa7TkJUjH3rwrXWTOiBLPvSgMbt6SZ0M+dDFO781MrNk +yIcutuWPHZswmnzowu95aJ7caPKhCxurrNT9o8iHLlaaVct/HEk+dOHrr1k1 +ZST50EX6uyd910eQD12ssek6LT2CfOji9LnaA5uHkw9dCM3Sy4uHkQ9dzFZP +OGoyjHzo4rtE8+XTQ8mHLvyHnx3VNoR86CJvaGXjrCHkQxcJiytU4waTD+7n +5Ul3pQaTD118aL12Zf0g8qELs2sZrY+lyYcuLNz0glSkyQf3fvP+nN89kHzo +oi97zZcKKfKhC/1U12gjKfKhi6UxkxOOSJIPXbhs/TL63QDyoYvtq0KKhQPI +hy72TrCvOtWffOjC0lJ/fG0/8qGLWx8sv1j2Ix+6KDM988NPgnzoQuaA9bya +vk7mQxcDDy37JeTO//Ohi0qbxq/H/3UyH7q4K/4+tupvJ/PBXZ+Uf6kRd/6f +D11kZybf8fzTyXzoojxhb3VxbyfzoYvVu18vUOHO//OhC+v2lzKbezqZD+55 +EHqopfzuZD508SoqaZskd/6fD10crjwrteBXJ/PB9Tkq/Tn8ZyfzoYua5NFS +zT86mQ9dmATEbhFy5//50IXx8iwZr/86mQ9dHDtj/yfveyfzoYsBuTb6w7nz +/3xw73czOHBxdyfzoYuC4RZjw7o6mQ9dOFf+Vf30rZP50MG5uvaJ+tz5fz50 +UDKl4dKWr53Mhw7udJeZ3/3SyXzo4Hw//79fOzuZDx2M9egvKepkPep0MGee +zBSPDtbjgw6kA0KTHrSzHlU6uKa8b8X3NtbjhQ7yy4+LRW2sR7kO/rqFY0cr +61Gkg6HXgg7ebWE98nRg1TaxtbWZ9Xisg8t3tx3Xb2Y9UnUwhfef/bom1uOB +DtznXpsa1sh6JOggQXry+pcNrEe8Dt63XLg1rIH1uKED0aJt6jb1rEekDrDG +/6HnZ9YjTAdFnx7svlfHegTrIGZd7PKGT6zHWe7+yPLWKX9iPU7roP1lrd+c +WtbjhA5W60e8OlTDevjowF9/BO59ZD28uPvj31xY+4H12KuDqqiPbqM+sB47 +ddCNSL71e9bDVQfRBdXDtr1jPZx1UCtv1T+0mnzoQBywYWTBW/Khgx/9JETf +qsiHDnalJW5VrSIfOhjmovzI5g350MFE7VcK216TDx2ErDx84sIr8qGDxIYk +qfSX5EMHv2qlzte+IB86OJw+2EzqBfnQQbLR/OeGz8mHDgZoOfvMfkY+dOBW ++nbctkryoYNRZ/k/AyrIhw4Cfn1Nu1NOPnSwPTXiSGkZ+dDBvhsP57SWkg8d +vIh9pChdSj50MD1TvY5XQj6457djVfykYvKhg8yprW5Li8gHDz75Y8zdC8kH +D6E77zX6PiUfPCyxzLl4pYB88FBX82Lig3zywcMXHbs3hXnkgwfx6RNO73PJ +Bw+125vqOnPIBw+/vj+dL5FDPni4+s/79qgn5IOHKcZO3zWzyQcPyQX5+uZZ +5IOHyaVdUyY8Jh887HlpaWObST54MJR5bbIwg3zwMHSW4s+V6eSDB9d8q+tO +aeSDh8eLPAWuqeSD+/PjZCJ2PiIfPCzVG9uwN4V88FAvVh3k9ZB88OD4oX2A +dzL54KGl5fXrww/IBw+aOyS8fZLIBw/tJf49h++TDx7s/cNsvRPJBw//ds10 +8rpHPnjot/XW3H0J5IMHhT1Vkrvukg8epO/UHHO9Qz649+eXlTrdJh88WPBu +Vq+8RT54uH9x7+0F8eSDB9/AcZhxk3zwUNzZdN4qjnxw93vbwRsmseSDhxeB +7TvVYsgHD8MidHuG3iAfPNgEjbLqiSYfPLxVCjFriiIfPBgdCH31/Br54OHw +5V/mmZHkg4eXH/3GxlwlHzxssdTvCLhCPnhY1Btq7xFBPngo+vFgyfJw8sHD +ZrsJAyeFkQ8epm3+ulwzlHzw8GxI0DyJy+SD66/xtr4mhHzwcCZ7v+Lji+RD +G5omOg2hweRDGwNue8/ec4F8aOPBRfHM+efJhzaujK2rMgwiH9qQeqrT23eW +fGgja2Xg7VeB5EMbhR+SW2+eIR/aiP/FS/IKIB/aSB/uOGCuP/nQhrl+Q5X6 +afKhjX4NYwTtp8gHdz37CgenniQf2qj2H7L2mC/50MaBCS6CuSfIhzZm/Jtx +QOE4+dBG2NWBEz4cJR/aWGnSzy3qCPnQxtkav9GbfMiHNhrVuniGh8mHNlqX +eF9tPkQ+tKEmuftY7EHyoY0XASMrnLzIhzZqrY97aR0gH9oYMW6gX/V+8qGN +1Q4Z34P2kQ9tyBmUpM7aSz60Efhu3ut/u8kHdz3m62zve5APbcxZPnKI0y7y +oY3Jfzeoyu0kH9rYK79+X447+dBGXJ2Uxvbt5EMb3VU2g1XcyIc2998vw8fm +upIPbby9Znt9y1byoY1/SS/sR20hH9q4bHua/8CFfGijPQmzljiTD20cHpl1 +4cdG8qGNz6rFI4OdyAfXW6CRIthAPrQxzsjdt3Qd+eA+v922gxvXkg9t6IvS +g/+sJh/ayMj5WXrOkXxw1yeZqqm3inxoY4VqgN/DFeRDm9vrnsEzlpMPbby3 +OBT6Yin54O6/TOC4NUvIhxa014fWNi8mH1rYulQq0H0R+dDC2yRT/FhAPrRw +4pbT1/3zyYcW1Ep/hfXOJR9aaPlsYn3AnnxoIaB57Otfs8mHFvd9a+/oYUc+ +tOCWGlzZMZN8aGG8vbnpRlvyoYUeAzPX6unkQwvhXlf87W3IhxYcBh4/9Xgq ++dBCbfvb1WZTyIcWFsX5Dwq1Jh9auFB/5IjkZPKhBVenS4WuE8mHFkT1V14/ +H08+tDDqj2PcGCvyoYXqfuetLo0lH9z9aP16/Kcl+dCCmYnWqcVi8qGFK5cf +T04Qkg/ufsxZEyUtIB9awAG/BEcL8qGFV6sy1yWakQ8tDJfe8qC/KfnQQuSc +n1ELjMmHFlTCsg2vGJIPLe7v6/JTmvXJhxbeTZ7QZKFHPrRgN2Gzwm4d8qGF +sbalRSna5EMLI/KP/fmpST60UFkdc9NSg3xoYcOc8aXuauRDC6scxq+5qUI+ +tGB1JNixRol8aGHIKbMcGUXywX1+7Te+0+TJhxaS3y+/uUOWfHB9e7drR4wm +H1p4Pb2gNX8k+dACv6a3r204+dDCM7WolSOHkQ8tJC2f+MtiCPnQwuFi60r7 +QeRDC/nzZT+6DCQfWjhkP1LNR5J8aOHHzoPHLvbvtL6i0tl+75cmuoMvjb4p +0Wl9YZqd165OTVgd8s989K/D2s81ZuiYek0sfLj7SP6fDmvv4P5hv95qImLF +uhUVPR3Wux+vNk6v0ERM0xzr1786rLc2paUezNdEurS+SfWPDut1oxRnIV0T ++WuKFd9977BeYrWrqn+iJhaslO6r6uqwnrO+wjk/RhM1Py9Xv/jaYT31tMlP +33BNLAk0v17S2WE97oHvCbsgTSReW+uQ3d5hbf7hs/zwk5p4HZHWlNjaYa0z +EDcqDmoi5Gby4sjmDmtli3Bx0C5NVLqkBp9u7LAeuexX7uLNmniQq3p9V32H +tZTP4kWKazRheFtr/7K6Duuem/fq3i7WxJS638PH13ZYf30+bGe4nSaepxSs +VPrYYd3Y69JvDTQx/MX5ld3vOqzf6+af1R6jCaOvKwaWvO2wfmavrVVvogm1 +qP4OV990WD/dczAhRlsTs2VWw/1Vh3Xm1beTNytqYmqW6ZNJLzqs//f/89PE +2vEqldLPOqzjvnHfev014VWfubG8vIP10MCum6Ge50o7WA8N3EnTkF5QTD00 +cGBm9IDhhdRDA6vHu7rk51MPDRg2xpp55lIPDXTku802eUI9NLi/z+emVj2m +Hho40nPW/UgG9dBAqv0dZ8M06qEBTcvXF4tTqIcGLNfc/88lmXpooKSw8sCA +JOqhAbMtcVqh96iHBr7XhbwzvUs9NLBXtyE6/Rb10MBLlUz3GTephwZOLRZZ +lcVQDw1cjpvyfd516qGBse+eXiq/Rj000IjT6rOuUg8NyKwdsz8rnHpoQPHc +sihBKPXQQFz4xsCrIdRDA8HLkscMDqYeGmieevSMWxD1UIetaqd/RSD1UEe0 +508D0wDqoQ7lzUcdjvlRD3VIdy0dWuVLPdQx56GatcFx6qGOWK8VjTuOUA91 +6GR7/kjxph7qCJ341Om3F/VQh2D/Zv0xntRDHZXzt/Dd9lIPdRzel7j3mgf1 +UMf9AVLfKnZQD3Usaxx07rcb9VBHocfkORqu1EMdaz8NUJy8mXqooz7lfMOy +TdRDHbuWSN5220A91GHsZLvaey31UMfM6UZf/Ryphzre+M93OLeCeqijbOsk +n6Cl1EMdfuqznM8sph7qSFlwo+fYAuqhjs3nV5rvmUs91OFzZ85/62ZTDzWM +G8afOnMm9VBDvup5aaPp1EMNiterxkpOpR5q8NIel/92MvVQg61K5rWbE6iH +GqbumJG1axz1UEPng+Mjx1lSD+73t/47+kNAPdSwZaXUiARz6qGGe5VZV9aZ +UA81bPvIMxhhSD3UIBHRFfJAl3qoYa/Tk0+LtamHGqwHfPneqU491CD5LfbJ +ERXqoYaog/VjRylSDzWo/XG2DZGlHmrY05JVoziKenDXN3La13PDqIcaSqNG +uUgNph5qCHIcOWanFPXg/vyF9plV/aiHGhJsVQPH/mtnPdSQafHiR2BPO+uh +hl15I90+/WhnPVTxzDqwzqS7nfVQxfmwZ1O2f2lnPVRx+5Ti/ltt7ayHKg45 +r9td29TOeqgi2cRNZ0R9O+uhimtz07aLa9tZD1V8vX1oxqL37ayHKiKdEs5v +qWpnPVQhf7tnqufLdtZDFQrRT62PVLazHqqIU7fcfaS0nfVQxSkXpXLPwnbW +QxVi6T/irXntrIcqJm7VuLAou531UMX76+6vRBntrIcqTg8Lrhv6qJ31UIVL +w4fr75LaWQ9VOP6aLRWd0M56qOKhQUD7ulvtrIcqRvb2TFWKbWc9VLFO5/LX +/Kh21kMVv37IfthypZ31UIVWw+MvA0OphypWfQoaGRpMPVTxKd9UR/cc9VCB +0Z2SUTf8qYcKVk+d91jtJPVQwbpBY0afPko9VCAICev8coh6qGBnxZgJsz2p +hwoqBix7F76beqjgr2LT/Xp36qGCocHrbvBcqYcK8u9XBS9xph4qqHL4sunw +euqhgq39q3quOlIPFbTkLBQlL6MeKgjuf+tb1iLqoYJ7K5MVsudSDxU8XVZ2 +JHkW9VDBK8MX8pE21EMFn6cbJXhbUw8V5A6/b7Z4PPVQgc+csu3qltSD+/yP +HBa9s6AeKrjheCU5wJh6qODW3+27xHrUQwUrVgzcXKFJPVQg6TF852oV6qGC +ZclXNn+Sox7KEI6YbLxsJPVQRtSf1wE5g6mHMqKPpm7TkqQeyhgyMiRqx782 +1kMZUjbekg9/tbEeysjNfL+lo6uN9VDG8eQdtxU72lgPZcQGL74qbmpjPZTR +uKddyeZTG+uhjFm+HR3T37WxHsoYtsKhy+pVG+uhjEpN59+aFW2shzIcC2Jf +/SxsYz2UMb3v7bInOW2shzJCTXsWeWW0sR7K6BaODzF82MZ6KKPT4u+3/IQ2 +1kMZS+ReCBffbGM9lCGn7WHwIqqN9VBG7/SM8KnhbayHMo6ti1kdFdzGeijj +8FrbcV1n2lgPZTyeWNQtONnGenDX83bjinU+bayHMpwy14kPe7axHkrYGTNw +1pldbayHEp72RKw+7drGeihBevTW8Xs3trEeSji64EbkgtXUQwmDzXcuVllK +PZSg8+Wj4rN51EMJDg7Vt3bPpB5K6B5mXik9hXooobdr7zRfK+qhhK1GOrnd +AuqhBLffa4faG1MPJcT5aL8J4lEPJdSZD6vNU6EeSpj4d+/HOhnqoYQe7w2n +2odQDyX8d8f14qf+1EMJge6FqU96WlkPJch3W8X4d7WyHkrAvcHDp7a2sh5K +8J3wJ+LTp1bWg7tfuTOlt75tZT2UUDon8L8Pla2shxJ+h5fLji9sZT2U4Px+ ++VDvrFbWQwlH6sqO3n7YynooYqLFQJ2cO62shyJC/EcHZF1vZT0UsdZB3+l6 +WCvroYhgx4sz3YNaWQ9F/NyXU8U71cp6KEIqfHhiuncr66GIE+crto7f08p6 +KOLAXNtrV1xbWQ9FLHvo39S0vpX1UETXqCPP5Ze3sh6KCGzK6zaY18p6KMLU +7Uq1+vRW1kMRnRu7ZX+Ob2U9FLF8t8g4id/Keigi/avtjQX6rayHIvc8HBny +XLWV9VDElj0n34tGUw9FlE63CdszkHooIm92We7l3hbWQxHXbztUhn9tYT0U +cTRPbpx3QwvroYhBcwTbUd3CeigiOjm+saa8hfVQxLW0KcMcc1tYD0X8G7HW +LiWlhfVQgIewT7rzVgvroYD0xWfiJCJbWA8FuE19c7rzfAvroYA98+qGp/i2 +sB4KsBG9PbH8QAvroYCA4ma7V24trIcC9iVqxxutb2E9FBAYt/Srg0ML66GA +v8l9TstntrAeCijrHjZbNKGF9VBAX09JbZ1ZC+uhAO/qjDXOWi2shwLe+W79 +kyXTwnooIOvhjMY2yRbWQwH9Buy2bf3RzHoooGVpnE1aczProQC5Q1/+rKhu +Zj0U8Cfl+KmSkmbWQwF5iyL/DslsZj0UMF1x/Calu82shwJKN78v6rrSzHoo +gKe+yfByYDProYALyRWeQw43sx4KiBrRcX+6ezPrwV3/WNP0WWubWQ95fFm/ +YI/8/GbWQx43Ui2yYq2bWQ95eH1Z7dJn3sx6yKNtTccEVY1m1kMe/06M6P09 +rJn1kMfNK/mOob1NrIc8dhr4y/S2NLEe8tDT/J6pUtXEesjjc/T0np/5TayH +POZnKi49l9TEenDvL1G/rCGyifWQR1rmhX1dAU2shzzurxuuk+LZxHrIw/X2 +7UcC5ybWQx6CFYX+axc1sR7y8Hiu3TDFuon1kEeEy6LfL4ybWA95hCZadskp +NLEe8jBzntjSv18T68GdbYubwtoaWQ95KC040PjhVSPrIQ+/FKfSgqxG1kMe +taWz3BbfbGQ95NHIyw84FtTIeshjteTGqsUHGlkPOTiuSmvM2dDIesihecjy +CaWzG1kPOTgFFk5xEzWyHnJYV7XBPkalkfWQw9J2/Us7+jWyHnKYLbF5SllT +A+shB8PtPnMeljWwHnKI+Ohw1SipgfWQw+YHFRK6lxpYDzl8rhijGOXVwHrI +YY7t9k2RaxtYDznI3FWcq2rTwHrIId7guPRIgwbWQw7zpoRG7x3cwHrI4eb4 +3YMWtNWzHnIIGNTVebG0nvWQQ+L33hqbO/Wshxz+mxEvWBJQz3rI4WANT7LA +tZ714D7P6EmTA2fXsx5yyFvz8dRto3rWQw7q/YbMV5KuZz24+6kZ9qD082fW +Qw7DaiLP5WZ9Zj3k4Prfgp0/Qj+zHrK46OwfsHr3Z9ZDFoNWv8z6Pvcz6yGL +pIW5xxINPrMesniT+/qLf18d6yGLjrGnrx96Xcd6yCI1+E7Zwdt1rIcsXp0t +kvXxqWM9ZPFJ/lLQIYc61kMW89XmlG01rGM9ZLG95eK1ST2fWA9ZnNw1xqq5 ++BPrIQvlP1W/V4d+Yj1kEf9BcdcFl0+shyxULsDO0/IT6yELUW6wTr9+n1gP +WXyouXZSqaSW9ZCFyxbtkrvna1kPWbxw6/p8b0Ut6yGLt0OX2w/RqmU9ZLGo +90TCrKc1rIcsgnplvlba1bAestj3rkJ1T/FH1kMWS745TpWY8ZH1kIWkxk4D +QeYH1kMWI4v8TudZfGA9ZDD96upF2yPesx4y+G0278RHqfeshwzsTn1Xfujy +jvWQgVCi5mBgfjXrIYMLUyJf/1StZj1kcHN5yLNtW9+yHjJ48VSve3VSFesh +g2eTqy11u9+wHjLYX1OW/dfoDeshg6xdtRuGL33Nesigbuggp3n7X7EeMih7 +49h6NvAl6yED+ec+IzdcesF6yODp7K+tg4Kesx4yWHPQUH615zPWQwaDWu4u +SJxbyXrIoMHXJk1RsZz1kMGAferf7y4rYT1k4GVn4xXoUMh6yOB5kkvFxd48 +1kMGb8vVpnaaP2E9ZLAnpOs/J59U1kMGzZFCVXeHO9b/B7x1ubk= + "]]}}]}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[0.880722, 0.611041, 0.142051], + Opacity[0.1]], + GraphicsGroup[{{ + + Polygon[{{8., 0.}, {20., 0.}, {20., 1.}, {8., + 1.}}]}}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[0.560181, 0.691569, 0.194885], + Opacity[0.1]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxNnXk8Vd0b9iVSSglpkqTJcY7jDChN7khSpEFKiRAlKlEkROYp0kAIoZSU +nkpzmielSUpFyTxlr1KapN79vta+f+9fz2d98nDO/q5rX3uvdd/XGueyeYmb +rIyMjGk/GZn/+9+Iex90t1XrQIhGRMvPzk8mimdE9ftqdeCt/qti+cOfTJIO +hWWcbdKBojWH55oHfTJRi6lY+qJdByrSE+5ZrflkkuY7adDnzzpgulRm/Op5 +n0w0nbbfHfxdB8wq8q7LSj+Z5M1/FKTXrQP/wjYxO8d+MtEx0jC0kuGB/PtR +a9cofTI5NW5TxwZ5Hkx9tNR89Z92E6nSzaOxijwomncGTD+1m1z6OdTx+BAe +fB5u3NVa3W4ys8FV/YEaD1bXmulMfdpucvvZ+aeNI3mwaoheq/rNdhOLqwrR +cmN50PEg7M7Ws+0mZfn2JuMn8EBP7m6i2dF2k8V7C3/M5vHgRcieLP+D7Sav +g3tOrxHy4O47B5FCQruJg4fN+hApD6If3+vTFtJuUmubo5U1lf35AxGyI7e2 +m7jD1zfXZvJgiW/hyMT17SbtfPPkKlMeTPA48tNsdbuJ9/BUy98WPBjWIv1p +uKTdpEu2tc9Iax7MCmz642TRbrKDmXZlyhIeqDuqOl+f0W7y722Cj91yHswJ +HVdvLWk3+X88HHgQ5DA8daBOey8PZx4sqi55921Mey8Pdx54qpYtUFBr7+Xh +yYPSzLM3QLG9l4c3Dx4eOz8jW6a9l4cfD9IK1L9p/Wjr5RHIg6seGjPvdrT1 +8gjlQef48j3RDW29PCJ5EJriGOVR1dbLI44HXS/fPltf3tbLI4kH7sG7p0SU +tvXy2M+DnY8SM6/cbOvlkcYD7RtHuhQvtfXyyObBepvN5ltPt/XyOMKDltH3 +c7vy23p5FPBg3Z+5ksSstl4eRTyoeK40aWZKWy+PczwYMWLPtT6Jbb08LvHg +65FUk6rItl4eJTwQBq3oWxrc1svjNg9MgrLcHm1r6+XxgAfb24Y8+bCxrZfH +E/b7eoQdl3dv6+VRzoObU9ZsmuXY1sujkgc1L0YfirFr6+VRzYM/Xv+dr1/Y +RvXBgzXleuY2Fm1UHzyo9Fr47pFJG9UHD2x91BXtprZRffAgxThw02dRG9UH +D8qbh+an8SiPbvb6XL27dLE25SGjC9MWJmoOH015yOuCzMxpZ9pUKQ9FXVh+ +RvfK40GUxxBdCFmp3X5ZnvJQ04VVG1V+Ff9tpfrQBV2bTxnXfrRSfeiC2kG/ +xOefW6k+dMFs/MTEz62tVB+6cKL160qN+laqD12Y7znn4tLqVqoPXSj2LlmX +8qqV6kMX7n4VSBuetlJ96MLEvl51Mx62Un3ows7tc+DwrVaqD1247rBi5OCr +rVQfuuD8VnFGVHEr1YcuhB/csL1/USvVB3s9to85vP9YK9WHLozdbh2lm9NK +9aELox+l/CtNb6X60IXAL99rffa3Un3owtD31+QmJrZSfejC/bYA+BjdSvWh +Cz53v246squV6kMXVBSGe24JbKX60IWr+W3KFttaqT50oUA2YubEza1UH7rw +OLP76UCPVqoP9vpucTny26WV6kMXzj25mPnFgfJI04Xv92oyPttRHtm6sEUt +PfTHIsrjiC6EBQQI+y2gPAp0gURXxWiYUx5FulD19qPvNBPK45wuPDrmVuFk +THlc0oUPV38nJUgpjxJd+Hj1Q8JNPcrjti70u/fjTPdkyuOBLtyyfdI0U5vy +eKIL+jvCVKM1KI9yXRhs9VyrUp3yqGQ/b7bMT72hlEe1LsR3t4TFD6Q8atn5 +9KCxkMhTHk26sO3Uq9UrZSiPdl2oNRgW8fh3C9WHLoyK3aFo1tVC9aELT7cs +fn+TtFB96MIQv+Wtc9paqD74sJB3afSzhhaqDz7UZA9wcappofrgg8oAyxNd +b1uoPvjQ7VVZl1zRQvXBB/UEv9/SZy1UH3zQrzH/WFXaQvXBh6bzN8Jj77ZQ +ffBhnc+Fihk3Wqg+2L/3cfGdrsstVB98WFswCIqLW6g++DCk6sz07adbqD74 +MDxp5bHZJ1qoPvhQleu5VfloC9UHH65F79vfkN1C9cGHF5Mf/ipJb6H64EPC +hzkphw60UH3wwSEixiF0TwvVBx/8E5aaeMS3UH3wYYBBvnh5VAvVB/v9b12f +NH9XC9UHHzJfD1cxDWqh+uBD0CP35ln+lIc3H16OTk8HH8rDjw8fWivHWmyk +PAL5EB0c5LlkPeURyofmDyN8XFwpj0j27/lt0fF3pDzi+DC+JCh8jz3lkcSH +OuK8vciW8tjPh21v/P88t6E80vhw76CZ/M/5lEc2HxaF+8eMn0t5HOFD0Z8v +gUtnUx4FfJjufu1V9AzKo4j9fkMVE25OoTzO8aFsxpQDPRLK4xIfpqg/bJ4l +pDxK+PD64bodETzK4zYfLiQ1mz2dQHk84MOb5zOna2hRHk/4oGgSuXzTaMqj +nA8Bsn+S7qpTHpV8eNQsW62pQnlU88H1gIY0WInyqOXD4/ufEmr6Ux5NfHiw +e0qtuRzl0c6HMWkfJ//3r5nqgw//hbat0OxupvpgP4+6n9ee781UH+z8uX5r +Zb/OZqoPAYQ/0NEI7Wim+hCApEy7oKelmepDAINGmP3e2dBM9SGAJUOm9pf7 +2Ez1IQDxoUePE6qaqT4EcD/7/cyRlc1UHwIYsm7j8oLyZqoPAeSNTxo682kz +1YcANNd7u1WUNlN9sD9/3M5q871mqg8BOF3NuqF0q5nqQwD/1l67dPpaM9WH +AMqrjcXLLjVTfQjgosNF7b/nmqk+BBDxX1vUidPNVB8CkCnNWr6ysJnqQwBZ +i88lKh1rpvoQwI95GpPu5jZTfQiAZNQO35nVTPUhAMc7mo7T05upPgQwe63s +1+4DzVQfArDofPL8RjLl4S2AK7avvkTtpjz8BFCwe+eixbGUR6AAwHV2s2Yk +5REqAPOBx/4joZRHJPv53lgeuxNEecQJ4GNbxb307ZRHkgDu1PRV2LaV8tgv +gLCoXLcl3pRHmgAUDyRUS7woj2wBfHU85a6+nvI4IgBe4r+/f1wpjwIBLHqf +cLjJifIoEsCFlxvnvlxFeZwTQFtYafvt5ZTHJQG8MH8Vf34p5VEigGm7KsYV +2lAetwXQ6jaiIG8B5fFAAPLF/zSyLSiPJwJoCvm+PdOM8igXwIyf2y5lmVAe +lQII0JOrzJ1OeVSzPN9JnxdMoTxqBXBYeCT7nJTyaBJAwkGZ2Tf1KY92AZTs +lfnvGZ/ThwDKvNe11E7m9CGAFj25pu/jOX0IwGvGmSODtTh96IGvna0WT4PT +hx742HywnTuC04ceVDTNn+WuxulDD0IyDr+JUeb0oQcrQz+PLRrE6UMPCoba +Kb/uz+lDDxwH/jgqI8/pQw+Moe97vT6cPvTg4ZbiM6t7mqg+9MCIt1Brz68m +qg89aN0zZuK9riaqDz1oWhhw7c+XJqoPPfjhdKvOiGmi+tCDvV8d9/u2NVF9 +6MG2eXefnG1qovrQg55Yr/hvdU1UH3owqaiqdGpNE9UH+/lssyJDqpqoPvRg +8hHLqw8rm6g+9CBUGLhOraKJ6kMPBj95E+XyvInqQw+UIpTVz5U1UX3owXfX +N0P7lTZRfehBvSfjt+peE9WHHkR4jTA9d6uJ6kMPstrHeytdb6L60IOR/Zt6 +PK40UX3oQZLj9JaHF5qoPvRgHaMg0D3XRPWhB+v/Gd3ffbqJ6kMPyhWunvta +2ET1oQdte3d/WXW8iepDD+R0TgfdP9JE9cGOJZOXSHMojyI9sGzuuzk3k/I4 +pwdDJCufq6ZTHpf0wCTN3Dc6hfIo0YPjvBbbnr2Ux209yHHa4LMtifJ4wF6f +od0PSTzl8YT9fMufLfOKoTzK9SAjSFu9PYLyqNQD1TpDBa9dlEc1+/0+L5pE +gimPWj2Y2ueW99YdlEeTHjxf8La+24/yaNeDRy86gyJ9KY/PLI8FXsZDvSmP +73qQN/yEerYX5dGtB+IJ6ir6HpSHjBC6P47l3XKjPOSFEMufYb/MhfJQFILK +rMbsdkfKY4gQbLLSf4evojzUhBA3qNZdcwXlMVIICr6mdVdsKY+xQjj6ynqD +/WLKY4IQnsxO/fPLmvLgCSHv+sWUQ/M5fQjBf6a10WwLTh9CCI77/abZjNOH +EK7NXBe0Bzh9CMHipInm9JmcPoRQ+NbgWrMxpw8hHPT4szTFiNOHEJ4lL6qf +K+X0IQQY9HndL31OH0JYMyCr5pSA04cQ7mprz1vL4/QhhBrredkakzh9CEHu +2ce619qcPoSQJripvG8spw8h+GnfmLRYg9OHEHYduKI9dCSnDyFsjd4n+3IY +pw8hvFHUv5uiwulDCLwpfm4OQzh9CEE0xq5h/CBOH0KIuHpjVkd/Th9CMPl6 +zOeSPKcP9vcFKeyMlOX0IQTB+3eOtv8aqT6EcGqlturEP41UH0K411l78MfP +RqoPISy2Gcc87mqk+hDCsmvtyrmdjVQfQigbs+hfAGmk+hBCbuOai0s/NVJ9 +CKFigMEU/dZGqg8hXPhdFzSoqZHqQwgr0+Ki2usaqT6EMFa4YElZTSPVhxBc +nOZ9KKpupPoQgrVOgc6+t41UH+zff5aqH/C6kepDCMdqZn9Z87KR6kMI50a/ +8Zz/vJHqQwj8gMRMwyeNVB9CmKh+KEL7USPVhxAmiaePHfqgkepDH15rFXj2 +udtI9aEP5Qsmrf96s5HqQx9Stb6rNJc0Un3owx4lpw3VVxqpPvRhRsAJr5cX +G6k+9MH7yoQRZcWNVB/6cEl5jOf9M5THBH14dqjR9XYR5cHTB4n9S5mbhZSH +UB+eq8yCG8cpD6k+PHEO1bp5lPKYqg/rcn9k386lPGbqQ3tH35L72ZSHqT48 +VO3vV3aI8rDQhyt7PUpfplEe1vogt3fP+eoUymMJ+3neNU9t3kd5LNeHvS+q +F3/dQ3k46EPa5pq/MomUh7M+ZM9wmaUcT3m460PIvHeDx8VQHp768G3CjU3S +SMrDWx921MQ5WIRRHn76YNB9+bVDCOURqA/fNzyu8g2iPEL1wS4eNiQEUB6R ++vBWIzM034/yiNMHvVnrxtz2pTyS9CHQfbJJjTflsZ/9/k7rG3o2Uh5p+uAx +++JATU/KI1sfEjfknTNZz+lDH14FXX/n4sbpg/38dodCol04fbCff8jLw6ec +OH3ow37ye8YrB04f7PXfWLTkrz2nD/bfeXEfdZZz+tCHAY2CRltbTh/6MHXX +FKewxZw+9CHrp5X1mYWcPvTBd6VKUe0CTh/60HRPGKpqyelDH9a6Lbg9dy6n +D/bzeqj6Bppx+mB59TNIPgOcPvShzNNhdOtMTh/68Of2TGXt6Zw+9GGsbvwm +h6mcPvRh8elfBgcN2f9/dOKoSTIiCLECl1eSRpNK5Yt+52VFoF0/vEtVxP6+ +fh/L58iLoM/U6Z+W6rHX509//QoFEQwg7nMP6LK/v1Mc76oogknR9rJvJrPX +q3llc+cgERjavdTUmNhocuR9uFnYEBF0RaSkO2uz1+/lyeyhKiJQHbhu6/Gx +jSa80lfdh9VEYFCoWPhZg72e1/8uFw0XgTKZN3PaqEaTouLJxTdGiiBzfDsv +cjh7fU8sUrbREMHsZdc2v1Bj58vhAK8PmiIYaBWrNFaFvd4Hch9uHMd+3hgt +2U1D2PkT/3hCz3gReM9cYnV9UKNJ4K5voQmTRLBg8ee2IYrsfPIf8340TwQH +lj2tdlFoNJHZONe4kC+CishbWhfl2PnlsvnANKEI4u8cPj9IttEkcsXBL6Ui +EdzfOyfd9V+DicXCW9b2UhEUqEc/ufqnwURxTltBi6EIBg2es3DY7waTMmPV +ftunikDr+YrR3j8aTJL0Z7goTBeBpuURadm3BpMlE92up8wUQc/3fim8zoZe +HsBevyaX2TGkoZeHKfv3izIMWj419PIwF0HN5pRNlm0NvTwsRDDkmTFT2NzQ +y2O+CCo7N54d0tjQy8NKBLdDNa5srWvo5WEjgjHpIrmqmoZeHktE0P0mJcn0 +fUMvD1sRGFnPXFX4rqGXx3IR7ApQdR/2pqGXhz07XqR4OvRVQy8PBxG0idUM +O8obenk4iuBY84QfK5839PJwFoFCnvhb6ZOGXh6uIvj5S8Sf9rihl4e7CKBh +VEbhw4ZeHh7s9b3UYqp5v6GXh6cI3useGLf3TkMvj00iyLDQMFK41dDLw1sE +Yt/AkODrlIevCKzdz/z5dpXy2CaCGP71kxsvUx7bRVCukZfYfIHyCGQ//0eX +HJdiyiOY/Xvr+zR8OEN5hIoguiN8mcNpyiNMBOM7Pn97d5LyiGSvt86iWytP +UB7RIlice+Jy1THKI04EjmmK71cfpTwS2PkWG6hbm0t5JLH8JyvmuB2mPPaK +4MaauybtmZTHfhFU216W98mgPFJF0Lz815dfBymPNBE87jjQNzyF8jgkgsSH +OcZK+ymPLFbP90X7DiZTHjksX2b5kIlJlEeeCJS89U6dTaA88kXgfPih5+w4 +yqOA5fNj9vwX0ZRHoQh+KJ2zcImkPIpEIJtp5PotjPL4j51PAS0Z0aGUxzkR +pBxo/Dx6J+VxXgTpvxY4nwmkPC6JoPH+tE8WAZTHVRH4Scv31PhRHiUi8I2R +Lty+lfK4KQITrV3jVXwoj9usPtZ+GHJqM+VxTwRbyzarWG6kPB6I4PC7lbpN +GyiPRyJYM/q+XcR6yqOM5a39NmW8O+XxTATT46+13nGlPMpF8LozbaGbM+VR +IYINvzPuKjhRHpUisDdj5hc6UB5vWX1uLPlos5LyqBbBiuFTIruWUx4f2Pn4 +JnbKoWWURy3782aN382WUh71InheH3D70yLKo0kEI40D0lIWUh6t7Py7PCxw +thXl0S6CZWVh7h2WlAcjgm1l31amW1Aen0Wg2Ddn+TxzyuMrez84VrLqhynl +0SWCNx92uR8DyuOnCCIvTvRfMYvy6BbBZt26BMUZlEcPe/8a8SW/xJjykBHD +Fovdd7dMoTxkxaC5trNhkiHlIS8GYY+DwnsJ5aEghtBwRf5+EeWhKAbrecY2 +VkLKY5AYzgfq+MoLKI8hYpjHH5Fyg0d5qIjhIFhe3DGZ8lATw8OQnldGEymP +4WLQifL/8lWb8hgphrKHv/uf1aI8NMQwYd/j0Vs0KQ9NMRyR1+eJNSiPcWJY +o+Yp6hxJeYwXw4XI+6Li4ZTHJDF0fo7h+Q+jPHhikCn5PXK6KuXBF4PaWLc+ +MkMpD6EYXEeq1NwbTHmIxPA8wOpswiDOP8RQ8MZph60i5x9iWJ160GhMf84/ +xDCrxqypSZ7zDzFMO3w65kxfzj/Yz5+kOya4D+cfYuiaJJdn+a+e+ocY9uUm +jBzeU0/9Qww5MsNCG3/XU/8Qw4N/zKvin/XUP8TQR3PjqMjv9dQ/xLAttc7K +7ls99Q8xzHXL89LprKf+IYYNM+QCukk99Q8xRCas3PKso576hxju7/xje6S9 +nvqHGG5/g/E7Wuupf4jBPjqkalFzPfUPMaRGKgfrNNZT/xBD2lcrhT719dQ/ +xOAfmxjw7mM99Q92fp3Vf1n8oZ76hxji4+JV91TXU/8Qw+iPPcZe7+qpf4hh +lKje3PJNPfUPMXjmHzSa/Lqe+ocYojSCBvarqKf+IQa/EZ/uNL6op/4hhvLH +Fk73n9VT/xDDORVSfexJPfUPMVz2MZ0R97ie+ocYFEblBW0spTzCxGD+wSdz +8QPKI1IMx6arZRndozyixdCxtC1Y4w7lEScG344VM/reojwSxCD1ufum7Trl +kSSGt0zykpfXKI+9rB6GTi64doXy2C8GiW5bVf4lyiNVDNqTTduSL1AeaWJI +SUl5GVxMeRwSw94jNqkbzlIeWWIwHPXaYMV/lEeOGF457CucW0R55IlhxMYv +vw1PUh75YhjatFJ70gnKo0AMTn+mjRt+nPIoFINVcM/3/vmUR5EYyJPBed15 +lMd/YljcVjWB5FAe58SQtLY8sD6b8jgvBvm1a469yaQ8LrHX58i3/KcZlMdV +MUze9jfgXhrlUSIGwaWOsSWplMdNdv7USg6eP0B53BaD8vdZdUX7KI977OeL +D+85nkx5PBDDj3s+rXlJlMcjdn7ZhxzN3k15lIlhfbSG+FA85fFMDHKa1+PT +YimPcjHobn9TnBpNeVSw8zXoY2FKJOVRyY4zFninhFMeb8Vg+TypT+ouyqNa +DHXZ450OhlAeH8TwTn1nTHow5VErhspS9eDMQMqjXgwRFW4zcgIojyYxvHhT +f++oP+XRyt5P/g4YWbiN8mgXw9gviw3P+FIejBjqaw1HXdpCeXwWg2OE6MGN +zZTHVzGoDimZ/nAj5dElhrBpy/1feFIeP1keEBhQ5UF5dIthTtXR2U3rKI8e +9v5lbvvsixvlISOBiily2n9dKQ9ZCQxvlxoPdKE85CXQpuaiNnIN5aEgAZcW +pXOTHSkPRQloDbuoNsWB8hgkgZz776darKQ8hkggM0927IoVlIeKBFY+yb/v +YUd5qElgUM0C/SBbymO4BMQdviuSllAeIyXQHJEzO28R5aEhgWWFy9suLqQ8 +NCUg837kkidWlMc4CUiUvLbXz6c8xkvA4Wi5w+95lMckCfhElP8dakF58CSw +/O/n1brmlAdfAjOqzgWYmVEeQgkoLs9ZvHo25SGSwH0taPQ3oTykEvhtP9xg +30zKw1ACY1OKZp6eTnlMlUD/d7v7lBlTHtMlYJkxM6R1CuUxUwInbsy9oGBE +eYAE4g9J8icZcP4hAcfvcQvnSjj/kMBAmXdF7iLOPySwfuWJ+9FCzj8kcFQ1 ++kCBgPMPCdzJ7x5Vpsv5hwTkuvfZER3OPyTg5FptoTqZ8w8J/Mh0ZqZM5PxD +Akkv/7NcPZ7zDwnIpi63Dx/H+YcErjbUa5wYy/mHBITaDftejOH8QwKLxzSX +/BrN+YcE1AYFpWuP4vxDAnXxEp7VCM4/JDAqJ93DT53zDwkkXJizKkeN8w/2 +8x261VOmwvmHBOLichf9Uub8QwLOowKWThrC+Qd7/a0q5W2VOP+QQHeYzbqw +gZx/SEA3aqv/mQGcf0hge8hbw1oFzj8kMKd7aO7Qfpx/SKC9+cxVUznOPySw +8IB12FZZzj8ksKI4oCtfhvMPCUTbPVZ797eO+gc7/zoqa5R66qh/SMBmvqGd +aXcd9Q8JRGYG+Pv/qqP+IQE9v+lmp37UUf+QQO6toZfru+qof7Dz4fG+N6O+ +1VH/YPU6zT5nSWcd9Q8JHFH/rRL/uY76hwTUbw7Wu8vUUf+QwJgufdLzqY76 +B3s9nn1fMbW9jvqHBJ7f/uPh21pH/UMCttlV404311H/kIBZol5oe2Md9Q8J +/FXZHaHTUEf9g+WveEDoXldH/UMC/U58DD7ysY76hwQCQgf61H+oo/4hAcHW +M4rj39dR/2Dn551NFq5VddQ/2O/T9+PkI2/rqH9IwG9cbmFjZR31DwmUOy0p +n/y6jvqHBF4sT8zYUFFH/UMC039+7VdUTnmUSWBy5Di1zueUxzMJJKeev230 +jPIol8B3DRuVoCeUR4UEvtjtlr39mPKoZO+f74bs6/+I8njL6jvZ747NQ8qj +muVx3nlf6n3K44MEGl5vkf14l/KoZf9ehYUy7w7lUc/O/9qIaz63KI8mCYwI +fdSv5Abl0crqK+oKo3Cd8miXwJkRP3yXXqM8GAlYnNJOyb5CeXxm59+Aj7af +LlEeXyVwyLT8tPFFyqNLAp+uHcqPPk95/JTAJX791NfnKI9uCVzfY+k+8Szl +0cPej6dvFW77j/KQkcLHRwrJ94ooD1kpPNYp2K1+ivKQl8KH3T3j1xdSHgpS +UAo5sfRKAeWhKIWzT5ZpKB2nPAZJQZp6NHhNPuUxRAqn5oB/8RHKQ0UK6XFl +/QfkUR5qUti5/ovYMYfyGC6FJB27znPZlMdIKTg55VoqZlEeGlKIbQ+e4nyI +8tCUwqquPVcvpVMe46QQwYS/Uk6jPMZL4UbyvwiPVMpjkhRC6k6W3j5AefCk +kCMwzNPYT3nwpaAmZzrUfy/lIZRCwpxg1fI9lIdICuKhyQV6SZSHVArzKya/ +jN1NeRhKoXPWp6SmeMpjqhTuz4utMYujPKZLIVX/xK2cGMpjphRG+f82lImm +PEAKB1smznCKpDxMpXBs75uX18MpD3MpvH54/Y9mGOVhIYU14yMvhIRSHvOl +0LTzjUztTsrDSgoaf9yqTIMpDxsphP17Z340kPJYIgV1caNJ/x2Uh60UBgZJ +Sj23Ux7LpRA8eEvtMz/Kw14KPHubGINtlIeDFCYMCSpJ86U8HFl+E/ND/22h +PJylsOhl0As3b8rDVQqP9pw+XbaJ8nBnr8dwZpTBRsrDQwozXFpUDnlSHp5S +aCxcmCq3gfLYJIVx3i+ObVxPeXhL4cCGcXMq3SkPXylcTSGes90oj21SyNTv +0DzpSnlsl8Lu048dhrtQHoFSqH82Y2L4GsojWAoXYjr9iSPlEcrOV+VsW4fV +lEeYFByrv10pXUV5RErhXlb+iSkrKY9oKZjPWzc+fwXlEcf+e7+2CcOWc/4h +hdXmdUURyzj/kMKQk31vfVvK+Qc7v8fKrnJbwvkH+/1vJge9XsT5hxTWdbhP +nGfD+Qd7vWYZLbtizfkHO44pHqhnxfkHO3+qUuYdns/5hxTaw/cOVLPk/EMK +zgqrbWMsOP+QgvLkk9o95px/SGHjB0M/nzmcf7DX3/W/hS2mnH9IoXRCeaHj +bM4/2OtXtnj3KxPOP6RwcWZTp9Uszj/Y+btvbvXdGZx/SGHsBF3LmdM5/5DC +2qB5xheMOf+Qgoyr/Un9qZx/SCHrtVpugRHnH1LoVpKOmmDI+YcUTvt7qWVL +Of+QArkcsGeUhPMPKdidVU1MEXH+IYWCbV8Hqepz/sHeD2zKB+3R4/xDCidv +e+xWEnD+IYVr0b6J8bqcf0ihf2LBEEUe5x9SePX7nnLsZM4/pGDGC0/uP4nz +DykMPpGRHDOB8w92Ppk9Uh4wnvMPlv/n0sFx4zj/kEJd7bL4gVqcf0ghNIgX +tVuT8w8pKKyU+TdkDOcfUtg6L6Vr72jOP6TQYnnMQ30U5x9S2LSfrEwfwfmH +FJ4m80s1h3P+IQWbmuHFecM4/2A/j/WW0Tw1zj/Y+9/Hb3+LVDj/MICaJ06O +hkM5/zCA2pDNxteGcP5hAHJ7a5PMBnP+YQAu5b7Ojwdx/mEAwtkNp5YO5PzD +ACKUv2ytHsD5hwFIj6y44Naf8w8D2J38dgvpx/mHARhmS44FyHP+YQDkrrad +nBznHwbgyffYmSTL+YcBqAvujR3dh/MPA2hd9N342L9a6h8GMN32Wpn0by31 +DwOI1aqsvPmnlvqHASx+3m/Vwu5a6h8GUHlqoF31r1rqHwbQI8l/uOFnLfUP +Axg6IPXsr++11D8MILN/tmpsVy31D/bvGYe0j/hWS/2DvT4vfhoXdNZS/2D/ +3ejJX+MvtdQ/DMCnu8T4Maml/mEAA+oT2hyYWuofBjDpfbcy+VRL/cMA2hWe +nNzVXkv9wwDmnr5dotZWS/3DAO5YZZsdb6ml/sH+/eiJs2Y011L/MAB36YhT +zxtrqX8YgP6SaXvcGmqpfxhAfxWb5t91tdQ/DODvk5Fn99TWUv8wgLNKjp8n +fayl/mEA4sianJIPtdQ/DOCemst92/e11D8MoOxhwepPVZSHswEkvt2xLuId +5eFqAMqFafUabykPdwNoK3v04nwl5eFhAGN+l4ttXlMenuz3H+Hft7WC8thk +ACemBc0Nf/m/cb8KWweVfII/r1mcv6LzKMHfF9vPzqycHXN/T8V5mcZZdsx9 +njlWqfXJ7Jj7vHZmg9O2sGPu+4wtPjljMTvmvm/ff2ufitgxdz3q/HgLldkx +d736O3+6So4QvJ4aXdnDn7Fj7noPWW3kXMSOOR4Dz+cc3M2OOV4uAytKvNgx +xzN4zY3nC9gxx/th/rIXuuyYmw/5t2NuDGDH3Hyxj5uf0ZJHcD79uJew9gE7 +5ubbYv3Zo/PZMTcfW7fY34hgx9x8rdR9sMiVHXPz+WZL4LPZ7Jib7yV8j+la +7JjTw0K30P1/cwnqxfTn2XfVuQT1dHxqp9LVXIJ6K/HUF6blEtTj6werpvvn +EtTrkq3rDZflEtTzrti5GtJcgnpPUW4myrkE7wfJfaf/x+QQvF/wraWry3II +3k8ePrz5vSCH4P1mlWlFUHQOwfvRSj9HsjaH4P0qf+iihaY5BO9n/mfS0sbm +ELzfLajnv/hzmOD98MmEH9/eHiZ4v3xQ3y538TDB+2n/nb9l9h8meL9dOlu1 +1fswwfuxheekEuvDBO/X1rwJwbqHCd7PIw/+0VE4TPB+X3Av+2Z9NkE/6Huq +x+xmNkG/sJrW79yhbIJ+kjOvQCkgm6DfuOe+Xrosm6AfGfTZGSnOJuhXt5WS +cpWyCfrZC6dfJ1qzCPrd0J0ns+5lEfRD5Q+pwTlZBP1Su+GERXAWQT+dV1/x +Z0UWQb/VHCOfaZBF0I9v3NLVUc4i6NdniUFWeyZBP39xTuXf/UyCfm8w4Nz8 +3EyCzwPqb+V2BWcSfF64+ft7zopMgs8T3tLtp6SZBJ835hj55w3OJPg8onmk +Lrz1EMHnlaSBBQvvHiL4PFPScqlv9iGCzztWR2XyAg4RfB4yPbhJYHuI4PNS +l/yXbOEhgs9TrWP9evofIvi8dUvu05z6DILPY2Nugl9JBsHntaj5LsmpGQSf +5xbHzkrZkkHweS9u4Z2IBRkEnwdfrfjgMDGD4PPi8qXBmv/SCT5Ppr/aXfom +neDz5jbXntVn0wk+j372ulwVn07weXXywtOmbukEn2eNbMr2zkon+LyrfqTn +8fB0gs/DkV4i5nMawedl5tziX6VpBJ+nLbYs7MhNI/i83R2l+igwjeDz+KPb +u5Ns0wg+r//3vmCmXhrB5/l7gbYV8mkEn/cnCnYs/XCQ4PvA8/UKVy8cJPi+ +kJjSoph0kOD7xEs5RbN1Bwm+bxzuWO5qcpDg+8i6bdc9hx8k+L7CnOA5kFSC +7zN60ZEGD1IJvu9M6Sj5lpVK8H1I7cT1Q36pBN+XTmz1FSxMJfg+ldbxIG9i +KsH3Lc3sY7I9KQTfx7r+9MyrSCH4vjZl7QW/whSC73Mmo6/Fh6UQfN+LWvw7 +2j6F4Ptg5FgHT1EKwffFA1FlhgopBN8nn3sbNb8/QPB9c9iZiJDiAwTfR/s8 +zf0bd4Dg+6qfcPd65wME32c73iien3KA4PuurvHwFqUDBN+H1QoP9WnYT/B9 +2d0nss+V/QTfp/ddudqctJ/g+3Z2Cq/YbT/B9/E+fS67T99P8H09qGrpP+X9 +BN/n/zH1wU37CL7vh7Uvqbu6j+B6QM26OEHyPoLrBedqfR3c9xFcTzAq+bll ++j6C6w0jzWU3Ku8juB7hciLAunEvwfUKc7l5qlf2ElzP6LvI7nriXoLrHTe8 +dy903UtwPcRJ//WdKXsJrpc4TxupOWgvwfWUyxNNVn9MJrjecnyDaFdxMsH1 +mNR1r2Jjkgmu1zBO6v4OyQTXc74GVs0TJRNc78l4OOhf32SC60HuU/amVe4h +uF5knLV0ROEegutJ0otTA3fuIbjeFKXFu714D8H1KGuHQR0T9hBcr3J/Xtr9 +I4ngetac+/OYR0kE17seb/C+m5lEcD1M6+nknd5JBNfLouuXjTZLIriepr+r +NmNYEsH1NuU1Z/41JxJcj7s8+uTcK4kE1+t0JZe8ExIJrufl970W7JhIcL0v +sjxzoyiR4Hrg4DtmIJtIcL3QtGpf18vdBNcTL8hu3X10N8H1RlfZRwr+uwmu +RwZEBK6dt5vgeqVYbVPeyN0E1zOzxkTfbUsguN4Z2lH44GoCwfVQ1TO3TiYk +EFwvrd92ynd1AsH11AyerYYwgeB668u09Py/8QTXY+t83VSexRNcry2ak+aU +HU9wPXfH9lGJm+MJrvcWr3ySaRJPcD34/rCUxCHxBNeLP55dsaYmjuB6cunI +Xyqn4wiuN59UXpW/M47genTDdJdRC+MIrlffH9O5aUwcwfVsX3Um71MswfXu +bFfjy1djCa6HO2ldLIqLJbhe3jlnfoR9LMH19N35r4x1Ygmut0/8Mf3Z9xiC +6/FT7znNuRdDcL0+NXB82r4Yguv5ImePJ84xBNf7Xx1UrdWPIbgf0G+cXEVP +NMH9ggsvNfIfRxPcTxjmbrI8LZrgfsOy9dbN7tEE9yN8zHXsDKIJ7lf8Nj2T +1yea4H7G49DSZ0+jCO531P1c/C4jiuB+yMgQ/p31UQT3SzpuGsUZRhHcT5lj +bqsvG0Vwv0Xotfbs00iC+zHHN1moZkQS3K9xdny/eF0kwf0c/4n9NkkjCe73 +zIrKWfcvguB+0L9RSbMeRxDcL7q0rKgzJYLgflJVyIdwlwiC+00qV/5+0Ysg +uB/1o7tjxq9wgvtV1arxa++GE9zPCskvXp8UTnC/65nQ0nJlOMH9sOM9k/pN +DCe4XxZvLMgmYQT309Z8MFK7EkZwv01QMsEtIozgflx54MvkhWEE9+se7NdK +HxFGcD/vpV1rcN0ugvt9dxoUZ57cRXA/cJKhS+W2XQT3C/uplFmZ7CK4n5g1 +YmJm/10E9xuVL1s+fBFKcD9yrJH60/RQgvuVtUfci1xDCe5n+n9S9xCEEtzv +vH1P9u+3EIL7ocOuym8sCSG4X9o+uft8ZAjB/dSDU6+/sQ4huN/a08p7NSyE +4H7stAEjC9/vJLhfu0rqvvLoToL7uUNetdd57SS437tEN2KOwU6C+8HaswaE +dAcT3C+e3Ga793Ywwf1k99ewMzaY4H5zx/Z800XBBPejg4c51agHE9yvnlZi +Zvs+iOB+9tKFejl5QQT3u//z/XbPI4jgfnjqkS239YMI7pfbTwhO6QokuJ/+ +qw+ZfTWQ4H57xfDsu6GBBPfj5w1005wbSHC/fqXVCJuBgQT381cN22P3fAfB +/f49G/IMDuwgWA/wYiSv1X4HwXoB0ZUfvpo7CNYTpBc2VtQFEKw38FcvHXgs +gGA9wpJ2/xGeAQTrFTbXPfgtDCBYz7AlMPFs53aC9Q6//hw2ubCdYD0EbK3I +CdhOsF7ifHHPmxnbCdZTZCz/0vDPn2C9Re7V8Hu3/QnWY0TIxe6I9CdYr5Ex +6lXfef4E6zmCTkx3VvQnWO+ROGHP3jI/gvUgedeOpib6EawXifew8V7kR7Ce +pKDBSUPFj2C9SXxBQcbLbQTrUQK6/nbs30awXkXxgVjVbhvBepbi96oDh28j +WO/ieNnvVeVWgvUwTBrf5+BWgvUylTv71q7YSrCepmDSs3EjtxKst8kY7GTw +1pdgPc4on/ARab4E63Ws5w96usKXYD3PMetS2xG+BOt9PEjsyUofgvVAfKcx +r1J8CNYLuccsebrMh2A90behP1PVfAjWG6Wurha/3EKwHiko+klG8haC9Uol +bmmvbLYQrGeqi1aqUdpCsN5pjdGPi4+9CdZDjfQZvybWm2C91JuvjpVzvQnW +Uz3j+42R8yZYb6Uy21ByazPBeqzBQ9cP27mZYL2Wgv67+9M2k//Vc9Xbzf2x +iWC9Vwe/YN+5TQTrwc5dzijavIlgvZhq588U/iaC9WSrO+KsmjcSrDfb6jf6 +We5GgvVoUxS3ajpuJFiv1vV69bSRGwnWs725mqNd4UWw3m3pvP6ViV4E6+Fi +rtovt/QiWC93qa9jXl8vgvV0x0qqr5R4Eqy3kzXIzPT3JFiP98DRfYHYk2C9 +3rG6v3faNhCs51unrKdwZAPBej/zhgtqqzcQrAe0lXf5NGwDwXrBt3e/7n7q +QbCe8MQY8a8oD4L1hnHnPuiZeBCsRwwuv8n/sZ5gveKETRmdRevJ/+oZx0nC +3NcTrHecKJj3bsx6gvWQZdsO/65YR7Becl1sT138OoL1lIkp4/aZriNYb7nW ++6niL3eC9Zg1CfcsT7sTrNdUlXto4+ZOsJ4zNClFY7Q7wXrPxbFfTj93I1gP +WtB+cECUG8F60Y82VrzpbgTrScs6Hg3+vJZgvemP9JeXj6wlWI/aWjpB134t +wXpV+9ggJ6W1BOtZN4YftL/lSrDe9dsfwxHbXAnWw6ZWDM3QcSVYL6sc1lJb +5UKwntZpmN+nRBeC9bZdhn5XZrsQrMdtGXdkwTdngvW6o09dyc53JljP6wFb +L6xwJljv++xNXJKiM8F64OR+l3SvrSFYL7z6U3H0xjUE64m9Zk3L11xDsN74 +6GyZqGdOBOuRzz+6PinUiWC9snCmXozIiWA9c2ac7ImPjgTrnXPFXfF7HAnW +Q8vOvKoHjgTrpa+YKiaR1QTrqZVcU09nrSZYb73PYniS9WqC9diztGfp/XEg +WK+97uSN2BMOBOu5X0y0ObLCgWC994ml2UH9HAjWg+8OcVEpXkWwXjzUb76b +8yqC9eRt0f23DV5FsN58b4T17KsrCdajJ5SUlq5bSbBeXdpXb7DaSoL17GpH +Jg2+aU+w3r3K063U055gPTzkppoMtydYL982wNP79gqC9fTLahJWbVxBsN7+ +XGWBzIgVBOvxH4/d5HR7OcF6/ecrI/29lhOs5zfJSZ+nvpxgvf+pkBXlN+wI +9gNkda1X87Aj2C9gs3XbYBU7gv0Eke8n3byyjGC/waKwiTzXZQT7ESrGjbQc +uIzzDxEotBwfe86WYD/DFq/AkyttCfY7fPzPiPSxJdgPoaMV2nx8KcF+iccJ +f/bbLCXYT1Gsv+hH1xKC/Rav8zUHH1pCsB+j5qvi29lLCPZrvNpxcXnzYoL9 +HJdk7scmLCbY76F1onKTeDHnHyIo/5XQ7/Uizj9EsPJZ5KIdizj/EMF+N2cr +zUWcf7DzbfH1X7dsOP8Qwc6QaSvcbDj/YOefge+6/jacf4jAb4D85MKFnH+I +YMin+BTrhZx/iODH6LzzxJrzDxHc4DWGJ1tz/iGCaV3tMlJrzj9EUFe4SFBh +xfmHCGY+KJTfZsX5hwhmDDyQMMyK8w9WfxF5N88v4PxDBFOiN+YsW8D5Bzu/ +TsYIu+Zz/iGCnuex6/bP5/xDBFPV5K2k8zn/EIH9q91VLyw5/xCBwdkLw7wt +Of8QgUPk0N9Klpx/sPNlrX5M4TzOP0RwMvPwjXnzOP8QwZKL37IbLTj/EEGU +1xWdMAvOP0SgejLEXtOC8w/296e8EF+Zy/mHCDYFzjizbC7nH+z94u2Cys/m +nH+I4PKKuLx4c84/RFDwZNewSeacf4igTHJVcHMO5x/sfDt+vcV+Ducf7Ofx +VbX8asb5Bzt/f5pYJ5hx/sHOr5LSbxPMOP9gr8daQ5MSU84/RBBS0E+wzJTz +DxHscb155dNszj9E8GVnQ1v4bM4/RBD85+vVUbM5/xDBMLJJ7wxw/iEC64CK +WRbsmOvHG/U4l1SbEOzX8xi73MSHHXP9fDcvb9RTYMdcv9+jeufLGbMI9gPW +tZ2q02fHXL/g3jGPj9+ZSbCfULlUPHA5O+b6DQuN7Ae0zSDYj2hYcDE3iB1z +/YruK2+/HsyOuX5GwfaOI4enE+x3lNM+OUjCjrl+yKnu3kp3phHslxxrUpi/ +lB1z/ZRL9pRV1hsT7Ld8Pd7ssC875voxpx8L+ifLjrl+zUvHG78lTyXYz2m4 +vzVEix1z/Z5L/+vMLppCsB/UZfQG2xnsmOsXtX148VCpEcF+0txA0+127Jjr +N530cHNTnSHBftRPA480bTIk2K/6y8t0+28Dgv2sfV+fTI80INjvqvXVzFrZ +gGA/7GbhuqR0KcF+2RMnt66ZICXYT3vesvTGKQnBflutAzdPGkkI9uOmPi2e +dENMsF/Xpu6vwEJMsJ/Xye379acigv2+g/d+qVomItgPrDbHPLxan2C/cPm3 +OcUu+gT7iX0umm5qERLsN+5vmPTfRiHBfuTU4wE7OvUI9isrV9k89tMj2M9s +NtYx97eAYL/zFWvyN1hAsB868abVRxkBwX5pHZvr5uF8gv3Uny3jhPJ8gv3W +Xdu60qJ0CfZjB/c3i1bQJdivHZVb3BXNI9jPbWwV16DAI9jvvdBbdmm0DsF+ +8GWV9tBPh2C/eHfu48KIyQT7yaWWyftlJxPsN1+aVPcrZBLBfvSRkV3v/kwk +2K+eG/LXKGAiwX52azWRUtcEgv3uMapXHbwnEOyHTwx/OqF9PMF+ecWM1LVu +4wn20xddnT26Rptgv/0Jr+8WK7QJ9uNrLHvf+nwcwX791UYaMpbjCPbzz2p6 +HXtTi2C/v9RSP2qKFsE8AHsr82+nxhLMC/CrMXo5fizBPIFXzFjtNE2CeQOD +V4/6qKRJMI/g4p+Zg8LGEMwr6Io9fLRLg2CeQU/essL1GuR/eQf3N2lUjSaY +h3DRtPuH1WiCeQlyxv1nXh9FME8hOflwm3AUwbyFgK6yf1kjCeYxpDzYFzh4 +JMG8BhjzyyF4BME8h5oLf3PbhxPMe/D5mWdlP5xgHkRLbeOK++oE8yJcFG7c +l6gTzJOwip18IGsYwbwJ50HD7gwYRjCPYteZHTZb1QjmVWxWsjT+oEowz8JZ +a1OIhSrBvAs/61da/6kQzMOQX7JOY4QKwbyM2zMUt4QMJZincXNtzpgmZYJ5 +G4vWKI2zUiaYx3G2m7/jzBCCeR2H9d9MVh9CMM/jzPA2nR2DCeZ9JLcYBb1X +IpgHotS0RwuUCOaFfFnxQC13EME8kRsR+cv7DiKYN2KU+aPZdSDBPJLcq6F3 +7ygSzCsZUCH3SVuRYJ6J1gmz1bsGEMw7GXj/l3pNf4J5KF/Wfxw+oz/BvBT7 +XaccDyoQzFPZuUCm5Ws/gnkrRwOfXFjYj2Aey9iJSQ+OyxPMa1nj1zFCVp5g +nkud0u6ClXIE815EHYO3n+1LMA/mtKdy+IC+BPNixDx+qZMswTwZc5mueef7 +EMybgZ//ZBT7EMyjGTLlHVktQzCv5lKnktqZfwzm2Rx2GefVlx1zeTfh/6V8 +t/3LYB7OhNb2M0d7GMzLufAp/VDXHwbzdDodR56fw465vJ2mlDe/9nYzmMfj +mWju8fE3g3k9y1487KPHjrk8HxOv+7e2/2Iw70e5KvPYnZ8M5gGN+1FwRokd +c3lBco3wwe4Hg3lCv/oU87O/M5g39Oe5zcGmLgbziHybV2nrsWMur2hny6iH +Pt8YzDOaoeoaefErg3lH38fLr+7uZDAPaVBDnwWz2DGXlxQ7JNo69AuDeUr2 +P6+53PrMYN6SvPf9mD7smMtjkjlzswQIg3lNWyzu/NvJMJjnFPOrxupaB4N5 +TwtPa+f9/MRgHtQP+2QZg08M5kXlveO7bmpnME/qSEv7w2NtDOZN6fy4q/+x +lcE8qhWjjhwY3spgXlVd++af1i0M5lk1bupvF97MYN6V+de5Jy82MZiHZfez +52dbI4N5Wa2DfxmPaWQwT+vYlT9eCxsYzNsqWXI/aWc9g3lclbUDD5+qYzCv +a418UEZVLYN5XrJlJSH9axnM+3Ld5z3f4CODeWDDGvm/HGsYzAu7viQkNuYD +g3liLos7f/73nsG8sbeqcuZvqhnMI3NYy/f8W8VgXpkk+PX68VUM5pmt/bhh +usU7BvPOIoXmHz3eMpiHtu3PJdv4NwzmpU08rpxSWMlgntocwe6cR68ZzFtr +7XTb2vKKwTy2gcceDpR/xWBeW7Pc27VaFQzmuU0u+C9o2ksG896qPjguWlrO +YB6c0KulesMLBvPinqy2GLvrOYN5cj3PN6ilPGMwb876mtHlgqcM5tG9uRCu +eO0Jg3l1Y65ryj8pYzDPzvz3w6PVjxnMu6sNndLa9ojBPLyB6qplP0oZzMur +bv8xv28pg3l6gjXbXJQeMpi3F3lJaYj6Awbz+BZUG1qPuc9gXt+3QctGjr/H +YJ6f7aG7myffZTDv78PhZ1a6dxjMA3xy7OxJ/m0G8wInumXE828xmCe41fl4 +I+8mg3mDc4+2XJh0g8E8QpHLqu5x1xnMK3RJI0WjSxjMM8y/Ef5E9RqDeYcX +HBuXKF5lMA/x1bnn0/5dZjAvMXrB59DOSwzmKa5Ir9ZquMhg3uL+vaPVKy4w +mMf41EjL4fZ5BvMaNXnzmaJiBvMcPxs1Pkg7x2De49JanYawswzmQcbVTpm1 +4QyDeZHvCsa+sPmPwTzJ5XWVqdLTDOZNZtTO3j2siME8StE4nZNdJxnMq4w/ +NZO8LGQwz9Ireczi/04wmHe5fsb8srgCBvMwgxsEq1yPM5iX6VOn9tP4GIN5 +mmX9p2QOzmcwb5PXdXJO7REG8zgvr9raciaPwbzOPKtNYaG5DOZ5DvB1HGSd +w2De51brtpDhhxnMA13qdPB1TRaDeaFWq54NyM9kME9Udu4rlQ2HGMwbVU5+ +2sbPYDCP9LleSVx7GoN5pampIe3HDzKYZ9r/1TP5takM5p2O8DYt10hhMA91 +/lpzy5f7GcxLvSs71jV6H4N5qpcffxpuvJfBvNXTM3KdWvYwmMc6eJ+C5EAS +g3mt0WGXokwSGcxzTf+gvaw5gcG815tGkfsT4hnMg/VZ2zFdP47BvNggxSmm +z2IYzJN9IKeY5RXNYN5s/YM35gpRDObRBp/+oJ8dwWBebe00zSUG4Qzm2WYY +OeXe38Vg3u3ccoG6XSiDebjeOS9z63YymJcbE+U00yuYwTzd/Ki0d52BDObt +NkfIb/bbwWAer0pDDfN9O4N5vWOURi/c6s9gnu+Atw6hzDYG837Dq7u3u21l +MA84ft8f3bc+DOYFO3oOjrXcwmCe8IHjMZEXNjOYNxw6eZ2K1iYG84g9vs0d +F+XFYF6xx2WXvJYNDOYZz/yXGGvhwWDescMso7u56xjMQ15SYmv2243BvGRr +463frdcymKdst+xYWaYLg3nL/5VFFLeuYTCP2Xqt9iGxE4N5zYapQ7ZsW81g +nvOUXzZa51cxmPesuGBZymd7BvOgvaf9uj55BYN50YUP/SJX2jGYJ/13kWtF +jC2DedPbdI4dOLuEwTzqnGfbzlYuYjCv2q7IXPXnQgbzrC8vepCtas1g3rWZ +RvUs3QUM5mGv90l4Pd2Swbzsh4Y9C+ZZMJinnXd0bbiNOYN522Zhf50WmzGY +x/3A898d69kM5nXP8YzeM8eEwTzvO/v3HTWcyWDe99UiplZrOoN54J9Ktcb3 +M2YwL5x/drV5oxGDeeL2mj7jrxswmDeuFH46ZY+EwTxy1/Wv/RxEDOaVd439 +lzZOyGCeuXFxaEUNn8G8c7mmVz9TeAzmoWv1D62cO5nBvHTD8OZZZAKDeeqf +7xl3J2kzmLfez3HOax0tBvPYIxir1MtjGMxrNyhqlDUdzWCeu2Dw9Xe3RjCY +986M3vx+qjqDefDaE8zu56symBc/TfGn1cChDObJV50PnOg+mMG8eeePOf8u +DGQwj97Hpzyqpz+DefXFYaedjfsxmGe/vu6MeENfBvPuO39d2Zskw2AefnxE ++qiCng7My5+9M2rFhd8dmKe/1Ca84sKPDszbV2y7PPjEtw7M4xfoXN6Z9KUD +8/o/TMne6850YJ6/jM3kImF7B+b9nx6Xymtu7sDzAOaei1qU1NCB5wV86wlc +M6m2A88TsE1YEl34vgPPG7Bc51Y75l0HnkeQtXuzf9DrDjyv4Oc6tRn3yzvw +PAMb7b/9fz/twPMOrpgGZqg/7sDzEG7W7twz6kEHnpeQplLjJHenA89TuJuV +a1d+vQPPW/giHbcn7EoHnsfgNSi/Q+VCB57XsO+m7qBdZzrwPIfJChlTHp3s +wPMeSg+/X9l+rAPPg/Dcvbf9Y24HnhdhaNjveX5mB54nMchRf+bUgx143kSS +XHRs0t4OPI9i9OxmcjShA8+r2L/z8zTfqA48z2JWVqNVR0gHnncxuCrPTSGg +A8/DSBlwctqZLR14XsaOuwu0Xnl04HkaDbfLnZc5d+B5Gz6Jf00VVnTgeRx9 +tmgYF1h34HkdW2unyj2Z3YHnefRr/PQ2Q9qB531MK46MaNeiPP6/80D+D38r +Qtc= + "]]}}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[0.368417, 0.506779, 0.709798]], + Line[CompressedData[" +1:eJw113dcjf//x/FQskd7j9PeZxlZ54mIyM7OjEISshLJlhKRaBhFJZEkaSkt +7bKTUZL2oHyMQr/rd/u+X99/vrf3LZ1znetx3c/zk+66bQs29JWSkprcX0rq +//8/sWBUmdlQOUix/2VcfTtpk7IcGq8J1d0d7kie7j4QH6Urh93Bnf85+aRI +ntnr6tSZyeFtmcaUdssnkvf6eWd1R8vheaJL+cWeXEl9j0uf1ZCDl52NV4BD +geTr8yE7wuzk0G+v5ve7S4sl3bfu1b5dJIcvJ2xSlZXLJDI+ixYqr5bDgKa7 +8xPmVEiGL/2Vs2iTHFYfMFZc5flMomoVJg7cKYens742Dwh8LtHrj5vlB+Sg ++Nxn+PpLLySWHz4rDj0ph9I3js1nA15Kxj44cdwuUA61gwc4zd33SjLltNnP +E2FyyNxZs37okteS2evKnfOi5LCvujTrr8kbyWLrnZV9E+TwbFLVKP2uN5K1 +I5RnIk0OL54adK1KrJRsaUhNOZAnh1vLgp9t3fJWsuvxKtO0cjlcmHzt9U/1 +Kol3UN/QX2/lIJSqPhCQVyXxdY0aPLpODnanvqs+dHknuTDVzmtnuxx+W8w9 +/lHmveSKWnvrvV9ymHZ11cJt4e8lMd/OObb3lcfwQt/TuVYfJP/rIQ9prR1G +gowPkv/1kMfib45TpKZ/ZD3ksfddufruoo+shzwCe+S+VthVsx7yWNhzPH7m +02rWQx5vBy+zH6RTw3rI44Vb5+d7y2tYD3m4bNYtvnu+hvWQx4fq6ydVimtY +D3mIcoL0+vT5xHrIQ+0C7DxHfWI95BH7QXnnBZdPrIc8VP9U/l4V8on1kMfJ +naOtG4s+sR7y2NZ08frE7k+shzzmacwu3WJcy3rI45PipcCDDrWshzxenS2U +9/GpZT3kkRJ0p/RAXC3rIY+2MadvHHxdy3rI403O6w6/3lrWQx6JC3KOJhh9 +Zj3kMWDVy8zvcz6zHvK46Oznv2rXZ9ZDAa7/zd/xI+Qz66GAIdXXzuVkfmY9 +FNCoHfqg5PNn1kMBmn0GzVORrWM9FJC7+uOpOJM61kMBxiMnTgqYVcd6KOBA +NU8637WO9VDAf9NjBYv961gPBSR876m2uVPHeijAf0Bn+8WSOtZDAbfG7Row +v6WO9VDA3MkhkXsGfmE9FBBrdEx2uNEX1kMBcneV56jbfGE9FDDbdtvGa2u+ +sB4K+Fw+WjnC6wvroYBND8ql9C99YT0UEP7R4apJ4hfWg/s823xmPyz9wnoo +YJbUpsmlDV9YDwUsaTW8tL1PPeuhgLWV6+2j1OpZDwU4BRRMdhPVsx7c/Ry0 +bHzJrHrWQwGOK1Prs9fXsx6KWCW9oXLR/nrWQxH1vDz/o4H1rIciakpmui26 +Vc96KMI32akkP7Oe9VCEyvz99R9e1bMeirCwLWoIbalnPbiz84Smvn0aWA9F +hCSM6lRQamA9FBHusvD3C9MG1kMRHs91v0yWNLAeihAsL/Bbs7CB9VCEa1zc +I4FzA+uhiPtrh+olezawHopIzbiwt9O/gfVQhJdU3dIv1xpYD0XMy1Beci6x +gfVQxOfIad0/8xpYD0UYaH/PUKtsYD0UscPIT66nqYH1UMStK3mOIT0NrIci +/h0f1vN7SCProYiW1W3j1bUaWQ/u/TtWufRaNrIeiriZYpUZLWlkPRTRsW7+ +bsV5jayHEt6NMU+buaaR9VBCxLC2+9PcG1kPJVxIKvccdKiR9VACT3Oj8eWA +RtZDCSWb3hd2XmlkPZQwTXncRpW7jayHEnIXXvs7KKOR9VDCn+Rjp4qLG1kP +JSgc7PizvKqR9VBC05IYm9TGRtZDCX367bJt/tHIeigh8+H0+hbpJtaDu/4T +W/5kyjWxHkrwrkpf7azTxHooobe7uKbWoon1UEJp15BZovFNrIcS/ib1Oi2b +0cR6KCEgZslXB4cm1kMJexN0Y03WNbEeSvAvarR75dbEeijBRvT2+LL9TayH +EnbPrR2afKKJ9VCC25Q3p9vPN7EeSkhbdCZG6loT66EED2GvbPvtJtZDGf+G +rbFLTm5iPZRxPXXyEMecJtZDGZFJsfXVZU2shzIGzBZsQ1UT66GMI7kKY72/ +NLEeyrgR51AR9rWJ9VBG7qzSnMs9TayHMkqm2YTu7t/Meihj8+6T70Ujm1kP +ZXQNOTzouXoz66GMtK+2N+cbNrMeyli2S2SayG9mPZTRvqFL/ue4ZtZDGeZu +V6o0pzWzHsoIaMjtMprbzHooo3PE4eeKy5pZD2UsfejX0LCumfVQxv45ttev +uDazHso4fr58y7jdzayHMmTChiakeTezHsr4uTe7kneqmfVQRpDjxRnugc2s +hzLWOBg63QhtZj2UEew30j/zRjProYwJVv31su80sx4qOFxbeiTuYTProQLn +98sGe2c2sx4q+B1WJj+uoJn1UEHJ7ID/PlQ0sx4qeJozQ3bL22bWQwUnxv8J +//SpmfVQAe4NHDqluZn1UIFil3WUXyf1UEGAe0HKk27qoYL/7rhe/NS3hfVQ +Qbf3+lOtg1pYDxVM+LvnY61cC+uhglrLITW5ai2shwpifHTfBPJaWA8VuP1e +M9jetIX1UMEWE72cLkEL66GCns49U09Yt7AeKtzzYFkhO7mF9VCBg0PV7V0z +WlgPFeh1fFR+NreF9VDBQMsdi9SWtLAeKjgy/+a1+ataWA8VyI7cMm7PhhbW +g7tf3eGrTru2sB4q2BHVf+aZnS2shyqcMtaKD3m2sB6qCHm7YflanxbWQxWP +JxR2CU62sB6qOLTGdmznmRbWQxVH10atighqYT1U0TMtPWxKWAvroQoFXQ+j +FxEtrIcqFiu8EC661cJ6qKLd6u+3vPgW1kMVXcJxwcYPqQd3PebdC73SqYcq +pvW+Xfokm3qowjE/+tXPAuqhigpt59/a5dRDFUOWO3Rav6Ieqph5oq1t2jvq +oYr63a0qNp+ohyqigxZdFTdQD1UcS9oep9xGPVSRk/F+c1sn9VCFjI239MNf +1EMVg4YHR2z/Rz1UEXkkZauOdCvroYqIP6/9swe2sh6qEA6bZLp0eCvroYal +SVc2fVJoZT3UIO0xdMcqtVbWQw3Ll/ffVK7dynqo4fbfbTvFBq2shxpuOl5J +8jdtZT3UIHjksPCdVSvroQaf2aXbNEe1sh5qyBl632LRuFbWQw2fp5nEe0ta +WQ81vDJ+oXjNppX1UMPTpaWHk2a2sh5quLciSSlrTivroYagvre/ZS5sZT3U +0JS9QJS0tJX1UMOWvpXdVx1bWQ81VDp0bDy0rpX1UEPe/cqgxc6trIcaBget +vclzbWU91PBXueF+nXsr66GG8n5L34XtamU91LCjfPT4WZ6trAf3+YND2zsO +trIealg7YPTI00eohxpWTZn7WOMk9VCDyZ3iETf9qIc6PuWZ6+mfox7qWPkp +cHhIEPVQh86Xxx39Q6iHOn79kP+w+Qr1UMdavctf8yKohzqG93RPUYmmHup4 +aOTfuvY29VCH469ZMpHx1EMdLl8+3HiXSD3UcXpIUO3gR9RDHe9vuL8SpVMP +dUzYonVhYRb1UIdY9o94Sy71UMcpF5UyzwLqoY4YzVG7DpdQD3UoRT6VHK6g +HupQjOue4vmSeqjjmlP8+c2V1EMdX+MOTl/4nnqo4/qc1G3iGuqhjiQzN71h +ddRDHQed1+6qaaAe6og7pbzvdgv1UMf50GeTt3VQD3U8kwTUmnVRDw3szB3u +9ukH9dBAhtWLHwHd1EMD8bbqAWP+UQ8NbL7QOqOyTxvroYFAx+Gjd8i0sR4a +KIkY4SIzsI314P798Klfzw1pYz00sLsps1p5RBvroQGNP862wfJtrIcGIg7U +jRmh3MZ6aED6W/STw2ptrIcGJP06vrdrtrEeGtjj9OTTIt021kMDUuGdwQ/0 +21gPDWz9yDMaZtzGemjgXkXmlbVmbawHd30rZIbFW7axHhrw2vLvyA9BG+uh +gfYHx4aPHdXGemhgyvbpmTvHtrEeGrBVy7h+a3wb68H9vu7YvLeT2lgPDSjf +qBwjPaWN9dBAnvp5WZNpbayHBsYO4U+ZMaON9dCEz53Z/62d1cZ6aGLT+RWW +u+e0sR6aSJ5/s/vo/DbWQxO+mjOdzyyiHpoo3TLRJ3AJ9dDEG795DueWUw9N +zJhm8tXXkXpowtTJdpX3GuqhiZ2LpePc1lMPTdQln/+ydCP10MSaT/2UJ22i +Hpoo8Jg0W8uVemhiaf2Ac7/dqIcm7veT+Va+nXpo4tDehD3XPaiHJirmbea7 +7aEemhDs22Q42pN6aCJkwlOn317UQxN6WZ4/kr2phyaivZbXbz9MPTQx+6GG +xOgY9dCEbOeSwZUnqIcmVDcdcTjqSz00Een508jcn3powla93a88gHpooXHK +kTNugdRDC0FLk0YPDKIeWogJ2xBwNZh6aEH53NIIQQj10ILcmtH7MsOohxbq +cVpz5lXqoYUx755eKrtOPbRwOWby97k3qIcWTi0SWZdGUQ8tvFTLcJ9+i3po +YY/+l8i029RDC99rg9+Z36UeWrDYHKMTco96aKG4oGJ/v0TqoYVRq+//55JE +PbSgPer1xaJk6qGFFPs7zsap1EMLh7vPuh9Opx5aKDXPSal8TD200JbnNsvs +CfXQgnF9tIVnDvXQwqpxri55edRDC/tnRPYbWkA9tHAnVUt2fhH10MLOWyGe +50qohza86jI2lJVRD22sGadWIfuMemhjSqb5k4kvqIc2Zsmtgvsr6qENjYi+ +DlffUA9tmHxd3r/4LfXQxtAX51d0vaMe2nienL9C5SP10Mbk2t9Dx9VQD20Y +x+nsW1pLPbTxIEf9xs466qGNCpeUoNP11EMbwbeSFl1rpB7aeB2e2pDQTD20 +kXB9jUNWK/XQxuIAyxvF7dRDG9U/L1e9+Eo9tDF/hWxvZSf10Ebe6iLld9+p +hzbSZA3Nqn5QD21ENcyWvP5FPbQRvnzt8vJu6qGNBQ93Hc77Qz20YX3QL+PR +P+qhja6gSyNvSbVLXv4qsNzTRwc/dhw4erFvu2RZnVpDUH8dHLQfruEj3S75 +WO569cFgHeTNk//o0r9d4pT2eMmLETo4VCSpsB/QLmmKGjGiU1EHicsm/LIa +1C5xC1xXMEJdB880IlYMH9Iu6Trw4JCVjg741T29LUPbJXs39beeY6CD19Py +m/OGt0v+Llr6zdVUB2E923TDR7ZLDiP21mkrHSS9X3Zru3y7RNb8z9pYsQ78 +dd+cmKrYLvFXnqNWaK2DQacssuWU2yVy/a49b5ikA+vDQY7VKu2S4PZvvv1t +dLDSYdzqW2rtEo2qqVMNZupg/exxJe4a7ZLreUE9U+fooKIq6tYorXaJYUJD +4rqFOhiWd/TPT+12ye0wa1efpToYY1tSmKzbLuGfPK1/zVEHduM3Ke3Sa5ck +7fzw/vE6HbybNL7ByqBdMn61VdAHZx1MqFSc3GjYLsmy87H/46oDtdAs4yvG +7ZJpo1/IqO/QwbXZPyPmm7ZLinQNHlvv0cFQ2c0P+pq3S+YO3bN7qZcOXq3M +WJtgwXr46AD7feMdrViP49znn706QlbAepzWwZXLjyfFC1mPszqwMNM5tUjM +egTp4Hjz12M/R7EeoTqo6nPe+tIY1uOaDkb8cYwZbc163NSBqO7K6+fjWI9Y +Hbg6XSpwncB6xOvgQt3hw9KTWI8HOlgY4zcgRMJ6pOigpvXtKovJrMdjHTj0 +P3bq8RTWI5fr63XFz96G9SjUQbeRhWvVNNajTAfj7C3NN9iyHi904JYSVNE2 +g/Wo1IHjB3tHDzvW4wN3PxrHvP41i/Wo1UHTZzPJfnvWo0EHGiW/QnvmsB6t +3P247fR13zzWo1MHbxPN8WM+6/FTB1uWyAS4L2Q9/uhAd11ITeMi8qELBbmA +sasXkw9dvLc6GPJiCfnQhZ9h98Dpy8iHLpar+/s+XE4+dPFPOkXbYCX50EV6 +9s8S7puQ+dCFoSgt6M8q8qGLALutBzasIR+6GGvifqJkLfnQhaVAK1mwnnzo +4rN60fAgJ/Khi0PDMy/82EA+dNGaiJmLncmHLi7bnuY/cCEf3PUlvrAfsZl8 +6OLtddsbm7eQD12Y+Q8dk+NKPnTRVWkzUM2NfOgiplZGa9s28qGLPYrr9ma7 +kw9dTPq7Xl1hB/nQxexlwwc57SQfuqi3XGt734N8cJ//3dzX/3aRD+7+GxWn +zNxDPnSxyiH9e+Be8qGLYWP7+1btIx+6qJEc89LZTz508cJ/eLmTF/nQhYb0 +rqPRB8iHLpoXe19tPEg+uOvR6OQZHyIfujhb7Ttyow/50MUKsz5uEYfJhy5C +r/Yf/+EI+dDF9H/T9ysdIx+62D/eRTDnOPnQRZXfoDVHT5APXTzYWzAw5ST5 +0EWfL6MFrafIB9fb8Eul5mnyoYu0oY795viRD13E/uIlevmTD10UfEhqvnWG +fOgic0VA3KsA8qELmad6Pb1nyYcuroyprTQOJB/c9VwUz5h3nnzool+c96zd +F8iHLrTN9L6EBJEPHs5k7VN+fJF88PBY621ddTD54OHZoMC5UpfJBw9TN31d +ph1CPnjYZDe+/8RQ8sFD4Y8Hi5eFkQ8eFvaE2HuEkw8eNo8ybPO/Qj54ePnR +d0zUVfLBw6HLvywzrpEPHkz2h7x6fp188PBWJdiiIYJ88GATOMK6O5J88DAk +XL978E3ywcOLgNYdGlHkg4dJWw/cNIsmHzwUtTect44hHzycCBiL6bfIBw/3 +L+6Jmx9LPniw4t2qWnGbfPDQxC8tcYojHzzI3qk+6nqHfPCgtLtSeudd8sFD +ny235+yNJx88/Ns5w8nrHvngwd4v1NY7gXzw0Frs133oPvngQXu7lLdPIvng +3r/p9etDD8gHj/u+be3nnUQ+eKgTqw/wekg+eFhiMObLnmTywcPgsXLhOx6R +D67/Qk+Bawr54ME1z/qGUyr54P79TOWfK9LIBw/Gcq/NFqSTDx52vxxlY5tB +Prj7XdI5efxj8sFDUn6eoWUm+eBhsqnTd+0s8sHD1X/ecSOekA8efn1/Ok8q +m3zwULOtobY9m3zwID593Ol9DvngoUPP7k1BLvngobb6xYQHeeSDh8Wjsi9e +yScfPITsuFd/4in54MEnb7SlewH50EPGlGa3JYXkQw9j2lbGTiwiH3qYlqFZ +yysmH3p4Ef1IWbaEfOhh782Hs5tLyIcetqWEHy4pJR968P/1NfVOGfnQw4iz +/J/+5eRDD24lb8durSAfeuin4+wz6xn50EOSybznxs/Jhx4OpQ20kHlBPvTw +q0bmfM0L8qGHhC+JMmkvyYceglccOn7hFfnQwwTdV0pbX5MPPQxxUX1k84Z8 +6GFnasIW9UryoYcffaRE3yrJhx7E/uuH578lH3qoUbTuG1JFPvQQmV81ZOs7 +8qGHLlzjS96TDz1URnx0G/GBfOjhul9jQc0H8qHH7fUw3PtIPvSwyjD81cFq +8qGH1pc1vrNryIcezsvz1qp+Ih96iFobvezLJ/Khh8JPD3bdqyUfesBqv4ee +n8mHHkQLt2ra1JEPPbxvunB7yBfyoYd42UnrXn4hH3pwn3N9Smg9+dDDZN5/ +9msbyIceLt/desywkXzowbplQnNzI/nQw+DrgQfuNpEPPfx1C8P2ZvKhh7yy +Y2JRC/ng7o/q3uXfW8iHHmT9QxIftJIPPcyeKzfZo418cM+vR19pUTv54O5P +H7+/X9vJhx7udJVa3u0gH3oonvzl0uav5EMP52pbJxh+Ix/6cK74q/7pG/nQ +R/5QqzGhneRDH4duBQUs6iIf+uiXY2M49Dv50MfRM/Z/cr+TD32YLsuU8/qP +fOhz//0SvVn4g3zoozpppEzjD/Khj9wjsp/DfpIP7v0qzsrM/0U+9PEqInGr +9G/yoY8TQg+N5N/kQx+S1pdym7rJhz5W7Xo9X62HfOijLH5PVVEP+dBHVkbS +Hc8/5EMfLjJ+JSZ/yYc+7oq/j6n8Sz70UWFT//XYP/Khj/4Hl/4S9pIPfcjt +l8yt7iUf+tzf82d++Ep1MB/6uP1hVMeoPh3Mhz5GjTIcV8Od/+dDH3vG21ee +6tvBfOhj28rgImG/DuaDu74tHSPfcef/+dDHkqhJ8YelO5gPfRimuEaayHQw +H/rozVrdUc6d/+dDH35z/5zf1b+D+dCHlZtBoJpsB/OhD4vr6c2PufP/fOjj +Q/P1K+sGdDAfXJ+yxLsyAzuYD33ELypXj+HO//PB/XxwRf3MQR3MB/d+Q8+O +aOHO//Ohj+9SjZdPD+5gPvQxSzP+iNmQDuZDH0KLtLIi7vw/H/o4fa5m/6ah +HcyHPlbbdJ6WHdbBfOgj7d2T3hvc+X8+uOfBT7ty8vAO5kMfKyyqFD9y5//5 +0IeNdWbKvhGsR6c+fJ+H5CqMZD1+6mNr3pgx8SNZjz/6eOe7Wm6mHOvRxwBa +s6oW18qxHv0NcKLGTWa/POsx2AByVbVa8gqsxwgDSB3sjoxVYD0UDbCtZG/Q +ZEXWQ90AzT7a398osh46BvihGp+1VYn1MDBAwLP6nr7KrIepAe7dOhoVrMx6 +WBkgd9O0J2YqrIfYAJoRdbMzVVgPawM43tWwW6DKekwywKfkqJQ6VdbDxgC6 +j8aF7VZjPWYaYMj+gE5ZddZjDvf715dnXFJnPRYaoD1i3l9jDdZjqQFknukn +PtJgPRwNELz0VLWtJuuxzgAnbayOvNZkPZwN0Oadf8VJi/VwNeD+Xv8r7NQi +Hwbw6T0Mb23yYQDfM30LBuuQDwMMljUuCtYhHwbIfxVuq6dLPgwQtWjo5Lu6 +5MMAL0u0ksfyyIcBKvbui83mkQ8DeB/PUZ+tRz4MIFSNGPxKj3xw93tWiqej +PvkwgEgYt+mLPvkwwKb3Gm9dDciHATYuiSvsMiAfBrga3z3e05B8cO/fGcWX +MiIfBvgmmBtzzIh8GGDkkbORg4zJhwEm95PVCzAmH9zzU2lvJG9CPgwwSdR7 +76IJ+TDAu3kPslRNyYcBnnnJLAkzJR8GeCPn66FlRj4MEHioUvWaGfkwwDrb +47a65uTDADr/jP5dNycf3OsvmD+JZ0E+uN8fEjQgwoJ8GKJxyDFHXUvyYYjK ++fcnXrMkH4ZY+zPulpYV+TCEwFo6IsyKfBjC3mO6kRqffBgiwbjPuGA++TDE +1+6sKnkB+TDE86SRg84KyAf3/rMOFgwWkg9DGLw9q3RCSD4M4Xrj+fc+IvJh +CC3dkvVeIvJhiJiv0k4/ROTDEH3r+v3YJiYfhpjwd45ak5h8GOKH+5Zna0eR +D0N8jv6gXjWKfBgCMOmeP5p8GGLm8GeuhaPJhyHu8ZZ4YAz5MMTg7Akjk8eQ +D+733fvaWIwlH4bobDUaGjmWfBjiyyjzrSrW5MMQ60/6O/lbkw9DXFlX2NVn +HPkwxNBjK9V3jyMfhsjryn7XNI58GOLVzz2jHMeTD0ME6/wwrhhPPgzRf1ry +wykTyAd3vcfE1Q8mkA9DFNvVRxhOJB9cr1k2fS9NJB+G+Oab93fAJPJhCIel +NUH7JpEPQ/xcOKi4aRL5MMRUt0fhyyTkwxA7m1YNK5SQD0MULd+uORbkgzvf +XlccBfJhCBf1myqKk8mHISSSWJkjk8mHIZ5Ifw78Opl8GGLd/cwsxynkg/t5 +Y3xA0RTyYYiXkTypMVPJhyGGTTguFzmVfBjigPOMp8NsyAf3+ce91vK0IR9G +kDcLU/1iQz6McGZo/0dzp5EPI6ipeXenTCMfRrjSsv2T3nTyYYTJPjO2+k8n +H0Y4KHG98mM6+TDC6G/Tdq22JR9GyCiY2PnUlnwY4cuaNEX+DPJhhGGPDWqC +Z5APIxjP/Ty/dwb5MEJ6+7ItG2eSDyN8+9xuXjqTfBjh9Of+wSI78mGElhH9 +Yy/bkQ8jDO+du7HXjnxwnzfBtsRpFvngzuFz3xfOIh9GeBxbeNFyNvkwwmyx +8p/A2eTDCJUDLw/5OZt8GGGje3zBcnvyYYQ5eqn8x/bkwwimDirTdOeQDyNk +3zPuf3QO+TDCj2UzPernkA8jRLe/CZgxl3wYIfSEYFnsXPJhBOeU+xWD55EP +7vqCkn+4ziMfRtCIDS0unUc+jKAikzrXcj75MEKZcOuRM/PJhxE6JcOd2+eT +DyNIUn932y8gH0aYkXBMcmcB+TDCwuTBo4YsJB9GMKv9/G7zQvJhhN/FG8SF +C8kHd/8vNE4yWkQ+jJBWnd1zdBH54K7vnd2W2kXkwwivrV/7SxzIhxEGjk1d +H+ZAPrjrDZnV9suBfBghL+GjqcNi8mGEnYufaiQsJh9GcG1fmjNkCfng7t+W +ei2XJeSDu1+zs61ylpAPYwwLn/RDcyn5MMbU33E79i4lH8bof3rVzedLyYcx +rg+5ccZ8GfkwhkphqeXxZeTDGLVhZserl5EPY0T+GBI0djn5MMbHN1krzi0n +H8ZIeBVU1bScfBjDR7Vq5JQV5MMY99e+6xOygnwYY3HfhthvK8iHMd59mTl0 +5kryYYzH/vNMrq0kH8YQytv2/lhJPozxzGhfgL0j+TDGZCVhVaQj+TDGl+z0 +6t+O5MMYXnHrr81dRT6MUdrqrnFzFfkwhp215aLuVeTDGI8+19nOXU0+jFFc +9eFn5GryYYyy2LVOv1aTD+79Dz7yn72GfBhjt5apx7U15MMYou9/lb+vIR/G +sB+wf5/tWvJhDBvpxksha8kHdz+Ep3e3rSUfxnh4KFYO68iHMb56nd4auI58 +cPd/2c4TdevIhzFyW6PWjF5PPoxhdW/z7xPryQf38+y+C96uJx/GSGy8v9nU +iXwYQ8vv8dT9TuTDGLEZLh+KnciHMQaN+j5GYwP5MMb5+ZELXTeQD+711R7x +0zeQD2O8hUf54I3kwxhzNVX5KzaSD2PsSGxYELuRfBij6Z7O2N8byYcxxrhJ +19g6kw+uV8dzu4vO5MMYfz8X761zJh/GCIux3Cx0IR8m2Dp9nPYhF/JhAoGH +xaVSF/JhghsXp79S20Q+TNDvSc5r503kwwS+SbVhDzaRDxN0fakx6rOZfJjg +586ePfabyYcJigTb/C9vJh8muBSx1+XLZvJhgj49CwcKtpAPE1zUnejutYV8 +mGB+/Lbwp1vIhwmGfzU8J+dKPkwg+zl8lqMr+TDBtPHDS6NdyYcJtNYkKXa6 +kg8TxGwtMpiwlXyYwGzUyb/HtpIPE4RMNA4v30o+TCCT+1la1Y18mGBgepd4 +nRv5MIFNxVmL227kwwQF67rau9zIhwmO+y/cN2Eb+TBBFq+p5Og28mGC/Xda +mkq2kQ8T1G8PrFBwJx8mKN2q4LPSnXyYQGpZTE+kO/kwQe7No2hxJx8mGOdT +PVe4nXyYoPBFu8m+7eTDBKcmN5ZlbicfJog17SPpv4N8mODjbTfP2TvIhwnS +r2zwCdxBPrj7FaK2qHIH+eDev/NJp+ZO8mGCsWkBjut3kg8TJOUmX4jZST5M +MPPq1kttO8kHd/9C6lyEHuTDBKG5c/vv8SAfJrBr++Se5kE+TJAYWRrT60E+ +TNA7ZtrdqbvIhwkOpHn6nNhFPkzQt881XvEu8mEC2/D6c8N2kw/u/Ru8y+fv +Jh+mOPsr7N2F3eTDFCl33B6+2U0+TBEVZbBGbQ/5MEXr9M7KlXvIhylMH0rr +Xt1DPkyxocZv7Kc95MMUK3enauvtJR+mqG9Lf+20l3yYwvZwjmPUXvJhiuPi +vw8a9pIPUxQWX3pvvI98mCLiVe7LTfvIhym2RcWHx+4jH6a4uezEmJZ95MMU +5s/3RZh5kg9T3E198HGLJ/kwRduxDa23PcmHKeS3pRS3eJIPU8h6fvAy208+ +TJG+81/v5v3kwxRHOmc4xO4nH6ZYsbjJq2k/+TDFlMLBe4y9yIcpDkveT3X2 +Ih+m6LP3+OebXuTDFIF/Ri2u8yIfppjIUwrjHSAf3M8HLkhac4B8mOLbFYWI +KwfIhynehpxc9/4A+TCF6HDlD9WD5MMUYVGWq5ccJB+muG+YFHrhIPngerUH +xT07SD5MoZDcfW6YN/kwhXDA0NmzvMkH9/pjflSd8CYf3OdxqZ6U600+TDHO +/9seqUPkwxSeLg7HJxwiH1xPX9HmvYfIhylUFj3Qe3CIfJhC6b/e+x2HyIcp +GrdOUjHzIR+mGBUQvHCjD/kwxeRu4YbrPuSDuz7dsfbvfciHKZbalg1WPkw+ +TLHRR+P6/MPkwxQZSTOH+h0mH2a4E+Y1L/8w+TBDUta7zVJHyIcZcg76rxx3 +hHyYYX//RwYeR8iHGbYt98m/c4R8mMFl3nBJwxHyYYar504E6BwlH2b4GzL8 +0bKj5MMMazJKHgUeJR9meKZZd674KPkww9Mkz6nSx8iHGTYsTCqdcIx8cNd7 ++RF/1zHyYYZ6qVuud46RDzOceB3r8+UY+TBD/sTabZrHyYcZYq12jnE4Tj7M +YL/fp9LvOPkwg2o+f2HucfJhhj5+N6J7jpMPM2RqS70WniAfZpC5vfHjphPk +wwzZlj1Z106QDzMsOFJz4M0J8mGGtKXWisNOkg8z1I42PmFzknyYoeBA+QvP +k+SDe78N83vunSQfZgjvLf9bf5J8mOGPeOs7jVPkwwzOBXYXFpwiH2a45OBr +fPIU+TDDrfn2lzJOkQ8zzBqc9LnzFPngXi+2dpCxL/kwwzjRz6GOvuTDDCmH +5NvO+ZIPM1y0WxqT70s+zHCGVy/p8SUfZjDf9SHR6jT54O6n7yppp9Pkwwyv +jpwSXDpNPsxQtebg+JLT5MMM77tX8qT8yIcZ9shOqxf5kQ8zTFNZfMLZj3yY +QS40XibUj3yYYXCV+9oyP/Jhho6eRyF9/MmHGSAdnSD2Jx/m2PFiWZSzP/kw +h5bR170h/uTDHOYhJ01K/cmHOWRvTn7U608+zFEcbm0gPEM+zLHt0Rl3pzPk +wxw9/VeHXDxDPswRv68gouAM+TDH6OrGU91nyIc5yus+zDUPIB/mOKiU990x +gHz8/79P2xsQQD7MMeBU9busAPJhDvuJ9lqdAeTDHC+kedA7Sz7MMajAc/Ki +s+TDHBZzPXjHzpIPc2yfYvY56Sz5MIepafqR+rPkwxz/3KZKK58jH9z9WP7B +yfYc+TBHX8Wom3vOkQ9zeMam5EefIx/maJURF7w5Rz7M8auLHysbSD7McYRX +4jomkHyYo05Hd4RzIPkwx7A1sy5cDCQf5kgUbvydF0g+uOsv9ZP8F0g+zPF7 +etVG/fPkwxyjLm3atvA8+TCHe5jDssPnyYc5vEem6CecJx/mqA+++6z6PPkw +R+/9eWuGXSAf5tAtTKmYcIF8mKNAT1pvywXyYY6HHTOXXL5APsyhPfHm1qcX +yIc5CnutNv53gXxw1zdcerJeEPkwR57h+H/zgsiHOff3Znv4wSDyYY7+FWN1 +4oLIB/f82guPvQ0iH9zzeOt7Sf+L5MMcVYqXf4oukg/u/kePlVl7kXyYY/Ly +1v/8L5IPC5gOKS1MvUg+LDD3cNehhovkwwJnN+5VVwgmHxYYNcPjMoLJhwUU +w3q7XYPJhwUS04W4HEw+LLCu2WBTXjD5sIDzrG+7vgWTDwuot8Zt1LxEPiww +NNN9/MxL5MMCvPBF3z0ukQ8LJE9zP3ftEvmwwJNV7+RKLpEPC3z1uLP35yXy +YYGSnr/ZvMvkwwJV9nXf7C+TD+714vbI7LtMPiwwYXL538jL5MMC4ep935Vd +Jh8WOC4yCv99mXxYYJKLg41+CPmwQO6hyIo5IeSDux4z4yn7QsiHBc5IdV+K +DCEfFvjlbPWqNIR8WGDH948/foaQD+7zVWv90w0lHxZIXyvTMiuUfFigNCQm +Y1co+bCAzAWt3VdDyYcFpkgOKBSGkg8LzNhTdakzlHxYoKZ3Vj+NMPJhge/H +GhZOCyMfFrh7NdvXLYx8cM9DSWd0cBj5sMDlXf63s8LIhwWWtEUHNYWRD66n ++5KNcuHkwwKFegma48PJhwV8VIrS1oeTDwsIZyTDL5x8WODnRf+4B+HkwwLG +L9b2vg8nHxbYmTTDWuYK+bBAd83i5RZXyIcFtny+ts7hCvngnrdR4xccuEI+ +LKBvPc7k5hXyYQGvifcbSq6QD0vI7U/2/36FfFiiXnGVusZV8mEJPc3Us1Ov +kg9LTDpd3bb5KvmwhOz0WmHgVfJhiSKt16tTrpIPSzg/L/OouUo+LOEysGa7 +7DXyYQntpZpLLK+RD0ukTgrRd7hGPiyxzmRz1f5r5MMS9u7X9kZcIx+WeL9k +Xm/BNfJhifsj/N06rpEP7nqSN+UrXicflriw+Hf/CdfJB3f9n+fw110nH5bI +lByYcvI6+bDEyAnBE+9eJx+W0L8Qr/vyOvngfv9bZfvv6+TDEqWNBje1I8iH +Ja78i7GZFkE+LPE8wqN0cwT5sMQAUajkbAT5sMSEbsvQpAjyYYmP/Ck1VRHk +wxJNf+qHSUWSD0v83G5lZBBJPiyx8pyFiV0k+bDEOLsuhW2R5MMSQfsCm89H +kg9LuDZrxD6KJB+WKHO4sfBDJPmwxLd14xr63CAflrCJ/upkeIN8WOJEVGWJ +3Q3yYYnPz/tpb7tBPizR43dkxfkb5MMSffU3HU6+QT64+/Eq7/y7G+TDEr1l +sQG9N8iHJTpMTXbr3SQf3Ofvmm9re5N8WMJKb3S/LTfJB9frev2tMzfJB/d6 +Nh7j798kH5bc368dya9ukg9LNA/YrP37JvmwhPHRru0aUeTDClrvw+IlUeTD +CopBuyvXRZEPK7TzzrUeiyIfVhjf9KclJop8WMFufNHr4ijyYYWpKwfeaY8i +H1YQeBe5jYwmH1bQeKqpIY4mH1ZQt5VPWhxNPqywvm+a9b5o8mGF1a36saHR +5MMKmY1r+j+OJh9WCCg+OKcmmnxYwWbZmcN9Y8iHFZ5PvxqhH0M+rPDY+snd +6THkwwr8gn7RLjHkwwrS+3af9o0hH1aoyTFeGRdDPqwgGWmqWhZDPqzgm3oq +uyOGfFjBcNCSpSNvkQ8rnBh/vUp4i3xwv79/z8xFt8iHFRQaGm/sukU+rDDs +jHTbxVvkwwon3d/qPrpFPqww7dDuqW9vkQ8reF1rnt99i3xwvW7a2KvHkg8r +dC0+P2pCLPmwgt+WL4McY8mHFXb7zyw9EEs+rDBmaZnXlVjyYQXhssOqmbHk +wwpJwz0iq2PJhxUGtSWoSd0mH9z1LrLz1rlNPqwQGTrrGW6TDyv8GZg7Yu1t +8mGF7W+fTPS5TT6sYD564dLrt8mHFR5OOr7myW3yYYW+0psWf7pNPqxw5NTA +cX3iyIcVxHm7BuvGkQ8rxF9NK0Ic+eA+3/fGPWviyIcVis4Olj8URz6452G0 +IOwqd97s5RScJsXH4EvO8pnc2WKHf5ddPz5qV6bv/cidO5wfzq2S4WOM1sSS +v9z5vmP17U0D+Djr8nOo5p0OicfCAQN+D+LjZNqviRO485iZAqeTQ/moPjVn +5Qru/HvS8izlEXycsO6/yZM7p4uPaETL8fFAz8LpMnf2No3bO1qRj6YLFfaP +uPNknVcv85T5GFD61+ANd5ZW+sd3UONjbe+T1v+4c/5gI/86DT7SF5hGKNzt +kJzqM69ppzYf7p+mThdx51k/907rx+NjY4r62/nceVjb9euB+nzw3z9e7s6d +K2qL/uoa8aEoGVd8hjufr+xalmDCh0ZxiOkd7ry4TOMhzLmzY9veYu6skjtN +rsKSj+K8SQ+buPO7FDe31QI+CgtDa2XjOyTh8cFF7SI+EnVH/jPgzmtuZhke +HM2HkeftATbcmRfadHioNR8fd+7pu447fzkrVx02no87kT4t3tw55vj48eaT ++AgPfJ0THs96gI9vGYdPp8WzHlP5GBscOOVtPOsxjY8lR+WafsSzHjP4CK4e +5q1wj/Ww4yPp53lp4T3Ww56PluF39829x3rM4+Pv6k0fXe+xHgv4mKnwXOh7 +j/Vw4OOl/ffd0fdYjyV8zOe9j829x3os52NUWFDZp3usx0o+MlPNa//dYz1W +8yGz484X9QTWYx0ffW/rvR2bwHo48RE5NTTdIYH1cOajYpjO2R0JrMcmPva/ +zFgYkMB6uPJxd/4h2bgE1sONj3Njd94uSGA9tvNxgB8h+ZLAeuzko99rldw+ +91mP3Xz0pNaO1brPeuzjI2Dj4Cvj7rMe+/mY7nCla/F91uMgHyN/R1nvvM96 +HOLudwrfPeA+63GED6mu6cG377Mex/g4+Ov73af3WY+TfNSMnJ78+T7r4cvd +T69p93rvsx7+fKS6/rusnsh6nOUjbpCXx5hE1iOQD99dhZKFiaxHEHc/s7/1 +uCWyHsF83OrujfFNZD1C+GjT7p0elch6hHHPi3H3qyeJrMdVPr729jh8SGQ9 +Ivjc98nwp78SWY8bfOwIl5goPGA9ovlYPSjYy+oB63GLD4UgnSd2D1iPOO7+ +/ar/seEB63GXj2H13zV9HrAeCXwoyziMDnvAeiTycaxrJJIfsB4P+Tg/bfT4 +5w9YjxQ+6o6VGLU9YD3SuNcfVS0zIIn1eMyHScneV7wk1iOLe16SIy9OTGI9 +crjn0XHzzKVJrEceH1HKb9p2JLEeBXyoWH8/4p/EehTxIRhUMjgmifUo5cOh +yOVYdhLrUcFHbPzLr++TWI/nfBg3qM75mcR6vOLj+oUp4SMfsh5vuO+D50s/ +mj1kPar4mJK4ceT0h6zHez5uTNo9es1D1qOaj9Me5+w9H7IetXyEzspefOEh +61HHh1+C3IK7D1mPBj5Gn/aTFDxkPZr4uPjAWrv2IevRyscHKf1vPQ9Zj3Y+ +do5zSFJMZj2+8SGr92aLVTLr0cVH4M5EhZnJrMcPPlzed8WvS2Y9fvNhLRMx +0SuZ9ejhQ9v/aUZQMuvxj4/oQW78+GTaDwHeDb4aVJBM+yHAdCWntk/JtB8C +9IvOH9OTTPshQI6wwkPhEe2HAG8MfG9YPKL9EMC67m/+9Ee0HwJcKBS/X/2I +9kOA2SZj6/Y+ov0QwHfOyOpzj2g/BJjiVVgS+4j2Q4AJbZvu5Dyi/RBA52XP +ofePaD8E2LvipO1/j2g/BMi8qdJnWArthwDOpUl3DFNoPwSoqXOxl6TQfggQ ++WNczZIU2g/u+mVGbXRPof0QYNrgNdUnU2g/uOvtyZ11PYX2Q4D/slxvp6TQ +fnCvN2nNv2cptB8CtM+NsWlOof0QwL3A/mDfVNoPAYZ6LLutlkr7IcA/pZfF +wlTaDwGeb82vtkul/RDA28K6YV0q7YcA6/uNqvVMpf0QYE5QdkVgKu2HAH4+ +zfdjU2k/BGhZlXQyO5X2Q4An6WYLqlJpPwT4xVs8vDOV9kMAebmJWQPTaD8E +GN2vyUk3jfZDAAuXdX/HptF+cD1uJ5yal0b7IcAsfvVAlzTaDwHCF3Qd8E6j +/RDAzPnHl4tptB8C+KR9nXw3jfZDgL4Xm8/lpdF+cPdzVOur92m0HwJsefF3 +6Pc02g8BTl81Gjc4nfZDgIW525fz0mk/BMh3qnOzTqf9EKAh7MSeeem0H9zz +7bPewzmd9oP7PLqHNhxMp/0QYNKRL3ZB6bQfArhEh+rHpdN+COBxIrYzO532 +Q4BBSmpJb9NpPwSQlXRu+ZpO+yHAsYZxyrIZtB8CfGjreaiZQfshQJLJxFni +DNoPAfq49n9pl0H7IcAQ79Xz12bQfghw0HBezp4M2g/u+deuMTmTQfvBXb+O +8rEbGbQfAnyt/PMyNYP2Q4DqzkuqzzJoP7ifj+ha0JBB+8Hd33I5n78ZtB8C +xOT2Rso/pv0QoML7SZrJY9oPAQx8VxdIHtN+CLB146dCh8e0HwKMPDcna8tj +2g8BTA7dve3zmPZDgMORA/yCH9N+CHDNyWntnce0HwIUGRaa5Tym/RBgmO2k +lsrHtB8C2GgUX21/TPshgMbP3TOlM2k/BNDkzWxUzaT9ECDu0wwvq0zaDwFU +PQ7ITMuk/RAgbGT7keWZtB8CrGu9/nNbJu2HAGf419Ycy6T94L4/pL8+Dsmk +/eBe/8QluXuZtB8C/Hx5bUVeJu0H9zxJjQipyqT9EGC5SltpRybthwCTDSQ/ +pbNoPwRINhmqpJZF+yGAF2+VqVUW7Qf3fdHfWmyTRfshwOf8G6JlWbQfAsjM +jTF2y6L94O73UTv5I1m0HwKMtw3sCs6i/RBg1fbjhXFZtB9CuLyxCHqSRfsh +hMWMgMWvs2g/hPjolzC0JYv2Q4ghm0JTe7NoP4RICFnoqPCE9kMIcVXNf8ZP +aD+EcK2aeXTiE9oPIW7PCBqw4AnthxBZJYWHNz6h/RCie0B7p+cT2g8hRCED +lgU8of0Q4qWyTlLkE9oPIV7Jj5d99IT2Q4hitTVzS57QfgjRkBjkX/OE9kOI +njW1T74/of0Q4viV2a0Dsmk/uM/zuWqIZjbthxArIs7rCbJpP4RQsz3An5ZN ++yEErK+IlmXTfghRV/XHfGs27YcQn09e1fDJpv0QojnEr29QNu2HEB7riz7G +ZNN+CPHaanVCejbtB/f6M+Z7VmTTfgiR1xFlXZdN+8Fdn9PGjp/ZtB/c5/0Y +FDo4h/ZDiAnnx0/SzqH9EIJ3z/GNMIf2QwjH1X2dp+fQfggRn2fVtiyH9oPr +37d109Yc2g8hHgkmfjiUQ/vB3Q8XE9sLObQfQnQ9fBgdnUP7IYSdacu/1Bza +D+7zFJbMLsuh/RBC5eLac59yaD+46z0TX/I9h/ZDCEls+j/ZXNoPIWo/nDFS +z6X94N5fxdzWMpf2QwjlqSGOk3NpP4SYYlO9eVEu7YcQM/5IbXPOpf0QQsNW +ZrNnLu0H93z0fFvhn0v7IYR7W4nNtVzaDyFWNYXqJ+bSfnD//pFTT14u7Qd3 +v3QsCitzaT+E+FvT7d+SS/shxIMHL2b+y6X9EKJoQcafEXm0H9zzsDUjSi+P +9kOI5fff2o7Oo/0QIrRIuWZGHu2HEC+WH9i2Io/2Qwj1fiN+bM2j/RBCYfWr +nYfyaD+EqJd50RiYR/shxDTfYQ4382g/hNjr6f8oOY/2g3v/NQ7yRXm0H0Ks +ebJlw/s82g8hwrVe323Po/0QIv/75Q6pfNoPIUanpxvJ59N+cM9H49QlBvm0 +H5y3ZvHBMfm0H9zzbx4UNjOf9kOIQ0qb76/Ip/3gvA5KydyaT/vB/dz5RK53 +Pu2HEE9PVGWdy6f9EMLrSfKDyHzaDyF8N+pfS8qn/RAiLtfgyNN82g8h3Aye +OL7Np/3grr+sx6oln/aDe56k3v3+k0/7wXn5tT5t2FPaDyEOfA310HlK+yHE +BY2TBsKntB9C5NyxKJv6lPaD+76qOOfm8JT2g/N8N6W/81PaD+753BJ7ce9T +2g8h7hpt0vJ9SvvBnaV6wkOf0n4IkTFsg+Kdp7QfQhzcGHf08VPaDyGuqrxu +KX9K+yFEpaDB7tNT2g8hvqfWXe98SvshRMWjF1/7FdB+CLHWPGWMYgHthwjJ +ciG7DQtoP0Tos2H/nTEFtB8inFVZ935GAe2HCO+1FvZbXkD7IcLxDQt5Wwpo +P0RY+drZ2quA9kMExyVBM/wLaD9EyCiqmXulgPZDhMZhc+fGF9B+iHD0X930 +rALaDxH2uEePflZA+yFC05hQrdoC2g8RZujn/essoP0QYXYf0zf9Cmk/RPh9 +sSxaoZD2Q4Qbt5LdDQppP0T4/rtJMLqQ9oN7fZvNLdMLaT9E+DB1YviSQtoP +EU7EOdu6FNJ+iCAlaG/aW0j7IUKe96ujpwppP0RIm8pTCSmk/RBBqP82MraQ +9kOE5tx/RmmFtB8iyOcH3ygupP0Qcf/9HKP6vpD2Q4SnS0efaC2k/RBhkOq0 +tj+FtB8iiILfzhpaRPvBXf/aXxGaRbQfIhhLR3VaFNF+cNcn0zBuUhHthwjF +zZn75xTRfoigLh6VtKqI9kOEv6tmNbgV0X5wvb/0l/Muov3gXm+i66iAItoP +EUa9855/tYj2g3teLKZvjC+i/RBhyPWcnZlFtB8iFFz5s6+8iPZDhPV+/+2t +LqL94O5fyX33jiLaDxFGZ4xd21tE+yGCbtppu+HFtB8irNC6Z65dTPvB9ZoQ +K2tVTPshQsOGg+8mFdN+cM9HMz9mTjHthwi+ivlbVxXTfogQbznF3K2Y9kOE +r563Ph8opv3gzuOlLvgX036IoHPPbmJ4Me2HCOly/tVxxbQfIrwKLfZML6b9 +EMH+0KDhJcW0HyLUfLMPe1dM+8F9Pu0QXksx7YcIR0Rd17uLaT9EWLTEUW1Q +Ce0Hdz/j359WLaH9EOHnql0/jEtoP7jXP2K2fGwJ7YcI7uP6JduW0H6IcCBU +auiSEtoPESrSjVZuLKH9EMEgwevGrhLaDxHMT/arP1pC+yEC9+eFzoUS2g8R +fgxNXhRZQvvBfd+UtvjcL6H94J73gHUxT0poP0S44KBdUFFC+8Fdn6bhp+oS +2g8Rwj95drWX0H6I8O6ybu/fEtoPzv84LemhpbQfIrx+6NFXo5T2QwSnP8bd +pqW0HyLc/jmpxbqU9kOE0nOpr2aU0n6I0C/hasqSUtoPEaLHdl7cWEr7wT2f +Ax9u3VVK+yGCRKpj4tFS2g/u+/TXNdnzpbQfIgwrKi+6Xkr7IULRTO8T90pp +P0SQsUucmFlK+yGCRci2ttJS2g8R6vo/uvi+lPZDhN5lZ61bSmk/RDi5/M+r +36W0H9z3U0nvlgFltB8ijNlxpUepjPZDhLCB748alNF+iNA1J11WXEb7IYJ3 +z5SjU8poP8QoyNvZPa+M9kOM0LULNq8uo/0QQ+FQ7YutZbQfYgSXG43xKqP9 +EONdj8EF3zLaDzHq7tQ0Xyqj/RBjmN+K8dFltB9iDBgXciypjPZDjKql1wpz +ymg/xGg4vl32eRnthxhfV4+U1JTRfoghf+CIe3sZ7YcYzzyKQ/+U0X6IMeJd +a9agctoPMdQmt1SrlNN+iHF9VNEvw3LaDzHybfwHjyqn/RBD7tcYlanltB9i +eL8u1ZpfTvshhqfTAu3V5bQfYtTqFapuLaf9EGPohVHD9pfTfojxVBD652Q5 +7YcYS/f8rbtYTvshxrH3q5/eKKf9EOPs67zI++W0H2JMqhR6ZpXTfojxan6s +XVk57YcYuglWiu/LaT/E2L02/21TOe2HGAnF2y/9LKf9EMN68aj5MhW0H2L0 +36AgLV9B+yFG+DK5BJ0K2g8xlM8Ll1pW0H6Ioe229/f4CtoPMX7aNFyYWUH7 +IYbXtsOmSypoP8TQmWqf6lRB+yHGD7lZNjsqaD/EuGPlXeBdQfshxsWG1un+ +FbQfYkQcD84MqaD9EGPz4sPCmAraDzHMrty7mlRB+yFGSYSBbE4F7YcYH6Pq +XCoqaD/EuNL8NfdDBe2HGL9v26u3VNB+iOFn+G/LrwraDzGiz8skyzyj/RDD +3tT5jxx3/j8LgrZY + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[0.560181, 0.691569, 0.194885]], + Line[CompressedData[" +1:eJw11XlcjO3bx/GkRJZWZUkoqtmvZUIqcxCyZ4lIiiiSPUp3paSEUtaSLZFI +ZMl2I0uUrW4kiqJmpplpZqJElhK/63nmuP7ymldprjnf52e+QwPXzg7S19PT +G9pVT+///k0o+cjdVOsEsTYJjT9bmyTGlwn5fqkTvBO9uWp4okmSdjT+yBWl +ExQsPjFxQnSTxHJH5ZxXWieoPJxSMm1xkyQzzKFXS4sTjJujZ79oUpPENmDz +oz7fncCj8tRdfbpJcmrKs2hBhxP8jV/zecvgJonTCBvnaXocMPwwYNni3k2S +C0PXfFppyIFRz+ZMWPRbK6F73z+905gDBZMuw7gmreTmTzP/syYcaLF2aVPX +aiXuDUutHltyYJHUw2nUf1pJ8Ytr/yn6c2ChiUBtdV8r8bxtlGQwmAOfHsc/ +3HhFKynLXSCxH8YBgcGjVI/TWsmsffk/xnI48Cp2z/GIQ1rJ25jOi4uFHHj0 +3o8wStFK/EK8VsTSHEh6XtJFE6uVSL2zhxwfxfz+wQT9/hu1kmD4Wn3HnQOz +w/L7p67QSrS8CXtrxnFgWEjOT49FWsk664zJ7Z4c6NtI/3SerZW06au79J/O +gTFRyt8BnlrJP59H3xo5mwNW/hZL7rppJX/fpWyY58OB8XFD5dMpreT/Pfw4 +EO1nndHTSavzWMKBmbVF778N0uo8gjkQalE21chSq/MI5cDTY1fugbFW57GO +A0/OXHPL0tPqPMI5kJln9W3ID43OI4oDt0Ns3B990ug84jjQal+xJ6lBo/NI +5EBcuv/2kBqNzmMXB9pev3uxokKj80jjQHDM7pEJTzU6jwMc2PIs9dit+xqd +RyYH7O7ltBnf1Og8sjiwwmvthI0XNTqPHA40Diw92Zar0XnkcWD574lU6nGN +zqOAA5Uvezu4p2t0HoUc6Ndvz50uqRqdx00OfM3JkNQkanQeRRwQRs/v+jRG +o/Mo5oAk+njQs00ancdjDmzWmJR/XK3ReZQznzck/qxhsEbnUcGB+yMXrxnj +r9F5VHGg7tXAozvmaXQetRz4verSNfkMDfbBgcUVgglenhrsgwNVq2a8fybR +YB8c8N5gZTxvlAb74EC6S9SaFkKDfXCgQmWWm8lBjw7mfG4/mjPLDj30uDB6 +Rqqt9UD0MOSCnvvoyxoL9DDmgs9l7q3nvdDDhAuxvnbafw3Rw5ILC1eb/7r6 +R419cIHr1XTkzg819sEFy0PhqS9b1NgHFzzsh6e2qNXYBxfOqb/62sjV2AcX +poSOvzGnVo19cOHquqLl6W/U2AcXHn3l0w3/qbEPLgzvukrm9kSNfXBhy+bx +cOKBGvvgwl2/+f373FZjH1xY8s7YbftVNfbBhW2HVm7uXqDGPpjz2DzoxIEz +auyDC4M3T9/OzVZjH1wY+Cz979PDauyDC1Ffvks3HFBjH1ww+3DHYHiqGvvg +QqkmEuqT1NgHFzY8+romZ6sa++CCuZF16PooNfbBhdu5GlPPTWrsgwt5+gnu +w9eqsQ8uPD/W8V/PEDX2wZzv+sCc9kA19sGFwvIbx774oUcmF76X1B1pmYce +WVxYb3k47sdM9MjhQnxkpLDbVPTI40JzUs0OmwnoUcCFmnf1YaMl6FHIhWdn +gioDXNDjJhc+3m5PS6HRo4gL9bc/ptwXoEcxF7qV/Ljc4Ygej7nwwLtc6W6H +HuVcEP0Tb5Fkgx4VXOgz7eWQKiv0qGKeN0vvp8AMPWq5kNzRGJ/cEz2kzH16 +rMhvNkQPJRc2XXizyFcPPbRckIr7Jjxvb8Q+uDBg5z/GHm2N2AcX/ls/68P9 +5kbsgwsm4T7q8ZpG7IMHMzg3B75oaMQ+eFCX1SMwoK4R++CBeY/J59reNWIf +POhYVSXbW9mIffDAKiW8nX7RiH3wQFQ3ob7maSP2wQPltXvbdj5qxD54sHzD +9Uq3e43YB/N+9bMetv3biH3wYFleL7h6tRH74IFJzWXXzRcbsQ8eWKf5nhl7 +rhH74EHNydCNpqcbsQ8e3Enaf6AhqxH74MErxye/ig43Yh88SPk4Pv3owUbs +gwd+CTv84vY0Yh88iEiZIwlJbsQ+eNBDnEv6bG/EPpjP/+Cuw5StjdgHD469 +tTYfF92IffAg+lmwakwEeqzjweuBhw/DBvQI58FHddVgz9XoEcWDpJjo0Nkr +0COOB6qP/TYELkWPROb9wtc7Rfijxy4e2BdFb9uzAD3SeCBrXrK5wBs9DvBg +U3XE75de6JHJg5JDHoY/p6BHFg9mbovYYT8RPXJ4UPD7S9ScseiRxwPX4Dtv +ktzQo4D5fGbGKfdHokchD8rcRh7spNDjJg9GWj1RjRGiRxEP3j5Z/k8CBz2K +eXA9TeXx3zD0eMyD6pfurjZD0KOcB8aSRJ81A9GjggeR+r/THlmhRxUPnqn0 +a23N0aOWB0sP2tAxvdFDyoPnpU0pdd3RQ8mDx7tHSicYoIeWB4My6x0v/VVh +Hzy4FKeZb9uhwj6Y57EKX7Xnuwr7YO7P3Qe+3VpV2Acftj12son7pMI++ECV +2eV1NqqwDz706ufRvqVBhX3wYbbJqO4G9Srsgw/k0WfPU2pU2AcfSrM+uPev +UmEffDBZvtonr0KFffDhlH2amft/KuyDD7Yr1gVVPlVhH8zvn503bW2JCvvg +Q8Dt4/d6P1BhH3z4u+zOzYt3VNgHHypqXci5N1XYBx9u+N2w+1Oowj74kHBJ +s/3cRRX2wQe9p8d9fPNV2Acfjs8qTO19RoV98OHHJBuHRydV2Acfmo9Irbcc +V2EffPB/aOvveliFffBh7DL9rx0HVdgHHzxby1/e24se6/hwy/vNl+270SOc +D3m7t8yctRM9ovgAS8eqbBPRI44PE3qeudQchx6JzPNVTz7zMBo9dvGhXlNZ +cngzeqTx4WFdV6NNG9HjAB/it58Mmr0OPTL5YHwwpZZahR5ZfPjqfyHYagV6 +5PCBk/r3z++l6JHHh5kfUk4oA9CjgA/XX6+e+HohehTyQRP/VFvsgx43+fBq +wpvka3PQo4gPo7dWDs33Qo9iPqiD+uWdmooej/lgePWvTZYnepTzQRn7ffMx +D/So4IPbz003j0vQo4oPkQKDqpOu6FHLeL6nX+aNRA8pH04Ic7IKafRQ8iHl +kN7Y+yL00PKhaJ/epRc8tg8+lK1b3ih1ZPvgQ6PAQPndnu2DD6vcLuf0GcL2 +IYCwed5DODZsHwLY4PXRe2I/tg8BVCqnjAm2ZPsQQOyRE9U7TNk+BOAb1zK4 +oBfbhwDyzOaZvu3O9iEA/54/TusZsn0IwAW6fhB0YfsQwJP1Vy8v6lRiHwIY +wZkxZM8vJfYhAPWeQcNL2pTYhwCUMyLv/P6ixD4E8CPggWzEZyX2IYB9X/0P +hGmU2IcANk16VH5FqcQ+BNC5c1XyN5kS+xCAQ0HN01F1SuyDeT7v44mxNUrs +QwCOOZNvP6lSYh8CiBNGLbesVGIfAuhTXr098KUS+xBA7wRTq8IyJfYhgO9L +q826PVViHwKQh34OX1iixD4EkLCq37jCB0rsQwDHtfbret9VYh8C6N9d2Rly +S4l9CCDN37XxyXUl9iGA5Z+N+NxCJfYhgBV/R5TuvqjEPgRQYXS78Gu+EvsQ +gGbf7i8LzyqxDwEYOF2MLs1RYh/Ma8pxNp2NHgUCmKzquvbkMfQoFIAJ5fvS +4jB63BSAJHNCWFI6ehQJ4Cyn0btzH3oUCyA7YOWGTWno8Zg5H7OOJ83J6FHO +PJ/Pi7mrdqBHhQCORNtZaRPQo0oAFjJno1Vb0aOW+XwtMx2aY9BDKoBRXR6s +2/gPeigF8HLqO3lHOHpoBfDsVWt0Yhh6tDAeU1e5mK1Dj+8COGV9ziprFXp0 +CIAcZmUuCkEPPSF01A/mPAhCD0Mh7OS5LZgbiB7GQjAfo8jS+qOHiRC8jh9u +37YQPSyFsKuXNNh2Pnr0F4JR2DjZLW/0GCyE02+mr1wwCz2GCaF8bMbvX9PR +gyOEU3dvpB+dwvYhhAj36SPGerJ9CCFmV3u1yoPtQwh33JdH7wG2DyF4npfY +urqzfQgh/534jsqF7UMIh0J+z0kfwfYhhBd7Z8on0mwfQoBeLct/idg+hLC4 +x/G6C3y2DyE8srObtIzD9iGEuumTsmwc2D6EYPCiXvbWju1DCJn8+6b7B7N9 +CCHc7p7DLBu2DyFsPXjLzqw/24cQNibt13/dl+1DCNXGokfp5mwfQuCMDA/y +M2H7EAIxaF6DfS+2DyEk3L435lN3tg8hSL6e2XDTkO2D+XvRRlsS9dk+hMD/ +8N7f+68C+xDCBV87i+G/FdiHEEpapYd+/FRgH0KY5TX08/M2BfYhhLl3tKYn +WxXYhxDKBs38G9mswD6EcFKx+MacJgX2IYTKHuKRIrUC+xDC9XZZdC+lAvsQ +gm/mru1amQL7EMJg4dTZZXUK7EMIgQGTPhbUKrAPIUx3ynPa/06BfTDv/yJD +FPlWgX0I4Uzd2C+LXyuwDyEUDqwOnfJSgX0IgReZesy5XIF9CGG41dEEu2cK +7EMIDqTrYLPHCuxDBG+H5IV2eaTAPkRQMdVhxdf7CuxDBBlDvpurihTYhwj2 +9A5YWXtLgX2IwC3y3KrXNxTYhwjW3RrWr+yqAvsQwU3TQaGll9FjmAheHFUs +LS5AD44IqAWv9e7no4dQBC/Nx8C9s+hBi6B8SdyQ+6fRY5QIlp/8kVV8Ej3c +RaD91LWoNAs9xongiUX38LKj6OEpglv7Qp6+zkSP6SIw2LfnWm06esxmnue9 +apRqP3r4iGDfq9pZX/egh58IMtfW/dFLRY8lIshyCxxjmowewSKInfS+z9Ad +6BEqgm/D7q2hE9FjnQj+qdvl5xmPHuEiEHf8+9YvFj2iRPB95fOasGj0iBPB +vGRYmRKJHokieGdzLC43HD12iUAwZvmg4jD0SBNBVLCjpG4dehxgPn/AiobO +1eiRKYKQsTd62oaiR5YIUleeKpSsYPsQwZvou+8Dg9g+mOefdzQ2KZDtg3l+ +k9cnLgSwfYjgQHO72xs/tg/m/FcXzP6zgO2D+TlnV72TD9uHCHoo+Apvb7YP +EYzaOjIgfhbbhwiO/5w2/fIMtg8RhPmaF0insn2IQFkijLOYzPYhgmVBU4sn +TmT7YJ43xCIsyoPtg/HqJt57Gdg+RFAW6jdQ7c72IYLfxe6mdq5sHyIYzE1e +4zeK7UMEsy7+Eh9yZv7/wNQBDnoExE6DwDeUQlJleiP8mj4BdnLrNguC+Xvd +6ivGGxLQZZRr0xwBcz6/u4sqjQjo0Rw88SCX+futZPJSYwIckhboVzsy56Xy +VbX2IsB53mtbm+EKSc6HbR7xJgS0JaQfXmLHnN/r81lm5gRY9Fy+8exghYTz +9E3HCUsCxPnG+S02zHne/eNDWBNg2jzJffQAhaTgquPVe/0JOGav5SRaM+d7 +bqaplw0BY+feWfvKkrkvJyJXfbQloOe0nb0HmzPnffDkk9VDmefdMUR/jQlz +f5KfD+u0J2Cd++xpd3spJFFbv8WlOBAwdVaLxsSYuU8Rgz4M5BBwcO5/tYFG +Cone6oku+TwCKhMfDLlhwNyvwLUHRwsJSH544lovfYUkcf6hL08JAkr3jT+8 +9G+DxHPGg+kLaALyrJLKb/9ukBiP1+Q1OhPQq8/4GX3bGyRlLhbdNo8iYMjL ++QPX/WiQpIncAo1cCbCdnEOXfWuQzB4edDfdnYDO793SOa0NOg9gzk8ZOHZH +c4POYxzz/gVHxI1NDTqPCQTUrU1fM1nToPPwJMDkhcvnfFWDzmMKAVWtq6+Y +KBp0HtMIKI6zubVR1qDz8CJg0GHCoKauQecxm4CO6vS0cR8adB7eBIyY7r4w +/32DzsOHgK2RFsF9qxt0HguY1zONL8a9adB5+BGgIS2dP1U06Dz8CTijGvbD +92WDzmMJAUanyG9Pyxt0HksJ+PmL4I1+3qDzCCYAGgYcyX/SoPMIYc73ZuM4 +29IGnUcoAR+4B4fue9ig81hDwBFPmxFGDxp0HusIIMOiYmPuokcYAdODL//+ +dhs9NhGwg3f3/Op/0WMzARU2p1JV19Ejinn++sDswKvoEcO834ouDR8vo0cc +AUmfts31u4ge8QTYf2r59v48eiQy5+0084HvOfRIImDWyXP/1pxBj10E+Gca +f1h0Gj1SmPu2M4orPYkeaYy/o3F20An02EfAvcWPJNpj6HGAgFrvfw03HEGP +DAJUPr++/DqEHpkEPP90sOu2dPQ4SkDqk2yX3gfQ4zjTcymx/9Be9MhmfD/7 +mAxPQ49TBPReJ7hwJQU9cglYcuJJ6Nhd6JHH+PwYO+VVEnrkE/Cjd6FnYCJ6 +FBCgf2zE0m/x6HGJuU+RjUeS4tCjkID0g4qWgVvQ4xoBh39NXXI5Cj1uEqAo +Hd3kGYketwkIpyv21IWjRxEBYTvoGZs3osd9AiRDttqbb0CPYqaPZR9NLqxF +jxICNpatNZ+8Gj0eE3DivS9XuRI9nhGweGDpvIQV6FHGeNu9S7cPRo8XBLgm +31E/XIoeFQS8bc2cEbQEPSoJWNl+5JFRAHpUEbDA4/OUfD/0eMf0ubqo3ssX +PWoJmG89MrHNBz0+MvexeufIo3PRQ8r8vofiu8cc9JAT8FIeWdw0Ez2UBPR3 +icxMn4Eeaub+/ds3auw09NASMLcsPvjTZPT4TMCmsm++hz3Ro4UA467ZPpMm +oMdX5vvgTNHCH+PQo42A6o9bg88AevwkIPHG8Ij5Y9Cjg4C1XFmKsRt6dDLf +X/2+5Ba5oIceCes9dz9aPxI99EmwXdba4OCMHoYkCDv9jD5Q6GFEQtw2Y94B +Aj2MSZg+ycVrmhA9epFwLcopzJCPHiYkTOL1S7/HQQ9zEg7B5Bv/OKKHJQlP +YjvfjBiOHtYkOG2P+PLVDj36k1D2pL37lSHoYUPCsP3PB663RQ9bEnIMRRzS +Bj2GkrDYMpRo7Y8e9iRcTywlrlqjhwMJrS07OBF90YNDgl5Re39XC/TgkWA5 +OKiLnhl6CElY2t+8rqQPehAkvIycdiWlF7sfJORVB/zjbczuBwmLMg6NGNSd +3Q8SxtR5KJWG7H6QMPrExR2Xu7L7wTx/GndQTBd2P0hoczA4NfmvHPeDhP0n +U/pbd8pxP0jI1usbp2iX436Q8Pjv5zdXf8pxP0joYrt6QOJ3Oe4HCZsyZNPm +fZPjfpAwMejUKqdWOe4HCSvdDCI7muW4HyQkpviuf/FJjvtBQumW3945Wjnu +BwnF38D+H7Uc94OEBUmxNTNVctwPEjISTWOcFHLcDxIyv04z6iKX436QELEz +NfJ9vRz3g7lfV0Svr36U436QkLwr2WJPrRz3g4SB9Z0uq97LcT9IGEDIJ0yu +luN+kBCae2iE41s57gcJ222ie3arlON+kBDer+mh4pUc94OEiueeAaUv5Lgf +JBSaN9eeKZfjfpDw74Zxbruey3E/SDAacCp69VP0iCdhwscNx2Y9Ro9EEs64 +Wh4fUYIeSSR8mqOJsXmIHrtICPs0363rA/RIIYHe8Khacxc90kh493nv7Nd3 +0GMf04OZY96dW+hxgASKq6nJvYkeGSTYOY7T7L2OHpkkpKenv465ih5HSdiX +45Wx8gp6HCfBecBb8fxL6JFNwhu//fkTC9DjFAn9Vn9pdz6PHrkkmCl97RzO +oUceCQG/Rw+1Pose+SRMi+n83j0XPQpIaC7vc6rjFHpcImGWpmZYczZ6FJKQ +tqwiSp6FHtdIMFy2+Ez1MfS4yZxPzrfc/46gx20SHDf9iSzJRI8iEvg3Pw0u +ykCP+8z9kVKHrh1Ej2ISTL+PkRXsR48S5vmSt3We3Ysej0n4UbJBfSoNPZ4x +92tB7Oms3ehRRsKKJBvyaDJ6vCDBwPZucuZO9Kgggbu5+mpGEnpUMvc1uj4/ +PRE9qpjXR6auS9+GHu9ImPwyrUvGVvSoJUGWZR9wKBY9PpLw3mrLjsMx6CEl +oeqpVcyxKPSQk5BQGeSWHYkeShJeVctLTkegh5r5PvnTo3/+JvTQkjD4yyzn +y2Ho8ZkEudR5wM316NFCgn8C8fjeWvT4SoKFSZHrk9Xo0UZC/GifiFeh6PGT +8YCoyJoQ9OggYXzN6bHK5ejRyXx/TfB+8SUIPfQoqBxpYPdnKXroU2CtpV16 +BqKHIQUay0DL/ovRw4iCwMbehY7+6GFMwZC+NyxH+qFHLwqySz+M8vRFDxMK +jp3SHzx/PnqYU+BbnlsaMg89LCnoVTdVFO2NHtYUkJ/C5qfNRo/+FKgSssee +mokeNhTMzffR3JiBHrYU6H3oP7t8GnoMpYDqvWqzfAp62FPgd7rCr30SejhQ +sCGh4o+ZJ3pwKPD507KIOwE9eBS41RRGenigh5ACY5/sWYvGogdBQekQUERI +0IOmoH2BtXi/O3o4UzA4vcD9oit6jKKg+/vdXcpc0MOVgslH3GPVI9HDnYJz +9yZeNxqBHkBB8lEq10HM7gcF/t93zZhIsftBQU+99wXBBLsfFKzwPVeaJGT3 +g4LTFkkH8/jsflDwMLdjQBmX3Q8KDDr2z2t2YveDgoCltZ4Wjux+UPDj2JLP +I4ez+0FB2utLkxfZs/tBgX6Gz4JtQ9n9oOB2g9zm3GB2PygQ2jXsfzWI3Q8K +Zg1SFf0ayO4HBZa9og/bDWD3gwJZMsWZ1o/dDwoGZB8OCbdi94OClOvjF2Zb +svvBPN/RB51l5ux+ULBr18mZv0zZ/aBgyYDIOQ4m7H4w5z+tytC7N7sfFHTE +ey2P78nuBwXc7RsjLvdg94OCzbHvnKVG7H5QML7D7KRZN3Y/KNCqLt8eZ8Du +BwUzDk6P36jP7gcF869GtuXqsftBQdK855bv/8hwP5j796mqrnenDPeDAq8p +zvPGdchwPyhIPBYZEfFLhvtBgSDc1ePCDxnuBwUnH5j9K2+T4X4w9+H5/uoB +32S4H0yvoxdkz26V4X5QkGPVbp7cIsP9oMDqfh/Bo88y3A8KBrWJmjubZLgf +zHm8+D5/lFaG+0HBy+LfIWFqGe4HBd5ZNUMvqmS4HxR4pAritAoZ7gcFf8x3 +Jzg1yHA/GH/jg8JgmQz3g4Ju5+pjcupluB8URMb13CD/KMP9oIC/8bKx/QcZ +7gdzPx+u8VxaI8P9YD5P13rHnHcy3A8KwoeezFdUyXA/KKgImF3h+FaG+0HB +K5/UIysrZbgfFLj+/NqtoAI9yihwTBxq2foSPV5QsDfjWvGIF+hRQcF3Gy/z +6HL0qKTgy7zd+sXP0aOK+f58b7K/+zP0eMf0vTf8odcT9KhlPK4t2Z9Rih4f +KWh4u16//hF6SJn3q/Q05TxEDzlz/6UJdzY8QA8lBf3innUruoceaqav7bc+ +G91FDy0Fl/v9CJtzBz0+U+B5wS496xZ6tDD3r0e9d9NN9PhKwdFxFRddbqBH +GwVNd47mJl1Dj58U3OTJR70tRI8OCu7umRw8/Ap6dDLfx64bhZsuoYceDfXP +jPaWFKCHPg3PnfJ2W11AD0MaPu7utF+Rjx5GNPSOPTfnVh56GNNwpXyuTe+z +6NGLBjrjdMziXPQwoeHCeIi4moMe5jQc3lXWvccp9LCkYcuKL6R/NnpY05Dm +NK+1MAs9+tMQEHBysvFx9LChYac2ZuSSo+hhS8PCtj23bx5Gj6E0JHze9sY0 +Ez3sabi3929CSAZ6ONAQKzv/tPggenBoyOY7n7I5gB48GiwNxplF7EMPIQ0p +42MsKvagB0EDabY3T5CGHjQNUyodX+/cjR7ONLSOaUpTJqPHKBpKJ+2s89iF +Hq40ZIjOPcjegR7uNAyIaHfWS0IPoOFQ43C3gET0GEfDmX3Vr+9uQ48JNLx9 +cve3bTx6eNKw2D7xemwcekyhQbmlWk+6BT2m0WDzO6hmXAx6eNEQ//f9hNNR +6DGbBitSIen+D3p409Azmnoauhk9fGiI6bNe+iIcPRbQwFngtUO8CT38aBhm +El2UGYYe/ozf8Ny4v+vRYwkNM19Hvwpahx5LaXi25+LFsjXoEcych/XnAeLV +6BFCg1tgo/nRUPQIpUGRPyPDYCV6rKFh6LpXZ1avQI91NBxcOXR8VTB6hNFw +O705dGwQemyi4Zjok+35peixmYbdF5/7WQeiRxQN8hduw7ctRo8YGq7vaI1o +9kePOOa+mmZ5+y1Cj3ga/Gu/3Xq6ED0SaSg5nntupC96JNEwYdJy+9z56LGL ++Xk3zbC+Pux+0LBogqwgYS67HzSYnO/64Nscdj+Y+z1Yf2HQbHY/mM9/f2/0 +25nsftCw/FPw8Ele7H4w5zVmxNxb09n9YF7vuNpTMI3dD+b+1KRPOjGF3Q8a +tNv29bSczO4HDUuMFnnv8GT3gwZTx/N2nRPY/aBh9Ufn8A3j2f1gzn/ppRmN +49j9oOHpsIp8/7HsfjDnVzZr9xsJux803HBXtk4bw+4Hc3/3T6x95MbuBw2D +h3Enu7uy+0HDsuhJLtdd2P2gQW/pgvOiUex+0HD8reXJvBHsftDQ0ZseMMyZ +3Q8aLkasssyi2f2gofnfyD0DKHY/aJh3xSI1nWD3g4a8TV97WYjY/WC+D7wq +eu0RsPtBw/nikN29+ex+0HAnKSw1mcvuBw3dU/NMjDnsftDwpr3EdKcjux80 +eHC27e3uwO4HDX3OHdm7Yxi7H8x98nhm2sOe3Q/Gv+Vpn11D2f2gQSadm9xz +CLsfNMRFc7bvtmX3gwYjX72/JoPY/aBh46T0tn0D2f2goXHymRCrAex+0LDm +QLPv4X7sftDw317eU1trdj9o8KqzvnqqL7sfzPNMXz+QY8nuB/P9V//tT4E5 +ux9iqCsP8Hc2Y/dDDNLYtS53TNj9EIPBPmmaRx92P8QQWBG25Hkvdj/EIBzb +cGFOT3Y/xJBg+mVjbQ92P8RA58y/HtSd3Q8x7N77bn1zN3Y/xOCcRZ2JNGT3 +QwzNj+zmGRiw+yGGUF7IljR9dj/EYMUvGTywC7sfYlDP/O5y5q8U90MMrt53 +yug/UtwPMewcUlV1/7cU90MMs152WzijQ4r7IYaqCz3n1f6S4n6IoZPKfbLy +pxT3QwxmPTKu/Pouxf0Qw7HuWRY726S4H8z7ucRq+32T4n4w5/Pqp0teqxT3 +g/n5iPI/Ll+kuB9i2NBR5PK8WYr7IYYe8hSN32cp7ocYHD50mDY3SXE/xKA1 +Kj+/VSvF/RDDxIvFRZYaKe6HGB5Oy/I42yjF/WDeP2n4GDeVFPdDDMF0vwsv +FVLcDzGIZo/eE9Qgxf0QQ3dzL1W7TIr7IYY/5f2v7JFKcT/EcKW3f4tDvRT3 +QwxkYl120Ucp7ocYSiwDS70/SHE/xFD2JG9RUw16LBFD6rt/lie8R4+lYjDN +z5TbvEOPYDFoyp69ulaFHiFiGNReQXq9RY9Q5vP3i+iqrkSPNWI4Nzp64rbX +Usn/AG06oOM= + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Hue[0.1421359549995791, 0.6, 0.6], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[0.560181, 0.691569, 0.194885]], + Line[CompressedData[" +1:eJw113dczf3/x/EkK4pL2Su7sz/jiKzzlE2JFEVK00pCZaRBS4OMlKQ9iOzM +rsjMnmWvsiKniK4y0u/z/fm8/rpu79vVOOfzfD/OXX1dl9l46Oro6PRtrqPz +v/+GXX4p9XtuiuCeYZUNtZ81+keYN9vLTTG8IDysykSridu9Ifnoe1O0fPf5 +STKv1RhvLJ15r8oUvuXD9G6N0WqSVg5q9+WLKZot72meZ6XV9HZefcnwP1Os +2PzHopW9VpM15fo6xS9TvL1w38XORasxNes5xFJHgrWXppqULdJqDvT11i5u +IUFCm/zhR5ZrNbxBcU6UvgSGz7I8Wq3Rak41/OO0t70Eo1PfWWqDtZpRb906 +lxhLEB/0ZfjKCK3mwp3jt991k6DHmA81ObFazcTCVpF6fSSI04uMitum1dzM +ddD0HyBBOyfVqGE7tZoZ2/bXj5FIMGRIy7u5KVrNw8DGQ/OVEizZtK3qdaZW +47jIemEwL8G19BdzqvZoNeW2GSapwyQY3Cp56PV8rcYT3x7/O0qC7cXSduuP +aDVVsvFbn1lI4NUuV9vxhFbj0yVx8s+JEnzl+27ZcEarqdP92KyblQSXUjNn +3T+r1aytHn5mqI0ESR1fOetd1GqansSumDVbguLyoC3dS7Sa/9/DUYIzFgHJ +nW9o/+7hIoF1vz+tf94W9/CUoGGB8cgr98U9lkiQumnZqnUPxT18JJi8wKO8 +11NxD38JbGNtIve/EPcIkOB7Y8D8QeXiHiESTDgWMT3urbhHuASH+iZKPnwQ +94iWQMd68EFllbhHnAQvh6Zt86wW94iXQG56Oijuq7hHkgT6n04b7vsu7pEm +wUzr0NIT9eIe2RKMCYqwP/FT3CNPgpiwXd3zGsU9DkpQ++PMtjid6r97HJNg +YcURdnHz6r97nJKgYMMhF/OW1X/3KJJgxYr7EY2tq//ucUECl9cZTSfaVv/d +o0SCZ8cDBnoaVv/d45YEw/UbLNv+U/13j/sS9Bsw9kquUfXfPR5JUN1j2Yth +nav/7vFceH+GZ5+e71ot9iGB+uA7XYse1WIfEoRVWyae7lUt9iFBS6dxD01N +qsU+JPhy2fxXXL9qsQ/h/oV+GF0zoFrsQwKT1iGPJgyuFvuQQu99WUOCpFrs +QwrzgpDSV7JqsQ8p6vo0JfVVVot9SOG28KG/I1Mt9iGFQeihhC1ctdiHFA69 +V/Q/q64W+5BCdnTe+Hdm1WIfUny+ZtK/pXm12IcUhQery01GVIt9SHExfnvO +kFHiHrwU45ZEbhmnEfcYJkXJkqaLVmPEPUZJMXbDH+cZY8U9LKTIynEPtR4v +7jFRiqtDGqdOmijuYSXFwhWxD0dMFvewEb6/5/PR0qniHrOlOD29JM3IStzD +UYpZB8cbNUwT93CRIuOO39FH08U9PKXwM92z46iNuMcSKf5MdyvdaCvu4SPF +/qv+4XNmiXv4S+Ez/MfZwfbiHgFS6E+1S/jiIO4RIsXQH9Ymx+eKe4RLMSSx +/XK/eeIe0VJYuffbzTqLe8RJcfhmWMHH+eIe8cLrtdtzM8VV3CNJ+Hpz3/+s +3MU90qSwKbId+9ND3CNbCsfRZpcyF4h75Ekxqmlz1MRF4h4HpVh02jWrcjH1 +IZy/T+gb4UV9SBEyeEFHE2/qQ4odezeGn1hGfUjhtMQwavJy6kOKmO2/pU9W +UB9ShD7/tdrDl/qQos0Tx5BqP+pDil4GPab5rqI+pOj49lX1f6upDyk+hLVY +5r+W+pAiNyLpaW0A9SHFxgjnUV6B1Ifw/DMeZFYEUR9STLgv7zwrhPqQItnM +OfPKeupDhvLhvW3UodSHDIGHXqrSwqgPGd6UPB7fKoL6kKFETz/VK5L6kGGd +/lCLOxupDxlWuGtHqKKpDxmKzcLjY2OoDxl2vexn9yGW+pAhcsOpCM1m6kMG +w+2tuB1x1IcMh0ZmOlduoT5kOH3jcxfzbdSHDJd0+7hFbqc+ZJjiPn7yg3jq +Q4auPhb3eyZQHzK0LrvTwj2R+pAhMTG4au9O6kOGu4qi6Kok6kOGDltvf5Il +Ux8y6E4o67h4N/Uhg+XcO21yU6gPGWY673z4KpX6kMHX6lNwl3TqQ4Y2K53a +WWVQHzJkWXpvCMmkPoT3N9e38kgW9SGDpC5/XHk29SHDzdZDUwxzqQ/heVcY +N5jvoT6E/d7K57rtpT5kWDhyys3oPOpDBq+tvWYc3kd9yBBzYFTNg/3UhwxM +X9P8unzqQ4bk8jGbOh2kPmSYXfEokT9EfcjwNK/PPevD1IcM0eVDRy8+Qn0I +z6Pc9O2Go9SHDF/M3pUkHaM+ZOgtmVJ9sID6kOG2mYnjhePUhwzx23p0Lj1B +fchgv+u5yduT1Idwf6Z+Cak9RX3IUHbs7vCm09SHDCec3tnoF1IfMuSeC71l +9C/1IYNrUs3BHkXUh/D+Xef+6nuW+pBjQk7liUHnqA85fF32vpMUUx9yDPRI +jpGdpz7kuLXnaL7sAvUhx8v0O5bSi9SHHLa7Ly0bfIn6kON7O7tu/S9TH3JM +fT7EqtcV6kOO8FMG7TuXUB9yyOf7uRpcpT7keF5VP6X5NepDjradjW7WX6M+ +5CgPGfrx03XqQ47xP6/mPL9BfcjR62zvFrduUh9yPD4Rqv/vLepDDqt/zU7n +3aY+5Gi8u9g44Q71IbzfeRP7rL9Lfcih9Kp8vvge9SHHs5dO02fepz7kGJx3 +eN3wB9SHHB/0nriblFIfwuvfc7VtizLqQ46PtR6+lWXUhxzj5Jsyrj+kPoTn +v7dDwv5H1Iccfr9P2cY8pj6E56cc/3rRE+pDDvfXi0dMfEp9yMEFPlzY/xn1 +IYeju2zJn2fUhxxPjPTGP35OfcjhOqO24fAL6kOOszbBURtfUh9ydHon++H0 +ivqQw227zxT1a+pDDt2bRcGty6kPOea3WJf8rJz6kONRedv0AxXUhxxFNlfi +gt5QH3LsOfPba9pb6kN4PoY/zHu9oz7kmNXQ2PDpHfUh7P1tQv7J99SHHO+8 +W88K/UB9yFFRtazBqpL6kMO+e/aOLh+pDzlM6y+pXn+kPuTIrqy6uucT9aFA +1lOZm3cV9aFAvcNWHfVn6kOBaYf6ZTV8pj4U2PjjleW/WupDgeUTLzYFVVMf +CugcKS5CDfWhQAufKxubfaE+FHBo+Nf1/BfqQ4Go9pFWIV+pDwXavW02dXQt +9aHAf/1bzPtVS30oMNLILfzkN+pDgaDK7ldXfKc+FFj5YW4/RR31ocDvu9Y7 +39dRHwr8aFYgS/uP+lBA7x1ezqqnPhToW593xKCB+lCgw7OUPRcbqA8FNF5X +zq/+QX0oYHfvajPFT+pDgSWbxy96/ZP6UOB9wuMf235RHwrUOnU7Pu439aHA +ic+7dtf9pj4UGPCx6khOI/WhQOjhhP9s/1AfCqS79vVq3kR9KHCq1sD4SBP1 +oUD7oU9r5unUiH0ogIYmHf1mNWIfCozXqZt0XDj/7UMBViK75qxbI/ahwKEl +HULbNK8R+1CA0RquPiqc//ahQIXBprw5ejViHwrM99d21W1RI/ahQJ+BcSV7 +hfPfPhTICbh1YlrLGrEPYa+pOpXfhPPfPoT7sP6A085WNWIfCnxd+LrLyNY1 +Yh8KtL3yo/Mr4fy3DwVM9o2dt75NjdiHAm1K9T73068R+1AgszDk0kXh/LcP +BcxS6j+4ta0R+1DgXFju7ObtasQ+hN9nX2KcKZz/9qGAwfstJjCoEftQYmul +2boXwvlvH0oc6fLJdK1hjdiHEumqx4M7t68R+1Di6C/Z2iPC+W8fSkyfb9DX +soO4Rzclit0zer3vIO7RR4kLI/WXB/8j7jFAiRY2C3p27SjuIVHC36rM5HBH +cQ+lEi4m3sETjcQ9eCWWGUw2f2kk7jFMifVH1lr7Got7jBK+vl2ni206iXtY +KGEZNXhHaidxj4lKuLY6d4XrLO5hpURl+Tv7K53FPWyUWNGQZenQRdxjthKv +TvzJrOoi7uGoBHr9cAzsKu7hokRCyfYAw27iHp5KrKm72ZTaTdxjifA8t6Z/ +UnYX9/BRQs+89aiz3cU9/JU4afGr3rKHuEeAEr5XvHs+6yHuEaJEY5bd/oU9 +xT3ClaiLSs+p6ynuES18/+9R7Tb0EveIU8JwXvfXBr3FPeKVKKvu0y+pN/Uh +PN9XZg/696E+lHCwHP/9QB/qQwl+sipiqAn1ocTo9w+jik2oDyXmmfXUmdyX ++lCip92Lj3f7Uh9K7PP6b6J9P+pDiYOFY3q86kd9KKGfnOju0Z/6UGJz6O0B +Vf2pDyU2GhU6+gygPpSwMmYM6gZQH0pkBv8xWzOQ+lCiW3jd098DqQ8lZsZV +/AgeRH387/1sjdcdTH0o8Svzxv6wwdSHEnaPHNDSlPpQYpqP7sxIU+pDCXPL +6LetJNSHChGZBXWREupDhcDWYyNbSakPFer86pIipNSHCl8mRytbyKgPFUyt +z44PlVEfKmwutnytI6c+VDhjVfMnUE59qDC2j1PmTzn1oRI+n61v+CuoDxUS +965ZW6ugPlRoPSTu8FIl9aHCipMW3pVK6kOF+9/HFbiqqA8VjMeND32uoj5U +MNz29ZkdQ32o4Ozx39nbDPWhgnXFH/lElvoQfv/tgkHnWOpDBZMdxflmHPWh +wvHJ184d4KgPFfbl+84fwFMfKixTLojbxVMfwvd/G2vVQU19qND8Yf6ucDX1 +ocIPL4vVP9XUhwqf22a/9x5Cfagw6Oqy9xVDqA8VMgMsVs8yoz5UsL16cvc1 +M+pDBdcei21HDqU+VJh5uDbt4FDqQ4Uh8R+DTYZRHyqc2vvu+9Zh1IcKI/as +a9I1pz5UeNh/bPpKc+pDBZstNx+9Mac+VOij2Z87czj1ocIwTx+Di8OpDxX0 ++uW340ZQHyrIV2uz00dQHyp4zrnw0HAk9SG8vryTmetGUh8q7DdzaPNpJPUh +3JdrbNvZo6gPFbb1urH34ijqQ4WKTwcqVKOpDxWuv3E5nTya+lCh+PRSRSsN +9aHCoj6zNSs01IcK3W9k1jwXzsY9NncfpMPAak3p6Imo0TzqcNL/uC6DTjXe +iiPCOanl6/vjWjAI/P2tsPsY4fn8bq0qbcXga9DbT6HCuXctG+Omz2CLW/GZ +z8K5/MOcD7XtGATntZTbWdRosl+Ejt3QnoHafYimSDh7PshP+6cjg1NF174P +GFujkVwr+5VuzOB1g8YqVjhXnf0zm+nCIGKl0eRvwvlgweCCc90YFOw9W+kw +Tni++6Z3sO7J4CZXKC8Wznz6Gq+XvRnk3VrfadB44XnvyLy6tC+D0/bRWTHC ++VTMjQGN/RkYPJn66ItwDlj/PSR2EAPvgJFH7CYI92lVrxc9JAwcE+6xZ4Sz +ztIJ5vtlDIzygx16TxTul+uyHcOVwuvxOmO6QTiH2+/8eo1hYHPye9o74Txx +2nkrB55Bfkr6uUmThL3GfcqrHMJgurtq437hfNPcqOXqYcLPD//np8FkYT/V +SNdWI4TncfREJx/hbDPQ42zCKAYOZZue3Zss7gEGw4xbWPJTxD0sGOHvoagF +8VPEPcYzsMvfqKybIu4xkcHQyKUZdlPFPaYwSAnLKj4+VdzDksHItjtiO1mK +e1gzGFWyv4WfpbiHDYOK/dPlpZbiHrYMhtdV6fBW4h6zGZyTvAvdaiXu4cCg +vkfW8RorcQ9HBu0/xyRYTRP3cGLg36bF4P3TxD1cGCxQr1zQ2lrcw41BUPBw +ew9rcQ9PBqNnnP1x3lrcYxGDeA8Xy97TxT2WMJhzJ3z62uniHt4M7v+Ibflw +uriHDyP8e+uRNztD3GOlcL90rkTFzhD38GNQtvbk7A8zxD1WM3j1Tf/JGBtx +jwAGD3N7G+62EfcIFO6banp9nY24RwiDG7G/461nintsYGBqEvJh70xxj3Dh +/h42q2lmK+4RyWC5V0D+HFtxj2gGrSr39jlmK+4Ry6C0b7fJbe3EPeKE+7Jh +oMTNTtxjG4PwF4OKz9iJe8QzsPb1M+w4S9wjkUFq3ULjRbPEPZIYHAi2v39u +lrjHbgaajF2TOs8W90hlcHdO+Cqv2eIeGcL76ePtfGG2uEcWg2OP8nS62ot7 +5Ar361Xs3KX24h55DD61WeJzwV7cYz8DZCZqujiIexxk8GyJx7UlDuIehxkY +Zw8yLHYQ9zjGgG+uMDSeI+5xnEFs0bVrC+aIe5xisC3MakzhHHGPQuH3Rbb2 +M5wr7lHEIMR/iofLXHGPYgabgl07FswV97jAYN/MtHUtHcU9LjO4N9A6295R +3KNEuH/556L2OYp7XBfuW7/Rit+O4h43GWyf2CXOap64xx3h88It8VDqPHGP ++wzOWOjH1cwT9yhloDuqUAEncY9HDDLZupgtTuIeT4T+onX3vXYS93jOQDlK +sZFxFvd4yeD49bODQpzFPcoZ5IzRibjjLO7xhoHX6OG5veeLe7xnMO9zQeTS ++eIeHxlsbXlK+u98cY8qBnceR8fpu4h7VDNYBN8T9i7iHl8Y9DhwJi3XRdzj +G4PKvtlTv7uIe9QxqBvif2aMq7hHAwPnTv6fN7uKe/xi0GFDZfkzV3GPRgaJ +pf8km7qRHyy+/x7S1c+N/GCxNHSnw3k38oOFQ9Q6ZwN38oPFx2sDpA7u5AeL ++l0PTme7kx8sbmqvG35xJz9YvLa2lIzwID9Y5FXtbBPhQX6wmBH19dBdD/KD +RUhcQs8enuQHCyO9q9YenuQHi1exlycf8iQ/WLj73Nb/4Ul+sNic0He7xQLy +g8WCqMaKmAXkh/D6/NJ/li4gP1gMlE962msh+cHCuC+3wXMh+cFigHdy7cGF +5AeLwPvFsvqF5AeL6GMvFZpF5AeLfb3YHxGLyA8WTy5923R7EfnBwraF6+dO +i8kPFuPfnjCet5j8EF5vB0Wr7MXkB4s9FX8uflpMfrAocfKcyi4hP1joqlNS +Vi0hP4SvL3p+pmgJ+cHiVHOnrOZe5AeLjYUOsyd7kR8sZk5q/WizF/nB4nFh +Rr9SL/KDRd3DecO7LSU/WAzV9+3ttJT8YOHr3+NO5lLyg8U8bbTlh6Xkh7Bf +bUOCzJv8YHHsdPLBZd7kBwutLG/7MW/yg0XMm1kT6r3JDxatVE+vDF9GfrAw +/Gdhp6Bl5AeLjmOGcOeXkR8s7sj8e+n5kB/C+/nm9GiCD/nBotuK/vOjfMgP +FvPN6k/e8CE/WFREGrwyWE5+sCjySCqzXk5+sFgXeSt563Lyg0XivOfsg+Xk +h9DTPw2JxivIDxaeG21u260gP1jInHuVJawgP1gsqonKf7SC/BD2s7pm23Ul ++cHCakq72/YryQ8W3VeEdk1aSX6wSDZ0Vj9ZSX4IfQ2607ebL/nB4lFQ83J7 +X/KDRXWSbMVOX/KDhdNp/7JHvuQHi4IXRm27+JEfLPRLWKNZfuQHK/y9/Ucb +70d+CHvl5SU/8CM/hN//1rlnR3/yQ/j/i6x9pvuTHyyy/s1J3OxPfgi9Dtiy +7aY/+SE8330jXPRXkR/C++te1nzSKvKDRZhe1NrwVeQHi8zC0MsXVpEfwtfP +/vq2aRX5weJ4QePjkavJDxbwLc1Ys5r8YPHjd7rmxGryg8XygM1Ha1eTHyyW +VZT8VK4hP1jYVK3qumQN+cFiVedrbfesIT9Y7Nr/rrRiDfnBgjlTv7L3WvKD +xb1uko8Oa8kPFlsWZ6l3rCU/WMzttGXW3bXkB4s5ll2t2waQHywmtfXoPSGA +/GBR2iXtUkgA+SG8n2Y1YwoDyA/h83tAYEJdAPkh3Nfs5RdU68gPFodXfr+8 +aB35IXweTFNkZK0jP1gMLxpr+2Id+SF8/nVyftU5kPzgoF2dazE9kPzg4PkQ +QVGB5AeHwZ9st10IJD849BvdJvhXIPnBwUYaNk4dRH5waF9WVeEVRH5wmMt7 +zskJIj84DG/Tbf+LIPKDQ+NHSVmnYPKDw85hZx9bBZMfHKoG/zoeHkx+cOhU +2GJpUTD5weHCZd0/34PJDw6rPndeJA8hPziUZ3sedAshPzj0Met8e1cI+cGh +w+nJV++FkB8cUrsOTGm9nvzg0LLjTUvNevKDw6Ahro/81pMfHC6+1R+Vv578 +4PBg1sfAivXkB4eSeJNdXTeQHxzuBzzYOm0D+cFBXjTAI2wD+cFh/ksz4zMb +yA8OMebytJoN5AeHvY2DWg4MJT843FFOnjwnlPzgEJxbsDAulPzg8Nwoxv1S +KPnBof6XduSPUPKDQ8czf74qwsgPDs+CX4a6hpEfHE7ZHaxNCCM/ODR1jxt9 +I4z84DA6ImNBUxj5ITz/gS29+XDyg4OL04sZC8LJD+H1e080Sg4nPzgovdyP +3g4nPziMG2+r0o0gP4T7WWwWPSSC/ODQLVh2cWEE+cGhomHG0+QI8oPDjZBr +d25HkB8cflocyWoWSX5wWDHedJY6kvzgYLfQ6oNnJPkh3C9PzeykSPKDw4kH +PXNvRJIfwn3oq1faGEl+cCjbaVSu2kh+cGBcFt1y2Uh+cEgM6J+0fSP5wWHY +ZedxlzeSHxwG1o+4899G8oPDptwyc9Mo8oND7bgpYQ5R5AcHZ5OTB6OjyA8O +aW7mpwujyA8OKztXZ32OIj84XOlV690rmvzg8HaEa/dp0eQHh/wOc3ODoskP +Dte6/eh4KJr84PD6qP38V9Hkh/DzOiVsbh9DfnAomHMrRRNDfnBYu7r75mUx +5AeHg+OSnNNiyA9hr5UeHe/EkB9CL0m7cv/EkB8ckiW2PZWx5AeHN34HVs6L +JT84GB05nx8bS35wCNHuLymMJT+EfntFXvoUS35wYI29s7ptIj84rAkLcJ+0 +ifzg4KZ7vdWqTeSHsLeu76acTeQHB4tn2+sebCI/OBheHAvdzeQHh/D7KUuZ +zeQHh9zm/wY6bSY/OEi5Uz6xm8kPDqd75E84s5n8ED5/5h9p+rCZ/OCgWl+e +3CmO/OAQ+caux9g48oODye3BQT5x5Idw3xf7XEqJIz+Efq5Mqr4eR34In+d3 +r/2qjyM/OFg5ttMO2EJ+cIgwkVyYsYX84MCfHBYQtIX84GCeOrPr/i3kh/Dz +hm5LerSF/OCRfLVdU/Ot5AePbwHPJjFbyQ8e1c6dVzluJT94JC4oi9q4lfzg +sXcxs75gK/nB4/RAzbzXW8kPHi7Du/Vut4384OGsenhx6Dbyg8c5n03T3LaR +HzyaT591dvM28oPHeL1JRme2kR88XPetsXq3jfzg0W287tIO28kPHmZFDctH +bCc/eBwrX+nouZ384PFqQbR863byg8eGKpuKwu3kB4+m6jeB77eTHzzWPZvZ +1CGe/ODRrNlpzxHx5AePtARJgUc8+cFj+5nCD3Hx5AcPzxXhzc7Ekx88jPfv +bvY2nvzgITXvUmmwg/zgoX2sf3zoDvKDh79y00KXHeSH8PtvZ/6J3kF+8Oh0 +JCy4YAf5weOuj9mHFzvIDx47Im4OaZVAfvAI7+O4hEkgP3hEzPgZ6ZBAfvDQ +9Pg3ZkMC+cFjqPsJ//0J5AePut+Nk0oTyA8evdP26DYmkB88krQlWQMTyQ8e ++3xXyqclkh/C+993drd/Ivkh/Hxt0ffURPKDhyIyXF2SSH4I92+fxLEmkfzg +scDv7JIuO8kPHuna2W6aneQHjwd6+mMX7CQ/eOHv0Ur9uJ3kh/B8FrYqPLGT +/OCFvz/Xzny5k/zgcTnAtrRFEvnB4/CLvFGKJPKDx/ULm+Jsk8gPHr8ijK4H +JJEfPCYun6bNTCI/hNd/bMaPa0nkh/D8vZjqL0nkB4/O2Y03uuwiP4T7a31z +2+hd5AePwdMOWXjsIj94fPE6/SxmF/nBw8+tcd7RXeQHj11lm6493kV+8Jg9 +M7B30y7yg0eZ/UvHgcnkB4/oaRfDpiaTHzxmRI1OWJ5Mfgj3Y4rr1sRk8oNH +r2L4FyWTHzzO630e9yaZ/ODxsY9/Y+vd5IdwX1p8TVPuJj94WOz0ltvuJj94 +WOboZK3ZTX7wKKo81TxtN/nBI65t3rRLu8kP4b5lV4R+3E1+8BhntirLMIX8 +4OHDrz7Ap5AfPIp//pdhn0J+CM/7id76wBTyg4e6zbEpmSnkB497xzo2XUkh +P3gcrVGnVqWQH8Ln1XmpaYdU8kN4Pb1apKhTyQ8ek96U/rZPJT949Hu7b2Jg +KvnBo8PLxMCMVPKDxz9B+amXU8kP4fc7/9j3MZX84HHBIC7TII38EF5vs6Bw +No38ED5fMh/OtEsjP3hkTMozWJNGfgjPd3jLY7vTyA/h8/VA49jiNPKDR97l +tOI3aeSHcD93/jZtlU5+8LCSDAiUppMfwv1eMqjIKp38UGPmGKOPPunkhxqt +g37qxKeTH2qUvKnSO5lOfqhxa0D99yfp5IcaU9/I7v1OJz/UWHUkKalPBvmh +Ru4/06dZZJAfaszxd6pxzyA/1JhrUbouMoP8UOPq1eL/8jLIDzVkVvy8mxnk +hxpbm484XJ1BfqiR0OFDTYdM8kON9VETevKZ5IcaNr4Lh9hlkh9qPCyZO2JV +JvmhRtESlTIpk/xQY++wWoPCTPJDDYuGo0+fZ5IfakzzCIn/k0l+CN8vWzTC +JIv8UKO4MuDOmCzyQ41H0pLpblnkhxoflzucC8siP9SYoRrTIzeL/FCj/nKs +e0kW+aGGQ/SU5Mos8kN4nhc2nmuTTX4IzyvX7p40m/xQI3D+ubtTs8kPNVzb +lhZ5ZZMfarQ9nrFzUzb5oUb7eWYuB7PJDzV61qV1uZNNfgj3weVzYU02+aFG +hb9kWocc8kON5k3ut5kc8kONPgX5I2fkkB9qzBprmLQ8h/xQY5xl4putOeSH +Gh1d7HoezSE/1IhqOWvs/RzyQ43eBbn2tTnkhxotS20dO+bWaP4PFz+jkw== + + "]]}, "Charting`Private`Tag#3"], + Annotation[{ + Hue[0.37820393249936934`, 0.6, 0.6], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[0.880722, 0.611041, 0.142051]], + Line[{{8., 0.}, {8., 1.}}]}, "Charting`Private`Tag#4"]}}, + "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + ListPlot, "GroupHighlight" -> False|>|>, + "DynamicHighlight"]], {{}, {}}}, + AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], + Axes->{True, True}, + AxesLabel->{None, None}, + AxesOrigin->{3.0000000000000067`, 0}, + DisplayFunction->Identity, + Epilog->{ + InsetBox[ + FormBox[ + StyleBox["\"RSB\"", + Directive[ + RGBColor[0.368417, 0.506779, 0.709798], FontFamily -> "Times", + FontSize -> 10], StripOnInput -> False], TraditionalForm], {6.32, + 0.43500000000000005`}], + InsetBox[ + FormBox[ + StyleBox["\"complexity\"", + Directive[ + RGBColor[0.368417, 0.506779, 0.709798], FontFamily -> "Times", + FontSize -> 10], StripOnInput -> False], TraditionalForm], {6.32, + 0.365}], + InsetBox[ + FormBox[ + StyleBox[ + "\"Non\[Hyphen]convex \ +\\!\\(\\*StyleBox[\\\"\[Chi]\\\",FontSlant->\\\"Italic\\\"]\\)\"", + Directive[ + RGBColor[0.880722, 0.611041, 0.142051], FontFamily -> "Times", + FontSize -> 10], StripOnInput -> False], TraditionalForm], {12.5, + 0.4}], + InsetBox[ + FormBox[ + StyleBox[ + "\"RSB \\!\\(\\*StyleBox[\\\"T\\\",FontSlant->\\\"Italic\\\"]\\) = 0\"", + Directive[ + RGBColor[0.560181, 0.691569, 0.194885], FontFamily -> "Times", + FontSize -> 10], StripOnInput -> False], TraditionalForm], { + 15, 0.85}]}, + Frame->{{True, True}, {True, True}}, + FrameLabel->{{ + FormBox[ + TagBox["\[Lambda]", HoldForm], TraditionalForm], None}, { + FormBox[ + TagBox["s", HoldForm], TraditionalForm], None}}, + FrameStyle->GrayLevel[0], + FrameTicks->{{Automatic, Automatic}, {Automatic, Automatic}}, + GridLines->{None, None}, + GridLinesStyle->Directive[ + GrayLevel[0.5, 0.4]], + ImageSize->270, + LabelStyle->Directive[ + GrayLevel[0], FontFamily -> "Times", FontSize -> 10, + Filling -> {{1} -> 0}], + Method->{ + "AxisPadding" -> Scaled[0.02], "DefaultBoundaryStyle" -> Automatic, + "DefaultGraphicsInteraction" -> { + "Version" -> 1.2, "TrackMousePosition" -> {True, False}, + "Effects" -> { + "Highlight" -> {"ratio" -> 2}, "HighlightPoint" -> {"ratio" -> 2}, + "Droplines" -> { + "freeformCursorMode" -> True, + "placement" -> {"x" -> "All", "y" -> "None"}}}}, "DefaultMeshStyle" -> + AbsolutePointSize[6], "DefaultPlotStyle" -> { + Directive[ + RGBColor[0.368417, 0.506779, 0.709798], + AbsoluteThickness[2]], + Directive[ + RGBColor[0.880722, 0.611041, 0.142051], + AbsoluteThickness[2]], + Directive[ + RGBColor[0.560181, 0.691569, 0.194885], + AbsoluteThickness[2]], + Directive[ + RGBColor[0.922526, 0.385626, 0.209179], + AbsoluteThickness[2]], + Directive[ + RGBColor[0.528488, 0.470624, 0.701351], + AbsoluteThickness[2]], + Directive[ + RGBColor[0.772079, 0.431554, 0.102387], + AbsoluteThickness[2]], + Directive[ + RGBColor[0.363898, 0.618501, 0.782349], + AbsoluteThickness[2]], + Directive[ + RGBColor[1, 0.75, 0], + AbsoluteThickness[2]], + Directive[ + RGBColor[0.647624, 0.37816, 0.614037], + AbsoluteThickness[2]], + Directive[ + RGBColor[0.571589, 0.586483, 0.], + AbsoluteThickness[2]], + Directive[ + RGBColor[0.915, 0.3325, 0.2125], + AbsoluteThickness[2]], + Directive[ + RGBColor[0.40082222609352647`, 0.5220066643438841, 0.85], + AbsoluteThickness[2]], + Directive[ + RGBColor[0.9728288904374106, 0.621644452187053, 0.07336199581899142], + AbsoluteThickness[2]], + Directive[ + RGBColor[0.736782672705901, 0.358, 0.5030266573755369], + AbsoluteThickness[2]], + Directive[ + RGBColor[0.28026441037696703`, 0.715, 0.4292089322474965], + AbsoluteThickness[2]]}, "DomainPadding" -> Scaled[0.02], + "PointSizeFunction" -> "SmallPointSize", "RangePadding" -> Scaled[0.05], + "OptimizePlotMarkers" -> True, "IncludeHighlighting" -> "CurrentSet", + "HighlightStyle" -> Automatic, "OptimizePlotMarkers" -> True, + "CoordinatesToolOptions" -> {"DisplayFunction" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), "CopiedValueFunction" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& )}}, + PlotRange->{{3., 17.}, {0., 1.}}, + PlotRangeClipping->True, + PlotRangePadding->{{0, 0}, {0, 0}}, + RotateLabel->False, + Ticks->{Automatic, Automatic}]], "Output", + CellChangeTimes->{{3.895469926406382*^9, 3.895469944566043*^9}, { + 3.895470054863389*^9, 3.8954701588877*^9}, {3.895470191676058*^9, + 3.895470214113411*^9}, 3.895470403213738*^9, {3.895470458643279*^9, + 3.895470507296228*^9}, {3.895470547983416*^9, 3.895470598036323*^9}, + 3.895470631485018*^9, {3.895470661718041*^9, 3.895470704160346*^9}, + 3.895471626387981*^9, 3.895483203353963*^9, {3.895554378157557*^9, + 3.895554391613358*^9}, 3.895554539480854*^9, 3.8955611521828938`*^9, + 3.89556121410914*^9, 3.895561485672566*^9, 3.895561604548561*^9, { + 3.895561652342114*^9, 3.895561684589978*^9}, 3.895886037660762*^9, + 3.896000192218379*^9, {3.896364299426577*^9, 3.8963644221359386`*^9}, { + 3.896364477086321*^9, 3.896364483034493*^9}, 3.8964135991973677`*^9, + 3.901786815194351*^9}, + CellLabel->"Out[49]=",ExpressionUUID->"714c7211-45c3-41dc-a3c4-30d5f919f6b3"] +}, Open ]], + +Cell[BoxData[ + RowBox[{ + RowBox[{"Export", "[", + RowBox[{"\"\\"", ",", "plot3s"}], "]"}], + ";"}]], "Input", + CellChangeTimes->{{3.8955544980388193`*^9, 3.895554509958931*^9}}, + CellLabel->"In[50]:=",ExpressionUUID->"82314c9e-cbb2-4525-b8ed-399ce71b653e"] +}, Closed]], + +Cell[CellGroupData[{ + +Cell["Complexity figure", "Subsection", + CellChangeTimes->{{3.895554835654717*^9, + 3.895554838629046*^9}},ExpressionUUID->"43cfe949-fa48-4a47-bf76-\ +0ef4c9cf1e6a"], + +Cell[BoxData[ + RowBox[{ + RowBox[{"f35", "=", + RowBox[{"Function", "[", + RowBox[{"q", ",", + RowBox[{ + FractionBox["1", "2"], + RowBox[{"(", + RowBox[{ + RowBox[{ + FractionBox["1", "2"], " ", + SuperscriptBox["q", "3"]}], "+", + RowBox[{ + RowBox[{"(", + RowBox[{"1", "-", + FractionBox["1", "2"]}], ")"}], + SuperscriptBox["q", "5"]}]}], ")"}]}]}], "]"}]}], ";"}]], "Input", + CellChangeTimes->{{3.8955618366035223`*^9, 3.89556186970009*^9}, { + 3.895562038974803*^9, 3.895562041254703*^9}}, + CellLabel-> + "In[142]:=",ExpressionUUID->"1978b734-521c-4a20-afa3-c8f35f90f937"], + +Cell[BoxData[ + RowBox[{ + RowBox[{"Eini", "=", + RowBox[{ + RowBox[{ + RowBox[{"E1RSBp", "[", "f35", "]"}], "[", + RowBox[{"[", "2", "]"}], "]"}], "-", + SuperscriptBox["10", + RowBox[{"-", "3"}]]}]}], ";"}]], "Input", + CellChangeTimes->{{3.895561885404233*^9, 3.895561921717133*^9}, { + 3.8955620436395607`*^9, 3.895562044614595*^9}, {3.895562374613471*^9, + 3.8955623835649014`*^9}, {3.895905249156866*^9, 3.895905285237419*^9}}, + CellLabel-> + "In[143]:=",ExpressionUUID->"7f71768c-5c8d-4d76-ac10-93b67f425d9a"], + +Cell[BoxData[ + RowBox[{ + RowBox[{"zeroComplexityEqs", "=", + RowBox[{"Append", "[", + RowBox[{ + RowBox[{"D", "[", + RowBox[{ + RowBox[{ + RowBox[{ + RowBox[{"\[ScriptCapitalS]", "[", "f35", "]"}], "[", + RowBox[{"\[Epsilon]", ",", "\[Mu]"}], "]"}], "[", + RowBox[{"q1", ",", "x"}], "]"}], ",", + RowBox[{"{", + RowBox[{"{", + RowBox[{"q1", ",", "x"}], "}"}], "}"}]}], "]"}], ",", + RowBox[{ + RowBox[{ + RowBox[{"\[ScriptCapitalS]", "[", "f35", "]"}], "[", + RowBox[{"\[Epsilon]", ",", "\[Mu]"}], "]"}], "[", + RowBox[{"q1", ",", "x"}], "]"}]}], "]"}]}], ";"}]], "Input", + CellChangeTimes->{{3.895562101464458*^9, 3.895562158800868*^9}}, + CellLabel-> + "In[144]:=",ExpressionUUID->"dbb20d14-8a14-4eda-896e-11c71393c1d2"], + +Cell[CellGroupData[{ + +Cell[BoxData[ + RowBox[{ + RowBox[{ + RowBox[{"\[Mu]0", "[", "f35", "]"}], "[", "Eini", "]"}], "//", + "N"}]], "Input", + CellChangeTimes->{{3.895562478585575*^9, 3.8955624879746933`*^9}}, + CellLabel-> + "In[145]:=",ExpressionUUID->"e61a45c5-9d12-40d0-b148-d5de65cf95e3"], + +Cell[BoxData["3.219086797417426`"], "Output", + CellChangeTimes->{{3.895562484929249*^9, 3.8955624881521463`*^9}, + 3.895562584917552*^9, 3.895563253895794*^9, {3.895905237259828*^9, + 3.895905315506276*^9}, 3.901786816013859*^9, 3.9017892377058496`*^9}, + CellLabel-> + "Out[145]=",ExpressionUUID->"46be23f6-2d3d-4889-90a4-535a488cab69"] +}, Open ]], + +Cell[CellGroupData[{ + +Cell[BoxData[ + RowBox[{ + RowBox[{"iniComplexityZero", "=", + RowBox[{"Select", "[", + RowBox[{ + RowBox[{"Table", "[", + RowBox[{ + RowBox[{"FindRoot", "[", + RowBox[{ + RowBox[{"zeroComplexityEqs", "/.", + RowBox[{"\[Epsilon]", "->", "Eini"}]}], ",", + RowBox[{"{", + RowBox[{ + RowBox[{"{", + RowBox[{"\[Mu]", ",", + RowBox[{ + RowBox[{"\[Mu]0", "[", "f35", "]"}], "[", "Eini", "]"}]}], + "}"}], ",", + RowBox[{"{", + RowBox[{"q1", ",", + RowBox[{"1", "-", + RowBox[{"0.01", + RowBox[{"RandomReal", "[", "]"}]}]}], ",", "0", ",", "1"}], + "}"}], ",", + RowBox[{"{", + RowBox[{"x", ",", + RowBox[{"1", "-", + RowBox[{"0.01", + RowBox[{"RandomReal", "[", "]"}]}]}], ",", "0", ",", "1"}], + "}"}]}], "}"}], ",", + RowBox[{"WorkingPrecision", "->", "15"}]}], "]"}], ",", + RowBox[{"{", "100", "}"}]}], "]"}], ",", + RowBox[{ + RowBox[{ + RowBox[{ + RowBox[{ + RowBox[{"Norm", "[", + RowBox[{"zeroComplexityEqs", "/.", + RowBox[{"\[Epsilon]", "->", "Eini"}]}], "]"}], "<", + SuperscriptBox["10", + RowBox[{"-", "4"}]]}], "&&", + RowBox[{"x", "<", "1"}]}], "/.", "#"}], "&"}]}], "]"}]}], + ";"}]], "Input", + CellChangeTimes->{{3.895384603950324*^9, 3.895384767562564*^9}, { + 3.8953848471900263`*^9, 3.8953849550567627`*^9}, {3.895384993816868*^9, + 3.895385167102223*^9}, {3.8953852532759438`*^9, 3.895385301060913*^9}, { + 3.895385333873493*^9, 3.895385334192377*^9}, {3.895385373861883*^9, + 3.895385374020372*^9}, {3.895386119899769*^9, 3.895386122537833*^9}, { + 3.8954724269792347`*^9, 3.895472459038459*^9}, {3.895472625757042*^9, + 3.8954726497067842`*^9}, 3.8954727466279297`*^9, {3.895472804037191*^9, + 3.8954728085148067`*^9}, {3.8954732198785763`*^9, 3.895473265034766*^9}, { + 3.895488259656597*^9, 3.895488272033279*^9}, {3.8955619408133297`*^9, + 3.8955619442131042`*^9}, {3.8955619809259653`*^9, 3.895562100680335*^9}, { + 3.895562168569542*^9, 3.895562219794333*^9}, {3.895562275668519*^9, + 3.895562276019599*^9}, 3.895562336262527*^9, {3.895562387360338*^9, + 3.895562398221315*^9}, {3.895562590099226*^9, 3.895562590592595*^9}, { + 3.895562705756238*^9, 3.8955627081870613`*^9}, {3.895563203079307*^9, + 3.895563206332131*^9}, {3.895563249909992*^9, 3.895563250060794*^9}}, + CellLabel-> + "In[146]:=",ExpressionUUID->"244182a6-0bc2-440d-9bad-8c02ec6afd5c"], + +Cell[BoxData[ + TemplateBox[{ + "FindRoot", "reged", + "\"The point \\!\\(\\*RowBox[{\\\"{\\\", \ +RowBox[{RowBox[{RowBox[{\\\"3.219086797482468`\\\", \ +\\\"\[VeryThinSpace]\\\"}], \\\"+\\\", RowBox[{\\\"0.`\\\", \\\" \\\", \\\"\ +\[ImaginaryI]\\\"}]}], \\\",\\\", \ +RowBox[{RowBox[{\\\"0.9991655286124732`\\\", \\\"\[VeryThinSpace]\\\"}], \ +\\\"+\\\", RowBox[{\\\"0.`\\\", \\\" \\\", \\\"\[ImaginaryI]\\\"}]}], \\\",\\\ +\", RowBox[{RowBox[{\\\"1.`\\\", \\\"\[VeryThinSpace]\\\"}], \\\"+\\\", \ +RowBox[{\\\"0.`\\\", \\\" \\\", \\\"\[ImaginaryI]\\\"}]}]}], \\\"}\\\"}]\\) \ +is at the edge of the search region \\!\\(\\*RowBox[{\\\"{\\\", RowBox[{\\\"0\ +\\\", \\\",\\\", \\\"1.`15.\\\"}], \\\"}\\\"}]\\) in coordinate \ +\\!\\(\\*RowBox[{\\\"3\\\"}]\\) and the computed search direction points \ +outside the region.\"", 2, 146, 109, 23709011628153109055, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, + 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, + 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, + 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, + 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, + 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, + 3.895905322738254*^9, 3.901786816271983*^9, 3.901789201563756*^9, { + 3.901789233717918*^9, 3.901789238669239*^9}}, + CellLabel-> + "During evaluation of \ +In[146]:=",ExpressionUUID->"53e2435e-8599-4475-b987-d198482292ae"], + +Cell[BoxData[ + TemplateBox[{ + "FindRoot", "reged", + "\"The point \\!\\(\\*RowBox[{\\\"{\\\", \ +RowBox[{RowBox[{RowBox[{\\\"3.219086797436211`\\\", \ +\\\"\[VeryThinSpace]\\\"}], \\\"+\\\", RowBox[{\\\"0.`\\\", \\\" \\\", \\\"\ +\[ImaginaryI]\\\"}]}], \\\",\\\", \ +RowBox[{RowBox[{\\\"0.9989903425721811`\\\", \\\"\[VeryThinSpace]\\\"}], \ +\\\"+\\\", RowBox[{\\\"0.`\\\", \\\" \\\", \\\"\[ImaginaryI]\\\"}]}], \\\",\\\ +\", RowBox[{RowBox[{\\\"1.`\\\", \\\"\[VeryThinSpace]\\\"}], \\\"+\\\", \ +RowBox[{\\\"0.`\\\", \\\" \\\", \\\"\[ImaginaryI]\\\"}]}]}], \\\"}\\\"}]\\) \ +is at the edge of the search region \\!\\(\\*RowBox[{\\\"{\\\", RowBox[{\\\"0\ +\\\", \\\",\\\", \\\"1.`15.\\\"}], \\\"}\\\"}]\\) in coordinate \ +\\!\\(\\*RowBox[{\\\"3\\\"}]\\) and the computed search direction points \ +outside the region.\"", 2, 146, 110, 23709011628153109055, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, + 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, + 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, + 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, + 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, + 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, + 3.895905322738254*^9, 3.901786816271983*^9, 3.901789201563756*^9, { + 3.901789233717918*^9, 3.901789239158059*^9}}, + CellLabel-> + "During evaluation of \ +In[146]:=",ExpressionUUID->"a758577b-eead-453d-9b47-04787335dcbb"], + +Cell[BoxData[ + TemplateBox[{ + "Power", "infy", + "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \\\"0.`\\\"]\\) \ +encountered.\"", 2, 146, 111, 23709011628153109055, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, + 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, + 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, + 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, + 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, + 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, + 3.895905322738254*^9, 3.901786816271983*^9, 3.901789201563756*^9, { + 3.901789233717918*^9, 3.9017892394023237`*^9}}, + CellLabel-> + "During evaluation of \ +In[146]:=",ExpressionUUID->"b3b253b7-360f-4d9e-a7d3-874ee41256f9"], + +Cell[BoxData[ + TemplateBox[{ + "Power", "infy", + "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \ +SuperscriptBox[\\\"0.`\\\", \\\"2\\\"]]\\) encountered.\"", 2, 146, 112, + 23709011628153109055, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, + 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, + 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, + 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, + 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, + 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, + 3.895905322738254*^9, 3.901786816271983*^9, 3.901789201563756*^9, { + 3.901789233717918*^9, 3.901789239406526*^9}}, + CellLabel-> + "During evaluation of \ +In[146]:=",ExpressionUUID->"c02d3468-5920-4dec-88b1-3578e72d0755"], + +Cell[BoxData[ + TemplateBox[{ + "Infinity", "indet", + "\"Indeterminate expression \\!\\(\\*RowBox[{\\\"0.`\\\", \\\" \\\", \ +\\\"ComplexInfinity\\\"}]\\) encountered.\"", 2, 146, 113, + 23709011628153109055, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, + 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, + 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, + 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, + 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, + 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, + 3.895905322738254*^9, 3.901786816271983*^9, 3.901789201563756*^9, { + 3.901789233717918*^9, 3.901789239410418*^9}}, + CellLabel-> + "During evaluation of \ +In[146]:=",ExpressionUUID->"055c6579-a28c-4ab4-b53c-cf533fed54b0"], + +Cell[BoxData[ + TemplateBox[{ + "Power", "infy", + "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \\\"0.`\\\"]\\) \ +encountered.\"", 2, 146, 114, 23709011628153109055, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, + 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, + 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, + 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, + 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, + 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, + 3.895905322738254*^9, 3.901786816271983*^9, 3.901789201563756*^9, { + 3.901789233717918*^9, 3.9017892394150963`*^9}}, + CellLabel-> + "During evaluation of \ +In[146]:=",ExpressionUUID->"84e3e459-b475-45ff-9a95-918089409971"], + +Cell[BoxData[ + TemplateBox[{ + "General", "stop", + "\"Further output of \\!\\(\\*StyleBox[RowBox[{\\\"Power\\\", \\\"::\\\", \ +\\\"infy\\\"}], \\\"MessageName\\\"]\\) will be suppressed during this \ +calculation.\"", 2, 146, 115, 23709011628153109055, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, + 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, + 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, + 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, + 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, + 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, + 3.895905322738254*^9, 3.901786816271983*^9, 3.901789201563756*^9, { + 3.901789233717918*^9, 3.90178923941884*^9}}, + CellLabel-> + "During evaluation of \ +In[146]:=",ExpressionUUID->"f9cf639c-57a3-49ba-a6f3-422addbcbae0"], + +Cell[BoxData[ + TemplateBox[{ + "Infinity", "indet", + "\"Indeterminate expression \\!\\(\\*RowBox[{\\\"0.`\\\", \\\" \\\", \ +\\\"ComplexInfinity\\\"}]\\) encountered.\"", 2, 146, 116, + 23709011628153109055, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, + 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, + 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, + 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, + 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, + 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, + 3.895905322738254*^9, 3.901786816271983*^9, 3.901789201563756*^9, { + 3.901789233717918*^9, 3.901789239422347*^9}}, + CellLabel-> + "During evaluation of \ +In[146]:=",ExpressionUUID->"4be15876-babd-43df-a4df-a5b2d053aea4"], + +Cell[BoxData[ + TemplateBox[{ + "FindRoot", "nlnum", + "\"The function value \\!\\(\\*RowBox[{\\\"{\\\", \ +RowBox[{\\\"Indeterminate\\\", \\\",\\\", \\\"Indeterminate\\\", \\\",\\\", \ +\\\"Indeterminate\\\"}], \\\"}\\\"}]\\) is not a list of numbers with \ +dimensions \\!\\(\\*RowBox[{\\\"{\\\", \\\"3\\\", \\\"}\\\"}]\\) at \ +\\!\\(\\*RowBox[{\\\"{\\\", RowBox[{\\\"\[Mu]\\\", \\\",\\\", \\\"q1\\\", \ +\\\",\\\", \\\"x\\\"}], \\\"}\\\"}]\\) = \\!\\(\\*RowBox[{\\\"{\\\", RowBox[{\ +\\\"3.2190867974265918`\\\", \\\",\\\", \\\"1.`\\\", \\\",\\\", \ +\\\"0.9994323653064309`\\\"}], \\\"}\\\"}]\\).\"", 2, 146, 117, + 23709011628153109055, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, + 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, + 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, + 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, + 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, + 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, + 3.895905322738254*^9, 3.901786816271983*^9, 3.901789201563756*^9, { + 3.901789233717918*^9, 3.901789239425769*^9}}, + CellLabel-> + "During evaluation of \ +In[146]:=",ExpressionUUID->"b541a004-f5f9-4fa0-9511-c7d7354c2095"], + +Cell[BoxData[ + TemplateBox[{ + "Infinity", "indet", + "\"Indeterminate expression \\!\\(\\*RowBox[{\\\"0.`\\\", \\\" \\\", \ +\\\"ComplexInfinity\\\"}]\\) encountered.\"", 2, 146, 118, + 23709011628153109055, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, + 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, + 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, + 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, + 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, + 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, + 3.895905322738254*^9, 3.901786816271983*^9, 3.901789201563756*^9, { + 3.901789233717918*^9, 3.901789239649733*^9}}, + CellLabel-> + "During evaluation of \ +In[146]:=",ExpressionUUID->"33c105c8-28a4-4235-a3ec-775b821c69c5"], + +Cell[BoxData[ + TemplateBox[{ + "General", "stop", + "\"Further output of \\!\\(\\*StyleBox[RowBox[{\\\"Infinity\\\", \ +\\\"::\\\", \\\"indet\\\"}], \\\"MessageName\\\"]\\) will be suppressed \ +during this calculation.\"", 2, 146, 119, 23709011628153109055, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, + 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, + 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, + 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, + 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, + 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, + 3.895905322738254*^9, 3.901786816271983*^9, 3.901789201563756*^9, { + 3.901789233717918*^9, 3.90178923965403*^9}}, + CellLabel-> + "During evaluation of \ +In[146]:=",ExpressionUUID->"eca87a4c-7971-416c-8317-7921752fc13e"], + +Cell[BoxData[ + TemplateBox[{ + "FindRoot", "nlnum", + "\"The function value \\!\\(\\*RowBox[{\\\"{\\\", \ +RowBox[{\\\"Indeterminate\\\", \\\",\\\", \\\"Indeterminate\\\", \\\",\\\", \ +\\\"Indeterminate\\\"}], \\\"}\\\"}]\\) is not a list of numbers with \ +dimensions \\!\\(\\*RowBox[{\\\"{\\\", \\\"3\\\", \\\"}\\\"}]\\) at \ +\\!\\(\\*RowBox[{\\\"{\\\", RowBox[{\\\"\[Mu]\\\", \\\",\\\", \\\"q1\\\", \ +\\\",\\\", \\\"x\\\"}], \\\"}\\\"}]\\) = \\!\\(\\*RowBox[{\\\"{\\\", RowBox[{\ +\\\"3.219086797454459`\\\", \\\",\\\", \\\"1.`\\\", \\\",\\\", \ +\\\"0.9985820969775263`\\\"}], \\\"}\\\"}]\\).\"", 2, 146, 120, + 23709011628153109055, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, + 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, + 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, + 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, + 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, + 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, + 3.895905322738254*^9, 3.901786816271983*^9, 3.901789201563756*^9, { + 3.901789233717918*^9, 3.901789239657997*^9}}, + CellLabel-> + "During evaluation of \ +In[146]:=",ExpressionUUID->"0e5952a1-e33a-4f39-b275-84c625138feb"], + +Cell[BoxData[ + TemplateBox[{ + "FindRoot", "bddir", + "\"The search direction \\!\\(\\*RowBox[{\\\"{\\\", \ +RowBox[{\\\"2.13178037016691`15.*^-14\\\", \\\",\\\", \ +\\\"1.46297878863958`15.*^-7\\\", \\\",\\\", RowBox[{\\\"-\\\", \ +\\\"5.35565932315613`15.*^-8\\\"}]}], \\\"}\\\"}]\\) is not a descent \ +direction for the merit function. The step will be taken without the line \ +search.\"", 2, 146, 121, 23709011628153109055, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, + 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, + 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, + 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, + 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, + 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, + 3.895905322738254*^9, 3.901786816271983*^9, 3.901789201563756*^9, { + 3.901789233717918*^9, 3.901789240394527*^9}}, + CellLabel-> + "During evaluation of \ +In[146]:=",ExpressionUUID->"49dbc868-a8de-4cc5-bc93-4aa9baa47461"], + +Cell[BoxData[ + TemplateBox[{ + "FindRoot", "nlnum", + "\"The function value \\!\\(\\*RowBox[{\\\"{\\\", \ +RowBox[{\\\"Indeterminate\\\", \\\",\\\", \\\"Indeterminate\\\", \\\",\\\", \ +\\\"Indeterminate\\\"}], \\\"}\\\"}]\\) is not a list of numbers with \ +dimensions \\!\\(\\*RowBox[{\\\"{\\\", \\\"3\\\", \\\"}\\\"}]\\) at \ +\\!\\(\\*RowBox[{\\\"{\\\", RowBox[{\\\"\[Mu]\\\", \\\",\\\", \\\"q1\\\", \ +\\\",\\\", \\\"x\\\"}], \\\"}\\\"}]\\) = \\!\\(\\*RowBox[{\\\"{\\\", RowBox[{\ +\\\"3.21908679742628`\\\", \\\",\\\", \\\"1.`\\\", \\\",\\\", \ +\\\"0.9994257752497419`\\\"}], \\\"}\\\"}]\\).\"", 2, 146, 122, + 23709011628153109055, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, + 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, + 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, + 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, + 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, + 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, + 3.895905322738254*^9, 3.901786816271983*^9, 3.901789201563756*^9, { + 3.901789233717918*^9, 3.901789240635388*^9}}, + CellLabel-> + "During evaluation of \ +In[146]:=",ExpressionUUID->"23d68740-bc2f-4d55-944d-72c049e258d5"], + +Cell[BoxData[ + TemplateBox[{ + "General", "stop", + "\"Further output of \\!\\(\\*StyleBox[RowBox[{\\\"FindRoot\\\", \ +\\\"::\\\", \\\"nlnum\\\"}], \\\"MessageName\\\"]\\) will be suppressed \ +during this calculation.\"", 2, 146, 123, 23709011628153109055, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, + 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, + 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, + 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, + 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, + 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, + 3.895905322738254*^9, 3.901786816271983*^9, 3.901789201563756*^9, { + 3.901789233717918*^9, 3.901789240640007*^9}}, + CellLabel-> + "During evaluation of \ +In[146]:=",ExpressionUUID->"c0f5168e-1b6c-4dc0-882e-41597f278b79"], + +Cell[BoxData[ + TemplateBox[{ + "FindRoot", "reged", + "\"The point \\!\\(\\*RowBox[{\\\"{\\\", \ +RowBox[{RowBox[{RowBox[{\\\"3.219086797417479`\\\", \ +\\\"\[VeryThinSpace]\\\"}], \\\"+\\\", RowBox[{\\\"0.`\\\", \\\" \\\", \\\"\ +\[ImaginaryI]\\\"}]}], \\\",\\\", \ +RowBox[{RowBox[{\\\"0.9988444627878292`\\\", \\\"\[VeryThinSpace]\\\"}], \ +\\\"+\\\", RowBox[{\\\"0.`\\\", \\\" \\\", \\\"\[ImaginaryI]\\\"}]}], \\\",\\\ +\", RowBox[{RowBox[{\\\"1.`\\\", \\\"\[VeryThinSpace]\\\"}], \\\"+\\\", \ +RowBox[{\\\"0.`\\\", \\\" \\\", \\\"\[ImaginaryI]\\\"}]}]}], \\\"}\\\"}]\\) \ +is at the edge of the search region \\!\\(\\*RowBox[{\\\"{\\\", RowBox[{\\\"0\ +\\\", \\\",\\\", \\\"1.`15.\\\"}], \\\"}\\\"}]\\) in coordinate \ +\\!\\(\\*RowBox[{\\\"3\\\"}]\\) and the computed search direction points \ +outside the region.\"", 2, 146, 124, 23709011628153109055, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, + 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, + 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, + 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, + 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, + 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, + 3.895905322738254*^9, 3.901786816271983*^9, 3.901789201563756*^9, { + 3.901789233717918*^9, 3.901789240884177*^9}}, + CellLabel-> + "During evaluation of \ +In[146]:=",ExpressionUUID->"eda73344-c85b-4690-b58d-43ee7669c4d9"], + +Cell[BoxData[ + TemplateBox[{ + "General", "stop", + "\"Further output of \\!\\(\\*StyleBox[RowBox[{\\\"FindRoot\\\", \ +\\\"::\\\", \\\"reged\\\"}], \\\"MessageName\\\"]\\) will be suppressed \ +during this calculation.\"", 2, 146, 125, 23709011628153109055, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, + 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, + 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, + 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, + 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, + 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, + 3.895905322738254*^9, 3.901786816271983*^9, 3.901789201563756*^9, { + 3.901789233717918*^9, 3.901789240888998*^9}}, + CellLabel-> + "During evaluation of \ +In[146]:=",ExpressionUUID->"d707c410-8f16-4909-85f2-0e55088fcb2b"], + +Cell[BoxData[ + TemplateBox[{ + "FindRoot", "bddir", + "\"The search direction \\!\\(\\*RowBox[{\\\"{\\\", \ +RowBox[{\\\"9.04994210010442`15.*^-14\\\", \\\",\\\", \ +\\\"2.10528867563936`15.*^-7\\\", \\\",\\\", RowBox[{\\\"-\\\", \ +\\\"6.17398714501246`15.*^-8\\\"}]}], \\\"}\\\"}]\\) is not a descent \ +direction for the merit function. The step will be taken without the line \ +search.\"", 2, 146, 126, 23709011628153109055, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, + 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, + 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, + 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, + 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, + 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, + 3.895905322738254*^9, 3.901786816271983*^9, 3.901789201563756*^9, { + 3.901789233717918*^9, 3.901789242381958*^9}}, + CellLabel-> + "During evaluation of \ +In[146]:=",ExpressionUUID->"fbbb9054-9d23-44ef-8a72-0a7faf59e1a9"], + +Cell[BoxData[ + TemplateBox[{ + "Less", "nord2", + "\"Comparison of \ +\\!\\(\\*SqrtBox[RowBox[{\\\"3.8055092122649174`*^-15\\\", \\\"+\\\", \ +SuperscriptBox[RowBox[{\\\"(\\\", RowBox[{RowBox[{\\\"0.`\\\", \\\"\ +\[VeryThinSpace]\\\"}], \\\"+\\\", RowBox[{\\\"Im\\\", \\\"[\\\", \ +RowBox[{\\\"-\\\", RowBox[{\\\"(\\\", RowBox[{RowBox[{\\\"(\\\", \ +RowBox[{RowBox[{\\\"Log\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \ +\\\"1\\\", \\\"\[RightSkeleton]\\\"}], \\\"]\\\"}], \\\"+\\\", \ +RowBox[{\\\"Times\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \\\"2\\\", \ +\\\"\[RightSkeleton]\\\"}], \\\"]\\\"}]}], \\\")\\\"}], \\\" \\\", RowBox[{\\\ +\"UnitStep\\\", \\\"[\\\", RowBox[{RowBox[{\\\"-\\\", \\\"15.637480190274069`\ +\\\"}], \\\"+\\\", RowBox[{\\\"0.`\\\", \\\" \\\", \\\"\[ImaginaryI]\\\"}]}], \ +\\\"]\\\"}]}], \\\")\\\"}]}], \\\"]\\\"}]}], \\\")\\\"}], \\\"2\\\"], \\\"+\\\ +\", SuperscriptBox[RowBox[{\\\"(\\\", \ +RowBox[{\\\"7.405687174610875`*^-11\\\", \\\"+\\\", RowBox[{\\\"Re\\\", \\\"[\ +\\\", RowBox[{\\\"-\\\", RowBox[{\\\"(\\\", RowBox[{RowBox[{\\\"(\\\", \ +RowBox[{RowBox[{\\\"Log\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \ +\\\"1\\\", \\\"\[RightSkeleton]\\\"}], \\\"]\\\"}], \\\"+\\\", \ +RowBox[{\\\"Times\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \\\"2\\\", \ +\\\"\[RightSkeleton]\\\"}], \\\"]\\\"}]}], \\\")\\\"}], \\\" \\\", RowBox[{\\\ +\"UnitStep\\\", \\\"[\\\", RowBox[{RowBox[{\\\"-\\\", \\\"15.637480190274069`\ +\\\"}], \\\"+\\\", RowBox[{\\\"0.`\\\", \\\" \\\", \\\"\[ImaginaryI]\\\"}]}], \ +\\\"]\\\"}]}], \\\")\\\"}]}], \\\"]\\\"}]}], \\\")\\\"}], \\\"2\\\"]}]]\\) \ +and \\!\\(\\*FractionBox[\\\"1\\\", \\\"10000\\\"]\\) is invalid.\"", 2, 146, + 127, 23709011628153109055, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, + 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, + 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, + 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, + 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, + 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, + 3.895905322738254*^9, 3.901786816271983*^9, 3.901789201563756*^9, { + 3.901789233717918*^9, 3.901789262619567*^9}}, + CellLabel-> + "During evaluation of \ +In[146]:=",ExpressionUUID->"c46bc473-f929-41f3-bffe-7a0df462269f"], + +Cell[BoxData[ + TemplateBox[{ + "Less", "nord2", + "\"Comparison of \ +\\!\\(\\*SqrtBox[RowBox[{\\\"1.0318147885784305`*^-15\\\", \\\"+\\\", \ +SuperscriptBox[RowBox[{\\\"(\\\", RowBox[{RowBox[{\\\"0.`\\\", \\\"\ +\[VeryThinSpace]\\\"}], \\\"+\\\", RowBox[{\\\"Im\\\", \\\"[\\\", \ +RowBox[{\\\"-\\\", RowBox[{\\\"(\\\", RowBox[{RowBox[{\\\"(\\\", \ +RowBox[{RowBox[{\\\"Log\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \ +\\\"1\\\", \\\"\[RightSkeleton]\\\"}], \\\"]\\\"}], \\\"+\\\", \ +RowBox[{\\\"Times\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \\\"2\\\", \ +\\\"\[RightSkeleton]\\\"}], \\\"]\\\"}]}], \\\")\\\"}], \\\" \\\", RowBox[{\\\ +\"UnitStep\\\", \\\"[\\\", RowBox[{RowBox[{\\\"-\\\", \\\"15.637480190571878`\ +\\\"}], \\\"+\\\", RowBox[{\\\"0.`\\\", \\\" \\\", \\\"\[ImaginaryI]\\\"}]}], \ +\\\"]\\\"}]}], \\\")\\\"}]}], \\\"]\\\"}]}], \\\")\\\"}], \\\"2\\\"], \\\"+\\\ +\", SuperscriptBox[RowBox[{\\\"(\\\", \ +RowBox[{\\\"1.7583490219408304`*^-11\\\", \\\"+\\\", RowBox[{\\\"Re\\\", \ +\\\"[\\\", RowBox[{\\\"-\\\", RowBox[{\\\"(\\\", RowBox[{RowBox[{\\\"(\\\", \ +RowBox[{RowBox[{\\\"Log\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \ +\\\"1\\\", \\\"\[RightSkeleton]\\\"}], \\\"]\\\"}], \\\"+\\\", \ +RowBox[{\\\"Times\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \\\"2\\\", \ +\\\"\[RightSkeleton]\\\"}], \\\"]\\\"}]}], \\\")\\\"}], \\\" \\\", RowBox[{\\\ +\"UnitStep\\\", \\\"[\\\", RowBox[{RowBox[{\\\"-\\\", \\\"15.637480190571878`\ +\\\"}], \\\"+\\\", RowBox[{\\\"0.`\\\", \\\" \\\", \\\"\[ImaginaryI]\\\"}]}], \ +\\\"]\\\"}]}], \\\")\\\"}]}], \\\"]\\\"}]}], \\\")\\\"}], \\\"2\\\"]}]]\\) \ +and \\!\\(\\*FractionBox[\\\"1\\\", \\\"10000\\\"]\\) is invalid.\"", 2, 146, + 128, 23709011628153109055, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, + 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, + 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, + 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, + 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, + 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, + 3.895905322738254*^9, 3.901786816271983*^9, 3.901789201563756*^9, { + 3.901789233717918*^9, 3.901789262706435*^9}}, + CellLabel-> + "During evaluation of \ +In[146]:=",ExpressionUUID->"03dcb1c2-5cb1-47ce-84d3-a32430925d72"], + +Cell[BoxData[ + TemplateBox[{ + "Less", "nord2", + "\"Comparison of \\!\\(\\*SqrtBox[RowBox[{\\\"2.177238537453363`*^-15\\\", \ +\\\"+\\\", SuperscriptBox[RowBox[{\\\"(\\\", RowBox[{RowBox[{\\\"0.`\\\", \ +\\\"\[VeryThinSpace]\\\"}], \\\"+\\\", RowBox[{\\\"Im\\\", \\\"[\\\", \ +RowBox[{\\\"-\\\", RowBox[{\\\"(\\\", RowBox[{RowBox[{\\\"(\\\", \ +RowBox[{RowBox[{\\\"Log\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \ +\\\"1\\\", \\\"\[RightSkeleton]\\\"}], \\\"]\\\"}], \\\"+\\\", \ +RowBox[{\\\"Times\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \\\"2\\\", \ +\\\"\[RightSkeleton]\\\"}], \\\"]\\\"}]}], \\\")\\\"}], \\\" \\\", RowBox[{\\\ +\"UnitStep\\\", \\\"[\\\", RowBox[{RowBox[{\\\"-\\\", \\\"15.637480190569093`\ +\\\"}], \\\"+\\\", RowBox[{\\\"0.`\\\", \\\" \\\", \\\"\[ImaginaryI]\\\"}]}], \ +\\\"]\\\"}]}], \\\")\\\"}]}], \\\"]\\\"}]}], \\\")\\\"}], \\\"2\\\"], \\\"+\\\ +\", SuperscriptBox[RowBox[{\\\"(\\\", \ +RowBox[{\\\"1.4323875419108845`*^-11\\\", \\\"+\\\", RowBox[{\\\"Re\\\", \ +\\\"[\\\", RowBox[{\\\"-\\\", RowBox[{\\\"(\\\", RowBox[{RowBox[{\\\"(\\\", \ +RowBox[{RowBox[{\\\"Log\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \ +\\\"1\\\", \\\"\[RightSkeleton]\\\"}], \\\"]\\\"}], \\\"+\\\", \ +RowBox[{\\\"Times\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \\\"2\\\", \ +\\\"\[RightSkeleton]\\\"}], \\\"]\\\"}]}], \\\")\\\"}], \\\" \\\", RowBox[{\\\ +\"UnitStep\\\", \\\"[\\\", RowBox[{RowBox[{\\\"-\\\", \\\"15.637480190569093`\ +\\\"}], \\\"+\\\", RowBox[{\\\"0.`\\\", \\\" \\\", \\\"\[ImaginaryI]\\\"}]}], \ +\\\"]\\\"}]}], \\\")\\\"}]}], \\\"]\\\"}]}], \\\")\\\"}], \\\"2\\\"]}]]\\) \ +and \\!\\(\\*FractionBox[\\\"1\\\", \\\"10000\\\"]\\) is invalid.\"", 2, 146, + 129, 23709011628153109055, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, + 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, + 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, + 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, + 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, + 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, + 3.895905322738254*^9, 3.901786816271983*^9, 3.901789201563756*^9, { + 3.901789233717918*^9, 3.901789262712675*^9}}, + CellLabel-> + "During evaluation of \ +In[146]:=",ExpressionUUID->"e958c894-a6a6-4cce-9b7e-092a49155aa5"], + +Cell[BoxData[ + TemplateBox[{ + "General", "stop", + "\"Further output of \\!\\(\\*StyleBox[RowBox[{\\\"Less\\\", \\\"::\\\", \ +\\\"nord2\\\"}], \\\"MessageName\\\"]\\) will be suppressed during this \ +calculation.\"", 2, 146, 130, 23709011628153109055, "Local"}, + "MessageTemplate"]], "Message", "MSG", + CellChangeTimes->{{3.8954732025163107`*^9, 3.895473217483941*^9}, + 3.89547326675277*^9, 3.895474636815065*^9, 3.895475077563698*^9, + 3.895487206667514*^9, 3.8954882734895897`*^9, 3.895562220795251*^9, + 3.895562276154265*^9, 3.895562398595639*^9, 3.895562585789209*^9, + 3.89556262211357*^9, 3.895562708562406*^9, 3.895563206843536*^9, + 3.895563254311441*^9, {3.895905238277926*^9, 3.895905281556197*^9}, + 3.895905322738254*^9, 3.901786816271983*^9, 3.901789201563756*^9, { + 3.901789233717918*^9, 3.901789262724721*^9}}, + CellLabel-> + "During evaluation of \ +In[146]:=",ExpressionUUID->"e44933c1-e65e-448e-9658-990df7c4e795"] +}, Open ]], + +Cell[CellGroupData[{ + +Cell[BoxData[ + RowBox[{"Length", "[", "iniComplexityZero", "]"}]], "Input", + CellChangeTimes->{{3.8955622662196703`*^9, 3.89556227279499*^9}}, + CellLabel-> + "In[147]:=",ExpressionUUID->"1721e026-968c-44ef-9b61-08adc7106fde"], + +Cell[BoxData["19"], "Output", + CellChangeTimes->{{3.895562272986423*^9, 3.89556228248216*^9}, + 3.895562411767189*^9, {3.8955626041680117`*^9, 3.895562647299787*^9}, + 3.895562726574486*^9, 3.8955632287169*^9, 3.895563278945394*^9, + 3.9017868426752567`*^9, 3.9017892293498573`*^9, 3.9017892641730127`*^9}, + CellLabel-> + "Out[147]=",ExpressionUUID->"aaab1934-4405-4abf-bf5c-f44415e86bcd"] +}, Open ]], + +Cell[CellGroupData[{ + +Cell[BoxData[ + RowBox[{"iniComplexityZero2", "=", + RowBox[{"FindRoot", "[", + RowBox[{ + RowBox[{"zeroComplexityEqs", "/.", + RowBox[{"\[Epsilon]", "->", "Eini"}]}], ",", + RowBox[{ + RowBox[{"(", + RowBox[{ + RowBox[{ + RowBox[{"#1", "->", + RowBox[{"Re", "[", "#2", "]"}]}], "&"}], "@@@", + RowBox[{"RandomChoice", "[", "iniComplexityZero", "]"}]}], ")"}], "/.", + RowBox[{"Rule", "->", "List"}]}], ",", + RowBox[{"WorkingPrecision", "->", "30"}]}], "]"}]}]], "Input", + CellChangeTimes->{{3.89538547345794*^9, 3.895385496118298*^9}, { + 3.895386235918009*^9, 3.895386259366094*^9}, {3.895386531771027*^9, + 3.895386539598543*^9}, {3.8954728141600513`*^9, 3.8954728199238997`*^9}, { + 3.895473380754571*^9, 3.895473381221682*^9}, {3.895474755055328*^9, + 3.895474774284453*^9}, {3.895487347421875*^9, 3.8954873475568247`*^9}, { + 3.8955622282502728`*^9, 3.8955622591315317`*^9}, {3.895563290014204*^9, + 3.895563291189548*^9}}, + CellLabel-> + "In[162]:=",ExpressionUUID->"aac3cfcd-e231-49b2-81ec-d2983cedb5ef"], + +Cell[BoxData[ + RowBox[{"{", + RowBox[{ + RowBox[{ + "\[Mu]", "\[Rule]", + "3.2190867974174250940261736552054639721303945103733189490183`30."}], ",", + RowBox[{ + "q1", "\[Rule]", + "0.9988576713345452532568921099892504237127302099050060406833`30."}], ",", + RowBox[{ + "x", "\[Rule]", + "0.9999999999999999999999999999825887013499529074322927946233`30."}]}], + "}"}]], "Output", + CellChangeTimes->{ + 3.8953854968476562`*^9, {3.8953861981061583`*^9, 3.895386259575141*^9}, { + 3.895386526511806*^9, 3.8953865398672323`*^9}, 3.8954728268821917`*^9, + 3.895473381967312*^9, {3.8954747474484377`*^9, 3.8954747822497473`*^9}, + 3.895475171777603*^9, {3.8954754928895082`*^9, 3.89547550126532*^9}, { + 3.895487327293674*^9, 3.895487348363305*^9}, {3.895488108225655*^9, + 3.8954881101175632`*^9}, {3.895488434999949*^9, 3.895488456094118*^9}, + 3.8955622633777122`*^9, {3.8955632854448853`*^9, 3.895563308685294*^9}, { + 3.8959053608914433`*^9, 3.895905380861594*^9}, {3.895905546502946*^9, + 3.895905585096096*^9}, 3.901786842761924*^9, 3.901789229565077*^9, { + 3.9017892643375187`*^9, 3.901789299715163*^9}}, + CellLabel-> + "Out[162]=",ExpressionUUID->"db649891-85ae-42cf-91e9-af2f964ebb96"] +}, Open ]], + +Cell[BoxData[ + RowBox[{ + RowBox[{"solsComplexityZero", "=", + RowBox[{"Most", "[", + RowBox[{"FoldWhileList", "[", + RowBox[{ + RowBox[{"Function", "[", + RowBox[{ + RowBox[{"{", + RowBox[{"sol", ",", "\[Epsilon]\[Epsilon]"}], "}"}], ",", + RowBox[{"Join", "[", + RowBox[{ + RowBox[{"{", + RowBox[{ + RowBox[{"f", "->", "f35"}], ",", + RowBox[{"\[Epsilon]", "->", "\[Epsilon]\[Epsilon]"}]}], "}"}], + ",", + RowBox[{"FindRoot", "[", + RowBox[{ + RowBox[{"zeroComplexityEqs", "/.", + RowBox[{"\[Epsilon]", "->", "\[Epsilon]\[Epsilon]"}]}], ",", + RowBox[{ + RowBox[{"Drop", "[", + RowBox[{"sol", ",", "2"}], "]"}], "/.", + RowBox[{"Rule", "->", "List"}]}], ",", + RowBox[{"WorkingPrecision", "->", "20"}]}], "]"}]}], "]"}]}], + "]"}], ",", "\[IndentingNewLine]", + RowBox[{"Join", "[", + RowBox[{ + RowBox[{"{", + RowBox[{ + RowBox[{"f", "->", "f35"}], ",", + RowBox[{"\[Epsilon]", "->", "Eini"}]}], "}"}], ",", + "iniComplexityZero2"}], "]"}], ",", + RowBox[{"Eini", "-", + RowBox[{"Rest", "@", + RowBox[{"Range", "[", + RowBox[{"0", ",", "1", ",", + SuperscriptBox["10", + RowBox[{"-", "4"}]]}], "]"}]}]}], ",", + RowBox[{ + RowBox[{ + RowBox[{ + RowBox[{"0", "<=", "x", "<=", "1"}], "&&", + RowBox[{"0", "<=", "q1", "<=", "1"}], "&&", + RowBox[{ + RowBox[{"Norm", "[", "zeroComplexityEqs", "]"}], "<", + SuperscriptBox["10", + RowBox[{"-", "6"}]]}]}], "//.", "#"}], "&"}]}], "]"}], "]"}]}], + ";"}]], "Input", + CellChangeTimes->{{3.895385595138008*^9, 3.895385797709292*^9}, { + 3.895386263899571*^9, 3.895386263990285*^9}, {3.895386297642288*^9, + 3.895386297881617*^9}, {3.895386776869574*^9, 3.895386779789452*^9}, { + 3.895472837731352*^9, 3.89547286124477*^9}, {3.895473021570595*^9, + 3.895473025814328*^9}, {3.895473127335647*^9, 3.895473127549554*^9}, { + 3.895473416587288*^9, 3.895473418511155*^9}, {3.8954736888655367`*^9, + 3.8954736890227337`*^9}, {3.895475505980495*^9, 3.895475507424962*^9}, { + 3.895487991842914*^9, 3.895488005105464*^9}, {3.89548846334536*^9, + 3.895488467004986*^9}, 3.8954885168207817`*^9, {3.8954885641724*^9, + 3.895488564928694*^9}, {3.895563318870599*^9, 3.8955634174640512`*^9}, { + 3.895564563190371*^9, 3.895564567901512*^9}, {3.895905349502722*^9, + 3.895905495913521*^9}, {3.895905596699622*^9, 3.895905596763801*^9}, { + 3.895905640780857*^9, 3.895905725519087*^9}, {3.895905797623934*^9, + 3.895905836304905*^9}}, + CellLabel-> + "In[163]:=",ExpressionUUID->"7279ba58-9a6b-46fd-ad27-102138f08732"], + +Cell[CellGroupData[{ + +Cell[BoxData[ + RowBox[{"Length", "[", "solsComplexityZero", "]"}]], "Input", + CellChangeTimes->{{3.895905488154058*^9, 3.8959054911772833`*^9}}, + CellLabel-> + "In[164]:=",ExpressionUUID->"3e2e1f17-6b13-44e5-aa3d-08e6299ad40b"], + +Cell[BoxData["2861"], "Output", + CellChangeTimes->{{3.895905491395603*^9, 3.895905505312117*^9}, + 3.895905652139653*^9, {3.895905699269932*^9, 3.895905735356051*^9}, + 3.895906160340198*^9, 3.901786842830689*^9, 3.901789544852048*^9}, + CellLabel-> + "Out[164]=",ExpressionUUID->"cea5b325-3501-433e-859b-20badf703d10"] +}, Open ]], + +Cell[BoxData[ + RowBox[{ + RowBox[{"transitionEqs", "=", + RowBox[{"Simplify", "[", + RowBox[{"{", + RowBox[{ + RowBox[{ + RowBox[{"D", "[", + RowBox[{ + RowBox[{ + RowBox[{ + RowBox[{"\[ScriptCapitalS]", "[", "f35", "]"}], "[", + RowBox[{"\[Epsilon]", ",", "\[Mu]"}], "]"}], "[", + RowBox[{"q1", ",", "x"}], "]"}], ",", "x"}], "]"}], "/.", + RowBox[{"x", "->", "1"}]}], ",", + RowBox[{"SeriesCoefficient", "[", + RowBox[{ + RowBox[{"D", "[", + RowBox[{ + RowBox[{ + RowBox[{ + RowBox[{"\[ScriptCapitalS]", "[", "f35", "]"}], "[", + RowBox[{"\[Epsilon]", ",", "\[Mu]"}], "]"}], "[", + RowBox[{"q1", ",", "x"}], "]"}], ",", "q1"}], "]"}], ",", + RowBox[{"{", + RowBox[{"x", ",", "1", ",", "1"}], "}"}]}], "]"}]}], "}"}], "]"}]}], + ";"}]], "Input", CellChangeTimes->{{3.895388037762289*^9, 3.8953880699179993`*^9}, { 3.8953883389306517`*^9, 3.8953883402215033`*^9}, {3.895392312498502*^9, 3.895392325612715*^9}, {3.895392437467703*^9, 3.895392482943816*^9}, { @@ -6225,7 +14368,7 @@ Cell[BoxData[ 3.895563748558124*^9, 3.8955638243994827`*^9}, {3.895563875392934*^9, 3.895563875536582*^9}}, CellLabel-> - "In[1262]:=",ExpressionUUID->"234a5c34-3f80-4406-8d64-8ac67ea0957a"], + "In[165]:=",ExpressionUUID->"234a5c34-3f80-4406-8d64-8ac67ea0957a"], Cell[CellGroupData[{ @@ -6265,16 +14408,16 @@ Cell[BoxData[ 3.8953929418014936`*^9}, 3.895478659239141*^9, {3.8955638319198027`*^9, 3.8955638691763277`*^9}}, CellLabel-> - "In[1263]:=",ExpressionUUID->"d6e01bbf-aacc-46df-9f2f-e51f2de0ad4c"], + "In[166]:=",ExpressionUUID->"d6e01bbf-aacc-46df-9f2f-e51f2de0ad4c"], Cell[BoxData[ RowBox[{"{", RowBox[{ RowBox[{ - "\[Mu]", "\[Rule]", "3.21908687109504851558365667044033565074`20."}], ",", + "\[Mu]", "\[Rule]", "3.21908687109504811972152183583163362237`20."}], ",", RowBox[{ - "q1", "\[Rule]", "0.99942867475144337173456549622890574341`20."}]}], + "q1", "\[Rule]", "0.99942867475144225130760305329894938092`20."}]}], "}"}]], "Output", CellChangeTimes->{{3.895386117088763*^9, 3.8953861951346083`*^9}, 3.895386231847042*^9, {3.895386520303944*^9, 3.8953865243931313`*^9}, { @@ -6287,9 +14430,10 @@ Cell[BoxData[ 3.895392123399313*^9}, {3.8953921752784243`*^9, 3.895392228443008*^9}, { 3.895392868839302*^9, 3.895392945041133*^9}, {3.895478653262218*^9, 3.895478662496904*^9}, 3.8954891838919897`*^9, {3.895563863277893*^9, - 3.8955638778935003`*^9}, 3.8959061634891148`*^9}, + 3.8955638778935003`*^9}, 3.8959061634891148`*^9, 3.901786843662181*^9, + 3.901789958255041*^9}, CellLabel-> - "Out[1263]=",ExpressionUUID->"5639f41a-1e1c-4803-9549-7bcdec160801"] + "Out[166]=",ExpressionUUID->"20e52367-8109-4575-bed4-e16d396ffba0"] }, Open ]], Cell[BoxData[ @@ -6354,7 +14498,7 @@ Cell[BoxData[ 3.895563969554364*^9}, {3.89556401632311*^9, 3.8955640193793917`*^9}, 3.895564587141629*^9}, CellLabel-> - "In[1264]:=",ExpressionUUID->"30d70f9b-b21b-475d-a235-5f64ba705fbf"], + "In[167]:=",ExpressionUUID->"30d70f9b-b21b-475d-a235-5f64ba705fbf"], Cell[CellGroupData[{ @@ -6389,7 +14533,7 @@ Cell[BoxData[ 3.8955640923965187`*^9}, {3.8955641634061317`*^9, 3.895564175989911*^9}, 3.895564208495466*^9}, CellLabel-> - "In[1265]:=",ExpressionUUID->"e79f5e7c-7696-4be7-b0b5-b6d2234fe1fc"], + "In[168]:=",ExpressionUUID->"e79f5e7c-7696-4be7-b0b5-b6d2234fe1fc"], Cell[BoxData[ RowBox[{"{", @@ -6411,9 +14555,10 @@ Cell[BoxData[ 3.895560376021306*^9}, {3.89556045993384*^9, 3.8955604648368073`*^9}, { 3.8955605246232777`*^9, 3.895560570641279*^9}, 3.8955640368884277`*^9, 3.8955640927741127`*^9, {3.89556416391215*^9, 3.895564176768334*^9}, - 3.895564209439439*^9, 3.895565410657662*^9, 3.895906229807317*^9}, + 3.895564209439439*^9, 3.895565410657662*^9, 3.895906229807317*^9, + 3.9017868737741632`*^9, 3.9017899875154457`*^9}, CellLabel-> - "Out[1265]=",ExpressionUUID->"01aa5861-b899-4299-9ede-a48040c9c6d3"] + "Out[168]=",ExpressionUUID->"e9a4089d-21c5-46bc-bcb8-41ed57612ad6"] }, Open ]], Cell[CellGroupData[{ @@ -6429,15 +14574,16 @@ Cell[BoxData[ 3.895564119485053*^9, 3.895564150581357*^9}, {3.8959062363601294`*^9, 3.895906239207789*^9}}, CellLabel-> - "In[1267]:=",ExpressionUUID->"d9205a6e-2216-438e-af72-ef12dc8a189b"], + "In[169]:=",ExpressionUUID->"d9205a6e-2216-438e-af72-ef12dc8a189b"], Cell[BoxData["5.5267702726112741266312965805963178078652893457213304`25.\ 665939483776185*^-25"], "Output", CellChangeTimes->{{3.8955604978685417`*^9, 3.8955605709808493`*^9}, { 3.895564125989976*^9, 3.895564177573073*^9}, 3.895564210093195*^9, - 3.895565415693021*^9, {3.895906229885704*^9, 3.895906239468151*^9}}, + 3.895565415693021*^9, {3.895906229885704*^9, 3.895906239468151*^9}, + 3.901786873823309*^9, 3.901789987615796*^9}, CellLabel-> - "Out[1267]=",ExpressionUUID->"68816c80-5c37-43de-990a-1ae2c01eb3b7"] + "Out[169]=",ExpressionUUID->"5db74390-f0b5-45f7-9f8c-1292343d8411"] }, Open ]], Cell[CellGroupData[{ @@ -6489,12 +14635,15 @@ Cell[BoxData[ RowBox[{"-", "0.25"}], ",", "1.15"}], "}"}]}], "}"}]}], ",", RowBox[{"Epilog", "->", RowBox[{"{", - RowBox[{"Red", ",", + RowBox[{ + RowBox[{"EdgeForm", "[", "Black", "]"}], ",", "Red", ",", RowBox[{ RowBox[{ - RowBox[{"Point", "[", - RowBox[{"{", - RowBox[{"#", ",", "0"}], "}"}], "]"}], "&"}], "/@", + RowBox[{"Disk", "[", + RowBox[{ + RowBox[{"{", + RowBox[{"#", ",", "0"}], "}"}], ",", + RowBox[{"Offset", "[", "2", "]"}]}], "]"}], "&"}], "/@", RowBox[{"E1RSBp", "[", "f35", "]"}]}]}], "}"}]}], ",", RowBox[{"PlotStyle", "->", RowBox[{"{", @@ -6587,3069 +14736,12913 @@ Cell[BoxData[ 3.895484397038619*^9, 3.8954844363224773`*^9}, {3.895484489766502*^9, 3.895484492554249*^9}, {3.895489246813548*^9, 3.8954893749698467`*^9}, { 3.895564233640214*^9, 3.89556433941774*^9}, {3.895565459623733*^9, - 3.895565459998815*^9}, {3.895906263697672*^9, 3.895906270537434*^9}}, + 3.895565459998815*^9}, {3.895906263697672*^9, 3.895906270537434*^9}, { + 3.901799205211961*^9, 3.901799228018668*^9}, {3.901799408523613*^9, + 3.901799443558976*^9}}, CellLabel-> - "In[1269]:=",ExpressionUUID->"b5376376-977c-4387-9c6d-1239d5069333"], + "In[211]:=",ExpressionUUID->"b5376376-977c-4387-9c6d-1239d5069333"], Cell[BoxData[ - GraphicsBox[{{}, GraphicsComplexBox[CompressedData[" -1:eJw0mnc4V+//x42kokFFElJGotCnqMTLThKSpBQRKkkkoyhKJClCVFZCRsne -47b33ntT0TnnTTuj3/29rvP7y/W43m/nfe71ej3v63oIW9wwsGJhYmLKkWJi -+t/fx/7Mwj9ZCPQx9y15TX8j/D/LMy9O/4+vTW3prWEl0Hj2e56rF3jhhJJM -wKsVBIqcdBasaeQH6bCjmtfYCPRjxuDGYb3twMUwXTqykkCDddqvZL7sgG9a -zlnr2AlUUWbiqpglCl0xT6+NYjb01HIyTtsFuX/jdmSsItAFtws/XYck4fWp -oj6v1QQSLLE3C1WQBvcPHYGn1xDo9ABjlaGVLJiyzR4V5yBQxn2dHAON/0DZ -lOXfb8ywfcV0ueIB2JHLl1PPSaCumU0ab3TlYcUG2esRawk0Ya7sMe1yCKav -aInYrSOQ0SFOeJ6vALVlZgOwnkDeUL4nYqMSJG91CeLaQCC+HXm3mdWUwd/x -2bEJzJX/HMMv+qrAjcZ4pmwuAr1yH9H90qcKJ0WLc324CZQrL279Sl4d/rvX -aWe8kUBbo/sy7GI0YHPPrOjuTQQ6MDHNdpn3KPySZh1awPx05o205yst6PPd -GtK0mUDnNAr/5YprQ+GY7PFoHgLJCB/X4Cw/DpGHj7E48BIo9UhN850rJ8Aj -+GK+6hYCSQ+w2zDz64H5Vxf7TXx4/Od3zjfb6oO6RoD4NObj99aziRucBLGo -d8O5WwmUFVbd8UjRAFb9Kn7xmJ9AuqG/1Bh7T8GMXpeOyTY8nxwsCmZihtCY -+JV1jwCBRMR/RnbsPA0fmVcULmP2Sg05qSNuBIHn+G+2CuLxLOkerpU5Azcz -90m8FcLz9V3ziKayMRhyao86bifQnSVdharTZ0HOyjxMQ5hA7CwzW9UdzgFf -iasu7w4CtVw6XlIWZAILPIFsXzDL/zZapVhwHoZuJBQV7CQQE0vMQNanC4Bq -Sxz9RQikKmm3dRe/GcQId+82FSVQETrbob37InjdIcakxQiE9nHILtddBKuO -Fa+YxQnUrvMzNMXWHI5KbdPvwDywMcrJmNsCJLz/Y4/fhdc744LicoEFcAxr -lzhLEEjH6YpplPUlIOUsnLR24/HW1Gkd3GwJrQG3pbZKEshaxsq2odoSMj4H -Tsxi3q7/8+AZNysIUUl8XSxFoNHBDYID+6zB+TU6GbCHQFPR258YEdZg/K17 -lfleAoXcvErVJl+Gwzok2ieNn6/AXiB77Qpsi2dzWSGDP58lzgbuvQrLS9v2 -dmPmcPuiOPn9Kowa7Z9KkCVQ1HRkp1SJDVSkHo+4vY9AoVKP/K/6XYP4VZdO -Hf8Pn89jfzpenbWFR+Z31gjsJ5Cc/Ha+YsnrcLXgeRmJWXz6X107kx0c35jk -WnqAQFpKtwz7e+1gj22pdJAc3i9bZcU6Mm/A+qqe6UvyBArcYW40rGUPcwJU -5IGDeL8WVu0+nm8PHc4rT7MfItAjiclqaUkHyG4R4OzDjGQ1x55EOcDLXQcq -kg8TaPiJuYzZxptw577OHXcFAvmNhtkkPb4J5/svyeoeIdCvGjlNG2ZHUPrP -7bOQIoEe1rrcjrnjCNv9g6LnMGuf9izQ/eEILFNJRhVKBMpuNEcODrdgUrFs -7QsgkIALn9Ia6hZUh/ZWWisTyNQ78CuvnRMkUpTbQRUC2a+P8HxNOoGfFvt/ -a1QJ9I97KfW5vTNcjxGcGcDMcfOizNI3Z9D7eyAmRY1Ad+dMcwddXUD21Alj -D3UC1cjf/Sv9zwU2frBcf1KDQPcaJFN/+rjCjxXu1Ts0CbTAVeMns+E29FwI -vvsd87cTYapDr29Dfk7y/uqjuH5FtT5ZFLsD4evLZ8O08H5fCOJ/lnUH7l7p -e3v1GIFeHpp591zNDczKGGcVtAk0w9w8vrLTDVS2ruJae5xAeV3CDwkrdxBx -FKodxryFIxs0/rjDykY5jzQdAn1uUK1f++wufBbRlXtwgkB2m272aorcg/q7 -VsQpXQKxZHQJMgrvwYdu9zhRPQKlqXw9ufq0BzyTDjH5hXlk73axIMoD7H3f -c9fpE2ixd0K8SsMTDMbK616fJJDP5aAy1xBP2H+439PWgEDKyfsKJiY8gSd4 -Tl7pFD5Ptm2zbPvvw5/ZVdR6QwL1mjrIjDy8DwPq29+NYR7j5rC40X0fiiPl -L2SexvOx7H8uZ9cDiP6pu8nbCO8Hl4FvmW4P4L6edYPRGbz/clvZr7Q8gEuJ -dx/sMiYQW/cR+/adXqDB/OLQX8zyjVMrv7l6gfi5D4yGswQKcHgUX9fsBasz -KxIiz+H64N4haiT6EGY5BkxvmBDokqeD+Uv3h9BsOb9Z5Tz+nFqWDex8CGnF -q5u4LxCokGe9g+IebwjiEX44iTl8u+TvaB9vuHXjoEKOKYFIrvY3uaPeYFSr -N//IjEBW9a7qDxV84KDw5aSzFwkUz+pXxBbmA1vv3LsoaU6gD/rW4wrffGCx -/QXvEmYxAcsAMf1HMCyZ0txsQaDGDy0RVSmPoPRhpfebS7h+bZ2d2MLpC7FD -A0duWhJoyJhU3H7NF7zlvn1TsyLQfmn2hwP1vnA5YM37zdYE0lQ4G6At+RiO -fRa2+ITZkVo6eN3/MUiqHOLLv0ygM0ErzqmRj2Hta/1Wvyv495VuNjTq+wE1 -f/nR+asEWjOqYr06yw/ajnso7bXB51fekvUP7xPIjAv98Q9zVxdyC3d/Ai+W -Uj60XSOQuoBsImPsCbgaVV2KtcX9TtnP/PdRfziXOrjV6TqBbqoGe2Z89Icj -q763adoRKO776mFBnqcgaM7xeMsNAr39FWOgeO8pMBXsUJ7B3J2/Inftp6cw -zn34V6E9gda6tE0E6D+DymsnPz51IFCHfGR6RcEzeFd5xcrsJt5PrfO/E0UD -wFfAc5usI+7X4wZB8DwAbJzDOlhuEcih5z+jR0sBcKLlo18n5pZ5z3XKBwJB -ele1yjsnAq3wCRjZZBsIXPeHfrs4E8jlscMa1dhA+Nb3PfWYC4H8q0641fQH -Qtc+zsv8rrieTmsIxnM/h9wnOwUJzAHuziP92s/h1eThrpLbBKr7+zP5itdz -cFM08A+8Q6B3uX22ukXP4ULoVTULNzxfXyW5/H88B6A8//7nTqBDWT+8hKWD -YIfWy3S2uwRSWXs4fd3VIFgRk3qlB/PP7p8PT8UGwfSfaqGke7j+tYvMUkNB -UGsw3H3HA+eht7ktE1uCIfn9j6c6ngT6WhcpKG0YDP4r1moI3ieQXkppRVtA -MNhdEFmkMLM/X/G+oSEY9HMUMsseECjzlVbptlUhsG/9KZtgLwKVN1hTleoh -sOmKjbDVQ9wPOPaLVN4PgV+l93vlvAnEy++pvRWFQB/fq4BVPgTKidysW7MY -AoU30zT7MXdtLNjacPgFRDbULL1/hNd7cE+E6O0X4CEyknXXl0DXDkiVdOe+ -APO7P6/pPcbjPXTNdvjnC1DrXrtT2I9AVaPhQUfkQkFUWrR/HnMbs822OadQ -YPc98rzyCT7feu4/FrJD4cvoKa1QfwI5Gd9afeFnKDQeuvbv8lNczx+Tapvk -w+Bj0IOcQ88IJLX7QaCwaxgEzr66zhFAoAemZf0P88Pgpnq6yBDmQdFD65QW -wsAwsnbgYyCev3MOPCcUX4Lcz5Egz+cEupr5dTjd4yVs0ft1zCAIzw/adcq2 -/CX8TVjHLBKM/38m0tSd7RUMMonl/cDcdBt9HdN6BSVnFW/UhBDo+ZOFzxH+ -ryAmw1Ds1QsCNWwpVEppfQVeHLZDNqEEuv3NvI9782uwsvQKORKG81e1blzT -2ddwtPj18XUvCXSZZ8BvKOo1SPBksIxi5gQTV9XJ18Bxoy4//RWBrjgJGi9K -hANRM2rv9Rrvr2q7zRz24dCy/bf46XACSfI8D7fLCYf02+tHxCLwegpXdYkt -hUNwu1job8y372ik/qceAc6SSifqI3G+9D+68cWTCDB+eHpFRNT/8uDCV+2O -CDg8ZFt4PRrnh0x/8dP8kbBN7uFNeEMgm+btHzMvRcLys3AJrhhcL5N7r1l/ -iITRTxmj45jJsZbj139EQrlyfVjWWwJ5jG87VKsUBXGvxnR9YnF/ZbQKuvhG -gc/8bzbjOAJt2vn70+32KLhyfEOxRDzub1deebRui4bjceK3FjA7PshpvXM5 -GvYsKUk2vcP5gU2n2jUjGtYbGY1HJeD+dv7k8fqlaJj7eP2VfSKuR+bn43NF -3kAHu7e+ahLOM/G5PZnabyD7YgT7pmQCrbq2N6PP/g2E5WeWTGFmW7dvt0TY -G7jN3eCU+55ABQayB94WvwGTa+NSjz/g+mH9slZ98g0oVv6ZOJdCoD+jrX0b -OGJASIArXOojgfpLVUxX7osBFuddBsuYx+30jouejYHJZljdmorzepFJ6HXP -GKgWP1Mak4br43jV/pGEGEj0tHNxTMf732uG/05LDPj1ee/VyCDQ6+SdKkq/ -YsB2X+QUTybOW3W1rySF3oLuk6yIz5hNCvfyqx59CzKTDacKsggUW55QcP/G -W+BWnFjjn02gT4+9rs+EvYXvL/6WXcjB67tzvYR76VvoIbluS+cSqH7Vi3G5 -L28h/6iEDHMegTz5LwRs5Y6F8DfKn9oxC8Z9EBFXiIW7f85ExeXjfm9QHXbe -MhbMDG6cdi7A6604M1TwNBZU3vtwahUSqHTi9FeV3FjYuSKqgq8I5yEJ9by5 -0Vhgu5B9ZxZz7DlCrn5NHHzKbpQtLv5fPnx0oWF/HNStm/z8rIRA61PVhX+Y -xsGHywvRFxHOkzZGLkcfx8GzUu4z+0pxP1WZMSzPjAN7vt3rVpQRaI+nZKrV -cBwY3FSp6sK8uVfVTXZ1POxvMHZPKMf90Nzoo+j+eOARsf/vdgV+/1ePFVXN -4uG3+6MZ7UpcPy2XBB75xUN/V1TMtiqcN9e0qn/PjoeivTnGJOZVRwQSHo/F -Q9SjpvWl1fj+y7F86Ojad3B/dLL6eQ2Bzn7znZc69A4uHVq8e6kW9/e2tqrD -Vu9AI2jjgQN1BDKb+ZJo//wdiM/u/rqynkC7pkcCm4vfwWp11dhezJHt6Kbh -zDuYjTh7LrkB19cdwWosvAnQ9MOey70R57/3F3/3qCVAqq5v7YkmfL9LlvLp -sk+A5wnRHkLN+Pno99jfyARwZMqVm8PMTFYwaTUkgNHZZqK8Bf9etF9P0e8E -OJgxFRfSSqAn0ZomZ8USYSvHkol1G75/CFGeAoaJsHhp08aD7fg8Zbkqrn6Q -CMNFkvWrOwhEGXV5b0tLhNLNavcHMGtafNc9M5wIb+3OHUzpxPMRWv40nzMJ -HtY4UPe6CHSLd7e8mkISWG9//E6/G98fr3Erf7+aBFq331zY0UMgJfeLkY0v -k0CyPXfTd8xuYT/VGmuSYK1kS0NVL+7f17N2ffuZBJTX9IOwPnx/4nJRVhFL -hrbBpUNX+wnE/WeLZ87pZMg8sHnu8ACB/lt2GDTwToYXz6QSOQfx88+f0ebJ -TgaXT2pmw5h908NLmKaS4ayyCU/aEM7Py+v2cm9+DwqvbjbdH8b9OeHeU22N -9yAw//jhqRH8vg7ZbUlO74HpeIyC6CheTy83SvrdexiPzZv/iTnpSujAYPd7 -qFxsSaodw/dbzjq/NPYP8O70p4uvx/F9u6hjLvngB/D9uMxrO4Hz6q5bbI1X -P4ANO0+L4iSBlsytCjaGfwCdi3t81k/h+8igGduDxg+wN19dcQzzZlu+AZ7l -D7CB+/z3jGmcp49qyLRLp8C8jeP7h5/wfmUL+ZNpngJdFX4WRp8JJBudKVkU -nAK5297y7fqC87udXuFMVQq8cspv/YP5894NkfA7BdyaWx81zODxL4RXFez+ -CBfEPytFzuLvG4RKnL/wEcDz3w+7rwRazfWmeGfgRxDu40lRJnC9djrjzFXx -EVj37bXkJvH6Cxlrif78CFN+GvyTmLevU9hlJpEKNRPn27MpAh17G7oGnU+F -5CO3Hj9iEOhixPKEemAq+L94onx2jkCnBFYkMSpSwY58+2v3PO5X7w7olv9K -Bf2jBR8XMR/xXz85uDkN9r1ps2r+RqCY/jjvWek02PTn87Y33wn0fZ3gyrXa -afDzJFOnww8C1UoUm6lYpkFvMu8TtZ84nw2U+/veS4MCVmnVzb8IlPHQ7tH4 -yzSIOK/5ZxqzyoHlY3qZaeCRfSEt7zfujykfm5ub0sB8ndNlvz/4/J0vXG/+ -OQ3ULvsLnv+Lz7e5KftK1nQQLY3t2rNAIMaT1tRigXRg5yv0/4fZ8dwxVt+D -6fDFoV2tbZFA6xaWFyxPpUND/Ze/b5fweTguFmJolw4pO5kzbi3jfNQ7X2X0 + GraphicsBox[{{}, + InterpretationBox[{ + TagBox[GraphicsComplexBox[CompressedData[" +1:eJw0mnk0Vt/bhw1JRQMVSUgZEoW+RSVuc5KQJKWIUEkiGYqiRJIiRGVKyFAy +z8M2z/M8z1Q45zw0h3r3b63z/mVd63mc5+zpvj97rUvY4oaBFQsTE1OBFBPT +//4+9mcW/sFCIJkVU3PX9DfC/7M889L0//ja1JbeGlYCjWe/57l6gRdOKMkE +vFpBoMhJZ8GaRn6QDjuqeY2NQN9nDG4c1tsOXAzT5SMrCTRYp/1K5ssO+Krl +nLWOnUAVZSauilmi0BXz9NooZkNPLSfjtF2Q+yduR8YqAl1wu/DDdUgSXp8q +6vNaTSDBEnuzUAVpcP/QEXh6DYFODzBWGVrJginb7FFxDgJl3NfJMdD4D5RN +Wf79wgzbV0yXKx6AHbl8OfWcBOqa2aTxRlceVmyQvR6xlkAT5soe0y6HYPqK +lojdOgIZHeKE5/kKUFtmNgDrCeQN5XsiNipB8laXIK4NBOLbkXebWU0Z/B2f +HZvAXPnPMfyirwrcaIxnyuYi0Cv3Ed0vfapwUrQ414ebQLny4tav5NXhv3ud +dsYbCbQ1ui/DLkYDNvfMiu7eRKADE9Nsl3mPwk9p1qFFzE9n3kh7vtKCPt+t +IU2bCXROo/Bfrrg2FI7JHo/mweshfFyDs/w4RB4+xuLAS6DUIzXNd66cAI/g +i/mqWwgkPcBuw8yvB+ZzLvab+PD4z+9caLbVB3WNAPFpzMfvrWcTNzgJYlHv +hnO3EigrrLrjkaIBrPpZ/OIxP4F0Q3+qMfaeghm9Lh2TbXg+OVgUzMQMoTFx +jnWPAIFExH9Eduw8DR+ZVxT+xeyVGnJSR9wIAs/x32wVxONZ1j1cK3MGbmbu +k3grhOfrm+YRTWVjMOTUHnXcTqA7y7oKVafPgpyVeZiGMIHYWWa2qjucA74S +V13eHQRquXS8pCzIBBZ5Atm+YJb/ZbRKseA8DN1IKCrYSSAmlpiBrE8XANWW +OPqLEEhV0m7rLn4ziBHu3m0qSqAidLZDe/dF8LpDjEmLEQjt45D9W3cRrDpW +vGIWJ1C7zo/QFFtzOCq1Tb8D88DGKCdjbguQ8P6PPX4XXu+MC4p/CyyAY1i7 +xFmCQDpOV0yjrC8BKWfhpLUbj7emTuvgZktoDbgttVWSQNYyVrYN1ZaQ8Tlw +Yhbzdv0fB8+4WUGISuLrYikCjQ5uEBzYZw3Or9HJgD0Emore/sSIsAbjr92r +zPcSKOTmVao2+TIc1iHRPmn8fAX2AtlrV2BbPJvLChn8+SxxNnDvVfi7vG1v +N2YOty+Kk9+uwqjR/qkEWQJFTUd2SpXYQEXq8Yjb+wgUKvXI/6rfNYhfdenU +8f/w+Tz2u+PVWVt4ZH5njcB+AsnJb+crlrwOVwuel5GYxaf/1bUz2cHxjUmu +pQcIpKV0y7C/1w722JZKB8nh/bJVVqwj8wasr+qZviRPoMAd5kbDWvYwL0BF +HjiI92th1e7j+fbQ4bzyNPshAj2SmKyWlnSA7BYBzj7MSFZz7EmUA7zcdaAi ++TCBhp+Yy5htvAl37uvccVcgkN9omE3S45twvv+SrO4RAv2skdO0YXYEpf/c +PgspEuhhrcvtmDuOsN0/KHoes/ZpzwLd747AMpVkVKFEoOxGc+TgcAsmFcvW +vgACCbjwKa2hbkF1aG+ltTKBTL0D53jtnCCRotwOqhDIfn2E52vSCfy02P9b +o0qgf9zLqc/tneF6jODMAGaOmxdllr86g96fAzEpagS6O2+aO+jqArKnThh7 +qBOoRv7uH+l/LrDxg+X6kxoEutcgmfrDxxW+r3Cv3qFJoEWuGj+ZDbeh50Lw +3W+Yv54IUx16fRvyc5L3Vx/F9Suq9cmS2B0IX18+G6aF9/tiEP+zrDtw90rf +26vHCPTy0My752puYFbGOKugTaAZ5ubxlZ1uoLJ1Fdfa4wTK6xJ+SFi5g4ij +UO0w5i0c2aDx2x1WNsp5pOkQ6HODav3aZ3fhs4iu3IMTBLLbdLNXU+Qe1N+1 +Ik7pEoglo0uQUXgPPnS7x4nqEShNZe7k6tMe8Ew6xOQn5pG928WCKA+w933P +XadPoKXeCfEqDU8wGCuve32SQD6Xg8pcQzxh/+F+T1sDAikn7yuYmPAEnuB5 +eaVT+DzZts2y7b8Pv2dXUesNCdRr6iAz8vA+DKhvfzeGeYybw+JG930ojpS/ +kHkaz8df/3M5ux5A9A/dTd5GeD+4DHzNdHsA9/WsG4zO4P2X28p+peUBXEq8 ++2CXMYHYuo/Yt+/0Ag3mF4f+YJZvnFr51dULxM99YDScJVCAw6P4umYvWJ1Z +kRB5DtcH9w5RI9GHMMsxYHrDhECXPB3MX7o/hGbLhc0q5/Hn1F/ZwM6HkFa8 +uon7AoEKedY7KO7xhiAe4YeTmMO3S/6K9vGGWzcOKuSYEojkan+TO+oNRrV6 +C4/MCGRV76r+UMEHDgpfTjp7kUDxrH5FbGE+sPXOvYuS5gT6oG89rvDVB5ba +X/AuYxYTsAwQ038Ew5Ipzc0WBGr80BJRlfIISh9Wer+5hOvX1tmJLZy+EDs0 +cOSmJYGGjEnF7dd8wVvu61c1KwLtl2Z/OFDvC5cD1rzfbE0gTYWzAdqSj+HY +Z2GLT5gdqeWD1/0fg6TKIb78ywQ6E7TinBr5GNa+1m/1u4J/X+lmQ6O+H1AL +lx+dv0qgNaMq1quz/KDtuIfSXht8fuUtWX/zPoHMuNDv/zB3dSG3cPcn8GI5 +5UPbNQKpC8gmMsaegKtR1aVYW9zvlP3Mfx31h3Opg1udrhPopmqwZ8ZHfziy +6lubph2B4r6tHhbkeQqC5hyPt9wg0NufMQaK954CU8EO5RnM3fkrctd+egrj +3Id/FtoTaK1L20SA/jOovHby41MHAnXIR6ZXFDyDd5VXrMxu4v3UuvArUTQA +fAU8t8k64n49bhAEzwPAxjmsg+UWgRx6/jN6tBwAJ1o++nViblnwXKd8IBCk +d1WrvHMi0AqfgJFNtoHAdX/ol4szgVweO6xRjQ2Er33fUo+5EMi/6oRbTX8g +dO3jvMzviuvptIZgPPdzyH2yU5DAHODuPNKv/RxeTR7uKrlNoLo/P5KveD0H +N0UD/8A7BHqX22erW/QcLoReVbNww/M1J8nl//05AOX55z93Ah3K+u4lLB0E +O7ReprPdJZDK2sPp664GwYqY1Cs9mH90/3h4KjYIpn9XCyXdw/WvXWSWGgqC +WoPh7jseOA+9zW2Z2BIMye+/P9XxJNBcXaSgtGEw+K9YqyF4n0B6KaUVbQHB +YHdBZInCzP58xfuGhmDQz1HILHtAoMxXWqXbVoXAvvWnbIK9CFTeYE1VqofA +pis2wlYPcT/g2C9SeT8Efpbe75XzJhAvv6f2VhQCfXyvAlb5ECgncrNuzVII +FN5M0+zH3LWxYGvD4RcQ2VCz/P4RXu/BPRGit1+Ah8hI1l1fAl07IFXSnfsC +zO/+uKb3GI/30DXb4R8vQK177U5hPwJVjYYHHZELBVFp0f4FzG3MNtvmnUKB +3ffI88on+HzruX9fzA6FL6OntEL9CeRkfGv1hR+h0Hjo2r/LT3E9f0yqbZIP +g49BD3IOPSOQ1O4HgcKuYRA4++o6RwCBHpiW9T/MD4Ob6ukiQ5gHRQ+tU1oM +A8PI2oGPgXj+zjnwnFB8CXI/RoI8nxPoaubccLrHS9ii9/OYQRCeH7TrlG35 +S/iTsI5ZJBj//0ykqTvbKxhkEsv7jrnpNpob03oFJWcVb9SEEOj5k8XPEf6v +ICbDUOzVCwI1bClUSml9BV4ctkM2oQS6/dW8j3vza7Cy9Ao5EobzV7VuXNPZ +13C0+PXxdS8JdJlnwG8o6jVI8GSwjGLmBBNX1cnXwHGjLj/9FYGuOAkaL0mE +A1Ezau/1Gu+varvNHPbh0LL9l/jpcAJJ8jwPt8sJh/Tb60fEIvB6Cld1iS2H +Q3C7WOgvzLfvaKT+px4BzpJKJ+ojcb70P7rxxZMIMH54ekVE1P/y4OKcdkcE +HB6yLbwejfNDpr/4af5I2Cb38Ca8IZBN8/aPmZci4e+zcAmuGFwvk3uvWX+I +hNFPGaPjmMmxluPXv0dCuXJ9WNZbAnmMbztUqxQFca/GdH1icX9ltAq6+EaB +z8IvNuM4Am3a+evT7fYouHJ8Q7FEPO5vV155tG6LhuNx4rcWMTs+yGm9czka +9iwrSTa9w/mBTafaNSMa1hsZjUcl4P52/uTx+uVomP94/ZV9Iq5H5ufjc0Xe +QAe7t75qEs4z8bk9mdpvIPtiBPumZAKturY3o8/+DYTlZ5ZMYWZbt2+3RNgb +uM3d4JT7nkAFBrIH3ha/AZNr41KPP+D6Yf2yVn3yDShW/p44l0Kg36OtfRs4 +YkBIgCtc6iOB+ktVTFfuiwEW510GfzGP2+kdFz0bA5PNsLo1Fef1IpPQ654x +UC1+pjQmDdfH8ar9IwkxkOhp5+KYjve/1wz/nZYY8Ovz3quRQaDXyTtVlH7G +gO2+yCmeTJy36mpfSQq9Bd0nWRGfMZsU7uVXPfoWZCYbThVkESi2PKHg/o23 +wK04scY/m0CfHntdnwl7C99e/Cm7kIPXd+d6CffSt9BDct2WziVQ/aoX43Jf +3kL+UQkZ5jwCefJfCNjKHQvhb5Q/tWMWjPsgIq4QC3d/n4mKy8f93qA67Lxl +LJgZ3DjtXIDXW3FmqOBpLKi89+HUKiRQ6cTpOZXcWNi5IqqCrwjnIQn1vPnR +WGC7kH1nFnPsOUKufk0cfMpulC0u/l8+fHShYX8c1K2b/PyshEDrU9WFv5vG +wYfLi9EXEc6TNkYuRx/HwbNS7jP7SnE/VZkxLM+MA3u+3etWlBFoj6dkqtVw +HBjcVKnqwry5V9VNdnU87G8wdk8ox/3Q3Oij6P544BGx/+92BX7/V48VVc3i +4Zf7oxntSlw/LZcFHvnFQ39XVMy2Kpw317Sqf8uOh6K9OcYk5lVHBBIej8VD +1KOm9aXVBPrI8ffQ0bXv4P7oZPXzGgKd/eq7IHXoHVw6tHT3Ui3u721tVYet +3oFG0MYDB+oIZDbzJdH++TsQn909t7KeQLumRwKbi9/BanXV2F7Mke3opuHM +O5iNOHsuuQHX1x3Baiy8CdD03Z7LvRHnv/cXf/WoJUCqrm/tiSZ8v0uW8umy +T4DnCdEeQs34+ejX2J/IBHBkypWbx8xMVjBpNSSA0dlmorwF/160X0/RrwQ4 +mDEVF9JKoCfRmiZnxRJhK8eyiXUbvn8IUZ4ChomwdGnTxoPt+DxluSqufpAI +w0WS9as7CEQZdXlvS0uE0s1q9wcwa1p80z0znAhv7c4dTOnE8xFa/jSfMwke +1jhQ97oIdIt3t7yaQhJYb3/8Tr8b3x+vcSt/u5oEWrffXNjRQyAl94uRjS+T +QLI9d9M3zG5hP9Qaa5JgrWRLQ1Uv7t/Xs3Z9/ZEElNf0g7A+fH/iclFWEUuG +tsHlQ1f7CcT9e4tnzulkyDywef7wAIH+++swaOCdDC+eSSVyDuLnnz+jzZOd +DC6f1MyGMfumh5cwTSXDWWUTnrQhnJ//rtvLvfk9KLy62XR/GPfnhHtPtTXe +g8DC44enRvD7OmS3JTm9B6bjMQqio3g9vdwo6XfvYTw2b+EH5qQroQOD3e+h +cqklqXYM32856/zS2D/Au9OfLr4ex/ftoo755IMfwPfjX17bCZxXd91ia7z6 +AWzYeVoUJwm0bG5VsDH8A+hc3OOzfgrfRwbN2B40foC9+eqKY5g32/IN8Pz9 +ABu4z3/LmMZ5+qiGTLt0CizYOL5/+AnvV7aQ35nmKdBV4Wdh9JlAstGZkkXB +KZC77S3fri84v9vpFc5UpcArp/zW35g/790QCb9SwK259VHDDB7/YnhVwe6P +cEH8s1LkLP6+QajE+QsfATz/fbebI9BqrjfFOwM/gnAfT4oygeu10xlnroqP +wLpvryU3iddfyFhL9MdHmPLT4J/EvH2dwi4ziVSomTjfnk0R6Njb0DXofCok +H7n1+BGDQBcj/k6oB6aC/4snymfnCXRKYEUSoyIV7Mi3P3cv4H717oBu+c9U +0D9a8HEJ8xH/9ZODm9Ng35s2q+avBIrpj/OelU6DTb8/b3vzjUDf1gmuXKud +Bj9OMnU6fCdQrUSxmYplGvQm8z5R+4Hz2UC5v++9NChglVbd/JNAGQ/tHo2/ +TIOI85q/pzGrHPh7TC8zDTyyL6Tl/cL9MeVjc3NTGpivc7rs9xufv/OF680/ +p4HaZX/B83/w+TY3ZV/Jmg6ipbFdexYJxHjSmloskA7sfIX+/zA7njvG6nsw +Hb44tKu1LRFo3eLfRctT6dBQ/+XP22V8Ho6LhRjapUPKTuaMW39xPupdqDJ6 +nA4B7luuav4jUM9I6JNrceng0CW9fQsTiR7pqI0EoXQw3Hu05wvm0wXihY39 +6SD3yPRZITOJVt66ycf3Ix22jDppPGUhUbKj/jcXrgz4c/DpkikriUItCI0v +Uhkw+DwuU2YFic68clx1XSsDSmYKbVjY8PeFOOVYLTPgjVqHcCdmhw/Dte89 +MuBBxExv/EoSvY/nKrQOzwDL78yBLuwkura9fuX+3AzQ1OU7emwViZpHJd9t +7MgAiQSZv1tXk4g6ezaYjcoADiat7DnMkymOtas5MoEwNrMtWUOi1JTXsF08 +E1rSnXcGcpDoYN/cgpZaJqSvedZvzkkiVbNHg15mmRB8Kf75f2tJZC3g86PV +LROcioq02Nbh8b5hVZB5mQlnNnf+68asvoMvLiYrEw7ZzeYkrifR8tNhSdG2 +TOCvYbG7s4FEJW42jQVEJiwLbRXV4SJRwb52D4s1WTDqKjsowE2i6kQJ5W3i +WVDephVMYT7+wIv9s1oWxO2+qF22kUTK3PMtVRezwMfLhTl4E4lEzz0Izr6b +BVcGn+VZbibRt9WaOrmvs0D7wLsbcjwkEvQ89q0+NwuknhWLreIlkWfdS29G +Zxas+9Q51IfZLEbpj/hCFjBgLuT9FhKdc1DXcVifDe0vWXXu8pHI6mSGU6NU +NmTPb2XV20qiSvYA+0Pa2RCmva9gOz+J/q4alcu7nA23Y485LGDuc0kq1/LO +BpOli7sqt5FIX5RcO/s2GxRPu468ECCRf2Aqd3RpNgh9DAi9LEiikWmi3nI4 +G5jZE04cEiJRLmuizJGlbJgwK1nBsZ1EkfYDiqJbc6Aqr6twEPMuq4dzQgdz +IIGLuPlRmER/qiPlpIxywM9mxW7PHSTKkRDm0b6VA7YV/GMnd+LPm1d63w7K +Ad1t/73cKYL32zuNuwVpOSDjpK33HbPuiY5fnC05wN1svrJGFM/v+rh5eyIH +vondLn4pRiLHqWTzaY5c6PYIvGUjTiJmlz7V67tzIa83QfLILhJ1b9kewHYs +F17LovG1Enj/Nl1XTr2cC+5+3a9GMP/USNO38ckFswlCP303iX6MdOTLxeeC +yhG2VV6SJApLqHDaVJkLO19sQ4ZSJLpjdPUe60QusJH/OYvtIdGXUylNrCx5 +8Enz+J5fmNuynC9sFs6DumiLybq9JCpVeC9+UDkP3v+6HR4uTaJeY2kxW7M8 +eHryucF1GXweJKYN0u/lwY3kxNUgS6KJ2+kJ7FF5cJK1tHTDPhINlTkI3ijO +g/3ne1zGMTPvWpn+aTAPeLLJvVn/kWiDp85Zh6U8+LV25bT3fhIpHhfm4tyW +D/3WApFnDpBod55Ze65CPhSh/YYScvj5b8dCb5nkQ9QWHY5FzD0hHsaqbvng +6XCpvFGeRO2VG7iEw/PBov7O7aiDJPrNc61gQ2E+qO8MkrE/hPf/tku6XAP5 +IOae9EnlMIn+bWqs3rGYD6u7SqM2KpAoY9ZFQIO/AGb39J6ewnzgsLyOq0IB +NPlQnLlHSMR3tE+nyKQAUkdWVvoqkkhipRQfl3sBPD8o6HZOiUSXtywlO0cU +gOPzA/ukgESsjZuW5ooK4PSMzpdlzOe2Ka+8NVQA8mqWb1qUSUSma1Zw/C0A +vgi3MzEqJLIcp6SyBAth8VvQOkdVfJ7SmBWvQyEMn0iuUlcjEX+jMiF3sRBK +35W586jj/RpkL891vxDe/uv97zPmeM5Tm5diCuGhMWMmX4NEMQuJnj/LC8E6 +nf3tE00SSSfKOrJOFoLWGqGzF47i91V6Py3EVgS7L8ltkNYi0SXH9k4dsSLg +LDpRw3QMjz/DQt7vaBGQm6zutWOe5eZZ1XulCFqvux+I0yaR17l4ZTm/Isis +Dp5zOo7r4Z+a/rj3RfBC6H3sUR0ScWzc37qzqQhcXMvP8Z0gUZRd1NZMsgjO +tvVxzWI+5VOQabChGBR2z9cW6ZIoz+PwC5Z9xSDgtcrzmR6JDu9pySs/VQz/ +BoTkL+qTSHjdri3BTsUwtl+elD2Jz7vu1w+OYcVQ8VQ3ntWARDdrhuwt84sh +ftrqfBfml3+DLlgNFIMv3N2YcIpEL8SKbZ2Xi8HmZUi9qyGJGgTZXoYJlYDO +/Pv72qfx/Dty99WqlMBe7YqD24xIZHDhicQayxLYENtPEZi1yzd5mfiUwMLi +/Dt0hkRl+w1GihJLoNNwtelzYzw/X6fk9zaUQE7K9s2XzuLxzNr7pBIl8HLl +wcb950jUmhVUqbwBwR0zPa+VJvh9Qr7Mju1DcCHP+nAvZv673D+CTiMArnvz +Sefx/l+bNHjKFYGwzYtEtwskOhR49JVIOALWig9mJ0xJZPfXR5StBMEUfyWP +kBmuZ0t/73wfRVBza6CJgVmYfFv3h7kUkpoWHpZfJFH5iV+ZDzaWwhOxNUdC +zHG/vHnsNrdoKVz3EP5qZUEiY18znmS5UtDrPZgsf4lE3Fysvse0SmGfrL75 +aksSbZ9arGecLYVNfpe3DGDe8x/XYPS1Uvgxfq/lgxXeL56r84zulkKvQqjP +PWu8PxKSTTYHlEJBSIqi/mUSpfXnVwy8KYUIovKb8BUSvY1ZnEvKKIV7moPv +v2J+1v9fp0dlKVyM/mpRdRX3m3xR5wvdpaD6a83WMBu8n+uDW1Q/l4LIyR1t +V66RKIWAIek/pcCefMj3sC2J7p2bDRfhLIMvLCeB8zqJKqKMVgkLlkGDyZUf +Q5idolSERGXKICXLIyXVjkT9wdeGZFTLIGBtmOX9GyS6vz4Y1A3LwMH6I/8p +exKp/XJSNbMug1Ooql3EAffrJ23j913L4MCWocc/MBMmFgIf/MqA1+Gbcu1N +3A9OTZJDEWXwu47j1ytHEtlkchvyppbB4I6dqddukchnQ+Ix47IyKHE7bK3o +hPPCGdPGNx1l8KbzpMB6ZxKZN5K9jKkyeLDnaucoZhF2blutX2Vg6eP5JMMF +z9dPjwcJa8pBcyRM9aEr3j8T1JZ1AuWw62Dq79O3ScR2eYuUu3Q5rHlenSZ+ +B+eFW6l58yrlMPdl6PJvzNQpm0I7w3JoVv0u2OCGOW5Z5qt1OaSFc3ZHuJPI +9bwAj8ftcgj+tvOp3V0Sra12v8ztXw5OJxTUle+R6LZXs3BKVDmceWewyOWB ++7F/pYpeejkc+nc1YwJzmMyGyl8V5cBvfP9qtieJrm60iE/qLofltJfbH90n +kZGMzbj5l3IYWZ3WY/yARNm9PS7CS+VQZlHzbLcXifZmnbX4vK4CYguHNZYw +55dGRuUIV4D3ph9LTQ9J9MbgpIT//gq4cn1tVrQ3iR5sEv535WgFaFeLXHPw +IZEee/62E+cqQEroyA61RyQ68aDy3sHrFbDO9VTfJl9cv/8jhSU9K4DRahM4 +jTleYJRFNLgC2iUeHM17jOtNhJqg2LsKyHrw6u9jPxLdNSuz35tfAaEDadkm +T/B47Jh/KjZWgOv+Wts9/rjfi71PMRypgHNPR3b+w7x42Or5zYUKUJz+0d/6 +FNfXnK6oMLZKEIJ1QW+fkYjzd0x7xZZKYH4peuxWAM5n+XYSPyUrYYJxhEkz +kESxbKPRslAJVccMc3mfk+iC8qt9jgaVkPD2mt0XzKM7FYcLrSrh8eID0cIg +Ej0tvR7NcbsSrhm+HvQPJpHk3cabFv6VcCIlPdg0hESfef4YlkZXgvTKOm2Z +F//LY6GqopmVwG02yswSis+/j8rB59WV8C33Z14HZtZHr/at6K+E7g3r7ePD +SOSbf2TvPaIS8q6Kibu8JJH7k1rxf0xV8LpccVjrFYnelfbxP9pUBe78p19s +fU0iTUdOdp5dVWB6y1ZnDvOGTUtT7xWqQLnJi7UknET7bNSytfSqYIdYeEFA +BM73we4OcxZVsMIjw8E8EvcHVhXeMOcq+NRTt+u/KBJlekvEavlVQZ3M2MiK +aDxfh5u5mKOq4P3jX6HdmC+8rjYrTa+Cp+PrdRPfkKj+ZtJjn6oquKEgznYn +Bu+fz5z+p/qq4GSIUtHxtzjPu/hcEieq4D/itKNALIk+MpI5WZirYbPm9d0U +5iH3dQ8nNlXDz6iHY6VxJPr0Wr26YVc19P0MfxkUTyKd7aMtBUeqoUg/U8/y +Hc7Hhxwj0/SrISqpfqVcAol2XAmQTLGsBk+W8WL2RLw+PlXOaa7VYGHy+1Yf +ZoPKeLcC/2pQz9og9T4J14sEJNfwphrE1u6acE/G+WPd27jxrGpYZQ2vdd/j +fCs1UMZUVw0zJUYnt3/AefLJLz/RoWpo5LVbtYC5m/PRkv58NXy090YVKTiv +febe6MVWA8/rIpxffCRRcIp4QyFfDTjuyNpzORW/j7K+8OKeGjjt1jB5MI1E +cUM83CqqNSDfOR6+Jh3fv3jZXj81qgG+PX8MBjHH9cRmj9rUwKI315qPGbgf +mbqZH/aogaHhXWUembi/q258/Tq4BpC8suvJLJzvHBZMmBNrICbwjPTObBIF +SUfH2hXVgNcXu+lvmLn4oq+Pt9aAtapPZHUOrudCLjnnp2pAKzzS8GUuzn/+ +7+8M/a6B3d+yOGzycN7+i3Is19UC54nGcoV8vP7X5S8v7KgFMn7i9toCPH6x +EF8f+Vpo/ftHZgTz7eYzvMI6tZBxhvtzWiGeXzPWDeUXayEkTSL6QRGJXu/h +vXHVqRacV6sYGRaT6PEotyyvXy0YWxivFSvB6+3qZNAQVQsKhTcqf2JuOFHc +4p1ZCwKbHrnVIby/116J16ythX+2UfvCS/H9pWihZe1QLYxVZX+xLSORfG7f +8YH5WqgQbHqjVI7zaNrLzakr6yDeZfLMhgoSGWYmSzzmr4NHrYvrxjF/FvJ7 +eFWmDq5KbKzOrCRR08e0HfoadXD8we673lW4Xt4IY1I8Vwd7BlT2n6km0UJN +zlaZG3WwYf/Z2V01JJJy9rku8bAOFvzt3/7BnPPs7vddr+qgc+rR2cZa/H53 +uVP3fqyDHKXoDVF1JFJgKww9XFEHL8Nyam7Uk8hWeS5Rp7cO7jCa7qk04Prw +Zuu4JVEH549NHdjYSKIsIl/Ji6UelN4uzU1iPqalWJjAWw/bFzfG5TSRCMR5 +Ddul6oHFUNLEtxnf14zz2VhV62Hqgyr3uRb8e6wvGg+dqYcatnN1kq14Pd5u +jXe2rYckUwfPZcwvOAue5N+vhye5vvItbTifXBt3Zwmrh+sb3pBv2kkUosbi +dPJDPehdzY2/2UEib7tH9u/K6kG2vPm8eieJXARHrjH31MNG/umNPF0kKhx0 +umQxVw/fHZfrP2He7Jd7qo65AXoaNz3I78Z5wHT9ITneBigQlTr0pAf3+5lP +65OkGiDinhrjfC8+H5vedwqrNsC9nnMJe/twfeAv93pzpgEuytw0Zeon0ZHQ +F3yi1xtA9fHjze2YBW8+DUp90AAi428aYwfw/ejbhll42QArFfK8nAZJ5Ffo +I9Sd0gCfg1sOHx0i0a8CQ6mbFQ1QPzc9v2WYRM9hdO2mvgb4oPE3cQazI/G0 +tJBsgGdRmy8WjZDIobRM+eqKRnD4KcX7bJREvJwjftu2NsIpffVmszHcP920 +wrukG+FAkom37DiJTLkv2oVoNAIvi+MR1gmcn3Y/Yjpr0gi/z/l97cS821VQ +d6dDIwxkxiS/m8T5eYXPqa8+jVDMmW/uOoXz2j6BtXURjRBt1bpFexo/r0jV +JS6jEe6XfGrh/4T7XZbhM+/aRrjE+8+HwBz6ME3XdrgRNO15lNBnfH6pjCzj +b42wq27P98AvuN6uyyvSXtMEa3ZofLCYwfnJkP+S6vYmmLtz/tL+WZyf/OTj +QK4Jmjsct66cI1FSp7W7qk4TpEk9aevB3PCe5bO2RRMEeb/1TSJIVDV+acLY +tQluDeeDG4n7Xdy4je2zJjCSb/uhQ5Go5Gb3He+4JjgY+DlFkIHvAxKh6+MK +moD/yz9LBmb7RRfR2tYmWFbh3VY+j+v1rba8+ekmGHm9tyN4gUQHXX5WbV9u +grKvGn5WX0mUqqx07PTGZojVuaAi/w3fNy1/KwVININ3/K1fq76T6HyrW2wz +NMPlv09S+zEfr+V13GjUDMfOxFp/+EGirTOCyRdsm0EyrUDg3k8SpUuOq6c8 +aIa1q9s79X7h8zeQDiyvmoFh/uWJ8G98n3wzFHo+tRnaC5jUvmLWvp2lWVTV +DFkbt/yp/IPre8nD48KDzRBqK50eukiidcIRMU8WmsG1SvPKlSW8PklHNRZX +tcA5QVOhw8v4POd37LMXaoEjLk7dHH/xenH4W88caAHBVv+nQ5iFMgsHr+q0 +AJNEnHrqP7x/+VOekxYtMH6/cNGTiULma5Lvu95ugar+9gwDZgqJRiwmsge2 +QMJ/M1dFWChkdnSGNeJdC+3DUUhsoPjZgeIW2oej0E2PYo3Ojhbah6OQSLa0 +qOtMC+3DUWiVZEpIIFMr7cNRyEtbJeETRyvtw1Hoyz1nLRXeVtqHo1BigZ1z +5I5W2oejUG7EcemlPa20D0ehV/Z7HS4caqV9OApd2nvwcJl6K+3DUaj8zmNf +cf1W2oej0O93qucCTVppH45CZJpXyqJ1K+3D4fGo2XpevdlK+3AUasnib+u7 +20r7cBQqW50eqfO4lfbhKOS9oD1ZFtJK+3AUOtPIHnvoTSvtw1FIKoatN/N9 +K+3D4fcXt7gvk9tK+3AUulpxODK1vJX24Sh09+EHCdnmVtqHo9AP8U6R7L5W +2oejUAJvs7/CVCvtw1FI3ibHrJLRSvtweL4nEsP1llppH45CDQulSkPsbbQP +R+F8ynf0+sY22oejkMCvpox/gm20D0ehxb2/PEJ2t9E+HIWYPDI+SMq10T4c +hQTzeeSrVNpoH45CqiZKAuYn2mgfjkJGgaoX/hm30T4chbRkjnyPtmyjfTgK +rStVm1C1b6N9OAolOTiIfnZro304CkmLDOUHPGqjfTgK1V+KijoU3Eb7cHg9 +rza2TUW10T4chTy23TsZktxG+3AUClhfz6+R00b7cBRK9qre+6usjfbhKFRo +/dg3pamN9uEoNHpql7hVXxvtw1HIIThvldBUG+3DUWg1v57kAKON9uHwej9l +evpqqY324fB4FMYkz61qp304Cm0VZlspsKmd9uEo5DrjwTcu1E77cBTSpSwt +kyTbaR+OQjsO1EzelG+nfTgKjdnlv1RSa6d9OPx+Syfuceq10z4chabnQoMH +z7XTPhyF9v6J7/5o3U77cBS68dRfw+tmO+3D4ecVmQyfvddO+3AUUtERid7n +1077cBTq/fDDe21oO+3DUej+8njgTEw77cNR6OOa38V1Ke20D0eh67paHO/z +22kfDv8eY8T5WVU77cNRaH1181/Htnbah6OQocHOGJOhdtqHo9CfqlkTjS/t +tA9HIed/B/bIfm+nfTgK/fNbv1GIuYP24Sj07K03x/q1HbQPR6GZPfGbmPk6 +aB+OQh/K70h9F+mgfTgKqcdxn5yV6aB9OPy8ClfPiSMdtA+H16ssL29Iq4P2 +4Sj09PPQzz7DDtqHw+t74KtC78UO2ofD76vP6tVr20H7cBT69HxLQ79rB+3D +4fVcPsI98rCD9uEoJKd5x2gqsIP24SiU39T3gojooH04CrHGWjb/TOygfTgK +XfDa+Zc1u4P24Sj0X7/gTu6yDtqHoxBfmanCjqYO2oejUPu/GfX9fR20D4fP +G2eDotZUB+3DUah/zUoR0/kO2oejUGfT2+9Oyx20D0chtu6EtIDVnbQPh+uN +Af+p95s7aR+OQjHbWQdqhTtpHw6Pp9FS8/OeTtqHw/0hQCN49eFO2oejULZB +MpLS7KR9OArtTwmrO2nQSftwFDo7yZXqatpJ+3C43sxts4ux6aR9OLw+1kUr +Gp07aR+OQhzvvtr/etBJ+3B4/yZWpYkFdNI+HJ5PgQPlRuGdtA9HIXtz9Vjf +hE7ah6OQr8f3k0WZnbQPh99/n3bjPOqkfTjcH8bVNkg0dtI+HH7/hAl+i95O +2oejULjWbiJispP24Sikc3jLgz5GJ+3DUajrTUov73In7cPh8643Q55Z3UX7 +cPh8VjeVv9rcRftwFPp88IzBkHAX7cNRqOKhd/iOvV20D0ehDYfORFw93EX7 +cBS6ElxvkKHZRftwFLL1HCpaMuiifTgK1YY/7dUy66J9OAopy/TGhl7ron04 +CuXIF2ybdumifThcT01kVOQfdtE+HIWGF+TW+wV20T4chSRW1j8cjuiifTgK +pR+fit6f1EX7cBQylXho6p/dRftwFLqYFVMyVdZF+3AUOpJ+sES5uYv24SjU +GqxmEtnfRftwOC94FAUvTnfRPhyFXmhGWpl87aJ9OAo9vzbSXPSvi/bhcD8W +cm8S4uymfTgK1ajbmj3c0k37cHj+bBIfzIh00z4c3k/tuw4YyHbTPhzujzZT +twoVu2kfjkIDHV0gpt1N+3C43p2fDw4y6qZ9OFx/VkjfYLrUTftwFNK87d1y +40Y37cPh/cA3mzHq1k37cBQK+3qa95RvN+3D4fmtKvxTHdJN+3AUOrDEZXIk +ppv24fB85ZyQy0zppn04fF7LLvlJFnTTPhyFMl5rnY6v7qZ9OFyf7Bkh2zu6 +aR8O9x8hvaORI920D4fzxQFjG/65btqHo1Ap4+/i61/dtA+H+wHI/eFn66F9 +OJw3ShgWUVw9tA9HoTfF2/fvEOyhfTgK2R3Ou5awu4f24fD+nUhk3yvfQ/tw +FNrGN8mWo9ZD+3AUuv3lnCXo99A+HIWqN/3dXn++h/bh8PnnKDlkdLWH9uHw +eSP8Pkw49dA+HO4X/oYuNx/00D4chb5dXfOSJaCH9uFwvsgL5wwJ76F9OAq9 +P/uzXSyxh/bhKPRzjONLQVYP7cNRaM2LGh39sh7ah8Prc3nL0nRTD+3D4X70 +b/bbvf4e2oej0Lt06QNbPvXQPhyFTHxaCzK+9tA+HK6PBwq9dJl6aR+OQufE +Bp/OcvbSPhzuB45CPY/5emkfjkJOn+0vSIj10j4chc7/KxSs39dL+3C4307N +brGFXtqHw+fXZfbYep1e2oejUIpGfGqmcS/tw+H6/oxV66xVL+3D4f9XZ2xg +utlL+3AUUlx1elXivV7ah6PQsb38Uief9NI+HO4fhZJ3FsN6aR+OQvxWNxjv +4nppHw739+Bm/1PpvbQPRyFtM9kTzCW9tA9HobYgD+m0+l7ah6PQbNIb2Ys9 +vbQPR6GFNreTXJO9tA+H3/fLT/8KRi/tw+F69mBxzHm5l/bhKNQ3aKsruaaP +9uHw/JKyraM8fbQPRyFxExmrsJ19tA9HoVC3Exx6Mn20D0chF2O7UnbFPtqH +w/vtg8uDsmN9tA9HIYupE3ruRn20D4fHK9wlcvBSH+3DUShLZp7p+40+2oej +0PdQ77EM9z7ah6NQpq9TpcPjPtqHw/ViLjZBNrSP9uEo5H7+q+/C2z7ah8Pj +3aFpnZXaR/twFDJQvKfoUtRH+3D4eSZ3ORXq+mgfDu+XEZG2f119tA9HoYfD +px9XjffRPhyFNgvO7/On+mgfDvdv3p6GU0t9tA9HoXs/P53ctrqf9uEoJBPO +WjG1uZ/24XD+CV4tkLajn/bhcB4R6bvgJt1P+3AU2qh20uvokX7ah8N57qX+ +k03H+mkfjkJPPLMdx0/30z4czpurTA+nW/TTPhyuHwviQ543+mkfDp+/dMbZ +k+79tA9HIcfrLz7ueNxP+3AU+vp3offbi37ah8P96etUd83bftqHo9A+P+13 +4an9tA+H+1XOkrp9UT/tw+F+L9ibplHXT/tw+P7Dn/eJv7uf9uEodKvA5dPC +eD/tw1FIuGA+pZ7qp304Cm15xnQodqmf9uHwep2/5+O+eoD24fDvM2mEGPEM +0D4c3m9sey/K7hygfTgKDaZwf+aUGaB9OHwfIBokvhwZoH04XM9t9+2sPjZA ++3C4PvgItscaDdA+HO5P2lf2P7g0QPtwuD67fzpqbj9A+3D4vhTsuEbl7gDt +w1FoU/7EbWG/AdqHo9BE47oQlrAB2oejkL55g9Fk7ADtw1HoF57D6rQB2ofD ++6n/ZFNS8QDtw+H9fqXG/Wn9AO3D4ftlvWijQ88A7cPh9eRRzTeaHKB9ONxv +t8yrHJkfoH04fN/WZ7fc8XeA9uFwfpw3FljNMUj7cBSqmsy/xuAdpH04CkH1 +sk6vyCDtw1HowaefJaWyg7QPRyFjXTeUpDRI+3AU2pl9Tif4+CDtw+H7vt+V +S3eNB2kfDs/vV2eWK1aDtA9HoeXqM+Knbg7SPhy+jwYPtih5DNI+HB7/q+7f +u/0HaR8O5782nljeV4O0D4fz5fT1uhXvBmkfDq/v4Q82CxmDtA+H32ci5uEo +GqR9OArNJwtubmkcpH04fD/gXuAr6RukfTicF/RmA1OmB2kfDvcznT6XyK+D +tA9HIf8kv8anTEO0D4fXu6jJ/97aIdqHw/s3wD7nxtYh2ofD9XhC+ai5+BDt +w+H13supeGr/EO3D4fwUHv1CQ2WI9uHwenDU6h7UHaJ9OHxf4TGwljQZon04 +CnGuWtMveGWI9uFwPR8vTOB2GqJ9OFwfzf5rWvlgiPbhcH+fVdBafDZE+3B4 +vpLf8DDCh2gfjkK/XaXkphKHaB8O54+ppLj+7CHah6PQY7kJs9byIdqHw/3/ +6lur6pYh2ofD/UomPbNocIj24XD+/NZ7NPPLEO3D4f7XPS6U/GOI9uEolPo+ +7FAM6zDtw1HImqk06OWGYdqHw/WJV0QiUGCY9uEoVDn75M+j3cO0D0chSym0 +wlN+mPbhKFSv7K3hqj5M+3D4+5rxBfYnh2kfjkJL3NPmV02HaR+OQosy6w5Z +XBumfTgKqU1MKJq4DtM+HN7PO/fYGXoP0z4cnt+Y1JoTQcO0D0chq2oZraPR +w7QPRyHmNCdC+cMw7cNRqGm/Wvbh/GHah6PQ0fELkfurhyF/hncDJxMDHVt+ +HLu3Yxh2h8XO3sIs8OBJ1a7RYQhXk64ZwvxURIx5JzEMnIyCt5rMDMTQEzAU ++DMMdyM076ViVhTfX8TLPgKkVvvZLSwMxOu9R5570wiYfb9w4D7mscWGck7h +EWiN+bJhBnN9V9t59r0joKLrNGfAykAjm5hZWRRGIOMPU20hZn5H/syloyOw +M8E/VmQFA7lYjNv8NByBkFNbPJ5i/s3Ju2vBfATYmOLO/cBcZXjn85zdCDh/ +kJYzY2Mgqc7GpE9uI/DJuJCrFnPpUPPVcd8RMGY7SsisZCBTd2WxoRcjUJ/e +XvsKs4bj2GDP2xFQMDWNY2FnoB1KDk/aU0fgw5oZj2uYhblSpZuKRkAg18mk +EzNz39mamroReHaJWV5xFQMJKcjol3ePwL/1T7nfYfZ/wagpmhgB+6It5LrV +DLTln7FULmMExq7E1blgtjkq7pa+PAIGm2XiRzF7u3H2nWYbhYqyQs9jaxio +MMHpUzvHKOy3O3o+A/PL+JRPetyjEL+1Q56fg4E+Nwf1Nm4ZBZ4a040PMa87 +x5p/TGgUHjnOkHOY2c4NPaoWHYVfQs71pzkZ6EbJXzU1qVG42sj8rgRz+CmN +ObRvFPpdn94XX8tAAeXPPI4cGoXjonwXAjGvf563lAejUNQWd/A35nfCbywO +aI7CnnsymyzWMVB65o60dJ1RiNpdRNVjtrwkMLnn1Chs6Dna8N96BuqSuL6c +dHYU7nt1vIvAzDZE/hG9OAoL0mYP2DYw0Bdpj4EY61G4NDhzwQ6zfcafSIHr +o9Dp63yoB/PzNkWVV46joHGAZbMyFwPp9ohUbrozCjljTxmJmCtmnogGeo6C ++DO+Ri5uBpLYoGfJ8WgUXh6OT7iDeWKXtofP01FY/UnGawKz71djJ+aQUbgT +XGSqs5GBPnGc0XB/PQqzoHU4G/OmWSHyx5tROD/XsVlwEwM5fHpm55AwCk0v +zeZ9MOfu96iaTRkFJY3ZRgrz0kTDvFXWKKTOOycab2agsgm9hZGCUdgexfKw +DPPvf1NVZ8tG4bn2M7PdPAzEPX7lWkfNKLD85FMIxvx3Q+aYTvMoOMbG8yxh +VpqPEq/uHIUpPdkFS148P5Urj8DAKBgtFTU1Yc57XymQNzYKNYlaSXJb8HrH +ZjTIfB6Fg6c7H0ZjZvFJVk8iRyGJ+eLFVXwMdGWtzyPh76Ow9eOsggPm3hbB +4FeLo/DknAtvP2akomvNxTIGSytZv6puZSDzbOKf76oxuJ75rPk95slz3aZM +68dg2Gxr8iZ+BrqoPHbfZfMY6HG+876Lefjkp8sk/xiU5smaT2O+drZsvdWO +MZC1Kj6it42BBP8ddR3YNQZvuY5tycMsXHfqzUnpMdhY0vl1uwADFfEX+9Qc +GIOHNhdbHmO+eOj8bsUjY/CdZy55AXOK6mq/DNUxsK5w8TERZKD7chFx4sfG +oOcGq0Ul5r/zc64RemOgtS1AcY8QA72Q6WDjMhqDgtqtfKGYufzFtL3Pj4Gk +07tvfzHHuJeo/7YYgwjhfa2XtzPQqPHNb7ZXx2Btc/H7Vszjp/mMR2+Mwb07 +xx4dEmYghUd+dqecx4AS67J4i3ntSIRctfsYXOy4qMSxA/Ne8dSDXmPQ5jHH +dwtzyE6mnuTHY6Aq5fp9EHPsib8ftgWOQWYva5vGTgaa3/JL+lnoGIh4B3z4 +iHmhtcL0X8QYvJDl9+UVYaDpaPmD9rFjsHL43SVPzKPPJApHk8bAxW8ffMHc +7n1jWj9tDD7LlWw1EGWg0OMjeaU5Y3B24tiPAsy/vXVlZYrHoD6gq22nGANV +5wfpRleMgcIR8xR/zO3a99evqx+DD5/nfL9jZnWnbrq3joHAC1dLU3FcD29k +uMx0j0GAygrlGszrxaK3GQ+NARMZwC+zi4GcPf0uVk2MgcNr/p8vMcsqHVPd +NzMG45oJ7cwSDCT2N7U0ijEGp77u+2iD+ZlScP+an2NQGV3yuAMz04WaZ87L +Y3BAR9vqyG4Guhe6fXCMdRze/epSjsfsxu5YprNmHHjjzbetk2Sg2fdBirkb +xsH3JPHTGfMJs1N6wrzj8HvZtWMEc/qg73c/gXGwSV6RqiWF+0nJSulvO8dh +wCjQLx3zd483f87vHgcd1m3WW/cwkOYdiTNVMuNQnJqg4oU56NMttT3y47D3 +/H8Cc5hvj50uCVEch+hV6JfhXgZyMo+uXlQbhw3Z2p3FmMeVRUwstMfhvnl3 +qpg0A605lHunVn8cFtZaPAnALDuxW2TvmXGwLCCsf2GOzjtpFHxhHLqsb6ua +yzBQGvff9b8ujYPmRjbBeszdu1n0z9uMQy4K/L1PFo9nq8zmUvtx2GW7rSsc +87rBs6Y7Xcbh1ZbEtBX7cL3ROrnb5+44rKn6z/865g7+zy6fvcbBzQFd7sas +QlEa2n7jMCdwXA3+YyBDpcPB7wPH4UJ9t2Ai5seWL005w8ah2dniz4b9uD5H +DryzjRwH2El23cactrLLpjF2HNJabqePYxaLOJ0kmTwOwu5sT48fYKABhb3m +fmnjELTr+ZUszIJx0s8/54wDa9c2dQE5nAccdx/ULB6HW/cThXww80hShrEV +4zC1Z/8iiZl/t+70v7pxMOpH3WfkGehyBu+sSes41PgczyjFrD7Nb5HbPQ6H +/ut5KnGQgYja3drcQ+OQPGJxNQjzS6VNb20nxoHfn1RfxOzDmXil+ss4+B+8 +s93yEANx9mVHCDHGYXmSbakRc5TkWkXXH+Ng9/x5z4HD+DzZ3tVqXRqHEUWB +zCjMadNdxeKsE6A/k/iMXYGBZCqnwu+tnoCy0P029pi7udzHOtdPwD61Uo0+ +zM7Fl5/u5pmAWOq4sOoRBjoV6hLlsW0CNkX0LCVj3nnizsbOHRPgrXWpd6Mi +A4neUpoVl5iAH9/ITHfMuzyCRNykJ+ByzJ2AKcxhFeolTQcmoPfEymu6Sjgv +uO7MFToyAcf+PNfMxdyZs7TWQXUCCt4J7NgO+Lzejior05oAyVNJy76YPcK7 +W7n0JiDi3/6+ecy+gzcPm5+egLUfSrPOKeN+Oai8nGoyAR7GOoEVmL9tW7fl +r/kEMFb0XpNSYaB4lsTHx69MgHn6paMvMEd2DOi/tJuA9gvUjr+Y5fOcbSdv +TYDaGre/1qoM9KdAY0DabQKyclb2t2BOqN0ccef+BIheCso+qMZAammZKZWP +JiB0veDzGMwSO+fWrXs2AexFSbZr1Bloc/aTEqOQCXC9ckDLEbPMoGlh1OsJ ++LKpbOcgZqF52RXTbybgXJnOP3UNXL+l28KkEiag4Xpvfwrm+sxVTjdTJuDI +VsscHk0GyvSIe52bOQEp1dRzD8yfnOzZl/InQNDR7fpnzErnDxZA6QQECLEf +O3mUgcwGatIfVE8AU2OQSAHm+nszc5WNE+DgKsi0Uwv326xrNis7JmBcJHng +CWa+Fh6Jo30TYNh2IPcb5iLmXPFHIxNQdbcs6MIxXE8sea2qpyZAbvcJu2rM +2vMsoyvmJiChu/eYtDY+bz7qYaoLE7DFy1L0JeblyXePPX5NwGNpBhPzcVzf +0r5lF/6dgD8DboNXMVMOv7b+XDEJ13zZ89oxcwS758pyTMLg/uBgBR08Xovj +fte4JuHEmOCNOMwj3NJBcbyTUPI0WXvtCZxf8uebBgUmQfqwnJgzZlfdC0c2 +iUzCm+ky5hHMQ6kKg9q7J4Er+MTQUV0Gaoo0+uApMwkPoC8vDfObIpf32XKT +8HXWMoRPj4G8Qq70fjkyCZYvGTceYH78fEFWQG0SutTdj89iLmQdydE7Ngma +8+zihvq4Xgj9tLqvNwm5kcEsxZg/LbIqZZyeBAltoWHRk/h+ENqsOG4yCa9/ +JOc/w/yyWciSy2ISOGLlXvzE/PZqaSpcmQR3vXL7iwYMdGbDbaHrdpNALJ7Q +qcPMqc+d8erWJJgm9onvO8VAD14bWlfdmYQWQyvWcMxfNVYpMDwnQZl5fpjV +EOeNp9/3bn00Cekp7gW2mG0CJpXVnk7CjnOrQrswN+tH3rgWPAnBK0MclE4z +0MqCT/lBryZhRabQiQTMgS+fCOZHT4KT2ftdG4xwXorSjxiOn4RpDvkVtzHX +XPory/phEs7klY+MYe64dH5YLGMSai11C7XP4P7JtO/NsbxJOMTVH5qJOe+e +pvO1kklILra6uc2YgaQ5zM38KyeB32b+hDfmFTla5z7UT4I/z10JEvNqp0qr +htZJ+Fu+iu3MWbx/d4Z7fumehBs3QkYRZt/7wUkrhyZhlH970a5zDHTrwK2R +HROTcLL2fdhzzKH1rMJKXyah/Ja84x/Mc+mrrhtTk/CfcIXuJRN8P1M9Vu7w +fRLimnR3N2K2yn0i5Lc4CZvv9LMdOI/73X+hXjHMU+AjZj0WidkW7SVy2afg +Z/t80coLDFRylN+kae0UXPG4+/IG5odvhBvHNk5Bn+TqW72Yr3ixw3e+KdDu +DdFTMcX1MTAog337FBQ+3C6ZjPm5jq8on9gUSMl+WLnRDJ8vkY+hElJTEDkk +P+6GmbSpW3Fo3xSs86sonsRcdSba7ujBKfCQ03t14iI+32u+txsqTQFjvP9W +Dua6RwEy5upTYB5grS9kzkBni/7zsdWegg6FBUlfzE533rU760+B+ue77POY +Nd0+bPI0moLskNUTZy0Y6L3pmuO+56dATOVFSTnmY+8f3gqwmIIwYvtryUsM +9Lp5JODFlSlY9fqDUwhmFoHR8Nd2U3Bb8+DJZcwDbcfCom5NwcxChZS1JV6f +9WOeMXemwCRab1UL5kcjV8/Eek5B4/GBCXkrfL72JfLF+UyB4i9r9AbzxVGz +6lj/KfgYt/B6tTUDnU40PP82aAqETt5zvol5QUa7P/rlFAQurzYYwHxk1QqI +iJoC5uQXe9QvM1B+rMbjsLgpuGkkvDoFs+fF9tznyVMwwZIyufkK7gde1+v8 +0qbAMPVg6T3MLw/2Fz3ImYIqk8rwT//jkIHA20VTILdK30X/Kr7PBe9Xv1E+ +BYlZAwb5mP9sSey6VDsFfOaX9+6wYSDj+V9qZ5qnwG/t19VPMPu1fnl6rHMK +FvPvTX3FXGigmHG4fwpsrdeUnb/GQMeXslN3j07BEHdoRBXmoUM83nzTU6CL +hF332uL7w8XtsuxzU4CupZwKw2ycEprxdX4KZLYckma6jvO/psKqkZ9TEFNZ +ueYqZv3DrTJ1y1PA7aA/3Ya51YNXMoN1GrwEBssO2+Hf/9H+/dXqafhWdzky +FnPQ5coAz/XTYOX81ZXzBgMle6X8tNo8Dd07PAydMKtO6Ulp80/D0ZY1MsOY +Q9Zfl9ojPA15bqEcR+1xf7Cr/rFOfBokdu34lIo52VjAj5KahtedKeVbHBjI +keO/qeZ908Bx/1DUfcziqbUrUw5Og/ueqtszmFlORxOPlaaB7NM/feomvt/8 +dHlppT4NZj6DMkWYdd02rlTWnobWfVc4RR1xfknZd4BPfxpURr5+eop5SSNU +ZP70NGQ88aj4gdl96m97jck07DzIEW12Cz+fdw9Emk9DyGTonVrMJ/TGLjtc +nga25zuMZJ1wPSIGjqv/Hw33HZfj+8UB3B5ZIWSTjLKSQkmXQmY2yd57ZEXZ +oUhI9giVVKRBkqKTSlPapb2fed889/1EMvI7v9dzvr//Pi9f9dzXfV3nOsfv +/Xr2SJjjlJBx9zA3NyuT9zgoYVK5WaeWjri/tvhPlRyVsBW3Psp2YS73rJ// ++qSEpVovSszD3OqDe/ez5yTM/FvpoylH8L+XDr+8wF3Cnt/ffuwp5kdVl6L7 +eEpYv1n1y7scxf7+kOO92psSdrn+lPFRzFcXBYx8cV/Cmh536FyF+bBO+e5D +PhK2z/a2fLYT7p9pxavNAySsslHv40vM4oJVv5qCJWzR05DHfZ2xX7s5aHr8 +SwlLWGx+/Bzmt6vkk85FSZjJv492PGatvEOF02MlzP/5ovHLj2G97esyoFWi +hPVcUdYZMHeYnNUxPlXC3FrtUAw/jvPJ0AE+JzMl7GdY/UdPzIOjDYvN8iVs +x5rTPo2YeyRERKqLJay4fccTG0+owPyHs2lwpYTNjby9Ih3z9/rJyzZJJOzd +xiEmJidxv86L1OnNSdjoLqFdvDGPOxx4IEOQsIcx5srWp7AfzMvac7pBwrps +T0rai/nDW76V8V8JO62z2LcQs+fG9xOqW0iZEFd2YuppFYxp+Nv8Wjsp27hn +h30Q5g0ee7ZYdpay3N7fTbqdUcHoIWnrFN2lbHrSae1jmKWB2d9u9Jay1wc6 +cjWY5zRY6VgOlLJhA+8kz3PBepv3Jb1OX8rupA/xe+3y/38PWdLDw1DK2h8N +PTngLN6/aodvRkZS5qw/eaUb5q4b+BV5plKmzEoyVWEuinBd6DhZylafWNzV +/hzOq0t/5fW0krIMg3LuA2b9G63rXttImWXBjhTD83jeXm45tWSelIW6fPe7 +jtm+rND32yIpGzT2zKk/mGvH9J7vbidl10o6rtriiu87RHJqyBopa3HhzoTP +mEMW/50Qs1HKDprod5vohvPsn94HFm2XstrKUP4RZpcTjWMle6Rs2eXJqe0u +4DzjtWKf00EpSzJLfrIf83GZekwHJymbKFl8uhhzB90Le+6flLJAr/JV0y5i +Dso2MDwnZb3ZzonBmDt3vbzxzUUpc1d+79bDXQXxrxx7TrsqZb9vn/l6AnPn +mjnTM25I2Z7pndIkmIdVfFQsuydl5ao7/gsu4f4v8GtX9kjKFnjrn4nC7CF5 +/GCjv5TFzQ5bPdgD938fJ3/JMykb92PyJHfM6t2ywTvCpMzXN7m7GvPHpGfa +itdS1n3Bkm+rLqug7t8xhx0xUnbud3la4uX//75eU6VxUvY9YOfT0VdUsKaP ++clNSVK2demPM7cwn1z+0KA8XcoKm7ms+Yc5fHgzK7tsKZv1opPZ9qvY/3Tt +m/C5QMre2t/Vycbs4vosZHqplBm2Gaoy81TBPPmOFm+rpOz+y7B0X8yhl3Te +j5RKWcd1FgEdrmH/Frql7AEnZSc6pLgcwmx7XndjR1HKvr5ZsrYMc5exstnO +DVK2bnOFmY0Xzgfvr16X/JGyLO1dPUIxzzBOm7KohYxZv/+h6nUd76+Ti2dG +t5WxVztcPp3G3P9sTcjgTjKm37NzoBxzWrdJB926ydjN+LtnF9/Afuab1nVl +Lxlrs2/ouhjMdp96dprfX8aO9A0317+JzzOvZ2WInozJki16XsZs3SpRq/MI +GbM/lCJ8x+x1tPjSrtEyljZoacbaW3heB+psTDaWsckZFYHJmJ3WjfQYPEnG +gp12nTO6jfOSZ3E75yky1n9Yw7q7mP/+KSnIspaxKzkuk1vcwfm2XF4/dJaM +/TvZudcuzEPWx25wspUxh5H3xFzMlx9375e+WMaqCod+triL91WIn17fFTK2 ++Fx4kD/mQe4jDu1YI2MJRlPOd76H/fqw1V0iN8qYSVnK+iOY3237KTbbLmP+ +F5daVGKer/+m/5w9MtZrQmWv2fdVEKi/+Mq1AzJ2oXqXOhyz85Cz0wqPyFjj +lYbPfR5gf5bR0qLvCRnbOfnss7OYC+MvO65xkbESaWdXDnPQjWLB203G5t24 +t2GZN84XyX7BpR4y9n7qsCmxmA1yff17e8nYGD5cd/hDrAeDr5UsvS1jj+5O +qb+KeVXnEbZXHsiYtk1q5k/MfxSDGj/6yNgZcenzDY9U0O6XSfnvpzImPqx0 +TcOctb//77HBMrZp7u6N4x+roOHY9fkbw2Usr6FhygPM100XFnpFytiMJ2d7 +t/bB/RLa8caHGBmLXNjl+x7MS/kzZ7/Gydjwv/eyCjCnSLc/6Z0kY3eChgUz +XxW4ujt/t06XsfbLX7oFYt71et/hnVky5tzCclNXP+xHLZoP8cyXMWVIqqUz +5gdS6Z9XxTK2ZtWyPjWYDx4sbV5QIWOf21Z9n/sE+wfP20Y/amWMRezOjsCc +WpvtpqOQsbD1P4P7+6vgUvvpbcZ9k7HBnc5dcMUcfPnps7n1Mub1tsvmb//P +9eEHNzfKWMut99mKp7g+kQPWH2uSsUPdhvf9gLmftf9ez5ZyVhf78odBgAoi +f/719msnZ8t3WeZ4YX5nXMVFdJKz5F5pL35jPj2l7crEbnI2KXHZxc2BKviy +akRtdi85C3Ko2pyB2Tjpz8WyfnLWp/+eqROC8H58ZjFHOljOLqX+7PsI8+7R +j4d8GyZnfw6fa2j7DM/z9gqd7yPlbI+edq4D5rG7XvZrNJKz8s/3Q4ow77PI +NvttKmcLjg13t36O+/OLeudvczmLG/5qy3PMhsY5IY1MzozzLK10gnGeNdZv +9WO6nPmdTut3AnNCtM8O1Ww50xm9/GcdZqfHLcpl8+XsfFFV7vwXuN/Cm9ZV +LJGzH+f3hL7B3O2V7dfcFXK2zbjRfVAI7vf1AW5Ja+TsS/m5rRcx2+9OMHyz +Uc5mX9K2FjE7nbEv8N8mZ9ETH/RfFaqCrVaDL3rtlrORtcMbEzDvmpFjdWK/ +nD3wfJU3Kgz77QH6/7Y4ylmnKSzsJubDyz7DvGNydlKedqkJc8POCy7jTsvZ +t5vLt20Lx/pT2N5G57ycrbeuts7CXNhRq/33i3KW/XXPALOXKlh8cGpa7hU5 +s77f2OiDOX/35gth1+Xs1czz+Vqv8PctGW196Y6c6ddrhx/EPMR58Y9N3nJ2 +8/EDj1LM1qMu+Zn7yllb2xHbZ0SoYEHIlVldAuTsaOOraSGYYYpObdVzOZP7 +s4G9XuN6d8099DJMzlYuTv91CvOuFS6Np1/LWXrT8gIZ5vcWRQ620XJm8bw6 +fFGkCiorrxT1Ajl7Ybf3cjRm0w0rjSsT5GxAq1/bh7zBP88VnZ6myNnVsPPT +PTDXzeoSujNDzpqt6TroO2bbtK1Zo3LkbH97799rolQwbXVYKVcgZ9WvRxQm +YTb965vzrETOlmyMeDn2Ld5n/t/DtlbKWWLnqVfuYM6fvc5xUJ2cmcak72ge +rYK8gluDv8jl7Ok2uxk7MYebLgm//FXOeunUDMrFrDNnrr6VWs4uxO39MzkG +5zHR1ElskLPG3b8Kn2Be0D4txOePnO3s7fqq0zsV6G2KTZjfXMFKP3a96og5 +LCs1srG1gtke8N5ZgbnHAD9XXy0Fix1gYDPrvQr6dG9uPLuLgo1Njxgcjtnu +4L03fHcFe3xk6t/esXgfNA3t6amrYF31P31xwfxu38p5Rv0VzCXLLkKJefmp +rys+D1Yw9fGaq0sB61HVHfOdwxRss8G+Xe8xr7bRVrUcqWD5+b9shsXh51nV +4ej9sQpm4+KqdxXz0vSp2UYmCvZmTLemBswGFtt+JUxSsBEl3kXrP6hAd7Gp +uHSKgt11M3idinl0yIY3NVYKpmXy2tM4HvvFr76zHGwU7Fjl1N33Md8ODX3y +a46CcR6fZrZKwP7c3TLNZYGCrTFbMWQP5k492kS1W6pgn+tqmvIxX6t7t9tj +hYIxr33Flok471/pLe20RsHCLX+/DsB84nHO0MsbFExP6XpN+yP+/ecPh2tt +VbDrt7vtccIcNtlEeW6ngrWa/nBWNebz5bP3/d2rYIdVBvpzk/D5B9x6efCg +gkkevP73CnPuucxX0iMKZjfbqqRfMvYn94L32x9XsJTvnyLPY24nrVGknFYw +M98VXl8xrxFGDphwXsGeza/dY5eC9Z1N1vK5qGB9f++bHYe5/4qUF+2vKJhH +wG99g1Sct+FiWwcvBfu7xK2ZF+bFf0x75t1SsL3Nupf+wpzkdfaL6X0Fqwh+ ++GZTmgrutjOwvflIwRbaG17/hHlnTekB0U/BPrSO3GuargIWtGyWbaCCGb+0 +mvMQc1Dl7E/+wQrmtzZjaNtPKlBoO/z9HaZgOh3smztg7h1/snjBawVzfVNb ++gXzvBOjNvq8VbCGTQ5RVhkqiP409rrqvYJt1/5z/Rnmqsls95R4BSt657av ++2fsr+y6cm5JCjZnR/e5xzEHhazumpWmYDE9Hg2rw9wpu7C4R6aCjYo3bDE/ +E/uPhWYz7XMVzHtvZFkkZr/4icvuFSpY577Wbwdm4f59d7FlUYmCnUrOuHEB +c1ZB3cIelQqmOmjvIGCe+KKFxYJaBdswqG7uymx8/2W3ElxlCpbzyWF4Auaf +be0lMZyCTXP602JUDs4HWf98v6oULGLohfIbmBPLrH4O+K5gQ3O6R//F7FeU +XzuvUcFunXx0c2uuCs7tP7Dt6F8Fazty5P5MzKuXfz7p01zJjhZGzpuUh/OD +xWODlNZKJj9rPcIH89Wyh1u59kq2yuhzS618FQz4ftqkc2cl+1RqX3EA88rp +f6+N7qZkUy7WRZdgdvRMOzGnp5KFmO6/Nb0A66Hfox+b+yjZwOo/+19gLp8z +/vfxAUrmeeWCbc9CFeSstHD30lOy5pN1DE5hnvl8v6//MCU7IH3USob5eW93 +60hDJau5PrJy4Rfsly5Y7Egco2RLp76Jefv/XMl6ZBkr2UfO+rZeEfaPXebO +KJqgZBPufj5wCbO6XY+fFeZKFjBj5fx6zDeSlo6qtVQyXbHOYE0x3l+zPlTW +WSvZxYf7WydhnnOjq06djZL9mvO3ckyJCka9+ZNYNUfJdjVceHcbs0WimVgy +X8lK/XTuNCvFfij/1L3cxUpmu/DxwR2YrTu6vktZrmSxf0YuyMF81rOlXcxK +JRsb9MZwchk+/7XQ7c/XKpnPsmltnmBePtNKdWejknVrkVnVsVwFd77t485u +VbKzISvfH8Y8OFtctXunktWvlNwpx7zP/MyUxXuVbEvbA4dmVqhguEvOVdMD +Slbw6u+CMMwz2z5c1NNRyWauvziydyU+37j7Z+qdlCyqY4+2Lpi3O5wZkHVC +yQzePq5WYH48pd3woDNKdm/LqNglVSpo2Vd699R5JevQLeruO8yF1/MPL7mo +ZMdjpx0eWo33ncWlt/qXlYzfmbnwCuZvr2CT2lPJ1vZaNaoB8xIfw4NwQ8ky +EyRt19fgfBZ5VnLhjpJNdThQk4J5eJjLuwUPlCy8X1PsuFo8X0sq1N0fK5le +6sV79zD/2b/oUr6fkl0/3MOxZR2+D4nnmRsBStZKz2fRbsz+t5blL3yuZI6f +R43Ox9z1kN15rVAlkzpHtbOU4Odznu/54aWSrRg+vfYpZtOXYv3hSCVLzc2E +LlIVnBr9J3x4tJKZn151/yjm9R2GJBW8V7Lno6SOVZiPOw40OvtByfoVHVg8 +R6aCK/5BX0d9VLLL55tGv8J8tcSpWV6KkjWNc2/fT64CbtuszUc/Kdm+8h51 +5zC/epqh2ydLySrdfeJ4zPHN4vu9zVWyRRNHP1iuUEGjsmzvskIli6+JOgKY +tyqLOn0rVrLxntOXjFCq4NjVvT/PlyvZE4usMdcwT3JcOrpPtZL1kK/S+oV5 +49zpz5/XKZnrTWndRg7715QfDuZyJWuwOvghHbPwWv9EEqdk2782PTDhsf+X +u35eoFKyonvuR70xP5hZsKlArWRzZ/Zc2uarCvompk9e2aBk79Q+Y/dhNtgw +aFnJLyUb/Xh0hy+YRxtdDrFvUrKH895Kpn7Der4o3Sa/Oce6NE6PD8K8+cf1 +fvNbc+y0f5Z3NxXOk2sej0lsxzFh0WqnY5i3FQYdm9iRYxubpEtrMR9J2NEi +sAvHcp8dNLIVcH67dvdDj+4cm273r0Mk5v0J4pvTPTn2uuUl6QBRBUbyMbWy +3hwbFtYzwQ3zfP+2M+b359jt1b4PVZibPZ9QFDaIY+3aj3G2V+M8EHv4kbY+ +x5xev10Wj9kh8uStPcM5ptgwY9zIetwfTh3eJxtybFXn7I43MA9rUdB14BiO +fYpeLfuD2WzVnesHx3FsyjZZwpbvKijw7Wj10YRjId0PPfqMeUV7XldnEscG +xf1znvhDBZ+z1b3XT+bYtd2Xlj/GPGpxiXWQJcda9O5l3L4B6616jdc3K44d +/Ojb6QBmM+MJbcfP4Fjt/jHyYswmDwc8PjiLY8sGRCdO+4nzRkn2qvC5HEtK +m/E4GLPbYy0zbj7HJh7JPtajEfdz9NkJ+os5Fjhkjd1JzNPb/Vxsv4xjvbNk +xlLMm07pXb60gmPuxw91XvhLBZ0NYmuiV3Hs94hmiijM8UNcl0nXcmx3/qWP +g3+rYLyfaZX2Ro6Vnenl4475jMrt/MQtHJs/xu+4GrPjzklWq7ZzDIrHrFj9 +RwVvzrfofnwXx4zcosd/xPz3k0/j3b0c8xlv02XMX+xHq7LVEfs51q0yW3EL +8zjn+c0yDnHsrMeapH+Yv27J7V99hGPfJ8l9tjdh/b7Yb269M8e21h06kY25 +bXWda8uTHCu81sze/B/WaxdlZpczHJtl6WHihznzlly/9zmOPJwAPzc8ODfQ +jSMPJ8BaFsfruXPk4QTw9u26bshljjycAFm9bAsHeXLk4QTInjZ2Wd/rHHk4 +AfbVHirsdosjDydAcFbx6rZ3OfJwAqh/9q/9eZ8jDyfAsm2/d0kfcuThBEhk +RuocH448nAC2cU5HY55w5OEEWGR189fjAI48nABJplOPnn3GkYcTYM/ISaqN +LzjycAJ0STffwMI48nACxHxpnab7iiMPJ0AzEzuDb6858nACGH7hTsRHceTh +BDhVei7JK4YjDyeA86Gy5utjOfJwArRXRYwx/MCRhxMgLSZ1rpDAkYcTYO6G +YrvXSRx5OAGs3PwXOaZy5OEE+CTIJ5p84sjDCRDusrX9t88ceTgBHpgVJz7N +5sjDCbDCpNWOVXkceTgBeob61Xcs5MjDCeDf6ujWmCKOPJwAL0Omvt9aypGH +E0DL5n1D5wqOPJwAX1xe6ERUceThBKi1yO62vJYjDydAzi6Zql7CkYcTYMvw +Vy885Rx5OAHeBQgzDTiOPJwAN2dsjo39ypGHE8DDOb3LIoEjDyfA6iqpeaWa +Iw8ngN4EZ8vdPzjycPi8y6f1/v6TIw8nwE7rVmnOvznycLhf+p5c8PcvRx5O +APehBwKPN+PJwwlQkeyT+7MFTx5OgN4enzP3t+bJwwlgMDD6vrQtTx5OgIOL +hk1YqcWThxOgc69879SOPHk4fL8XLmSbduHJw+H7qWyR9bArTx5OgM9n2txp +pcOThxNApVo2YltPnjycAM++vDqVpMuThxOgvF3NA72+PHk4AZocn5481p8n +DyfAYssPQ7IH8uThBJj8+If7ED2ePJwAJ1ppvTqgz5OHE+B0Y+y92GE8eTgB +7poUTW1rwJOHw/Pj1e/JvJE8eThc3562CVdG8+ThBJjOj/HOGMuThxOg7U5X +o/bGPHk4ARra6R63MuHJwwkQuTfwpOMEnjycAMnb/0wInMSTh8P9zH/xKzDn +ycMJkNe/ZWLzKTx5OAGeWE+9ZcB48nAC/M5c1nu+FU8eToCyTe3n7ZvGk4fD +9UoaNPryDJ48nAA7uA0xATN58nC4n9Ve32Nn8+ThBIjSdy7OmcuThxNgQEPZ +9hpbnjycANIO5x+pFvDk4fD9jptw4tcinjycAJ02RDVrvpQnDyfAqoScEa2W +8+ThBLgYPK++5QqePJwAjx/yG5qt5MnDCbB39gmHxlU8eTgBMtwTBnxbw5OH +E+D73gu7qtbx5OEEiDe6sSxrA08eDtffPLQkZhNPHk6AwiG+f/y28OThcD0j +Td9c2MaThxPguNJYa+cOnjycAE6KjfUzd/Hk4QTgOl49pLeHJw8nwPvS0xcb +9/Lk4fDPdVoYZzjw5OEEKPEp3e19gCcPJ4CvT/GknYd48nC4HpuTbox35MnD +CeC4e+epxiM8eTgBWu671hDjxJOHw/Vd2uaP8zGePBzWkwk3Lk04wZOHE8By +axv/ryd58nAC6GwZMdPvNE8eDs+D4qPDUheePJwAZ6qeDWpxjicPJ0CbXX7L +gs/z5OEEOFB6rPMSN548HD5PXLM5Py7w5OEEGFHU1OaWO08eToBt/aZNN/bg +ycMJMDjqXrP0yzx5OAFCtHPN11/lycMJ4PbhrSB48uTh8Dx599M/7cWThxMg +KDQ1S+sGTx5OgAvmV39cu8mTh8N69XbyjR63efJwAqRXegfevMOTh8P/3vuc +cbd7PHk4fJ6eYHTpPk8eToAOwf18m3nz5OFwP/3cff7AQ548HN6POaeKKh/x +5OGwns0a6j3XhycPJ8BM/9GZL3158nBYLxx27O35hCcPh/fb7iAnR3+ePJwA +Xrdjv+U85cnDCdBjtHPmyECePJwAff1f9DoTxJOHE6AxwjA55xlPHg77hwUJ +pYOCefJwAkj8Z9vtesGTh8P6N8zT9GUITx4Oz+/pHY7fQ3nycALUPQrUNQ3n +ycMJ8CZsUN/9L3nycPh5BvofD3rFk4fDn3ervUV5BE8eDutHK70VXSJ58nDY +f9yMz7R4w5OHw/0dBT5bo3jycHgf9vv6yeMtTx4O602E3sLQaJ48nAC7Xhkb +fo7hycMJcMNTbS9/x5OHw/3QenJ5s1iePJwA97pUvNYBnjycABu+va7Qj+PJ +wwlQbXBr5bgPPHk4Aa5eWD7UPJ4nD4f3d+/PliyBJw+H9cAoz39qIk8eDu+z +bVPtLT/y5OHwfN1X2E1K4snDCbC+/tnjMck8eTjc32X2xoNTePJwAlxpn9VB +O5UnDyfA00OSkb8xazycAEs3OF6rTuPJw+HPX2k/OSmdJw+H+8t0x4inn3jy +cAJ07HTaziWDJw8nwOzZR5NXfubJwwkw9N6oI2MzefJwAkz7dWRLsyyePJwA +QojptQzMGg8nwOVZVo23snnycAIofB0frM7hycPhfqkLdxqQy5OHE4A/l3m1 +FLPGwwkwzCq47FYeTx4O98uIoRtt83nycLi/bvTq16yAJw+H95PRBq1QzBoP +h/2rWDFyZSFPHk6AdoMPnGr+hScPh39/4Y+W/pg1Hk6AH1vnRk0v4snDYT9y +e+H9SswaD4f3xfa650eLefJwAjQPlcs6lvDk4QT4e23KIm/MGg8nwCj3DzUG +pTx5OAHGbVz+6CVmjYcTQH9imcvEMp48nACtnCZejsKs8XAC9FPavDct58nD +4fl+wncNxazxcPh+tTu761fw5OGw3/3qrn8Ls8bDCZC/ZGZly0qePByux7Nh +7/Zg1ng4AaxfdXyTi1nj4bB/PleWaVrFk4fDft79ROsbmDUeDvvTS9nLv2LW +eDgBtP1i46dX8+Th8HzMMJx1G7PGwwlwjv2qq8Os8XACbH2jc9+ohicPJ8Dm +Ueu3HsGs8XACJBxJsInGrPFwuD4ZQyc3YtZ4ODz/0XutTWp58nBYHwxdV+3C +rPFwAszfuPT8Q8waD4f3bYv42AzMGg8nQIBWVJtGzBoPJ0D3/IGrB9Xx5OEE +qO9T834aZo2HE2C0Wmm4CbPGwwnQP1ff9yRmjYcTQPfqUb1bmDUeDj/Pypxn +QZg1Hk6AXmn9zd9i1ng4AVKybDITMWs8HM5jCWY7PmHWeDg87/Y1bbIwazyc +AIeCjAIyMWs8HN6nY7rMTses8XACtPi4WxmPWePhcH55MfFyJGaNhxPghcfa +0U8xazycADU7stKuYdZ4OAHsXx7f7IRZ4+EEMJq0pHE1Zo2HE2DC+OkXLDBr +PBzWT6up2rqYNR5OgKPbJnl+w/XUeDgBJnYd0DYBs8bDCTCyW81BL8waD4f3 +/VOn/DWYNR4Oz/f+PMOhmDUeDvv9M5IDMnzfGg+H+9/WLzgAs8bDCfAosqlg +I2aNh8P+tT2v6o1Z4+Gw/vzd2PgJ95vGwwlgGrns2zHMGg8ngPJDdPYwzBoP +h+ex/4VHGbifNR4On29chJ0DZo2Hw34437SxM2aNh8P3u6DV2SA8LxoPh/3S +0l71DLPGw2E9aLNtbg6eP42HE8C1Uem2HrPGwwkQZvwoUIHnV+Ph8P4e5hzs +gFnj4QQojXH0EvH8azycAAPnXrV3wKzxcFh/HsU2U2D90Hg4nIcb/rmuw6zx +cNjPtV6gzML6o/FweD6/BYyyxKzxcNj/Lmg7JwDrl8bDCTB24k7rjpg1Hg7f +16jPOnuw3mk8nADdRo6LS8X6qPFwuD4B16bpYdZ4OAFmeXz1dsT6qvFwuP8s +Zn5Owvqr8XACRN+4n9sNs8bD4c+TK4NXYv3WeDjsT9qZ2T/E+q7xcAIssDhX +WIb1X+PhBLCZlTmsN2aNh8P3t6ufzUK8PzQeDvvvjXtNzuL9ovFweL9sTlGF +4/2j8XB4vwwf41yK95XGw+HPK/fLbIFZ4+HwvjMfo9LH+03j4bBf25VTbI33 +n8bD4fyqd+vKarwfNR5OgGZXT2kdwPtT4+EE+LXhpu1ZvF81Hg7rW0b5ck+8 +fzUeTgCz85uG3sX7WuPhsH+CkVHeeJ9rPBzeNxOmdn6I973Gw2E/M/zZsHvY +D2g8nAAOSw4288J+QePhcH2bPb13HvsJjYcT4OHvefWHsN/QeDisXzcPdlyP +/YjGw+H6Bg6ttsF+RePhsN+5vu+QAfYzGg+Hz8/WxrfFfkfj4QTw6fsvrQr7 +IY2Hw/tgyPrLb7Bf0ng4nN+Lrra7iP2UxsMJwOZ5T16O/ZbGw2E/2ePO0IHY +j2k8HNa3FZ4fa7B/03g47CdTbvV58ponD4f7w/fdkPXY72k8HM6TL7tX9sJ+ +UOPhBDiyJGR+OvaPGg+H/f+eR3udw3jycAJcP/rLcij2mxoPJ8Duk7mx6dif +ajwcfj5dxu/B/lXj4QToun9xaofnPHk4PB82Qxb7Y/+r8XACGEuTXc2xP9Z4 +OHxfE+22pmP/rPFw2L+Gyr7ZYX+t8XDYL6+8oVfpx5OHE+BgyYG/m7A/13g4 +XN8d3qdqHvPk4fDPc0YHrsX+XuPhcH1OWDrm4zyg8XD4+XcoZDMf8OTh/n8/ +2v57jfOExsMJYNfgEjXwLk8eToAuNwO7n8d5ROPhBJi0q6SLBOcVjYfD/u6Y +WbA1zjMaD4fz8cYq6T2cdzQeTgC/leKHrzgPaTzc///9yMPMEucljYcTwPxU +pu1FnKc0Hg7vv4llfzMv8eThBEjqXTirG85jGg+H/dLxitELcV7TeDjsL+x6 +B1905cnDCRBoFRgfi/OfxsMJsOlImMM3nA81Hk6AnoXLX/c9w5OHE2BPTdzV +aad48nDY3yfo/NuK86fGwwkwdYZTC1ecTzUeDu/X4h53H+P8qvFwApx92C0+ +EudbjYfD+2DxneMph3nycDiPrc9Kzj/Ik4fD+bik1rd8P08eToCNZ5p1qtnH +k4fD+h49v3UNzuMaDyeAy53f7uU4r2s8nAALS8d75+M8r/FwAnwQ9Cen4Lyv +8XACZIp/NkVu4cnD4fwQoOj5eBNPHk4Azwv9l5zfwJOHE2Ddu4+9tq7jycNh +v99XZ7P1Gp48HM4TY80n9lnFk4fDemO605NfwZOHw/rXp3Dvu+U8eTis92cj +sl2X8uThcP8kTYiat5gnD4f9qsprcOeFPHk4vC8Smzqm2/Lk4QQY9D7M6exc +njycAKEdKrdOnM2Th8P1uJeYK7HhycMJML3Tbbg2nScPh+/ng6fBJGuePBzu +lyyldjHjycPh/upSc+jIFJ48nACvO71cqj2ZJw+H57nvzdAnk3jycFjfpqee +M5nAk4fD+rbMIxfG8+ThBNAq7nffZhxPHg7P34Do4pQxPHm4/89jT67ZjOLJ +w+H9WtUXwIAnD4f1N3HjRpPhPHk4ARqMYpyf6PPk4fD8TtveVluPJw+H9bHi +RdsjA3nycAI4BWc5F/XjycMJENS35/qJfXjycPj7tiRGevbiycP9/z7VO1mn +w5OHE+DOqqOvTbvx5OHwPOzsvPpMF548HH6+vmP2p3TkycMJIHoPrtfS4snD +4X3xdXztrLY8eThcv6KwqS6tePJwAlQ11LZ705wnD4fr87q/hbSJIw8nwGfb +oKJufzjycHjffi6oNGvkyMNh/9P7x8LVPzjycLjeVXYGzmqOPBzO86G2O66r +OPJweL/UGmgH8hx5OAHGR7D+UQqOPByet5E1VxOkHHk47M/G7tiWVsuRhxNA +dmK436cqjjycAP9CD1mnlXPk4bC+mQVOTyjhyMPh/t/eJ+jNF448HP7+Xfr7 +AvI58nB43ucNuuWVw5GHw/pav72/UyZHHg77sVErW636xJGHE+D7o4VzJqVy +5OGwXhcFfdVO4sjD4X02M1FVG8+Rh8P1mfJvQQRw5OGw/1VFdzj1jiMPh/3d +iRVDZrzlyMNh/Zaa3WoTyZGHEyBVN35TwkuOPJwA2bVLLx0L5cjD4Tzf1q7j +2GCOPBzuxxFjJGWBHHk4nIeKp+pe8OfIw2F9uv/DZ7QvRx5OgOVf3rh8fsiR +h8N6zPFvd97nyMMJ8Gdwy5kt7nDk4XAeithocPMGRx5OAI/Mo+uHXOPIwwlg +df2lMvgyRx5OgLbdTqaNc+fIwwlgsWTOn3BXjjwc7r8lbqdHn+XIw+F81vbp +8ienOPJw+PvtdU/2PM6Rh8N5beyiH2ePcuThcL9vTozlDnHk4QQ4nScpWLif +Iw+H9WHxGMuwPRx5ONyPYV3rtXZy5OEEeAbtfq7fypGHw/7E1mP2y40ceTgB +ehj2lDWt5cjD4XkcOjjXZhVHHg7v9+4GWu52HHk4PG8pzzxSlnDk4QR4O1h/ +ZYuFHHk4AbY0dT8wcR5HHg7nR5tfudtmceThsH+T2p26Pp0jD4fPWxpyMHoq +Rx4O5x99l7BSC448HM7Dn5eZ/prEkYfD/Vcf0ry7KUceDu//9917DB/HkYcT +4MGaDvsmjObIw+F57jugi5UBRx4O/75tfr3NUI48HPY7q2IGzhrMkYfD/v3D +qqvT+3Pk4QTY22naNIveHHk4rOd1TeZje3Dk4XD+bTPAsX9Xjjwc3m9WRvVt +OnHk4QSIPJcUoWzHkYfD9S448Ca9FUceToBLdim/nzbjyMNhfz5z1ZkTf5Tk +4QTIb/5h5oKfSvJwWE+be87vV68kD4d/XrXuZu03JXk4Ad6tTe0bqFSShxNg +sN3Wqq1SJXk47BcysusG1SjJw+E8Ig8YUVCuJA+H8+jP80GuxUrycHh/79Xa +blygJA+H51eZt6koW0keToBrJq4PjmUoycPhfTAlrmvvVCV5OOxn9IclhCcq +ycP9fx5bHTYjTkkeDufNb22L8mKU5OFwvviVabHujZI8nAAh41eX1L5UkocT +YPbzZRFbQpTk4QSIfbI1uSpISR4O68Udcx17fyV5OOxHJpy8l/5YSR5OgNwt +FfZmD5Tk4bCft+m02Pe2kjycAEuMo1zaXFeShxOgJu6acssVJXk4PP8f53nE +XVSSh8P7f5H35p7nleThsJ+PnHRo22kleTgB9rnlR786piQPh/Xw7shJvx2V +5OHwfmhVr5xyQEkeDp9/mDz/2B4leTgBKv2SvkdsV5KHw/5o++y58k1K8nDY +jwSNLdBdpyQPh59fMunWtJVK8nD///9bhl7asUxJHk6AV5+jItwXKsnDCbD9 +V0S3gLlK8nB4vw2teBJroyQPJ8AZu787s62U5OGwHvIFmysslOThBBhz28RL +NlFJHk6APisrRc5YSR4Oz/91f1dutJI8nADODQttpSOU5OEEcLsVZlM2REke +Dufb4usHPg9QkocTYLhHelZ0byV5OLz/sgw2+OooycP9f553GXK+i5I8HL4P +t6Bem7SU5OEESDd0nGLRWkkeDu/DRLih3ew/DyeA0bZ1/St/KcjDCeB/eWzh +s+8K8nC4Xn06xjuoFOTh8H2uyikbp1SQh8P1aLfB8Gudgjwc1oeZdwL8KxXk +4fD9vbBftqJEQR5OABN2YXybAgV5OLz/D7SzDs1SkIfDedwo7vSSdAV5OAEm +f7n1TfyoIA+H953l4SuX4xTk4XD/hM5YMyRGQR5OALlKvSritYI8HNaT6Xvc +p4YpyMNhfd72WJb8TEEeDuvBzROOc/wV5OEEsHX/MT7lkYI8HNYbl6ZBVvcU +5OEEsLF0n/L6hoI8nAD3mnte1L+qIA+H9eZ229ZXLyrIw+F8vUgRWn9WQR4O +62mH8e7LTirIwwkQPqr8evhRBXk4fP5A+ad2BxXk4XA+bLbMdNUeBXk4AXbY +DM4I3KYgD4fP23/RDWGDgjwczl/vZe6mqxXk4fA8CXzYoeUK8nB4X/bd3iZ0 +oYI8HM63E9Zfqp2jIA8ngOOXStZjhoI8nADPjX4MsWIK8nACvOwUMnG7mYI8 +HNbf0l7H3McryMMJMC94+teA0QrycAIIo2ZeixuuIA+H/VHE+M15gxXk4QTg +LAZtq+mrIA+H/d9O/Xt8DwV5OJxX3e3/il0U5OGw/t4s9lS3V5CHw/M7NnHp +t5YK8nDYv78wmS35KycPh+en57y9Xxrk5OGw3x04KvGjICcPJ8CAiT/nhCjl +5OGwXpzMb+FVJycPh/UuS6F0qJCTh8N6HLe+zdwiOXk47DcfbVg8KFdOHk6A +k869s1Sf5OThBGg3IPLUuyQ5eTj8/LrbNpyNk5OHw3kres3hGdFy8nACJPfO +iGkRIScPh/ObjsIk5oWcPJwAN+crK/cGyMnDCXDfrH1cfx85eTgBlF1P5iTf +k5OHw/6y+rzunhty8nA4D7mt9ep0RU4eDj9f6MypQW5y8nC4P4pd9KaekZOH +w/544xKzHGc5eTjcH0ebzq07JCcPh/VoWHpr2R45eTh8H4tbvNu1TU4eToD1 +8V8DFOvl5OGwPvQpS928Uk4eToCy6r56xUvk5OHwfJ7/HTbHVk4eDp93yWfH +SBs5eTjc366yg/2nysnDCfDL6nHgKTM5eTjsR27a9igzlpOHEyDhxJxo01Fy +8nD4eRXi7YtD5eThBFgT/STkywA5eTgBLiRAk56unDwcnq8s/wvbu8rJw+H8 +9DR6XpCWnDwc9ustNs+tayknDyfACZ/25/v9lZGHE0Bi0ePX/B8y8nD48z3F +Z8e/ycjD4X2xqssdf5mMPBzOj+tLPqRWycjDYb+5O2WEvFhGHg7v+6VzMlrm +ycjDCeDbWBDaO0NGHg77vzmSLMMkGXk4AbrOFI0mgow8nAB5zY5kWUbJyMPh +PPZQDLUKl5GHw/5sTV0meyYjD4f143q2kZmfjDycAENfTc4d/UBGHk4AmPvs +Tf+bMvJw+Pwdn5a3uyIjD4f7L+ntrG+uMvJwAhxzOPAj65SMPByev2HrZC+O +ysjD4fw6uutA1/0y8nACxP3oe99+p4w8nAhDBvdYM2KTjDycCHXON7aIq2Tk +4UTIbWXy+s1SGXk4ETbVX5131FZGHk6E7pE2o0xsZOThREj69nOp0lJGHk6E +YWdnJ3tPlJGHE6GDZ9nZuUYy8nAilDmuvlQ/QkYeToTWJfvK7wyWkYcTYbF/ +wolJfWTk4UTY26bNztxuMvJwItg6Sf12dJCRhxPh8cc+o363lJGHE2Fpi2Ut +L/yRkocTofkdW0Pt71LycCLsnxbrc52XkocToY/upp3dJFLycCL4ufw651Eu +JQ8nwra5M8TmhVLycPjz7rZ5dSBTSh5OhGyxZUp5spQ8nAhe01ob28RJycOJ +UFOSJwRGScnDiVBxiLVrGy4lDydChLGO4/ogKXk4ESYF6Fu89pGShxPhUt70 +Va3vScnDifBq7Ny8hV5S8nAiMD/twNvuUvJwIiiuORQWuUjJw4kwu2jWpl7H +pOTh8PnznOYtPCglDydCwFrJ9XO7pOThROhWcNA8YpOUPJwIuwdoWVWskpKH +E+GD3/XA1kul5OFEGLTm7/7h86Tk4URYnznh4fTpUvJwIpR0MR6zxkJKHk4E +s+Qavf0mUvJwIowxmXbi9CgpeTgR5o6ZY3lJX0oeToS0Ed+3XusnJQ8nwmrT +aT+9dKTk4URoajNBfbWjlDycCDn12SsutJKShxPh+5/+hsf/SMjDibAhecj2 +XfUS8nAipAZ+676ck5CHE+GMv+cYi1oJeTjc/4d03/YvlZCHEyHmzP2IX7kS +8nAiGItGernpEvJwIiT6iy0CEiTk4UQIM/9r5xgjIQ8nwkFtBz2rVxLycCLE +z9mzqe1zCXk4EdzzevdL9ZWQhxNB2Oht63pPQh5OhDVH9RotvSTk4UQ4tq1g +oPqihDycCIuWy+N9z0jIw4lgY3WlxtZJQh5OBMumXy71DhLycCI8Z9t9b22X +kIcT4cXtrtNM1kvIw4kw+pjp1gw7CXk4Ef5N79Fp4wIJeTgRtMy+TxJtJOTh +RHBw66c8YSkhDydC9IJ8/dYTJOThROj4ZLfUbbSEPByeVw9jkzZDJeThRLjQ +3rnj6X4S8nBYL3Tv7v/eXUIeToR2b2Sbt3aQkIcT4VxNojSnhYQ8nAj5fh6/ +zX/VkYcToaCNr89DoY48nAhtRuws+SurIw8nQrGx7bMVlXXk4UTouTWyS2hh +HXk4EYb30unUPLOOPJwIx18X+s1PqiMPJ4KYcTj/9vs68nAijO281a80oo48 +nAi9Zg3p0j+4jjycCEaHR/ax96sjDydC3+h/Hz3v1ZGHw/Xcqa+TeK2OPJwI +JlqD26gv1JGHE2HlstPe/U/XkYcTYRbLKpl2pI48nAh2P33it+ytIw+H50N1 +ccnZLXXk4XD/lA30fLC6jjycCJlRTU7hS+rIw4lwPjWxW/ycOvJwIgx80n31 +Z6s68nAizBvraVcwqY48HNaHgOo2xWPryMOJYOEbuatoWB15ODxP3WLc8vrX +kYcTIXKu7+p0nTrycCKktNbj3neoIw+H98l69cTgFnXk4UQIkuVY3WqsJQ8n +Qpe7pzscV9WSh8P7owpurZHWkofD++upXZ15eS15OKwfc7s1ds+vJQ8nQu+w +97my9FrycPjzJ4w88ja+ljycCOqUsXXn39aShxNBWew3cH5YLXk4ESr3bhrR +LaCWPJwIe3rPb57jXUseDutL65nBl2/UkocT4X2DycgZl2rJw+HvW9Li9M8z +teThRNg3wDs44GgteTjczy0bXi7eV0seToQrK1rfbNxSSx4O13vwh6UPVteS +hxNhgc7wevMlteThsJ55TzycP7uWPJwIX2t/FO2aWkseDut/5m79pgm15OH+ +v/7+yz1G15KHE2FVQ8iBnvq15OGwHpR7Oz/oU0seToQbHy84DOhaSx4Oz/tF +9+UP2taSh8PPV/FhTM+mGvJw+PwrFv2+VF9DHk6Eb1vXxf5V1JCHw/Owvqfz +rqoa8nB4/559YpRfWEMeToSov5NrzT/XkIfD+5DrdvtBYg15OBGmbd4091d0 +DXk4EU4e3NVyaXgNeTis18P3fAgK+O/74XC/Xwtx++393/fD4XlKc1ox+8Z/ +3w+H9+WPfhOuu//3/XBYT+cq9IpO//f9cCK46Ewa3PfIf98PJ0J65Prx9nv+ ++344vP9fx6+6vum/74fDfuVN3INU+/++Hw7Pk/uHn78X/Pf9cCKcGmF0wNDm +v++HE6H0/bEOyyz++3447Iee90o+Zvzf98OJsM7jWMDDEf99P5wI9yYPCX0/ +4L/vhxPhSOGVyi86/30/HNbbZGtLldZ/3w8nQnlsclrL5v99P5wIrz8+u9C9 +oZo8HL5PsxPOA/lq8nAiyHLzfIfXVJOHEyErdG6rUUXV5OFE8LE97TMqs5o8 +HL6fOz1OGHysJg8nwg7ly7t6MdXk4bB/6NHqV6/wavJweP+tf/u4fUA1eThc +rxtung0PqsnDYf/4Z/inKq9q8nAiHN29YnnKhWrycCK0mlUy7vnJavJwIkw4 +d2SD+6Fq8nD4vkN+SrfsrCYPh/VQHJMyZX01eTgRPAd8a9VteTV5OKzfAd18 +qudWk4cTwTf0yKNQq2rycCLgbdDSaWI1eTgRtsy79dlydDV5ONwfb4a0bj6k +mjycCG9X3gsC3WrycCIM1VLFOneuJg8nQtdtA2cZt6omDyfCrrrhsySNVeTh +RDDP7hR/61sVeTgRBiwtjppWV0UeDvtP5/tj+eIq8nD4Ps03G1zPqiIPh/3r +kXlBpklV5OFEWNFu/8u8mCrycCLsjFXPdAivIg8nwsMdv3e3C6giDydCbPkr +fe8HVeTh8Pn/2h4Y41VFHg77/Yh/K965VZGHE+FE+1alNieqyMNhfRxwvynj +QBV5OOxPTbUSF22vIg+H9TL6/ticNVXk4US4O+3R9AVLqsjDieD9YEeb1FlV +5OFECE5depRZVpGH+/99G+f9cnwVeTgR3oldnPUMqsjDYb2fG6J9dUAVeTi8 +vyy0tvzsXkUeDvtp6zvOa9tXkYfD9Z2Us/RDUyV5OBF+2k9sGFRfSR5OhEML +5m05Ia8kD4f7d+x934LySvJwWD8uvHo5Kq+SPNz/+zfDu6dSK8nD4fmad88+ +M7aSPBw+70q/hr4RleThRIgzVjpuCaokDydCf+3+Jc8fVpKHw/kgTT782/VK +8nC4fiVtN4y9WEkeToSR28a77z5ZSR5OhB9TxwQ8PVhJHg77RSyJZdsrycNh +fxv/Or3r2krycCLIo6uLrZdUkofD9xk2kHeYVUkeDv/+rLltHkypJA+H88y/ +2YaJxpXk4URoa9xmjXx4JXk4EU4PdPDt0L+SPJwIRfUnmgy7VZKHw34qe+Th +mW0rycOJMMq7QSf+ZwV5OBFaduTOfauuIA+H58t4kXWvjArycPh8DguWmb2p +IA8nQvslfZOW+VSQhxNB6v39we5LFeThcP3Xjqw+cbiCPBz2Jw09Hl5cV0Ee +ToQlRn2Lrs6uIA8nQrX1i/ue4yvIw4lwQDHn66X+FeThRBh84FTRmbYV5OGw +/iVUbzkglJOHw37qcO8Ha0rKycPh+hbnnZv2sZw8HO6nrrkj9EPLycPhy9Gu +8Px3p5w8HO7vt1kf813KycNhPajxyHq6u5w8HNbXva2jDywvJw8nQvhCs0tm +U8vJw4nw0d/E9rdBOXk4EZxjtdtHdS8nD4f11qg+ed/fMvJwIvC13a7rScvI +w+F5NQg5mJVVRh4Of1+czkGn6DLycCI0cr73+j8pIw+H841bvPrd5TLycCL8 +0lK62R0pIw+H7/Opz3p+fRl5OLz/5ji5nZxTRh5OhE6x3dp0MCkjD4f337N+ +Eq/+ZeThRFj2Ysm4nm3LyMOJ8HeHi3BDVUoeDuvXnTNGXYpLycOJ8KTXpJ/n +EkrJw2G//Ofe4h/BpeThsD76vZi96VYpeTgRtsefkaafKiUPh+t9dOIEox2l +5OGwv1n7l3kuLiUPh/X0nnYXbnIpeTgRNn9992La0FLycHhfp68edrtzKXk4 +EVQb7M9KGkrIw+H8HGGYOa6qhDwcrudTOx2ntBLycDiv6TiuefeqhDwcnv9S +w9e/H5SQhxPhcYPBsImuJeThsF5enBCzd18JeTicbwS9U74rSsjDYT9VnnU8 +x6qEPBz2K+vGQZNhCXk43M8N0xcN1ykhD4f98Iu+lvP+FpOHw/lPP99zj6SY +PJwI8wMfL72UWUweDuuX1fsb/lHF5OFwv6c7rX7nU0weDvuR1qZvMt2LycPh +fei7JqziYDF5OKzXGw8s4VYXk4fD+juoRfD3GcXk4bA/VT7/+HtMMXk47Me7 +Dgxu6lVMHg7nTYu+u5qaFZOHE2HtKYfOv+VF5OFwP+3+51OfU0QeDuuFwdtx +ypgi8nAi1J55lFr+pIg8nAgLmxIPZ14uIg8nQn39POv3jkXk4XAefbxrcuC6 +IvJweF+O27rHc1YReTgRPsm8ag+PKyIPh/f9UPsXK/oUkYfD+ff4uLxJLYvI +w4mgn+m/pSf3hTycCBlrFu0V8r6QhxPBsazyV+r7L+ThsP+/3E338dMv5OFE +qJobmXPw6hfycFgvg19MnHH0C3k4EUo6ckt0NnwhDyfCzWeOJlWzv5CHw/vv +7WbJc+Mv5OFEuHykwvFQ3y/k4UR4ZqOnNm/1hTwc9mfgvP0fV0geTgT75Fm1 +H/ILycPhff2s6aBLbCF5OFyvsYOHWgUUkocToXnwzNZNVwvJw2F9HdxP7+3R +QvJw2J/PX3XxwIZC8nD4+ZPzZhnOKSQPJ8Jy7V17K40LycPheQ/p3u5m30Ly +cLheMwqHzGpVSB4O58Nn2ZmNXAF5OBFe/hvX51l+AXk4ESDZvKt9bAF5OBFM +m1sntAkoIA+H94lFuOWrqwXk4URYHdnaa+3RAvJwOM/vzkpvt6GAPBzWs0mR +v8JnF5CHw/letm/0SuMC8nD4fpanHWjet4A8HM4bZ58WBbQsIA+H6x3c6GDL +5ZOHE2Hc9oyZYl4+eTi8H96xPbfe55OHw/056azK7Gk+eTg8n90qKkuv5JOH +E8HELNT21JF88nB4Xx3ebDN4fT55OBG0T58rjp+VTx4O59N+z3pvHpdPHg7f +z/jz3Vv3yScPh/0DX1zo3yKfPJwIrp/OO9oo88jDiZCcfPifJDePPBzOZ1vC +XNze5ZGHw/ukYKH2CP888nC4/toXolIu55GHw/tE+eDSDsc88nA475hxd7XW +5ZGHw/pQJ1M/n5lHHg7fZ+d+z2yN8sjDiTB+8NCMb7p55OGwX/3xdo9X8zzy +cLi+/NMHpopc8nAi+HfI3FmUk0seTgRrnVHyEzG55OFEuBP4ecSQJ7nk4XDe +2lRunuqRSx5OhK0/7ho5HM4lDydCko1dX921ueThROhz6WzHDza55OGwP9ju +12PX2FzycHj/aC+Z1VM3lzycCMZrbSLjm+WSh8P+5Pus/Q7yHPJw+PvWWV4a +mJNDHg7X11CvZ1Z0Dnk4vA/attN38cshDyfCRnnfZFOPHPJw2I/p+XZVHsoh +D4f9mGtDD981OeThcL45f02y0iaHPByer/lNN3uMzSEPJ0La19oJOb1yyMPh +n+9rXerZLIc8nAj9fk66s0ieTR4O9yO3/6ROTjZ5OKxvnd75FEVnk4cTodcD +ix4+ftnk4XC/Hzbmdnpkk4fD55vww2zi4WzycNh/r/nVtc3abPJwWK93prsV +2mSTh8P92tQl9PnYbPJw2I80u3jfRTebPBzeR9B1w+rm2eThcL7p/Li7mSKL +PBz273umJ+vmZpGHw58/0tDzd0wWeTgRprjcdql6kkUeDuflOP5l2uUs8nAi +3NoZZPrGMYs8HM5rKzcODFiXRR4O52FZ+rl7s7LIw+HzaL12vjYuizycCKOd +2/X06JNFHg7vr8JPu9xbZpGHw37o/my93kWZ5OFEuOLwcMLQ55nk4fD3ecxn +ZicyycOJEDF19rZlCzLJw2H/rPumyGlwJnk4rE8Gvz48VX8mD4f1YHnI3NKP +n8nD/f/f94J8+9z5TB5OhNCjf8QNOz+Th8Pz3ihsf2nxmTwc7q+Z2uM6dvlM +Hg73a57u0X1VGeThsF940W5X6asM8nB4PjN0Jy5xzSAPh/t9S2z7vBUZ5OFE +8Bj3XHvdyAzycCLofsxwqv/7iTwcvo+SRQdvZH0iDydCSMDjkczvE3k4EZyG +b8iuP/yJPBzWnwNHr7+e9Yk8HPYrHbV8zvT9RB4O5yvzowb2X9PJw+H+WLh5 +tcWHdPJwIhxuF7pj5I108nB4nxUePTNsWzp5OBHuW+jljTZPJw8nwpp+87ys +OqWThxPht/YXbkNlGnk4/O/jZTpXX6WRh8P+c0r15FTXNPJw+H5n7ryuvTKN +PBzu7+jttltGp5GHE6HwS9sHyc3SyMPhegRGhE/MSyUPh/Vx9sAPrwNSycNh +P7Vk8l+rY6nk4URwN5x6t3R+Knk4vH/XBqWc10slD4f9ZIuJMOVHCnk4rG8d +v4a1SkshDydC6gvdnGLvFPJwuD/Dbezi9qeQh8P+v9j28OsZKeTh8HnYnA3R +vVPIw2E/9OLhwkw+mTwc1v/m34/Wf0gmD4f11sNS2/BWMnk47Pf3R8xz2JlM +Hg7fd9svm5Mtk8nD4fs1P3h1bPdk8nDY73B3WgZJk8jD4Xqv9P85/l0SeTgR +Uk5YPs3yTCIPh/2ww9Lpp7YkkYfD588a3GGqeRJ5OKzXBqPGd++SRB4O7+Ml +07//rPlIHg7fR5mruxD1kTwcnh/H1aP+Xv5IHg7XY76zTr9NH8nD4Xk9W318 +/qSP5OFEGOFR++hGp4/k4XAeu9c/6mt1Ink4nK87OTVbE5VIHg7vs3yjiIrL +ieThRGhWl9H5yKZE8nD///fzEfOGmCWSh8PPO2JHYG3nRPJwIjR1brc+pjaB +PJwInic/hwZEJ5CHE2F6ekFekGcCeTgR7Eo9OsZvTSAPh/PMg5AbKosE8nB4 +HnbMe27aPYE8HPZDbqlXrsnjycPh/OVVeqJVXDx5OHz/4tU3V27Fk4cToY2t +9TGjPfHk4UQY/udpO8W0ePJwInR3SXoU0yeePJwIZf+aTvsLH8jDYT0LbsUH +pHwgD4f955xq/YRHH8jD4fnxbdzR4PiBPBz+vj8tm6bP/0AeDv/8ZrchwUM/ +kIcTIWvg1b6j/8aRh8P508zCMCUvjjwc9rucqdeJ4DjycLhew8VbtufiyMNh +Pz3F6vik1XHk4bDf3zrf1dIkjjycCAlmjxvXdYwjD4fvc6vxBPNUIA8nwqsN +qnWcE5CHE8FMEf67wBDIw4lwcemOm79KYsnD4f5MS3xrdzmWPBz2m0vG/vhq +GUseToSrFdlf4lTvycOJMGbxDVWG73vycHj+PAdk9Fz2njycCBM8i5rut31P +Hk6E/jtUPddGvyMPh/PxtkUBa/a8Iw8nwo1Bodb3B70jDyfC9YtnjvfKiyEP +h/1Ql7byHLcY8nAiXAid0f/z5BjycPi8PTuGtldFk4fD+hQjmrg+iSYPhz// +32qb6fbR5OFEmLfQ+dj0ztHk4XAemTNzj1vCW/JwIoSXX3ndyekteTgRnjop +vxaOeUseDu+rkSkRktoo8nAiDMnf4Gd+P4o8HK7HohS7vEVR5OGwHw2o2BfR +Loo8HNYL8w3uJfCGPBzuz1+lNnOOvCEPh/vnfvSM5mPfkIcTQZJhPqK5NJI8 +nAgN+496znkUSR5OhMDCHYPL7SLJw4kQ1lh18p12JHk4Eb6+u2YrSX1NHg73 +y7j241effU0eDutFQVT+gCmvycOJ8L1CLB3TEEEeTgTxGKfyCI8gD4f9x0CI +NtsdQR5OhD/ZO2pNh0eQhxPBwClH16X6FXk4nI9GJbcc+PAVeTicf87/W9Rp +5SvycDivfe8fbtvzFXk4PB8DwzMrc16ShxPh3CjTNclXX5KHw/vXsIdWC9uX +5OGwH9wd7HZN6yV5OBHO1s684JgSTh5OhDdXhkO4azh5OBGuZZ2qnDYjnDyc +CKpqZ5/RrcLJw+HzTTaP2pcQRh4O559fhSVaZ8PIw4mw1m574j/rMPJwWN90 +fhsuaBlGHg7fZ43ZdbVbKHk47CdtXkY20wolD4fz0J3Dk5I9QsjDYf/AP/Ca +pR1CHk6EnYYzrVxvvCAPh/NoywfxZ3q/IA8nglerzFsWj4LJw+H8cU9vatTQ +YPJw2L8MU1r/CH5OHk6EMz28hv40eU4eDvfnrzsO8P4ZeTgRPoU9CLGd+Yw8 +HP79syt2P8kOIg8nwiH7oEkfVweRh8N+V+r5JFwWSB4O+2/FpGm7DgeShxOh +ce2PIHWLQPJwIqSnz3OYdy2APBzed+eFsUcGBZCHw/u0Wea5w2FPycOJsH5I +u06zrZ6ShxOhte/v7eocf/JwIrB1Myc7bvEnD4f3U076iNyfT8jD4f1yMPRL +h8tPyMPh+RyzsX6w3hPycHg/lzwe3ivKjzwc1u+4o4P4+X7k4fDPtede9Zf4 +kocTwfZk1jDrU77k4UQYldLvQaKuL3k4EWyW+j4d88qHPBzWrwzTZi7zfcjD +Yb1L7bolTvGYPJwIKw4WX1e6PSYPJ8J+5mPbethj8nAifLPJfj7A/RF5OOzv +Xiyo65n2kDwcni8j7y8/OjwkD4f9+c9rfeMXeJOHw/1wrs3s4zcfkIfD/b6i +pJlB2X3ycHh/pDWTpQ+7Tx4O613WycDtB+6Rh8P1rj4utIi7Sx4OP7909pGH +Xe6ShxNhzqTNassNd8jD4fl/ekZfFnGbPBzWx/u3P3tr3SYPJ0IHVhO9aeMt +8nDYT4YLb6a8u0keDuv70sC7Y3VvkocTYfIgW7MpjjfIw2G/2PHq4Z0F18nD +4c8/d8U4ZtJ18nA4f/B19uO9vcjDYb2c9LK4oKUXeTisp1fvBwXtvkYeDvvr +FuVxoYWe5OFEOLVg8SB+uid5OBEMD/H30rdfJQ+nhg9l355OnneFPJwaOv44 +6Gpscpk8nBqOP43fGjXIgzycGt4Uvjmd3/USeTg13ErI0/Vq604eTg2rdyw+ +9aP5RfJwajitb6LdofkF8nBqMN+6cvyXVm7k4dRg4XVz9dZOruTh1JDRFNUh +ts958nBqCNAN+SQddY48nBpyn7lN5KadJQ+nhpo5N1W561zIw6khT+4YGHD6 +DHk4NVRcNOrn8PQ0eTj8/eOPG+72PUUeTg0e8vm5rVqcJA+nhpAeBj9jdh0n +D6cGrRUJR/wqnMnDqeFc47Y5GWucyMOpYeugfi5zJUfIw6nh85LUOUZOjuTh +1LBu/jbF5Z6HycOpIW3QsA+OsQfJw6mhhf+d2X8dDpCHU8Nr6wpdkzH7ycOp +4W6Hp+9XcfvIw6lhRZeNn35d20MeDn+enYfBLttd5OHUMGHjhMKcATvIw6kh +erR15xVttpGHU8PclzGWg1ttIQ+nhqetxmsv091EHk4N90f02thn2gbycGrw +HzbkjlH7deTh1KAbm2jBvV1FHk4Nik3OiZF3VpCHU8O8V7YRFf7LyMOp4aNZ +QKs3VYvJw6nhlHtHvcvzFpKHUwO7CCVbMuaSh1ODZKDVSfX6meTh1BA+bE3S +1qXTyMOpgXts6pyykJGHU8MQ88BIvZhJ5OHU4ODl1tNAbkweTg0XTewDl0aN +JA+nBvvEhqFfDYeQh1ODZ8MCdzd7XfJwauh/sqv2r+YdyMOpQRpWMHalTYOl +xsOpoZX4dfaqDvmWFz2aD/7Rgodm9L9ddbpfklvyMHFJxP+YOvOwnIL28Vuy +VEgoErKEkGQnY6+oFC2EIllSCZElhBRJpX3f933fl6m7qCitVMqaLYmzREjE +b953nt/3ef+8r+d0zpw5M/fc0/WZ6+MlNa+qVHuDskeQCAMoIn/v0kVVpUsC +tqmfGMHA4YDaSs3FVaWS/MFBNJKBZ8G2hSuVq0q/bb+QO24UA38NAhQwiVuj +7p7oJDFT0Wzn/Xx0acFA7Ozs0QycXtX5o0DxOw7Wxx2OogxYz3ux/PeYZmSX ++sRztxgD04vcKvz9+vDBEZ+3zRdnoFhvuvqQ+V/RpoPD/vWT+P2v/TlDG4aU +zi6Qya8dw4De9u7lbQrPkMj4pSdDxzLQqrl30cyGHNRlvl3+1DgGmo88Cbxr +1ogfVpg83yjBAL/r2paz37px8tSL3pLjGZhZPtvLW+4JdrNx13hH4mGTrI2N +V39Ep+vihuRJCvtHd25pgdMEBrrHPrJ67cug5ddaTu2dyEDZkGvtqZVfkNTT +z3MXTmLg5PmwhfVeHfjnkuEvf5PY8V75ZdWwWtThPNW3XoqBfepmp1sSOlHJ +m6VaEdIMOPl3vu+c14fDVDSGnZnMwPW1Qd59bZ/xdZ9DRVumMHDK+KBkwNWP +2PTLRetJMuR9Sv+Y3h5ajlXVPOZ3kdjdMm50vncumhce/6pgKgN+V03m3B37 +BI/+Wep3R5aBx3Kd5UcXFqCena07jKaR9h0P2neln8d1iV+GL57OwJ5ft8r8 +lvE4fahIyV8Sl969qtfmxGHP/bJnm2aQ771EfG2afRI+m7NsQbQcA+MmGuqa +GLPYYIxmp81MBnoWLXO9EfUYrzpmGqA2iwHXy4onZ494gmTKbHUmzybfFzaE +12Z9wL+lPUd8InG5X1SQz98m/PJ0Ai6ew0BiY726dEc3hodlNm7yDPx2za98 +8pbHUbPaFh6cy8DBj77GWXdrkONl5s2SeQzkndacVmHfgY89EQkaOp+BX7m6 +0pdC4vA2xWm7npD4n0zfrMW+rWjBreWj4hQYGFATW/Jv8xsk/kqz7MICBnZY +7XkeNjcWsasOn9++kIF7zW4/oeM9bvK4pDh1EQNjX7jvH/zVhbO7Pd99JvGE +ecdza7e3YN/NicGligxoBuw7dAMXowvBoOuxmLz/WrUH5Re68d5vbaNNlcj3 +OOR+X+TRW6yyg4VlSxi4LDbNq/57F54WN+KiiDIDux3XXdLI6UB/B6cptZH4 +4XDzfr3yF7hzz4oPCUsZmDN/crGBcg6+n6EVemkZ+R7LGxJPS7zBcaOP6Gst +Z2DGR4+PbS8/4Numl8WmryDj6RbblT6sBlkUe1WwJBaT7Phd6JyFtSYm2Zav +ZKDjin+mCNuBF1uVL/FexcDtv3q3xox7iCWqnnYdWc1AppyW8r6YfNQ7nQtb +uYaBDVkHrUbrvMdPLozcPWotA0onTxR21rfhvMbpYzpI/HorG3jc/gEKVFh5 +P1mFgeFr3lT/6XmGL9/YcdluHQOLZdQj699lIONnR5bqIAYagmo9f2q14g3L +r3TLrWdg4+Syu18+d+CZbt4RvST2a5aftX7zKzzsQ9Ke+xsYOPfi2pfNg+34 +/fqKsX4bGVCWjDyf8yMLVfu3V5ptYiBNtHnU0XNtOJHjrqzZTO6X8SXXXbkE +u2wftVxsCwMPxJu8LS934pNRM3qek9glp/Lp5NcZaOfAyqi0rQzo91uGV07u +wEv1tfdeV2Vgp6virRjvWjQx9aiErhqZ//1z9DTbGvF3Ebvq2eoMxI9cNLAg +uxk9PeBztY/En5Ivbci3aUFF+ckrqreR+6mNKrRJxShE4t7ngO0MHFo4pHOV +YQu6at4RbaHBwAIf3TEi7HNsUsHvW6fJwNTkRzWxrdl489TRkmO1GDAIBp+n +b2qRvI3cw1ckLutjhsTsK8Qj61Zdz9xBxsersvJrLx7ibnmdVQ7a5Hv3VCgk +8g249uoxRl+HAZG5jzuWFYWg1Da72Lk7SX+1P3O2k2nD7kt8jX6SuFxx3FlD +o1hk7ZwyoWYXA/tfh/fs4tqx3pt7NcG6DEgUTP7Q5FqPV6g8s7fSY8Bk9Lop +6uJtWNqnd/UGfTJ/FOZ1fDgein99Hs1JGDBQ1LitOvvNM/xcdWb8GxLLXfpx +wUe7FJWGrT6Qs5v0T9NfuebUchzxQ2fSrT0MWBq8FWmZ+Qzf2Gn2aI8hA/mN +jseSJerwkcSrDgp7GZB8LqFmEf0Yqw31WztAYvWBv85dowHP35/KP9rHQNiV +w2+OpjUh0Zz7CWH7yfoy/9BYt4/V+LP484Onjch8+3s9+XzkA9Rw9KvUZmMG +ro42TJMaFoUyS0XrJxwg/dG4Z/4zsXvYW3rWzfcknhygOMZulSM+d3rNuvyD +DJzVXD9V0bkM7Xm48+ttE7L+SUVsCFwViNfMOp607xC5PktU9eX+MjT18rVD +i0zJ+2RPvHHHphr/eew3eZDEW1L6fhwanY1fLUpraDjMQJWRsrq/Wz0uv1l5 +K/IIWY8m+Nx8qvQYx7x8js4eZaDeyXnfg0mF6Naqb9+2HiP3U9kuHyKfi497 +iKVImTFwaa3525v3qpBG96zDH0lc/GlPdF7EPbxo81qZouMMePdYNs3uqsFj +g3c1uZiT8TNBoVjHKhpzX4/fNrZgYLzHiee9U6pws9b1DUqWDNh8DGCkhxfg +nFj/7/9IPKZc86xEWxb2G0xLbT5B1oNT4Ztcr9xGtnuqjsRYMWC1891bmfIm +vD/jxdTzJ8nfPwohdWUzRqP7mtVPCdfDGabid6acJuNvg+rKcdXReEjx7E09 +JP77qCD7a3AzfjtB5WeJNfk+qj3XjN3KUOUJ3fS7Zxhg92SERaaG4fhK82Mm +ZxkI+VmXqe3ZiJ2n209bakPW91ITbyXkjSwvBDwZdo4BUanGq0NPA9JuTHdp +OSd8/hKF6s3x50l9cnyhlUtYM5a88bL/4gUGHAaHHy08W4C/dfRlaFxk4EZf +mHnc6xrcumzMcVlbBszDlDrgcDkqcJ0zgyGxsmnTvrVfI3HQe5XWsksM1EUG +qFWuTUFX1uu5eV4m49thd+IJaMIH/C22Hr4ifP5Gzn5guR0DfXqH6q3aWvDs +7YFZI64yMPhorbKvXj0Wicowf0pipZhBhdVvHuOuX9VySdcY+Hq5aqPkiTb8 +UO9V2+XrDET88NnsV/wEJ6d8v7vDnoELZk3mx03vYTeRsWozbjDg5XI50G9n +CTp1QP4PR+J5J4eebfMoRbvy1+VUODCwSuWjWZ/DE7xMQt/Sx5EBLfln5q2K +lXiSueWsYzcZ+GNfMVFnVAX+WX6jfdUtkq+aT45suvAAd8gEeYx2IvmOlzxW +qR6DS85mqj8j8Zh2UWnO6AEKe/RgMOU2A98bt8i6nn2Mr8u/zr3qzMCagOFP +JPTDsenVHyd23mEg4ffyFQ15+Xhr29g5s1zI/D8zrVHVLxnPXTL32VcSV3Y7 +7j49DeNRzsir0pU8v+aCjuysZvypU3+7vxsDUY5MtJZ/Nq5be+Lf8bvk/mpd +lQ3Da3G6t0P+WncGxOdr7zSprseen4NOinuQ/nvooL2o/CE+q5ol/5LEBc/U +M1vkC5FB2MPn6Z7k/j+Omq+53YxX/Xjtbe9Fxo+K6Y1lumV4ys6fGnreDNhp +9A/7sLUeDySMGyrvQ763rPvB9SMK0Ish8wq/k1hiRmHElmTAZfvWn37gy4CM +vDN/7EYTjso2mBfkR9a/8PPyemaPsKO41UtLfwa6ms7US8wuxceOOvqiAJK/ +6oyWTLjahLeVBmuNCyT5Xq6RPbO3AC2Qzh7WSeJUeeX9pzPKsfjpmqKsIAZi +GhIeeqncQ8yDTmvHYAaCQiu22KzzR40z++fvDmHA/qpVtdbwWJx1SeL1vFAG +Zn2XHPJAuw77PJ7n30/i58XbpasWNuILizZo14aR8fdETWF2VyXee3O3SGg4 +A5vmndyT5PEQq7y0KjkZQb6Hw6Fy1Y4iPG3VzbMbI8n3Pb7nnbWiJ/7rHrJA +Mork57r3tt4XS1Hnx+zOtyTONVw42mtjNr63qTYgN5qBDx4/JtyvyUSxQW90 +nGIYCByTE3tb5yF2+to/Ym8sGW/G2fPw8UxkrjW+dEEcA43rzNovyRQgrdj5 +536TOH3R0dWtyml48eCGRfXxDGz7GbdhxXyMJfbseRueQJ7fKfVt+L5a3Jt+ +Msg6kbyvlatmza6H+MmoW7u2JJH6c5tFf96GZpx3KHTUpGQGnioNP3ElsQkH +FOWUfSBxg+3VnIHEVHRpwqPzBSkMLOxZ88DgewM2OvFW8U4qqRcd6ocdco5F +6yt/vdufxsCkPYHF8rGFWG66ZIhiOgNLRVXCL/yLx8MuKOj9JXHtu8SCdVcr +8PuGjaJNGeT6Q85zjcrLUPV8w/KoTLK+ywT9+7KxHCfan7pok0Xyz/DotEPm +ecil45aSWjaZzwkXqnYtzsdWy8I+SOcw0K4yrjtM7hHWcc0N7c4R5hPl94/0 +i3NJPh3p8HnOi2I0Yf07Mbc8BkZfuqMk61OF+/wGKg7kM8AFs6T2v4WespKX +lhSQ8Zhrv8HgYwEq2rZAeWghWf+SR2l+UcpGIZGbPj4mccuoEU3eL7Lx1V+G +4bFF5Pkzl1fIj8zCJnqnd18oJvPr0iWThV0paHOK05jtJcL2zBEJvy+DGbh1 +65DdzgOVeMSBvMufSey9QHVdnEYU/phXt7S0lIEfn5wnPVgTgGvGve92L2Pg +S7ZvdUdIEko9/jviEJD8wL3oWb07HrmXTzBcVs7AI0tR28NZachaZuE4kQoG +7j/nu+Z0h2K9s5urWklsf/jW82XXqvGKR3vtEu6R/dObxAV9SfextLz18kv3 +yXz0CVkCdim43+52j2YlA9od19Zd6S3Gz1rDo6ZVMRCp3PEy4GUmxkr5e1kS +3++e2/MoIQ+H366XKK9mIPmZhFXSpUR0o/N9tdcD0l8bnomJDm3AR9b+uXrk +Iak35qw0m6dUiNW8J65cWUPG54Z9Mv4TC9D8zwu/jKxlwHD2sUmb1j/Eoqpb +YtpJbN/feT5gQQL+HLpvf/IjBs5Uhi962VKH679bS9rVkfVVOmFfbUgKztBx +fqhdz4CU74JFYxY1Yq+EiOtyDSQ/vYv369HNRjZDClb1Ngj7f8++BuZeI9nv +TFIbY7nWH6/J/hDr20Tq2ditk3ekh+Op4oNGZs2kHvyYPv6ESCH+c2TSxDWP +yfh3tZOpuOaLX+FFtaJPGDi241SYofo9XC619cZzEpv8O/TOdkYBjj61f01a +CwNGt6T/6bSEoZsPznDXWkk9ol4g6VaVis1m3onf1UbqC8eEiG9DHuLtlyIP +zH5K6vVNB0QeJZB65HHBpD4SO1ytDxgwI/XIosZHVe2kfihTU1v3oxxzjl0O +AR0k3xYwUnk5uaj5xeBai2dk/62xs7E4Pw3nrJTqVXlO6vnWj6t7Qu5jP3fF +xDEvGGAUmDVDlhfgix+3mrwi8QLtwF2+Dxrwvk1G0pkvyfvVj7uy/HwWXhd0 +tv7GK7I+nuwf92drDJ7+9c5N/dcMeF77Vs2JlaIhWlHr5nYykFLZb+jyLAi/ +jSn8+oPEftkm7ped7uPKP41JD98wgHmL2KWRdTh+98dDwW9J/rzBX0oYkoud +0/9OtnpH1tc3WXMP9oZgy1HSjevfk/y9OjChbXsU2nFosZPEB7J/dHPa1v29 +ACsVqa5/Q+I3TrMPlM6uxuMnGPdldzEw8miaa2ZcDf5qaZNy8yOpB8ZBoEWt +H2q973J4TzfpvzEV28Oj8nHBtGgZhU9kv7v46azjjeU46HxR0y8Sa5QGK30Z +V4WvNDTdftRD1vepz/d835eJDszv3hD2mcxPzeNiFyuz8Eb7f99PfWHgiItz +ULxnIZrVIZ22iWGgmnWytntViYcvUzo6gSX9YdiwpCmkCH9wUZN9T+KibOgU +t/dFD94ZP87jyHox2bjQyDEHJaNzd27zpD7XaPTsXRWN3fxcN+3rZWB9e8eH +H3e90Sk2+ufCr2Q8OvsvLk/Lxbu2Faf/IbFSu5J86bZHeFlk87GGb2T/LH/B ++8vsdDTpV/e0yD7Sf1cTo7+dC0Q/dIe0nPlO6sNlU74FtqSi9uTJrlt/kP3b ++ZFYe70TKh6+ZIvUT5KvXlXObVmei0KN1X91kVhRU7q29+w9fD3vQGZhPwNz +l0vFLtP3Rqbjzh93+UXyLZ47bElCKNp63G2G8QCZ/245PzMV8vHc8pjWxb/J +98RbDvRH5+NRMiVu/0icH58td8kwE30683hr8x+SXw421klnxuFHtZ8GogcZ +OPGw6+LK8RU4bc7Q7HN/yf2fVGc6ZXlhD7spFur/GDA2m/xyxbL7+EzrkplT +hrCwKjRt0leuGhsobXv6icT35+U764dX4lW3D7qXDGVh9Ms14zsfZ+MpnefV +7g5jId/BeOz9id5oYM3dPweHs2Ax4vfuqpQK/MIrNkdZhIWmpoLLivf9UVlP +ieWwESyMaR2+ssi9HEdufTKrhcTZ90KPnzd9gB1Ce9rjRrLgs8ph0Eg9Ch39 +PtTz4ij2//KJuo7MNo3RLMzK29XWOicfL0hQ/jtVlIUNA43D3Zbcx+JDtud9 +IfGJ/aJPtBdFIWaviVWZmPDvG7MuzPEUZ+G15hF7XZJfs8Tcn5mOYSHhinih +lmwU9jkS57V8LAtiKg/bzEXK8XmMt48Yx0Kn9OTYD5ezkKFUy782Ejsk7hZz +2VOJ1576nJ8owcKuudVo8pI4LPtg2KnL41lwu2jjPTcqFw/KTZ27Q5IF08GP +FdqH7+FO26Uvpk9gQd0mZNWrE+X4XvN2H47EIS+jTjJ7XXDswkOaFRNZqLwZ +Kr8pKhU7OV4c6jOJheQZjXve3klA5i/cC49KsTDBSR2kT+ZizZXxp1dJC99P +0b103ujJLHxeUBtJtlR43MeWlx0kvh6XGBG+IQPxG7/4pkwRXv84cPiOqzIk +bj91+p5rPMrrnTp851QWLpWYjl6fkY8DNJcVz5RlYcuEIZ+PoUJ0KUbjzFcS +x2doF6uXFWCjP4cUKqeR5z1ZZ12Udgev32372m86C+1+a7uu33PFcuke/sdn +sOD6smJK454qPHRUgvZaORb2jvAf+ORfgN+ZlImIzxS2p6qwteTF/8QJkszZ +9FksxKQOGExfXoxdLEUW2s9mYURTjLLTyXJsdV/2je4cFn6OWZG8dWc+0pm2 +PHCOPAvlaVZGvVwOVj6vufP7f2KtcSbO0yvwhAbTkQ/mCu/fN+9SaeA8Fi5O +Eu8aoRGB2657nrOcz4Kvuonop5RCXNiesAgpsDBpY5PvnrNZOHgpvB27gIXC +6UaS6TFZyM6lLeg1iV0cZVdNf4SxyTtmV9ZC4f03oxGjHRexoDVbQTT6RDKa +4zcNDBRZcNSKjtR0CMUj2OUX5i1mYZ3I7jU15pH4o7rW4n4S7065OdvLtxjX +RBx+X6PEwlnxJS/M9xXhlP5LISFLWFD9Pr63dvkdfFfXS++kMhkPwytVvx5O +Q6eTE0U3LmVhffrrvK9Wnlh3eHn5+GUs+JXunPjZNQ+vMH568S2Jj3j7mrd7 +Z2HpPFYpd7mwvf1jR3bdWsEC2WCr+P8tx8/MpocZriTzv3aqlfblaIxhhcGC +VaS9H8zsPN9F4vApO8R/k1hJ3F9k+ZAYbH/myL261SxId36+fHNHKjpce/lS ++BoWchomuXzb74NU53grW68l+UF21dDvRuV4nl3Sx80qLDTnL66R1vVEoq3l +4RPXkfHS3eS5WysPf17cvvsDibfYrjI6Kx+L6p24MQWIhX/S/ZNmv/dDGa9H +VjqvJ/MjQldHUyEYea2ZcWX/Bhb+TgkcVmLgim28Vi5T3MjC4in5W/MVvPHu +nh2fBkksvlLJalSrL1q99Whk4ybS/vXPR9ilJmKZ0CuGUZtZqI73+OIzowT/ +7vMeZ7OFhfcKRzSlNmWiV9rJVapbWYiee3iUqV8+Ko+vsJNWZaEsp/WKo3kA +iv7XvrybxPnTsnJ1i1Lxzb18T5EaC+nV1p667RnYLGtUtKs6C485Ztj117l4 +u5jcvgPbWDCqGfd481w3tPDIqvFLtrMQarbYv040Bo3B2g+GaAi/Dzvp2LXH +JD5mxYvvfhODmk7arYzVJP3jdnzk9Fe5KKfa58t5LRY091607tOPw35yKTHb +drAQu/XTgJbZbXTR9t5+GW2S3ydIil+fVYL3NXdIfibxkQDvc2pDfNC6hb0P +sQ4LPxTdk88YBqDpjqPt3Xey8F206fbzwDj077nc6kO7WJjxfWdX16Qw9GbF +anapLgsLdeK+tYeV4/t3deKG65HvMV3UxHeaL47rOmbcqidsv/PGqxMT9FkY +PvvGRn3du8gy0LfW1kD4+47elBuau1mQdZg4vTgnEytp3l8zbQ8Z73Jzdh66 +EIzHxzzjGBInaqie369fgr/+7o0HQxYuzPw+LO1+PG4xED3otZesNxYdV91m +OKH8tJlSR/ax0Ho5J5hpi8WBI9fUrdhP8s/POIkJw+6iyyY7HUcasfBHTyL7 +fT6pRwrNVNpJXNIspqdVE4s3Sl7rTTJm4bzHVblYJgXPsvRLvHKAhaS8NiNT ++yQ0/H6qifZBMr6GrQ7+dcYPfZCtlJYzYWF8+I8nyikl+MG55/U8id9McP1y +4hpGSfVfb947JHxf13liyNdUGJ+8PuvbscMsTGvWrou/mIh3tq9JXn2EhU2S +V9bbO2TjZUt3mYoeZcFms961vTqReJLL8SnPSXzT5JXCJc88/OPttcbUYyxE +9fwT0cjyQu3r/J2umbGQZ9C1TekjxsW+aet3HSfx0WHswG2MQ5nKvlnmLKjY +bF7/910+uqb+IuUbiX2v6cT4bgnChyK+Ha6yELZvS7/Y1ABLFq4y246+vJGL +5XVnN5ufIO29rHXLqjUUj0pe66xixcJXv6PRItGl+NMw3Y1jTpJ8+eXDnGfW +2fiRkfmPlySe1Vrm7O4ShNNyr6dlnCLjvSbt6ruFCdhjbMDRG6dZGGkRYHSo +2hudMUuX1bcm47k7a37V1DysD1WP5c8I27Nyyss7P0hsNN7hKrMrA08+07fp +4VkWRPSPtnzMT8S/asT7g2zIfCm5cf/b61T0YvacjBPnWOjBd5RfWobgsisq +ZuvPsxDZ9tZJeVEZjmzRnS5xgYW2g+0GtyyisMNii5ZOEi/RWREfIZeBjzrZ +u2ZfJPXAcekXFl8xVn8dsOWmLZlfyw2s9ebfxAprMn7tvkTqCXm3HZ2mxVjM +qzpz/mUWzpiPuOMxIQ19+fTy+C8Sf1tllLD/bDRq2PJ9xqMrLFi9u7Lhq2Yh +zgwZ0xZqR8abrhUXZFGMffrm3D11ldRLxy/uWTvXC5/XXqe66RoL/dOfnze5 +exMZxuv9lrzOgnOwxsjNZj547T+L7Hckdhg46ic7NBHJ7r1hkWfPQnHdyq0f +rvujwczAmbdvkPEy1dxEtKoMvxbNfLrXgQUNrWSHradzUcXhB+4LHVlQfDnw +MW1CAI4peaX2h8SzE9dzH16G41uTfvypvynsf/OTY3MjbrFgYOzkUZ3mgTSr +5U+ccSL9LXVxVsNABFKUQ7O33mahzv3zbxPfUDTOVr9jkjPJlz+CnOOkMeab +LD27SHxQYWXLhqx0/HiBw7bCO8L75zoE/b3jwoJUZdjBsP447P88M8/IleSv +uD9FL2ZGIdsVD60Wu5H+P3W9+2tbFt5/9/WcfyTWDRxQOxaRgtd3/XjWdJeF +bSYi+HpPPpLbOM472p2sTyISq7p/F+ChgXM1znmQ+YrMrModI9E7Hg1R92Sh +6PF3yeFtabhKw6BgshcLX5BpmU5aJE6IPnHqE4n7XRePHaOZg+/8dphb4i1s +7wmD4BduPuT9FWI3l7lmY+20LJ+DvizoiQSn7UzNx0tG1mgq+5H5xUimljYk +4wkmnUOH+ZP6bPL10r+JgPsKfhY+IbF1wFLjKbM8cdt4Ceu4ABbmmqsFT3VJ +xoUW8+ZfDBQ+L/je+lfbg4Sxnexuv6nBZH7NH1Nw+08KOnjOascXEmuKSJ90 +SMpDm+odh5eFsDDlSL9C3ZlsNHteSLFHKBkvTewKsjvCItezz5iGsWA4WWPy +/IfZ+OPTGoXl4aRebT4Rebo3AdUov3ktEsHC/pLTPf6KqTjlTr9/G4mP9qcr +KJ3OxXffSugkRpL5senSYIZaID69bv6Iy1FkPZCwbTrxKBfr+m7AWtGknhN9 +NeX8q0y8nNltMz2GhXEnFeXHGiQhKfWTCzkSn/Atfp1RG4p/ht98Ux7Lwi21 +zT8Hdrnjjp8hgd5x5Hs6JW1Owz4Y78rZeTSe9OdcQwObe9k4PKl25KoEsn9Y +Iurq6OmP7Ye9LR2VyIKcgXlojHEIOmz061wHiVcYhL310IjDqrnjFVOSWHhn +s1+1KToFzRur8M4umazX+TnDJ1yMxKPNNgbrpLAQ9tbRr/VqCe4p26M7M5XM +nylGv7+bZOK6yadGfyWxythni8NCMlG69S24n8ZCBJr15Oz2OOxVE3rBL53U +8x34tKxnCbaZnbv4eAYLNbnPQrb+9cW7rzx6vyaTrF+Ljsq2WSbi1S1vQ8Sy +WHhYbfX0fGccklk8oPeCxIGtudIlbzLx71uSYunZpJ6MmTV2x6ZM/PKVQsX1 +HBaQuPvlHnk/BKs32ermstCtGzIQuyYRR3kaLpmTR+oX5c6sjiPF2PHTqa4+ +EmeM/C1SeSABmW1xCqvOZ+GkHpxuPe+JtoeEGQQWkHzM/p6640IcXtiXK25Z +KBxfY7Tr7q0rYiGgzjMWl/ghNu7dpbHFLHiPcFxaaFKEm/4OKL8uFl6fbTih +O7OEhTXVV7+u+BSNfTMXRDhgFkY5TtMqWxSHL4hu3mNQysKNvZo5MUtC0N7D +e8fOKxP+/bqS05U/Sfyq+Wtg+tMsPH3S7Ss1wMIC25vBX7JD0D+r8GUh5SwE +zTG1PSvuh95U5X2yqiD5rl2tbt59F3R/Rn3khntkvFtayiu7ZqO4i+8Nx99n +oS/616w6jyh8u+n3uLf3/7Mfm5WoX5yNLRZMrM6pJPvDJy3WKTE5WMth4dVb +VaTeM1A5Gb8gFS1+vnmFYbWwfeNX7Pus8IAFhdySOnemFH91s44eILFheXjw +yUtuuOXD7X11D1nY81569lPteJy/IWJ8eA0Lh4tN83siM3BgQP6D07Vkf+iX +oWfy3gtd5uuvbX5ExsOFA4vPx0RiY40PKyfWsfCspuzf7gQntCH6z5f3JA6Y +5BDbJJOFZ/6eGJtfT/Lv6b01JedS8DCDRUbODSwMlqsZmM4JwR9St0zY30jW +o/4+idj0ePxgxP6aRU0ssP5vh35Zn42TDp6xH2z6n3qkwHl1YzPpzyjb1SZd +cfjk+Eg28jELDYZJN7UuZOOdFgVxZ58Ir196r8FYtYWs/25Lf7odi8ITZbsm +SreS/cL+geGqV+Pxd5vB2o8kzu2MjCpZl4mf1k1yKGoj8y25pu7jpGxUPFdx +retTFjyW7l2xNy8Vh17byhu3k/pu6Fudij35+NrT/QlKHSwsn6es7fq0AB9S +PntwyDOynmrf7bf5nYy33Lkj9ZjEjbE2DC67i+XfRtbFPGdhqHzkg61Od9HI +dYWO51+wcFdWf0rj/mTU7dOosu0lC5m60mqRvnmo9ktX75RXLJgfS7hxY1wW +TlX7m9hD4uplkhtddIOQe7jUIfyaBduwX5pNRZnozE/Fye6dLDxZYTN4XLUI +6+9SbTB5w4L/xZfjD/yLRCuTjG4tfUvqo5uzxx34FIUmD7NBw9+R58/Pjao2 +vo1+7Xf51kLiwOtSpsZ7E/DznKjk+PdkvTXYXxmbGYlLxxSZ2n4g4/fMtkvF +djdRxLGmKZpdZP0b0yIbY5qFb5R9bJT9yMKjzth3IZLB+Mjkf04MiePTlE+E +9mRidWvpDdDNQunTrvRx4gFIoWbxd89PJD/b9+scm+qDxWarpR7uIfXM1Ncj +Vm6JwF8uGx9Z8ZmFzUtn/FGZlY4anthMHfmF1P8Gqx+ctsvFmYquzU9JHGT9 +53X3iUDkfSvaOYkh4+3NR/cBuXx87lXRxiuscDzsWd38YwdH1uPosPcxOUl4 +jWd32gyeBSd7/7ixiq5I9tO/ozwvvH5w8+Rp93pZULv/eNzASg/8Oljpic9X +4e8V39Rcjn0j9fnhWcO8faNwzI4Dm1f3sfD75twdh4yi0a24c/2jv5N6bXH3 +Qq+hhfj4X9eMZyRWzfmuc3O2N9YwjDFL/UH2Lyaz0uvtMF6UWTz92k8WjK88 +fLdMPgOPFX3csrOfzD/lkXc2kP0mb/rJddYvsl9+ULTqQH8xflw8ZOs3ErfF +dxqVcvEod+KUgcoBFna+iFsutaAA+1styfL/TepPceNU6z5nZFulbm7+h9T7 +c/+MuhiZg/fPOCinMih8H3TxfJv4XxZ2aP2IbMjNxjOa3O6+JLHS4nO73W5n +4SELYlUz/pF8eM2F99+TjN7eKPltP4SDfSGdP4+8DkNVzx5n6w3l4Mser/TB +9rs4YXmPhfwwDtzkPHSdl2ViysNx4DIjVCQoJwFTHo6DK6z+VbOvaZjycBx0 ++1uulRjqiSgPx0HUzRmzd+MYRHk4DnZWmt3tqYhDlIfj/q/9lIcTxpSH46Bw +1Mdvb23TBDwcB63TvnnM1fcW8HAc3F4nb3thXwKiPBwHGZt7t9f/TMGUh+Mg +yztmkuKZWEx5OA6CHzatSRifhykPx8HZM18j5BxLMOXhONhVMPoM05Im4OE4 +MA9X3ygblCrg4TiQlknxHTc2FFEeTtheysMJY8rDCWPKw3HwgP/1e43FbQEP +J/yd8nAc/Olw//a7MBpTHo6Dc9tsokzOZmPKw5H3k5CY6yGWK+DhONBY9meO +0pdIRHk44f0oD8eBd/zNVz31nojycBx8O9lze6llPqY8HAe2nOyY7JR8AQ/H +wXWLjMq02EJMeTgO3KcaDH5qKxLwcBwMv3DkuMKRDER5OA42pLvaHz4YhygP +x4FCXe+WTc8CEOXhhO2hPJwwpjwcB80zXj9dpRks4OFIfGvWPofGNER5OA7K +pp6vV4kJQ5SH42C12iLXhbapiPJwHNQcrH405WMkojwcBw2jB1ttV8cLeDgO +bNz5r/fm5gp4OA48n5hZxq9OFvBwHLhqaLb5RMVhysNxoDlKU5wpCBXwcBy8 +nqucUzS2EFMejswXcfE1s8fmCng4DioOpC+6d8RfwMNxcH+gIj9cM1TAwwnf +l/JwHPx+/2B12KRsAQ/HQe/kJ7e/s9mI8nAcDJytZGpzSgQ8HAe8Y4nbYctk +THk4DkYf+zPs+4g0RHk4Di50yz59OzQTUR6Og6btG+WWLIlGlIfj4NSDZct9 +/dMEPBwH9rNMPVXq8zHl4TiovR76/I58AqI8HAfV3Yt0NEryBTwcB1JoREg4 +Eyzg4ThYEKOl0WKbgCkPx4Hv1mzlUbEpAh6Og4jcja/+2mQjysOReE6iGXcg +G1MejgOv7JV/vudkCHg48j65w++9/OOFKQ/HwXelyi+KZmkCHo4DB5X50nZS +vgIejoOVE6csde/OFvBwwv6lPBwHPoVrhu0ri8SUh+NAMaLZBdbmCXg44fWU +h+OgZ6Rlm+XuGAEPx8GmtRe9DL3jBDwcB2tGvfJO5b0FPBwHhzrExRV/3RTw +cGS8LWjSt3qQhSgPx0G7t99ieZNMAQ/HwVFn9/3xXaECHo6DhyN39Q7NS8OU +hyPjTdRwMGZaEaY8HAfxYrm1wdaJAh6OA/3YlBz5RTkCHo6DA20T/02enY8p +D8dBndQO1Wvq0QIejgOt7JhHItVxAh6OgwKH7Or+sfmI8nDC96c8nDCmPBwH +H5e0K51+nI4pD8fB+cMqX1+9dEOUh+Mge5pH8LERUYjycBxsH9WTdJjLFfBw +HFimwtbHOqGI8nAcbHMd7G2IuYsoD8dBoN/F+380EjDl4cj3OSybkbWkSMDD +kfmgebHJ7m+mgIcjz/9SvEDbwlPAw3EQLlPbm/7WBVEejoMzUyo0GqJzBTwc +B/4Hn7y85+eBKQ/HQb1Z8MpR7+IFPBwHhyMf7XnnnIApD0ee33taLEchCFMe +TtgflIcTxpSHI/dvQgfWOEcJeDiSj5JVbt9XiRLwcGS9y/oXvB55YsrDcTA9 +d+jIuzf8EOXhOOiq41Tmdf1/Ho6sl2fOWs87nyXg4Tg4HrZspHaSH6I8HAeS +/caHdm3KwJSHE7aH8nAkn0fE5l7JjhbwcKR/HjSEyw0rEPBwZLzKlLbuTQ8X +8HAcbLlyV6PhZoSAh+MgucT/SYlpjoCHI+t/iaS5zJV8AQ8nfB7l4ThIWhgU +lxIbhSkPR9YfSflDV+6FIsrDcSDxwdLa7riXgIfjIH3MUZPQuV6I8nAc5N5o ++7O9LUfAw3EQtPw9t7g2RcDDcXD5yxOvJrUsTHk4DgxU7sVn+8chysNx0Jgj +r5lT6C/g4ThY0XwMqiryMeXhONhdrOUxgdS/lIfjQPXYjePKPtGI8nDC96E8 +HBkf4amqek9jEOXhODjx4nbniM3RAh6OA6cbyQ6XjDMx5eFI+5mssG+Znojy +cCRfLuEm61eFY8rDkXy6ZE7ojwX+Ah6OfL95rsGnJrgjysOR+TNimeqtRS6I +8nDkezgca3k0IV3Aw5HvmaTeG+0VKuDhyPve6zW+PjweUR5O2H7Kw5H83jR1 +W79koICHI/lxMe9eoZSPKQ/Hgc4ODz/HKF9MeTgO1p47V+UQGocoDye8H+Xh +yPyvu27+5GWcgIcT/k55ONL+fcOg5N1dAQ9H6q98p0L8yBNTHo6DztFfhpie +dxHwcBwkXN8SOmFVjoCHI+O9UkblmH6BgIfjIEV5X8An1buI8nDke3xLnzdy +VxyiPBwHcuIqe+1kPQU8nLA9lIcj+WTtrUeyjnkCHo58/46q3N9nvBDl4Tj4 +Vz3pnZFCgoCH4+D51iM2kzRyMeXhOPis44A81kQLeDjyfhvcjS2cUgU8HFkv +G8X0sGkgojyc8PmUhyP17/jQdvWaIAEPx0HsM8tPty9kCXg4Dga7JfvWnI5B +lIcj+efClfeOkwoEPBwHuhtlb9hdChDwcBy8GNoSUjwkUcDDkXpl1NaWL+Gx +iPJwHODUzHKL8TGY8nCkPukK0r6ZlIUpD8dBcdqN+dlb8wQ8HKnv5o27ogAp +Ah6OjE81v+uasgECHo4DMdcMJfENkYjycBwsTBFXYH5FIMrDkef5F9g0JIcJ +eDgyn/BRXK1/F1EeTtgflIfjYOpLAw3D/fkCHo5833NzkuQUCzHl4Ui+XGm7 +wmlUkYCH+0++sEmo7UsS8HDC+1EejuT3yK2GphuyEOXhSD3QDkWLZ0YKeDiy +P/ntvXBhhReiPBwH/VkwbnRxNKI8HJlfEfPmdKhkCXg4kr+qj/NHlFIEPByZ +vzf6Z3avTcaUh+PgZbzn0q6QLAEPx4Fy0nT860YypjwcB4xOncnOVR6I8nAc +5APvuiU8WsDDcWA8v2Piz8XRiPJwpB78frPP4m4EpjwcB5faT8jNsg8S8HD/ +U4/8l4cj9X/CVMNyBS8BD8fBp7e6dut+JQh4OA5GaZlIHmFiEOXhOFivvdD7 +/PNMTHk44f0oD0fyv0IM1tcKxZSH42D53qWBEuOSMeXhyPdWwE39Jwsw5eE4 +MIo419obVCDg4Th41x14MDE6WMDDkfVyndhOJ5c7Ah6O1G/e4r82SfghysOR +elVxXqyxi4eAhyP1HCzzU1yViCgPR+rBM9Yex0pTBTwcB0XKYvVt5rECHo7k +33zRpJFkflIeTvg+lIcj9c/jcosrb9IR5eFIPT53yiT7f1ECHk54PeXhyO/S +FsMslZIFPBwHfz9lDF8601PAw3GgtrJ9pqlBgoCHI/vNbXLDF1f7IcrDcVC1 +68yVwk2+Ah6Og3U3jg5Ux6QLeDjh8ygPR77fTVKmLo/ClIcj422mzVazTQUC +Ho4D0derdjrm3EaUhyP9l75/ykWpSAEPx0Gb51e31ftCEOXhhPenPJwwpjwc +2Z/CnVMFJT6I8nAcBCTfO3daJA5RHo7sx9bXbv34NxpRHo7kJ6NFi9DPBAEP +R9ab3Vu+/lB0FvBwHMS8GyvmnO2CKA9H6remv3WS+UUCHo7UF/WNVWGi2Zjy +cBzkMK35/PhIAQ9H3i/gJNZOixHwcML2Uh6Og0nSI7PmrXZBlIcj9bL/DqkR +l1MR5eHI/jt+5qj9b+IEPJzw7ykPR+qViKyWpltOAh6O5BsX59Ed9mmI8nBk +vXA5/WPnmHREeTgOQnzlRnTqRyLKw5H1xXrH1B1srICHI/nwTLrIobIQTHk4 +kj9G2ySsWlAg4OE4uLby7NA5krcQ5eHI89ecWWenlSbg4Uh/i5qXz/ydJ+Dh +SD0e4Bp59GemgIfjoM+299pe8MKUh+PA4u2ROSOdkwQ8nPD9KA9H+l8u3FLt +QJ6AhyP7Dw2HwPyRXgIejgMX9eJnp/1SBDwcGb/Xetd2FaYJeDiyfr1n47FO +pICHI/W4dn9EVXQ2pjwcqcf6HF9VPs0R8HAcbF5mdnybha+Ah+MgMXZ12XuF +uwIejszHkkHt0RXJAh6OA3kLsaPsrVRMeTiSf1ysRPb35mDKwwnfh/JwpP51 +s8IGm7Mw5eFIPvZ2lCgj6z/l4YTXUx7uf+qR//JwZH2N0wiTdYoV8HCkvYXq +95TEvQU8HHmf6NevR9+PF/BwpF6y3xDsbJyDKQ9H6q+TsRsen0lAlIf7n/+P +/JeH4+BsqP3GwvX/n4fjYMbRcfC0PwlRHo6Dn28XHyi7ny7g4cj8X8hOHXIx +HlMeTng/ysMJY8rDkfVSw+RAoliYgIcT/k7PZ/NQ9tjjvJ1uFqbns3nITbY4 +aGlB9of/PZ/Ng5Nrom/41yhEz2fz//f39Hw2D/FXN015U1SA6fls4e/0fDYP +X5R7h4yoLMD0fDYPfPztCXHv4hA9n83DQlMZ7R9ZMYiez+Zhk2+fSPOKLEzP +Z/NwQuvE1H+NmYiez+bBWypN/NmOIETPZ/PQmzws6dWoBEzPZ/NQ8eaC6tTe +XEzPZ/Ng2Txsxf1xXpiez+bhZVv8fJ1Nfpiez+bh+J8esZUjvRE9n83DnlMo +4XxFEKLns4XvQ89n8yCnGFA3/yBZH/57PpuHe0UxjWPaczA9n82D66XQR+rX +MzA9n83DuHrlwgPSyZiez+ZBK1dtReCSKETPZ/NwLVKrSfmpL6bns3kof/Ij +sXqzJ6bns4XPp+ezebg8PGROL45G9Hw2D229C6ykCvMwPZ/NQ1zxN+m/YfmY +ns/moe5MulZyeCGm57N5kHkY1ur8OxHT89k8nAt1mXuv+A6m57N5sNpXnxCU +FYXp+WwepmyuUXmYkITp+Wweti837V6VmIzp+WwezA5vti26G4Pp+WwemqTv +JZ2574/p+Wwe7A8NmT9PKR3R89mkvdMeNPDzXTA9n83DkU53Nnu/E6bns3lY +efWY8oShKYiez+ZBR8mwbMuueEzPZ/Ng8bthU4NrEqbns8n4PKQ5/MaMYkzP +Zwv7i57P5kHWxfDhhzG+iJ7P5mFwrtnjtc3BmJ7P5uH6ip+ihlJJmJ7PFv49 +PZ/Nw4XUhsglO7MxPZ/Nw9OwG2tQfxymvhIeisaan1pb4oapr0T499RXwoN+ +/qxPbSl+mPpKePBPUPvUn5mCqK+Eh2Waxgkxd+MR9ZXwcDdQ60vo/ChMfSU8 +ZC95GDn3nQemvhLh/amvhIdV60ZEf1vqiamvhMw37wOXN5D6kfpKeFBPVfMM +UwvD1FfCQ0SfRMM1zVBEfSU8VFWN1OrdkYGor4SHsbez149dGY+or4QHlPpj +sHZCOKa+Eh6WmwdFh630xdRXwoO70whDsblhiPpKeAi4srpmlI4/pr4SYXup +r4SHA3Z3XjtNysHUVyL8nfpKeDC8Jn9UszUXU18JDycTjy7SXBCDqK+Eh6++ +1d3Xy9Mw9ZXwkJKmdXZZlRemvhIe5s/5vb/xSCymvhIeSvo26kiS9Y/6Snhw +y5gTdVsqA1NfCfk+Ig+byvUzMPWVkP6zzVy6OScSUV8JD8WvFsRcvJiDqa+E +h9Xs0mV343Mw9ZUI2099JSTfWK6606fohqmvhIfQurCrPnNDMPWV8JCz8PQC +3jsSUV8J+R6zllpKe+Zi6ivhYUKH6hfHfzGY+kp4EBs45TmmNxNTXwkPS+9Y +/5m1zw9RX4nw+dRXQvLN2izFqYYBmPpKePid1p2VbByGqK+Ehy2Lvv+NUPBB +1FfCw3DH7TFLuHRMfSVkPjMbNJ1/RSLqK+FBl3lRHLvbFVFfCZnf6eOx9blI +TH0lPHzca+wQqOGNqK+E5Kddob+6hkRi6isRto/6SnhwkVsh1mcXh6ivhOTL +PTrBzxOCMPWVkOs10tcd31qEqa+Eh1iR9lpbu0RMfSU8ZBjWHrTTi8HUV0L6 +p9WhxjA4HFNfCWnvqIvbZJ8kYeor4WF6gk3FCc1wRH0lPKyJ+qPdVuuHqa+E +hw82cvuOPktD1FfCw+m5Mj1jl4dj6isRtp/6SngYWiYiN3NRMqa+Eh4S/v4I +2v09ClFfCQ+ll16mFq/OxtRXwsOsP72vIianYuorIffjBw8aPQnH1FcivD/1 +lZDn79nyd/vZPEx9JWR+O61Qb4+NxdRXwsMlS+c93J4sTH0lPLxgdfsT26Iw +9ZXwUH/RyFpsXiqmvhLh/amvhIfHt9UuVStnY+orIetRxMpls61jEPWV8GDc +PnmZw5J4RH0lZLyc9Q/oHBaHqK+Eh0gZSTclyzuY+kp48B2l+bDTvghTXwkP +7cdt+xrsozH1lQifT30lZP3M2DnZ3LgYU18JD9EZ1q5xM6MR9ZWQ+uConuiY +Mi9EfSU8+KiI2XL6mZj6SoT3o74Ski+mnZqT8SUZU18JGb89VvccuAhEfSWk +XmBkpsv75mDqK+Fh6mL3cZs+Z2HqKyHfp1B2TZ6EM6a+Eh7sQuUWqVZHI+or +4aEmi8/WWxyLqK+Eh2OiOEJdIhRTX4mwPdRXwkPUWIsZH8b6Iuor4SG1dWTv +lLFJmPpKyHo64C2jIJuLqa+E1B/MgiDdfSGY+kp4wMlPPZVb8zD1lfDw8IE+ +67c0E1NfCQ/mZ3ccCvldhKmvhIc0SbGWPb9jMPWVkHpuptR4BadQRH0lPPyV +PmmG6oIx9ZXwwB6ecutzpCemvhIeToUtve60JBhRXwkPLbZnZ96xDkfUV8KD +gs7M8SMTMjH1lfBw0/VNdcOUGEx9JcL3p74SHt6IHbRdUZuBqa+Eh/VxUkp3 +d+Rg6isRXk99JTys29M86FefhamvhLxvTMDaYRqJiPpKeHD2L9/06HoCpr4S +HsJ+do9XOBqAqK+ErOdu1p4P8nwx9ZXwUBmWo2WrnICor0T4POorEcbUV8KD +tmgcs/CXD6a+ElIvHPR/ERpWiKmvRHg99ZUIY+or4eH98SkXVowvwtRXwsPm +NV4zmkyiEPWV8ND3fWLE10leiPpKeICUH27e45IQ9ZWQ+Vy56m/90SBEfSU8 +pGebPD/6NgJRXwnpP+bEqJYqb0x9JaT+Ku9oFN2bgKmvhMyPIbzhowv5mPpK +eOhCxp16ivmY+kp4eK1/yjfsbQamvhIeJs1peu65JR5RXwkPiQ9RX+WwBEx9 +JTzs23Ft15JoV0x9JTyY+jwySmHCMfWV8CD+udN89+Y0TH0lwv6gvhJSr6sH +dNTlBiHqKxH+Tn0lPGzVeCOzKC4PU1+J8HfqK+HhdrG/suuEKEx9JaTeu732 +4JPxxZj6SngICbCr6S6IR9RXQvJ9vMT36oMpiPpKyPu++BA3Z68/or4SMn7H +m6jvD0nH1FdC+terVvrVhSxMfSUklhIJMrCPQdRXImwP9ZWQ8TZ1uKvTSQ9M +fSU85CX06fS5pWPqK+HBOlV9nUVoDqa+EuHfU18JD3sfrfwaNDkXU18JD+ft +J+2smO2LqK/kf/LZf30lPDwILb6lnuOBqK+E1DumRoefT4vB1FcivJ76SnjI +VFnpND86EVFfCQ+iZpPR6REemPpKhNdTXwkPzbafFFv0biPqK+FhwWHDTplN +OZj6SoTXU18JD4usvBZ0a0Ri6ish69u2qVKXFTIx9ZUIr6e+Eh5+bdsAOqZ+ +mPpKSL54Kt988UI8pr4SHpR3bUp68zUTU18JD3P6K/oWmGVi6ish/ek+ZfzN +famY+kpIvbm74GXd/lhEfSVkvyh1/fGsU6GI+kp4uJLb/lj2QCCivhIy//6s +HufkmYyor4TsP2TUZQKzbmPqKxG2l/pKSH14I/ipTm0cpr4SHnpEP437ku6P +qa+EB6VMl3ng64Opr4QHvYMfz4u8yMLUV0LWzxH+49vTizD1lZD5/MnU4m+A +P6a+ErK/O3ijSqM6GVNfCQ8jtAOemzdnYOor4eFZt9GpzrpITH0lZP9pKHHH +UiQNU1+JsL3UV8LDqBPHHirejsTUV0Lq2fCmMxtDkxD1lfBwJum6zMC4LEx9 +JSQfnjLfJ9oWjqivhIfdr8Ov7vVIw9RX8j/57L++Eh5Gm6moZBQFY+or+U+9 +f/6cYlUsor4SUj/pGvUN/vDA1FdC9j/tJW+ethVh6ish+XuZ6BGpn96I+krI ++iN36oAcqQeor4SsV8E2fx++j8LUVyJ8PvWV8DDgJnLYeF4mpr4Skm9WVM75 +rpSCqa+E3K9vcIiMbwymvhIyv7pD8EeHSEx9JWQ/EFA17Ip1Eaa+EpIPLEtc +9UY7Ieor4aGhWU9WfX4Cpr4SMv6rws68HeeBqK+EB795RmunWbli6ivh4eKY +F1tdcrwQ9ZX8Z38d83QCF4upr4QH2x1NEsPCkhD1lfCwreHntDKZCER9JaQe +t3NZ//F3FKK+EpIf3de1LPqShqivhNTPw4/9uL8jElFfCZm/ylfWB35NRNRX +QuqrNP8Q1dlBiPpKyH7Ysj+1uTgeUV8Jqac2eDlFz45F1FdC9m8rHp8xmheF +qK+E7G/MVZcFT8hE1FfCw5iJYanrPkUh6ish9Way4cIor3hEfSU8BE/uVrQw +iETUV0Ly4883Us27MhD1lZD96buFGeuKwhH1lfDwqLYp6vKFaER9JTz0DzZJ +JhqEI+orIfslcU21u/2piPpKeKiLOba59oU/or4SHjTUqlzzUyIR9ZXw8Ekl +/dh68VhEfSUk/3fa75mtfxdRXwmpz17v26waHoWor4S0b191rKFPOqK+EtI/ +ObY3VVcnIOor4WGjmeNsg69hiPpKeFjSqqAi75KAqK+E/C4r8iLoRTyivhIy +HkzcTGoUoxD1lZD891jfodQjElFfCdm/3LxhNhAQgaivhIerv+f6vrGORdRX +wsOdP19vnlKNR9RXQurx2sfrbOrDEfWVkP6olmoOWB2KqK+EjM/UH2bSjDOi +vhKyf8yaPUZ1fQKivhKy/qsfz8oh9Sf1lfAw8ewIb5uuGER9JWQ/HR3adi0t +AVFfCQ9O3d8Na1xTEPWVkPG8Zt+JZ9vjEfWV8PDOevCm3rRYRH0lPMzb8Dzi +gm4sor4SUp/+zZZNvxqDqK+Eh9697zccPheNqK+EjK/xrscOH/BC1FfCw0qD +qLPivj6I+krI/pvR8XoZl4Sor4QHj+OVN5/7RyLqKyH735qWCAfHKER9JWS9 +15zeVqcRgaivhOy3lX6YdWdHIuorIetbm1SoPslv1FdC8sfGCJUGnRREfSU8 +/BiZUL56cTKivhLy/iq7PtsbuSPqKyH72+cxpw9bZiDqKyHf51C/yjy/SER9 +JWQ8Txje/GtZFqK+Eh6skldfLFBNQdRXQvZXbXvlbaQjEPWVkPlsm+jFNiUj +6ivh4flHyauZyxMR9ZWQ/W9SV8v8v5GI+kpIPZn/vaPlZwKivhIeuK8Zn5Z5 +xCDqKyH5OKa0I4XxRNRXwoN67X259VkJiPpKyH5FIiBNRi8JUV8JqZ88DT8H +f4xH1FdC9jdb+yss1mci6ish+xl7gwdSL5IQ9ZXwcHag0bHhShCivhLS3vHL +kYZ1GqK+EvL7IZErNqlxiPpKSPs4608PX0Qi6ish60vP5WmTL0cg6ivhQfLT ++szhA3GI+kp4qLCr+ezVH4Cor4Tsl66PXBt+IgtRXwmprycMGOprBSHqK+Fh +pnFXW9nnKER9JTyseLbDs2tJJqK+EpIfnqluWrcxBFFfCQ/Zm0yTR/6JR9RX +Qua/8+a1ibF+iPpKeCic+EfMojwdUV8JGX8L32kZPwtD1FdC7s+LfJ35NAtR +Xwlpn5t100vFZER9JWS/eHrM0uk/EhD1lfAw25dXzFKKQdRXQvr31TXlPbJR +iPpKyP5vWrKveGAUor4S0n8TysOm64cg6iv5T73+7deY5fGI+kp4mNu19HKU +eyiivhJyvdjWBkOvNER9JTzc8uladlk6AVFfCVnfDFN3eZxLQtRXwsPI6P0W +f5/7Ieor4WFXz+M5e6ZGIuorIfksb8oh3Y+ZiPpKSH3ya7VdXlMaor4SUr9E +6sXvEUlF1FfCg6NrD9pUk4Cor4SHc49eOwYtjUfUV8LDZ6W9xyqM/BH1lZDx +jIaotqr5IeorIfXHzMkn3n0JRdRXwkPk8dSL/g6hiPpKyPdSyIrdPSEGUV8J +2Y9EOtks1k5H1FdC2v8u3WlGfSKivhLS/1emG6h+jkHUV0Lyo+sb29vjwhH1 +lZD+H8O+fuuWiKivhId8SdulWeWBiPpKeMg4t3bM1bIIRH0l5H73NhhEqUUh +6ish7wNlEmb2SYj6Skg+jlpa9OluEqK+ElJvp0Z7P/odiaivhIwffvQ1BSYK +UV8JqR9R3fYhdpGI+krI+BfNv64xLgxRXwkPR24FZo3PSkTUV8KDiHZ+7egD +8Yj6Ssh43XbE+PvjdER9JTyErkaGcDsBUV8J2b+uf3fD+HAaor4Sku+u3weF +DaGI+kp4eOLuM8twWTiivhIeTqYanXzWn4yor4SHtzo3DUt0IxD1lZD9xaZT +g52XIhD1lfCw6qBc/QuROER9JaTemBC/LXBZEqK+Eh40PSY7j9EORtRXQsaH +dPfbhcqpiPpKSH9F1lmJRMcj6ish9UTrDK/Pr6MR9ZWQ/ViPg4FrRBSivhJS +36RbrDhVk4Sor4QHf+9/F2OssxD1lfDw+FKZrenbVER9JWR+is+ZyOglIOor +IfPZ4/DjrbMiEPWVkHxsWr2/VTURUV8JqQ/rZQpfqsci6ish9S3yVhq5MBNR +XwkP4xcGjahYF4Wor4SHp0fWHt8RlIior4TMX++6/R6tiYj6Sni4xy7Bsfe9 +EfWVkPrL68BEw0EfRH0lZL83VdMxfEEkor4SHkxmmv05Qb4H9ZWQ+kXDPsBg +ThKivhKSz6/fbI2AZER9JWR+mg28sdCJQ9RXQuqT5Wcl5r9PRtRXwsOp9ubJ +N3LSEfWVkPUrbPfaMTJxiPpKSD5+aqOX9C4VUV8J2c/+eWadNzMJUV8JD6j3 +4R3nUZGI+kp4aFppoeIQFYuor4SMryMcDPjEIOor4UFlrZ/jhv2RiPpKyPh6 +ou24LDQRUV8JGZ/W2b9e8qmI+kpI/+mKZLz+k4aor4SHrOHHFnpUZyLqKyH1 +xN3viVIaUYj6Ssh+KPawWrVBPKK+ErKf2RpuY5+ZiqivhOwn7sTrDLkai6iv +hKy/Jp2HG8YlIuorIfXW79Y2t1lxiPpKyPr+clLsoYsJiPpKeNied+H+JJ1o +RH0lZD+lZXF/yrYoRH0lpD4qPfB5AueNqK+E9HfzzOUSRbGI+kpIfqhSsI+o +iEHUV0Lqv9M+MddaYxH1lZD5fzLioKR1EKK+Eh4OL/Xa3t4XjaivhAcJVf9j +p5amIeorIe21GVZzYWs0or4Skv/ErDxN32Qg6ivhwTlfYt9l3wxEfSWkPvY9 +X+yjmoyor4TsZ+c8djMMS0DUV0L2Q+EHQ5ZfTkTUV0LeN0di4OWFUER9JWR/ +HPKz85FBBKK+EpKf3bQtdkpGIuorIfkxuiKz4nYcor4Ssv/8t/n7zIEkRH0l +ZPxORSKtITGI+kp4sDE57zOjIhxRXwmZX66Xrxb2hCHqKyHr9aQi/clyyYj6 +Skj+1/HRTVCOQ9RXwkPVrMqtE3R9EPWVkHowJ2zX0BPxiPpKyHxsaWif6JuI +qK+EB/MjJT47ymMQ9ZWQ8WsxpyF+cyKivhIeGvuOv12oH4eor4TMz7UmU8Un +RSLqK+GhWzVmZfK3FER9JWS/O/pzjvuKOER9JWQ9CxcZ1tQViaivhLzvPbWg +7Q4JiPpKeIhXdltV/CEeUV8JWR/efSh9fZXks//6SngY5ifz6KuYF6K+ElI/ +7i8Rs9fwQ9RXwkNgmvFgj084or4Skr9CbUqcbvgj6ivhIddv+ZOEU3GI+kp4 +8FIaTH5v9v+Yuu+4nt73ceBGyc5KEllJCdnz5FRCS7JSZqnQEKLIKrIi2nvv +vZfq1NXQkpkZSYqMvOicSEb8rvfnvv36vv+7H696vc7rnPu+7uvO831dKQzp +V4Lnr4DG702lCQzpV9IB5kedNgS+9GRIv5IOmPfSbr+wNJIh/UpwPmndLD1y +J5Yh/Uo6QCPr9e4+Z5MZ0q+kAz5qX6ocNz6OIf1K8H55zKrMXZjIkH4lHZAw +ZY/N9bFBDOlXgueBlNgxCn2uMKRfSQeYlA771Do6giH9Sjpg/rUdcnpZUQzp +V4Lz/0xj7gPNMIb0K8H8f6XExNEOiQzpV9IBv7ucB/0Xr0i/Esw3uL8S2wdF +MaRfCe4H/f3jtsn5M6RfCeaT77OntrExDOlXgvM76MbdmbvSGNKvpANWb19R +xLQmM6RfCZ4fmixVDe/FMqRfCeYbhr+kXu2IYEi/Etx/TNWGSR9IYki/Epzv +T6KLBy6KZEi/kg6QE08Sxm71Y0i/Elxf8RIvfinGMKRfSQe8/lBrV52fwJB+ +JR3wN7juUhfOR9KvpANCiyMLlynEMqRfSQcYS+Q0WPV4MqRfCZ4/z3vevVMV +ypB+JR0gbW1apWPgwZB+JbieLCS8u3H/I/1KOuBcqb2HBBvFkH4lHfA4Y5G4 +9t14hvQrwc+feERiZf8AhvQrwf15cXFH/2UZDOlXgvPvcmugnGogQ/qVYH5h ++Cj0yoY4hvQrwfMjY+m+52QUQ/qVdIDmotopmZczGdKvpAOKIoq8tmrHM6Rf +SQd8s/cvshgRxpB+Jfi8TyWfm8RHM6RfSQdEz14+9dXPBIb0K8H1/idLNLWf +H0P6lWA8OH/1lf4cf4b0K8H5zYXcmnwgiiH9SnD/q3AaVboqgCH9SjC/6c+F +Tmp2Z0i/Esz3Dv0UXzAokiH9SvD7KMlemXYyiSH9SnA/nnpF73xCAEP6lXSA +w5CzQ0NKAxnSrwTzoTaptLGnUxjSrwTPk0LBuKxcd4b0K8H5bufeEXInkSH9 +Sjpg677Ro3T2xjOkX0kH/LBOStnulcSQfiV4PWMXdsouyGRIvxLMn1rHrHqu +HsmQfiUdoPZ77IGM7FiG9CvB/SMs4M57tziG9CvB9eQ6gDdQj2NIvxLMf2y7 +ao6rhDKkXwmud4VN5XJ74xjSrwS/j+aP5p3KGQzpV9IBYyUuatxWTmdIvxLc +j2pum+eejGFIvxK8vz+MzEYej2BIv5IOeDbguWqS6XWG9CvB88PIyp1D7EMY +0q+kA7g+Wp7n6gIZ0q8Ez9dWTL/As54M6VeC8XHRg+EGakEM6VeC8SZdZvC5 +O1EM6VfSAf6uqX13HkxgSL8S3O9bI1Y+wPyU9CvpgBVzf7b5hUQzpF8Jzu/M +m1mBTn4M6VeC62vvj0s7VSMY0q+kA+Rjy87ZeEQypF8J5j81K4Z7+CQxpF8J +xo/U0+2DjiUypF8JrnePGZtsh3oypF8JXm+ZmdcmiQSG9CvB/GH1kotfFwcw +pF8Jnp+jvUfvWenOkH4leB57dGdB3PNkhvQr6YC5+qprWh4HMKRfCea7QXM+ +bUtOYki/EsyPZ6yaM8I2kiH9SjqgQeeheefueIb0K8HXm+oMmw3iGNKvpAOW +tQq3mdpohvQrwfx72cx9G0ojGdKvpAP6zvEslquKZ0i/kg7Y1zX4iE0axrP/ +9SvpgBK43ZqgHcWQfiW4HnsMpTRSMhnSrwTjl8/3lum8D0P6leD+buIxgeuO +Yki/kg7YvuPXyyk3oxjSrwTzgfQlP+yehjOkXwnux43vTgUZRTKkX0kHTPip +1LS4J4oh/Uo64Hld+rntSz0Z0q8Ez9+jdDw2aKQypF8JridjNtGkNo4h/Uow +f5nnsidSKoEh/Uo6YJV3hggM/RnSr6QDrqwbp7jvRiJD+pV0gBQ3JoebG8KQ +fiUd8MjEAubuC2JIvxKMD1uq4jfeSGJIv5IOWJthHZ+8IZUh/Urw+edPVtc7 +7MmQfiX4efuDnn9/kcyQfiW4/ofvcF0+2Y8h/UrweYm+SshdTWNIv5IO+NAw +3KdgfBRD+pV0gKP21lE+57wZ0q+kA5S6D0l6fwpniIfjof1I8yJWKpohHo4H +8wv75+r+TmaIh+PhzZBhQ6SUY6iH42GQ1YGQOC8/hng4Hu5omm25PSaIIR6O +h91ummOKK+IY4uF4kL0t/NCekMAQD8dDdt+t4h/fxFEPxwM3qD1/ea0n9XA8 +WHbqTd28KYwhHo4Hh1AfyZlBMdTD8XAtmLsXFHudejgeQi4ZmTemBjLEw/Hw +XOknu9wtgiEejoeh6eX3N/pHMcTD8RDbleV86nQkQzwcD0LzxJDkmFDq4fD9 +HDPOX6mKpB6OB7NLFy/ZDk5giIfj4ViycdeJilSGeDge0jy7DCZxMQzxcDwU +vhjsfX9KDEM8HA+eO1uWPpmVxBAPx8PEMbnLXy5JpR6Oh9+NEWras5IZ4uF4 ++LKs83KtfRJDPBwPLafVZApHRjHEw/FQsnpbh9KmQOrheHhv2HxO3yKWIR6O +B93qJVULygIY4uF4qNNkiwvkYhji4XhwX+thKC/pxhAPh99X7YLa8GmRDPFw +PJi8W3Hu2JVQhng4vH8DK1+0WyUwxMPxIO7rZ7VqjQ9DPBwPyeWWSXXzIhji +4XhIqXUcId+SxBAPx0OPYtzxM7qx1MPx8ECrxfaRVjRDPBwPl3Iezdh6N5gh +Ho6HTgvJe4sdUqiH4yFQqv20aVscQzwcD3Cr42MPE8QQD4c/r1b2d/mNeIZ4 +OB4i64163kamMMTD8aAydcLn7spI6uFwPv3MvSPjGs0QD8dD288R2g9P+jHE +w/EQFpA6WWpDOkM8HA8WtcM13lxyY4iH46E74zDbnZ/KEA/Hg/T1vJPSZkkM +8XA87L22+bBBewxDPBwPpzyDop1PxTLEw/EQcOlja8KgWOrheLjtc3zHmAGJ +1MPhfFqit1ZfJokhHo4HeclfA83/JjHEw+H9ve372OtROEM8HA81jnKZcydE +McTD8fDk6a1zV1JSGOLheDjnONil1jeKIR6OB523A/t8PBlNPRzOh6PFZiZ4 +niIejodZovcd+uI+1MPxsF7Fqep8ZQhDPBwPTcFKwpmd4QzxcDxkPZ10ZvSw +ROrheFhxx0vq3IhMhng4HvQ/n53l+SaBIR6Oh++CuraudhhDPByP+XXaTJWu +KwzxcDw8VnxglvwjiiEejgfrPq7RU2cnUg+Hv3/JcdJag1iGeDgejJ65/OzC +8xfxcDz4itfXDsf4TTwcD59j89vs8PkSD8dDfL/80CDxTIZ4OB6GJAiXLE2T +GeLhcD0cDLjs1xhHPRwPP+PM2ZUt8QzxcDyUba7cfiY0liEejoekEfvk8ngv +hng4HvqPaTs+OSCYIR6Oh9bX7q+/WYcwxMPxsOzXC0+T6GTq4fB5PI2asqki +iiEejodJHl92OdwLZ4iH4+H0gv3bNxT4MsTD4XyeeeZoV04w9XA8FF+6/MlZ +JoYhHo6HM+1rvA/+SWKIh+NBvfvp6POqwdTD8fDO52VplVoE9XA4ny5vMbYz +9WOIh+NhdrLJpP3JsdTD8XD4a/GP74PTqIfD9xP9WPX1rSdDPBzGU5e65ZHf +oqiH42Gjo8tvTTkPhng4HoYHtfgva/ZliIfj4d47/Ym+6yOoh+PhYkOUf3Rc +FEM8HN6/bcatU5pDGeLheJhqZGX/ODOKIR6Oh5OlknO9rKIZ4uFwfT0Ypujw +Jo0hHo6HTJ2jnfOPBzLEw/FQtMfpbPjRfx6Oh10buorf6iUxxMPh532yzP0W +7Ec9HA/sUrma5yujGeLheDgvP9hADCIY4uEwPlZE7KueFsoQD4f7gczofuVz +vKiHw/2r/U3pyMgQhng4XA99fH/dvRrJEA/Hw7qNM6X8oiIZ4uFwv922/ry2 +ZBxDPBzOP6ulBUUXwhji4XA9dHstbsPzM/FwGD8Zfe9WwY8hHg7j0c+VwZHp +kQzxcDw0+xzNOhYSwRAPx8M4OdMnJ2RSGOLhePiRPOiCr04qQzwcDzsG7/ry +8EMq9XC4f4Y9arU9EkU9HO63WgF5nqtjqIfD9dbj8HCgeTJDPBwPDXGirwpO +0QzxcHj9Ev1WtWllMMTD8fBqLH/s07EQhng4XI/qcWc8PDIY4uF4eGRR6Gxy +MYR6OIzfaVfjNq+LoB6Oh6+Sus/UXqYwxMPxYBcwbUmzRDJDPBwPodPtYz++ +jWKIh+Mh51Tby+P10dTD4fsfeZThfyWGIR4O8x2Iceu0zWSIh+NBNccigimO +Y4iHw/1h34hbow3jqIfjIbxq2mSHfinUw/EwutMnXsUsgCEejodfTx5LtjnG +M8TD8dDxeWy/iFux1MPhekxN6IjTCWaIh8P9RUl3uaVxPEM8HMajyCUfTkgm +MsTD4X5/9va9OxMTGeLh8POKpC18v0cwxMPxcP3bqWsjNZIY4uF48HjqIjs3 +L5ohHo4HfshKJSnVJOrhePA3KapR5hIZ4uHweobnr4ic5cMQD4fxKX395T1n +PaiH4+GlwXz7Bw2x1MPxsGWL1cAEvXSGeDgeJHPWN74P9mWIh8PrPznn7f0H +wQzxcDi/jPd9iNqQwhAPx8MN2yaL3/khDPFwGN/M5ksv+ZzMEA+H9885f9Dt +pgiGeDiMD/VpKuIfg6mHw3xK4aJR1f44hng4HkSPUkPvGKVQD8eDWuXHiWrZ +qQzxcLifKMp7CwXR1MPxIDXKyfaFJMaz/3k4XA9+lvt+lMUwxMPh8w2odph8 +KZIhHo4HmcljriypDGCIh+PhUCVbNUI/gSEeDuev7qjuWuU4hng43B918o5P +6YpmiIfjoaC4snKbXAT1cBjfz7WvOT8ijXo4HpxnD+l09/CmHg5ff3Sj85SN +P/Vw+HnaJvMSY5Ooh+NhbXvE05eaEQzxcBg/0o7MDR8ewhAPh+v/YPaDC+uu +M8TDYfxxzM+sLYlkiIfD/Cg6p254fSRDPBwPA1doxmgF+FAPh/Nr233VUfMT +GeLhcH+w/LH7c1MGQzwc7rf77UvWRiUxxMNhvrCrMSiPT2eIh+PhQOy3b1dl +Exni4Xh4+NFprW1YJvVwPEwLtpEVt05kiIfDeJ/0ddCa/X4M8XAYHxYM2Sqz +3p8hHg7jh57E2/L0ZIZ4OMxvd75W8Z4eyBAPx8OCHEmnMdMSqIfj4Zb04Qnq +pgnUw+Hz+7FO/vOoNOrhcH3YGfooD4hniIfjwbtVXbXxfDJDPBwP968O37iv +2496OLy/+t2TlozIYIiH42G/IKswJSCMIR6OB02Pqu/qM2MZ4uFwf7Ecm7It +IpYhHg73o4hlJ09tiWWIh8P4zWVLnBsbyhAPx8Onu9vTVsXGUw+H+1uz5xqX +ZQkM8XA87KsPN0nrjqYeDvOT39ahnTsSGeLh8P5la7Aun6MZ4uF40DOUb7OO +DqYejoc5Paef3R+dxRAPh/Fk4n2xS8sSGeLhMJ/P/iQnedKXejjcrw+FeMTn +pDDEw/Ewv0K8sVo5iiEeDs8jt8cp/RFLZ4iH4yHaZnq9TWICQzwcDxkHFKqf +zUliiIfD89Lq+JxFN6IZ4uEwf0ow4tRHpjPEw2F+EauUrJYfzhAPh/mMyaiz +V1oyGOLhcL2uH/q+/VcEQzwcDx/S9jHfDoRQD8fDiZ8uv8Q+X6UeDuPLr/zP +fv0TqIfj4fiQCtdvW/2ph8P5Ydr/lY5nLEM8HN7fay6Fa2uCGOLhcP0PAivH +1bEM8XCYbw9rvZCfFc0QD4fnw7NF06OvxTPEw+HzLt55PFUxjiEejoclb230 +V60PZ4iH4//7/0EG7J0YyRAPx8OMz8fLRmN+TzwcXk+bdJvbh2SGeDgeSs8o +vhncmc4QD8cDI3X0l9UTP4Z4OJwfkw/XDJCOZYiHw/34zAjJvVuDGOLh8HXr +CSsWfMmgHg6/v/HSHqs/8QzxcDz0ic1xMlmUQj0cD8/uXpsT5pnFEA+H5yvH +iTfWjUhkiIfD+D2ij4HZrhiGeDgeFgdGur7+GUc9HM6/x41Hf52KYYiH42F1 +3c+Ce6fTGOLheOhSPnjYUy2ZejgegiX8l9osTWCIh+NBbEx40WbbFIZ4OJyf +lfVHGMyPiYfDeHTIaILT3wyGeDjMNzOtJ30fEcMQD4fze/fXHcPjkhji4XhQ +sLjQ6G4YzxAP91/+2T07LMKbIR4O94/YDwnnXH2oh8PnX9FvnaNMOkM8HM4f +pY2ZF9wCqYfD+W0tFum7JIMhHg7zB41JvKp4NPVwuD9+se3x84tliIfD53VW +w3IN5049HA97fpY7ReSlUQ+H6/2s/HvJkCTq4XD9FaUtstQPpR6Oh7nz2uwH +eMZTD8eD06Yhsvn1idTD8aBV8HDR8Isx1MPh5y1yEzPjfKmHw3x1tNvQwYF+ +1MNhProxR9tm9T8Ph/HnxwGjo7Jp1MPh79d5XzT/FEw9HOZD0+sszfbHUg+H +88dzzCzTKH/q4TA/dWHZI8VJ1MPheWzrBZvXPjHUw/EwT8vwtZIonXo4HiKO ++dicNIimHg7394gPJiNKI6mHw/hee1kpHc/rxMPxILEqW/p3Szr1cLh/+Tpr +OF/zoR6Oh91LN2z+Mi6GejgezrZcVRis+s/D8bD1VWSOq2og9XA8fDssF6H5 +MJx6OLwfPaVNKk8jqIfD+OGccNb+RgL1cDy82G68o1UynHo4zAd9assm3k2m +Hg7n0/wpt9X1U6mHw/3TZLJh9+dM6uEwv/+6eV/By1jq4fDz99s+kkjLpB6O +h/zYJ+6SM9Kph8P9w26RmHpxBvVweH5+c3fbRKkI6uFw/z2r6Bihm0Q9HA+b +p9/qd7M9ino4HjZVKhi1BSdQD4f57zZhw+zPkdTD8VDXV3g8yyqWejj8fJvP +exyMU6iH48EgRpgwKcSdeji8H/abGie6eVEPx0Nljfa9npU+1MPxYKGqcWOK +dwT1cDxcVWIdwvqGUw/Hg5xa3x16c2Oph+Mh3aXWxDw4gno4HsQVP1YenxRA +PRwPVRVelkflsqiHw/ttbS7z2DyOejgeZmm8qOs/NIZ6OPz+w6yVJb3jqIfD +ePNkRkPjtnDq4TAfrqsSd5DPoB4O7+e4lbvc12RRD8fDxJ4fa47WpFAPx0OQ ++M4dotVR1MPh+khU37xNIpV6OJyf42NSd85OpR4Oz/dCugS/PYV6OIzX66Y4 +LjCIpB6OBx8bwz6GrunUw2G8ynaqDp2SQT0czg8Fn2WKu9Oph+NBd6/aroRT +vtTDYfz+vGRYVGAc9XC4fzBn1qjFpVIPh/thuVrR1rNx1MPx8HGRffDu8Hjq +4XhoGrzJN6cymno4Hur1Fp+YMDqaejgeXB5LHAptj6EejofLT0sOn5iXRj0c +DwffOqindydQD4fnh3MJDS3x0dTD8dBYvXrSktBY6uEw/5KT/64/OJ56ONwf +tyrOWN4RRT0czg+Z6JcZVUnUw/EwQkjKHLE0lno4HpbFXczUvZVAPRwPymuP +X3sumUI9HA9jsnXvDP0bTz0cxt+/F47ESGVQD8fD2yMrWwsHp1IPx4NpiWjF +J7M46uEwHzlUW2u6zot6OIyvRo27lccFUA/Hw58Y64vqeD4gHo6HxPKWtSr4 +vImHw/jzu+J0wC4/6uF42HvpjmbtvmTq4XD/c04aPlI6mno4Hvo+DXhj8zCZ +ejgeRqlt8NaLDKIeDvff+FD3Ex3J1MPhenBvj3fsiaUeDvNzue8jx//ne//n +4Xgoib6qeVT0z8Ph/H/5MurZ+FTq4XgYu0Tz1SPPAOrhMF683rSTL46lHg73 +91PT/xTsTaIejoe/K7Ruex4Oph4O98P01wuuSKdTD4frdaL22R6VBOrh8PPz +AnVyfiVQD8fD8OkzXZZKJ1EPh/Np8pv0JRiviYfD89QahzHBW2Ooh8Pz71uz +BTMTU6iHw/thbDvQEPd/4uF4GFngdc5jZgr1cDykKt27sbUgkno4HoovOj1c +1B5CPRyeL06Vr5skEUU9HM4nm/I39u6R1MPxELV39zCt4X7Uw/HwRrzbwXCA +G/VweF70mFRlODybeji8H326X7WYu1MPx4PdmVetIzG+Eg+H+UX6xUQntUTq +4TD/9xsY8qUugXo4HmwsXYIOX06jHg7P87sTOkx3xFEPh9/3i6W/Yd906uEw +Xmh6HZQOjaEeDs8711YV5W3PpB6OB6szK08M2xFJPRyu7/M3glTLfaiHw/Om +8gPz+swo6uF4WGjg4953RgT1cJgvHbDM//HOi3o4PG/VLpLNto+hHo7///+/ +AfFwPOTFB23/nf7Pw/FgaHRQo31kAvVwuF+8vHF6dmgQ9XAYj6+LXVtuE0Q9 +HA+O3ZlhGhUp1MPxMCis7sxClUTq4XA9l/ovP+QdTz0czl8zfZ3+P+Kph8P5 +brzUNjc1nXo4PI+t6+smrptFPRyu15XWI2sao6iHw/1SY/vlpOUx1MPh+zV4 ++85OyKQeDvNX3wxLpzX/PBzePzZIc6h9OvVwuD8eLTaWL4+jHg7n84sA7VcY +v4iH40F/raS/ZXoc9XD4fJ+2DikOiaMeDuNJhv6FxW5x1MPxUJbueqAGz5/E +w/EwbPcmDdWWZOrh8DyQ3/rw3pAQ6uF42H7yjf2fAG/q4TDfnDzOredIBPVw +PGh4ZNs5T4+nHo6H3Pbl4Za/Y6iH4+HrwoFShonx1MPh/rl35Z8/JQHUw+Hn +61ezIzM9qYfjAYpjdl88lUw9HM7fbfOWueXGUg+H5/crkpdtViZTD4f5wi+v +Pg90/3k4Hq44DrI9Yp5IPRye/4dKvlhdHE09HOZjadMzHKfGUQ/Hw3OFTKkv +X6Ooh+Phtl7iww+NqdTD8fBgTXelmH0c9XA8OM96n7rkdBz1cDz8PrDjTe2F +fx4Ov2/qo7cNQgz1cHi/tUeP+bo2jHo4jA8/pS9VT42nHo4H3z8LmDPbQ6iH +w3xWIXW7t04y9XB4XvKNjv3TEUc9HObLZ2vdCp5mUg/HwyLXs43Oe8Koh8Pz +9GnD6F1D0qmH4+H10hO7VswJpx4O5+fXRYcmrkqkHo4HP4dMtmTJPw+H8U/0 +ir/ZFEk9HOYHPzIar05Ioh7uv79v7LzSZZ5CPRzmI98klBY8S6MejoeAzo+j +bqvnUA+H1y/cidFQSKMejofJ4rXnxh4MoR4On8/Vo40HskOph8N8ZueuPQZv +EqmHw3jLfnpr1x5LPRyuv0OLiteGR1IPh+vp2bXs0Fdp1MPh/jBDOp+9mEw9 +HM6ne6ZNV5ZlUw+H+Z7vJo+jmM8QD4c/P/uzpGVwIPVwPNydXZ6/an809XA4 +Pw64bfrSGkU9HA9G0rXGY4z9qYfjYcC+ek1N7Ujq4fD8NVnL/Buev4mHw/0u +Y6DWio0J1MPx0F/ELA0ZEEk9HH6eQn3bYcUI6uEwHuT87vpyKYp6OB5iHVSD +7HpSqIfDeLc2m7XySKQeDufT9cqmSs0I6uF46Lk9xVA5NIN6OB7OGFqvPR6Z +RD0cDybVfUuHnU2gHg7PH5FXdmUHJVAPh/FfskbcbmUi9XAYf3fJKL+8Fk89 +HH6/sYZHk5WjqYfDfCzK+2iXfwz1cDys3OEyXGd/GvVwuP/JflrcJO5DPRwP +76vra9v3pVAPh+etpE0b3RsiqIfjobncNyNGMpB6OB7s1x0zrngVRT0cD2Y+ +BUo7M2Oph+PhQt3J5NEPUqmHw/OAgYcZJxFCPRwPp21Mvd3kEqmHw3x1iWPf +wLh46uHwPOF3ePKmtiTq4XiI2/a5Us0ugno4nK/NsspZQib1cDxwI06PmtCY +ST0cvr9pRbr20ETq4XjYtWf0KcfKJOrheHBTHax6VyyHejhc7xNWtBuWJ1EP +x8N41dqhKu9iqYfjIe3C8DmGNXHUw+H6mK1l9/hGDPVwmG9cy5dLWZxAPRzm +A2MNuiYuyqQeDs+7vo+yE28mUQ+H17Prq/m7L/88HO6vy+vLzlZFUQ+H+aCK +sUlJUwT1cLgeLocvCetOpR4O411cjsKjoHjq4fDzfo5O3xwVTz0cD0piP2Z+ +qI2hHo4Hr7u1J6bJZFMPh/dn28DAUYXJ1MNhPDjqc8BaOoR6ODw/7cg14X9k +Uw+H+ws/K0UrOpJ6ONw/Jw2snoTPm3g4HpyWrygPxP2aeDhcj6Olmp2UM6mH +w/NSp6Hrgu3h1MPhfLR65HCzIZ56OMyPGyR2bzVJpR6Oh+Brw95Pqo2kHo6H +WpnRB39UhFEPh/tL+/gV+t3R1MPxEGMR9VdtRxr1cHh9XsMNxVWzqIfjoUF7 +rcmxyQnUw/2Xr6y32zItnSMeDuO3+5Ygqe+RHPFwuH9+v2gXIZ7FEQ/HQ3yf +m63f5/hSD4f5RcjsiPJZntTD4fnqhvirhQuLOOLh8Pkm7ezQm5DFEQ/Xmy8R +D4fPt63m7N6GTI54OB4+nHmmMtM2gyMeDvPf/SEdmTn+1MPxcOmzQaCaWAz1 +cLg//FxXIchVcMTD9b4/8XD4fN3n/onZnk09HOaD5U2GT3LCqIfr/Xni4TAf +VJj96ox1Okc83H/P923w4LeFHPFwOH+PqZRV52ZwxMP1/j7xcJgPHHQ7PXB4 +MvVwmB8NU/R39y7miIfD846mo77MtRyOeDgeNmaWt+uLCjni4XB/st6d/rks +gHo4zJcMRoRcPJPFEQ/Hg9jmxpdLHwZwxMP9d9475i09oJAjHg7jver5Pl3P +c6mHw/intWfET6VQjng4zKdjQVXRIpB6ONx/tyXm5MtFcsTD8WBxPmLMnwHZ +HPFweB44EK4ty8dyxMNhPv/9k/bPkR7Uw/Egr7p4gm9UHPVwPHRxban3XmRy +xMPh9by1HzWgNYB6OB4qDaelrZBK5YiH46Hfn+3l17dlcMTD8bA44fUu8YeB +HPFwOB+8vpj4fE6kHg7PX2nffWvPxnHEw/Xef+LhMP9XNF0voxFOPRyerxV0 +mVzTaI54OB66r5wxXtxSxBEPx8PNsaKpj8IKOOLhcD72abs026qAIx6OBx2n +cfGqIcARD9f7ecTD4f7b19PW6Ws2RzwcnvdG9dHLrE+gHq7354mHw/Uld7Jp +wAtvjng4HowVx+TmzojhiIfr/Xni4XiwlQ9dFKBWyhEP1/s68XA8/NR+rSs/ +KpcjHo6H62UXL4ttz+aIh8N4Envuk9GDUI54OMxXrPR2hGgWcMTD/ffvs+/X +v/7rSz0cvv/nmSeyv7pSD8fD0cNtwuCPaRzxcLj+7dlz15blcMTDYXy7Vvqs +anEZRzxc7/URD8fDQDtrnRn9ojni4QTYmcqfKGzNpvXhBBhxKW7d29QiWh9O +6O2f8z8PJ4BJ68jAC5XRHPFwAtjfctox2SCZejgBFn7MHLwhJoUjHk4ADb7R +7kRhMa0P1/t+xMMJkKMnFvDILIN6OAHOftvmJbH1X304AeoUNdbcqM/niIcT +IKTuo+8Qy0yOeLje9yMerndMPJwAUiWVfb5vjaP14QSI7lv/vOitK60PJ4BF +cb9RPtfjOOLhBFj191njZ4zfxMP1vh/xcALIzHM1DVIro/XhBNggFy5kv/9X +H06Ai5Khp0wGBdL6cAIUuOp8H3EtmtaH630/4uEEcHDqvhSYVkjrwwkwd9Nl +7+ieTFofTgD9oDlxftcLOOLhBLipf9X8t8I/DyeAs+HAa9sOltL6cAJcSnKy +848rovXhBBhZt842Df7Vh8PPfxtTlxvF0fpwvddDPJwAchZ2l1dYRND6cL2v +Ew8nwIzwrSV+872phxNAZ2BW+hmrZFofTgDJgIHPl87NpfXhen+feDgBGuvc +8oNO5tD6cALYtR+R3mzhT+vDCTBNJ9k8PDyeIx5OgEUuTT9GWfnQ+nA4vz7P +9truWEjrwwnw4kD68UXSRbQ+nACRYm8GLLaMoB5OgOAVC0y5oEyOeDgBxLVr +BlqEFNL6cAI0yMr8XXsykNaHE6B/iVlqiF4g9XACLFPc0eeBXDitDyeA6pUS +Tdm6Qlofrvf7EQ8ngOKzqf3Y8gKOeDgBrm4wb1mTnknrw+H7u/9pmfQngCMe +ToCmrYbSLVrxHPFwAtzfPz8rHApofTicHw+8wxunFNH6cAJ0lUbL/O0fxREP +h/O7ZobiPZ18Wh+u93qIhxPgQ+GOq89qA6iH632deDgBjlamnFng5sURDyfA +yqODnQa4FXDEwwlwUNbg5i7tDOrhBLixIuXy7tBE6uF63494OAEyxKSmbIqK +pPXhML7EFsue3+5FPZwAS+RvaGYOzaP14QQYwGeqxBtkUA+H88NIMc76fQqt +D4fxKD6meu2ldI54OAEGjreNCxuTTevDCXAkVHLMRVMP6uEE+HH5UW1uSgCt +DyfAtxuvwyTbgdaHE8D9XPSRUvk8Wh+u9/qJhxPANWtAtkFWIPVw+Pnc+1Dx +gRnUw+H6fFLekKsXQz2cAI8Tkr81HM3miIcTwChlqNng/XG0PpwAaSa/S9od +/nk4AURr9W40vMjliIcToPRd0qLg3BRaH06AU/YHnz1ySKf14QTQlZB5m7Qt +l9aHE+BnoF3Op6ps6uEEOP598dklr29QD4frYbdwtWu3H60P1/v9iIfD6x96 +jw+rjqceDue3XqPk86uhtD6cAO1LPvQsjUvkiIcTAKSK8xTib3DEwwkg6708 +at0zT1ofToCnA0ae2zG4nCMeTgCXt+Lbrn6Po/XhBFBfo7J5WWYYRzycABMc +lupV4fmAeDgBRi22uNKTm0U9nABiu14BNz2f1ocTYH//qmnl06KphxNg2HlV +18SxUbQ+nAABqd3xn2XLaH04AWxn5SbIfMyk9eEEWHNr/pUAWR+OeDicf5vu +7vSUSqL14XrvD/FwOL7S6Ho5Ip16OAFif87YcGSmN0c8HM7/ZSeY6tl5tD4c +xtdCTfdco1KOeDgBwpev8xwvGUPrw+H9Ctte226TSD2cABu1NyTOPF9K68MJ +UFz34puxXib1cALEPa/eqp1ZSuvDCSC9yjHfalUe9XC910s8HM431aCHfGgy +rQ8ngFf3skKLXyHUw/X+PPFwvWPi4XC9WJx8pDQqgXo4AQ5kzBaf+jWTIx5O +gPORP+MmyMZyxMMJMFrh1o8tun7Uw+H8vDZstZZ9AK0Ph9/XU9dtvPMNWh8O +449dnkHt6XKOeDgBQhclGCXM9qYeToC/814k658r4YiHw/W0b9azuZblHPFw +AjjdZ2X8TSpofTgBuOXb546+kcERD4f7seaWRWv/ZlEPJ0CWTnO6y6Ewjng4 +3A+6fCUcPVJofTgBHIPdl05bFEM9HN7/bU87ZVwiaH04/LxH5yXmS8dxxMMJ +wA7UWbS6PY8jHk6Aj4Petht+L6P14QRoMxiqPNO1jCMeToBU84dxTodvcMTD +CaB95umkFwHR1MMJcH1rgFLNgDxaHw7zjZWGvzUzkml9OAF83j4+ecQjg3o4 +AaZEqrfJyMXT+nD4fVWvN8juiKT14QQ4yX1tTzQtpfXhBLC++qh7fFAqRzwc +zvecVz0dNmW0Plzv8yceToCknOV2J5w9qYfrfZ14OAHSC3ZOSBrlQz2cAPvO +HuxWf5tG68NhfNBaMbbYheOIhxMg/s2tcd1HY2h9OAG2dY8bMCItiXq43vcn +Hk4A85qE5N+esbQ+nABlojXFCtk5HPFwmN/Unl9aV5BL68MJ8LVFMLps4sUR +DydAnr/JwHqXHFofDuMXbHM4oJTCEQ+H+7vBoPBET2/q4XC/M9n/pcIhniMe +rvd6iIfDfOTOvFOjxYJofTgB7iRoNml9KOGIh8P9Y8FfjctPIqiH6/194uF6 +x8TDYXx4/NmfOV7KEQ8nwKd0KfnXlv4c8XCYj+SkjP3bkcQRD4frX+/y06vP +s6iHw/WU19OjcCCF1ofDfObU5FbH5Z60PpwANc41b/p/iKD14XB//Pr3qW1Z +LK0Ph/HoqmHW/HlhtD4c3m8tKf19WziOeDiMj0rrd6UIHK0Ph/t9iLN01NII +Wh9OgOw1vy1WSfjQ+nACvDZYfjfOPIvWhxNgap8hVsPPXKceToBDm2bXrq4t +5YiHE2Cc9NcfW429OOLhBHhbdEH2T0wh9XC994t4OAHmefvskDIvovXhcP81 +MJp0KzqCejgBTuj2TEhtyaAeDue3dtY9piKEIx5OgNorwQc/5WVwxMNhvBm0 +rGnpjAJaH04Aqz5pQwe/T6AeToB3Px4NUt5cyhEP13s9xMP1jomHw3x0oWul +VEgm9XACxPywWFYhCbQ+HM4v2WEfxRPLOOLhBDCzXDbPRjuJ1ofD5zHJTf6Y +WjlHPByuj92RBfd73KmHw/W09dvCuZuiOOLhcD4fP1N3rSWZ1ocTgJfdFl1m +lMkRD4f3e+dJ4wObyjni4TDfDHjqYF6WR+vDCTBoQMIu2e4ijng4Ae6dCVZ1 +nx3OEQ+H8c7o+WnFpDJaH04AiaF674e4hFMPJ4C8xQjJOo1s6uEwP1fKW3ru +/g1aH06AxbYPg3Ybu1EPJ0DiqKv23nsKaH04vP8Ob77uNudofTgBZubN8xf0 +02h9OIwn+7e+Hfskj3o4jP8rRkfWN5fS+nD4/sq/+5k/q+CIh8P86b77iMQL +UdTD4fO+tFB66Qd/6uEwv9BKs314I5EjHg7zm92ZCVtMImh9OAF+fbTrP68p +lyMeDs+Dk0JzDO/7Uw+H8+le0JQGu3JaHw7z9XdtRpfu1ND6cJgfrp6Rkz2y +gtaHw/PwlttN5tqltD4cxtPrqnP5yCzq4XA/CH3ieumED60Ph+dXlSfy8+LK +aH04AUyfXfKT3xdMPRw+n5sVTzf2y6D14f47vxzc9C2pgiMeDu9feGDjdc04 +Wh9OAJVv76YzclnUw2H+/nvS87k/Y6iHw/snY7btuHY5RzwcrmeLo08rbuRQ +D4f3c/fvlJ325RzxcDgfS19W33ubTuvD9c5/4uEEYDzU6nx/5tH6cL2vEw+H +57ONt0KuLE/hiIcToFCs3C58egKtDydAT0rT3oMTcml9OAFWrFmpNgzzZeLh +BJhvc7vf07x4Wh8O17/FaD5vUC6tD9f7ecTD4f3Tam02+FVC68MJsK5ry6i8 +4mJaH06Aqn1f/VnnUo54OFz/D/lhDbNyaH04XB8357QmiSKphxNgz/TZyYqJ +N2l9OAGirAIOb71QwREP1/v5xMNh/Cga/2SD/02OeDi83w5dc+emZlAPh/dL +aU5C5VvgiIfD/OFDRIDD3hyOeDgBusfE/NSXzOGIh8Pz3ao3jj+3+lIPh/vh +PM9d7gP9qYfDfEQz+Y5qeCFHPJwAwlb9n6FhsRzxcJhPW+V2WXblUQ+H+8HW +sDkrXaI54uH+z99H/ufh8P6EDlNOKyrkiIfD+2la0aKukkU9HObbV5dHTd2S +wREPJ8DhKSMtWrfWcMTDCSD3WU7an0/liIfD+ekP7bp3czni4Xo/j3g4fN7T +d4776pvLEQ8nwLl8TkNuVSxHPByef2brbs2cn0c9HJ63beRMe9ZUcMTDCVA+ +YUO/IJ0yjng4XL/vBoeFKHAc8XA4vyZpByoa3+SIhxPgFT/Z9Iy7G/VwAmia +zDULuFxEPRw+7w0n7FYPSeOIhxNAKfJhyjSr6xzxcAKoLfsScO1jJEc8HOaL +NYPOvtCIpR5OgPxRllUj5lRxxMPh/N8bkJC1IJojHq73+xMPJ4DHuQcHYjH/ +Jx6u93Xi4XD/85eSMthWxhEPh/P1xETF9S8zOeLhBBhr1+f3vJEFHPFwvb9P +PFzvmHg4vL4GkZdNYQb1cJhv6H7knmpncsTDCTDjjrhqZloNRzwc5o+vFVMD +sm5yxMPheW7jyDu1h5Koh+t9f+LhMP8o03lQbJTNEQ8nQFhSm+ZSjIfEw2E+ +JWp8LHM2iyMeTgDFBu6h3oMijng4ARRyde4WrsvgiIfD/K7sd0OXQSb1cAKc +hvv10RrAEQ+H73+/pVZ7Wj71cL3XQzwcPs9+i2cM2sVxxMMJkOk186/ZynyO +eDgB1v46oj0kO5h6OAEMX/dZaTuogiMeToBNk4eNiDPM44iH631/4uHw/BAl +3ZO3pJgjHg7PZ8xjheV6cdTDCdA5vO/Iu8GF1MPhejk6fda6CF/q4QSYEy3e +/8IRjno4AeqTc+6W7PXjiIfD/CBK63arWjb1cAIUlKyNCZQppB4O48efSYrW +kanUw+H6/c1XHLT4Vx+u93qJh8Pzd8mdU11m0RzxcL2vEw/339+7sobuyCvn +iIf7P3/v/Z+Hw/OW8Z/Hid8DqIfrfZ14OIxPMYq2rfWlHPFwAvw0nqHg/DOD +ejicf5stRk26+M/DYT6Uef2J2pdYjni43vcjHg73Z8OeZZ0Hszji4TB/3zhF +v3LkTY54uN6fJx4O88/SzF8FA8s44uEEkLwYr+zK3uCIhxPg97MSpym/6jji +4Xp/n3g4ATTWhKzVyy+iHg7j51epCZIrMzji4QRI+SGf+DT9Jkc8HJ63tpaX +7y3K54iHE8DX+umFi/OzqIfD/XNbduvEtBKOeDgBbvAn55xfWMoRDydAwJP3 +FvWOyRzxcAKU2i+Lz9TK5YiH670+4uFw/ahlNSa/SaMeToChWn/PJuD8JB4O +42mn2GPRiizq4fD81fPEbYthLUc8HOaD6x6tLAkooR4O95s1o0dZVXMc8XAC +PHzqFMdk53PEwwkwxuFEdorUPY54OIy3hsfySvvc4oiHw+dX17DZu7iCIx4O +9xf50Kf7MV8gHg7ziePeU24OKOaIh+v9PsTDYbxROeBtNTaOIx4O36/Ya9Ha +w3Uc8XACLO1RrbfaHUc9nAATB97a361YzhEPJ8Bc6c8NIGRSDyfA5WqHrnTx +LI54ODw/e6sbVS24zREPh/nH6HZXpekFHPFwAszuJ/3LZEUmRzycAE9iAl8d +L/PhiIcTYP3m2rEND0I44uHw/DttVumzM2Ec8XC934d4OMz3QxRsho6/Sj0c +3t+As77iLskc8XC4P33z1pIMjqYeTgDLpX8fnlDPph6u9/2Ih8P586Hl+w32 +Pkc8HO7HMbuFKvEyjng4fD5JZjZPDxRTD4f532F/1Q9VVRzxcBjfK90Ej4Js +jni4//P33v95OIwfdX//tE33oh5OAM8vr9J+jMnjiIfDeFPPBms9zuGIh8P5 +KTXNnf0cyREPh8/jRVffxG2lHPFwve9PPJwAG985GHZpZHLEw/W+Tjwc7l9N +wbvPXkmlHg7zpan7265v+lcfTgC/lV9vvpmfTT2cANvt5Kc99yrniIfDfLD1 +8r7q8/c44uEEaNq3P6gy+CZHPByeT11c3u166UE9nAAV7dunHlMt44iH+z9/ +7/2fh+sdEw8nQF5g8mmVlynUw+F6c+4bV7Mrg3o4nE+uiQeHSaRxxMP1/j7x +cPj9J0bdXQdVHPFwAnjtWqyuOyaZIx4OzydT2241bvjn4QQIuqNpPCa+kCMe +TgCj+KJCK7s7HPFwGA+PlEU98rrPEQ8ngNZsTZubjpkc8XA4/ycNe9K/tJQj +Hg7Xh8yM63FjUqiHw9fD/ph6ZWVRDyeAbrPBtSVrKzji4QT4NC/lcKNkFkc8 +HF7/IImG7E9l1MMJ0NH1cVPBrETq4QQ432L8Oc04kXo4/P4J999pXS2gHk4A +G+Z54P3FHhzxcJg/zX09cct8jiMeDp+HW0ChVmI+RzycAFeutBzb11DGEQ8n +wIDpc/ffULlGPZwAb6YfMhs904d6ODzfKE0xOvQhj3o43O+trK+aLb3PEQ8n +wIWQ1QvH4vcjHg73Z21u2HKDKOrhBHBIE50fpJlBPVzv8yMeToBZk+znn7a/ +zxEPJ8Ck31tdflrlc8TDYb7wZk6r8rQkjng4jFfrfs+5NbSSIx5OgDW/hpzx +vl3HEQ+H+6GW3cDalEKOeDgBPic+Vnojn8IRDydARIGVykf2AUc8nADH/sbU +R9sHUg8nwN76mUlzNUuphxMgeXjPh2bbOo54OMxvnKv2n0tJ5YiH+z9/7/2f +hxOg9Zj+ubGHqzni4QQoUfv4YtWIRxzxcLhfvlpbH2VWRj0c3q/xJX8G3yjk +iIfD8/B0v4lz1t3jiIfDeDFjT8Vc12KOeDg8H4XPvPTnwgOOeDgBdpz4cfGD +Rjn1cAKcEF9g9OABUA8nwM5B13aed6qjHk6ARecG+43bXU49nAAHsy0nphXX +cMTDCeDfpnzP8NC/+nAY71Q9Bjhdz+eIh8P7OSkg+d61GxzxcJg/g8G80U0V +HPFw+H3uypklPbnBEQ8ngE5EpyjILIAjHg7X246xOzz65VIPJ4C845PHH+Ju +Uw+H97NrQ0h2cBFHPJwAbh/dR+rJ/PNwmC/kuO79K9RyxMPh9abDM8Wpjzji +4QR4HqMZ/BtfJx4O44dW4DC29DlHPBx+vpo1vBxWxxEPh/lvn8LikX5lHPFw +AiRK3zzv8LqAIx4OxyvcL5//W8YRDyeA88dDa+feCeOIh8P9YnxEf6X8G9TD +CVB94au1RnY0RzwcrrdT1415p5vUw+H5a1CKrHn0A454ODxfbnrT91xHIEc8 +nABOtvMKrb0qOOLhBPgzJKp0rk8R9XCYDxlMbl/wuJojHk6ALa83O3+qzqQe +DtfrwwV+2eYZ1MPh993iN+7EsRLq4XD/v+M+0jugiCMeToDNM7Yn9TMr5YiH +w/ult2dYjE0x9XACLE+I/TPEtZJ6ODxfp1keff3oLkc8HMa7Pdej1Q/HUw/X +ux6IhxOAPzawydajgiMeDvPvtatmee0toR4Of95YzBJWFFAPh/lM1kFlC716 +jng4AfYn7Py6ZUY8RzycALY7RyfURDzliIfr/Tzi4XD9q9WdPIXPk3g4jD/3 +HVzlFR9xxMMJkPtYJXUqU8URD4fxTsnE2GpOJvVwmC8sujMlb249Rzwcxs8X +Vzc/ys6gHk4AKft306/LPuWIh8P7sSNk/TSrm9TD4fjrbPNfOfnUw2H8atPS +kTcvpB4Oz8OTvGSk+Xrq4QTQHpQd96e7nHo4XL83ZlySGlRIPZwAXbIL98tq +llEPh/nTzlkfrg17RD2cADFr3cdJKz/giIfD/HpA+nvNERHUwwlw533DZYua +So54OAH+/rK4zBnd5oiHw3zj+OkPqV/zqIcTYEH9sMuZ25uphxNg9WyvSZrn +71MPh897afNnvfLH1MMJUNRw5r3rlgaOeDgB+popNRWk3eKIh8P5vbH51CSV +BurhMN+Q1voyU/0F9XAC5MyfuTbRNYPWhxOgbe/uMeafXlAPJ0CGVai+VHUN +9XA4X3fVzE40fsURD4fPyy3p4qDjhdTDCXC98fgw6233qYcT4PZuLSm5qJcc +8XA4/yT1His8y+eIh8PzsbMgfas8g3o4fF7BQ7RDKktpfTgBnu7qO9gssIJ6 +OAHixHbP7llbRevD4c9fm37G7ocPrQ8nwJFg428eZ15xxMMJYBH0OXydfD1H +PBzmS2KXX4xMfUU9HK7PG1MVNmz95+HwfGcr8h34rIV6ONwPAv6G2Tvc54iH +E8B7vPk6x1uPqIcTYMjg5ezlvS3UwwkgUR48btWcJurhcH++90Fhtm4h9XD4 +fSeYXp4ysIF6OAEGB52um2qVxREPh+ePQZdko2UCaX04jG9e4/WlB7VQDyfA +h1GjXWIPv6UeDveT+9+0dMKaOOLhBAh7lDzV8/lt6uHw/djXC1IXFFAPh/my +ke2cCffuUg8nwLVFVS7Pf8TS+nB4/aJ+N3uehNL6cJjfBN44HH/wPvVwAiiz +zlNf6r/miIfDnw+7Z7fL9Sb1cHh+Wx5g5XehkSMerjc+EA+H+ewOpqZ11WNa +Hw7P/0X6g0sqX1EPJ8CLOZNNaxurqYfD/Ev28NSGUWXUw+H5Wkq01a7tAfVw +AtxaOH/JFvGXHPFwAlR2bOw/+fQT6uHwvGx5wW6W/WeOeDgBhDk70wbpvueI +h8P5JOsopeFaTz0c5ocZh18F9HlHPRze335JgTsamzni4XD9pRasHfPpMfVw +mM+HyoYFDnnGEQ/X+32Jh8Pnvz/4l+ziu7Q+XO/rxMPh/HTdtc1xTCWtD4f5 +hty7w0eq71IPJ8DFmf0GTtz6gSMeDp+HoVn2t5ki6uEw3oQ2cBV/PlAPh+fz +4fdtVDdVUQ+H8dVPJuPPtgaOeDgBgt3dKif8vkfrw3VCkt6f75M6q2l9uE5Q +Xq5T/iqqjXq4TngSEL9EvekVRzxcJ3jmF8YpXX9HPVwneHu650ldfEv7pXbC +rfE/f0vZN9H6cJ1gOUkx3MTjCfVwnRDSFcst9yqj9eE6ISXZU90jL5l6OLwe +vkA29nUn9XCdoLs7a4l3t0A9XCfopLkERF1+RevDdcLqh2FrmqrSaX24TlCT +t2zWsWim/VI7Yd9v3XqFoIfUw3XCAxX1NLPoRurhOkFUrVH34X4RrQ/XCQde +t2n/HNfFEQ/XCa0ufgubvr7liIfrBLNMHY0w8V/Uw3XCkQnK+2ULrtL6cJ2w +e1yLzPGuFurhOsHh0mk3dflu6uE6oWrH5HcHR37liIfrhCHqD7Z09eeph+uE +0KiWBX3n/aAerhMeKUo0rTX9QT1cJ2SdqHc5Wv2eerhOCD//YUXKrFbq4Tph +mbxsYUOdQD1cJxSHVkgmXBArJh6uE2p+zTfIGtBOPVwnjO4McSg9INB+qZ3w +s+TAuxPZEsXEw3XCFLnby86fqaf9UjvhnY1ic88onvZL7YSE/rVS5fEiWh8O +719l09vEL/2KiYfrhOYrGeemZv6k9eE6werZtr7z4gcUEw/XCRe+bB7VrNlJ +PVwnKOQ/0tG//Zd6uE4Y5vG4r5HF0GLi4Tqh6RPzw8SondaH64T2mNW6gY/7 +FhMPh+//ZnjR6ckyxcTDdUK/u0lsiZdUMfFwneB+4pRrnuID6uE6YaZo4fS5 +xyVUiYfrhN/N1Qv8vKRUiYfrBOd1uerPWLES4uE6wWJadsk4PbGSO7f/++9r +77+PBP73XwH7//Mht75TuvqJYK7Y20/WBqPZf+MlfX+3/Te2fjvuWXV/EbTk +Jo+13CnNrls51z1QTAShbxzkqm/Lsir+a9dYi4vg28eNB5evn8yO7NjVwwwQ +QWOtTuDcD1PZTi2HnOESIqgo235cNWc6+zjymnUzjjc7a9kbZSiy+T9jpmYN +FMHOkzu7jr9UZoM2cQ0ug0QgV3Jot98KFfZUykOPLYNFsOVFx8DNFvPYXeLt +a2cMEUHWWb28jasXsGq7+v3txjE7WaytXHUROzVfJu/WUBE8/jhmdYT+ElZs +xLwDIcNE0Gqq5tR2bBnbtl9L3na4CAyXDWU9C1awNWW7X7CSIrjAls8OGb2S +TRp/zGvkCBHITL3h2HeVGut25Lp2K45v/j0SbHJZnT14O7ZP7kgRBJ56pf+h +QYPdML04/+IoEeQvmbE3cIkmu+DMI1uj0SIYH96QZRu5mpV62j595hgRLGpt +E98nvZb9rtL/5S8cX/sYoeIcqMU2XB7vc0dKBNtWF/3Nn6HDFr2epxs+Fp/H +FN3VQ8t12dDl2v0OS4sgnam+e2L/OtbJ26RAY5wIVF5IWPWVXc+afjp2aIwM +fv8d04S7Ngas5mr3GW041j0jKT5j4wZWISyuKX+8CHL8qx5eUt3IDvxe7Osq +KwJ9v++rOuZsYj+uf6y3fQLezyH9VuxW2MzeTvjUf/ZEEcjP6Ap9OG0Lm9ZX +rOgPjl3SfTbozTBkPbbJ2t2Xw+/To7+8Zu5W1i57vlLUJLxfX9cwa9SM2M1D +dZqPTBbBiR79FZVbjNnFFqb+q6eIQKLfx/Gah7exMiXH9aWniuCemW5Jmdd2 +9tdYD/EPOF7SbThQtXAH+/JgPFc4TQR9+kW+yHm3k4WakiNu8iLQULYdryi7 +m42c8mTmruki4MD4oc5ME9blhOi1ioIIYP6QeX9qTViLh2KBfWeIoF6vyy/V +xpRdO2uCwUMcvxgdZm80ag+rdGGBRKwiPu+snap/CvewQ5p0ShyURKBnv39X +2F4z9vPiPfZaM/H7VtdqLZUyZ++7O84aryyCvXMtbOqqzNms9x6t7TiebNC1 +dOtJC9ZHPSGoeJYImhtHyL2Yv5d1CIIN7rNF8DZ88lVD0V7WqPPJQNM5IvCx +s/xSk7SPXa73Gear4PuvkCicZ72fnRArfkxsLr7eLjL2mGPJ/umZMOcJjoec +/KD65qsl22y48G38PBGEtYU+mlVixVak64Y4zheB36xLbpZXrNnYgWabdBfg ++tT+8TDQ2Ia9ZHpi8MSFIli8BIOL8gHWstCz7DOOZ7T9ra3vY8vqjk48XrpI +BForj25+/syWnW1TquK1GOfL+HkKD7MPspKVT9vMlojAY6qpYZPWIZaf+CV0 +0VKcr0WVM3ULDrEPHQZskVgmgktKb6pUlA+zufcmDm3AMcxb8/pq2GE2QHFR +RdJyETRdNZ27e7Qde+Ks3olTK0RwpdnfKtHVjt3x3GyePiOC79WL11j1PcKu +XHDy/SRVEZyvOeYYeeIIO9nNK5zHsc4W50L9b0fYfm8TDStWiiD3tikcPnyU +faNaNsyXFcHEYzIrB385ylb5Pbu5V00Euy54fJK2tWcTvnw5uVRdBIckQ5yD +PtuzV7QkFgzWEMHfUT3pnocc2AORch9f4HiIncncnk4Hdv3PRZGpq0Rwmt+V +33j8GDtv0zojJ00RVC85/VPl7zF2dIq55IbVIjhTp5zedfE4+03sVNXUNSL4 +NbL6ytwRjuzTnd6nv+K4c52/xssgR7YgL2lh1VqMX2H3r/5WOMEGS5a3+2vh +fP/lJXs95wR7en9DlKW2CAKWfYzzXHWS3V3WYbxCRwQf+95tGfDoJKs+fuDI +YboiuPF4ynmRxSlW/sikmiYcjxuSy67+cYodcHuxU4aeCN7Xadwadv00+15e +f/G5dSKwHWP3bI38GfbWaQvRJn0R9Mt6LNdRdIZNeXIqZvp6EWSof9owaIsT +e13FZ/t3HL+aM1nB64sTe+hy8qhaAxH8ftY6o3K1M7vxdXlt0AYRXNznVXbc +x5lduPy5s81GEaglzS9sbXVmx3rzS1ZuwvVk86BdfOFZ9kf7wC+Sm0XwbNfh +ua/On2VfaE6Oe43j16OG7Dn45CxbHLpkZ/YWvB9/3LblKZ5jw7v0x1wwxPlw +7EVn9slz7Nn1e+sMt+L8y78vsf/eOdYs4fQ5RSMRiD9hDtVPc2FX9/Vd9hPH +S26/HdB53IWdsS2lo85YBO6HL8XW3nVhB2VXxIduw/hw6uF0w+nn2fYhL3Yd +3C4CM+fDpgGnzrN3zQUp9R34+pc/8zwenWczigfdGbVTBEVjJQ+rzr7Aeo2d +cv4NjoMnK3eHX7zAHj24dEXeLhF8Hlkfkd98gTWsWS9c2i0Ci1vHNc+vuMgu +nbIv0dhEBLH9r3Di/hfZ8SfOmCibiiDFYG/Lis6L7O96X+keHCtMNHdXMLjE +Nimn3r27RwS3U+6FVKZeYkvP37wQYYbxa3x767ihl9noly8YO3MRvDT6rDrZ ++jJ7YXFn5yoLESxUkTj/4tZldp/74GSpvSJYs8LYXUfZldV+P2XPOxwf+dKz +9ICbK6usvkymYJ8ItnqJbVv12ZUdFmRw/8p+/PyVdnW3Da6wX4R9l3ZYimBw +s/reQTlX2Ae6TivnWOH6XWLe/4f0VTY7xu/bXxw/fgwng09dZX17UlMeWItA +c+K8hI7XV9njhpVm0Ta436ldMe1e68ZuS28cb39ABHYa3s5ZaW4sM/DrgzW2 +Ioj5OqhJbuw1Vs50iOu4gyKI+h65UfXMNbZP4VS1jzh+UiCWP+zdNbZl1PLv +RYdEMOzYg1Z3g+vsTesNadcOi+DhktDMisLrbNzN/Ra77XA+3Re6E6a7s5cn +Ok+YdwT365aNXqynO2vl4P+w31ERHH66wPBSjzu77l7alUc4vic4D1db5MGq +KFapx9mLQOyi+6sxNh7syLMvu485iOCY6+HBGtEebGfD13TtYyJwq1x3svq5 +B/t4/tB9sscxnratlosd5cnmX50mJ8Kx+ymHV891PNnAN8sflziKoPZnV9J+ +F0/2pOpGN48TIojLb7DR5zzZnX6Wq/acxPv1SXmk2zdPlv3i/HPBKREsy/nm +MkXFi52qFZApfloE6sOWZw639GLFItP3P8Vx15Ou85uivdi2H1WTEs9g/KuX +b//y0out2dj05IQT5kNR+fdax3mzScnfruk5i+BTbaicymZv1k1s2Gq5syJY +n1pa8cDdm7XdKf/7C44lPMWS6+q8WYO8Fdll50SQHahVOmGgDztfcpOVt4sI +yuv2frmp6cOO2W81xeI87gdDFsrfPOvDfi89+2zxBRFIyzrrjAcftkEm0H3g +RRHkhUrpV//2YYvsMtY8x/Hj0YXj65b7sqF11T3Jl/B5N84Ome7oyzrJv8o5 +fVkE1otmlTzJ92VNT3dZr3fF77vM2qapy5dd9WTYtClXRFDZHOzFLPZjp6tM +fy7g+EFfqwm8vR8rcZnxvHkV1/f6U99+5fqxH5o3afm5icDe6OignV1+7O1l +1n/3XcN47vp51Zgl/mya17m8ZddFMGvmOY8px/1Zj/bAA0PcRXBuV9nz8wX+ +rJ1mpvxLHDdOXzZ85S9/dnNozYs0D7x/2w6PXacawC7ueuXl7CkCy+xPTZlO +Aey49d+1N3rh/QHFTTblAezP+OF95b3x9z+G7jolHsg29lG48Q3Hdxzh02ut +QLbEWPVgtY8IPK/+eh/iFshGZm1WCPQVQd24opWp9wNZlyE2L638RODYadow +SiqItTB38WH8Mf+q0o+5YxzEri0O0h0eIIJ9Y19ceRkWxCqNzerXjOOh7Pbj +Gm+C2CEHawsyA0Ww317O6LdSMCuqbj7kEoTzq8pWasihYPbe5O4ZW4JFoDzW +M9g2L5jNdJR8pRCCz3NK5WOFnmDWu17BrxvHjidWpy/QDGEdlFeuuxWK+aXb +2tG+V0NYo/NbxELC/ssHf33SeRjCLn9pU3QgHPOHbLcZW2RD2QmLz9uxESKw +ujs5LdsslP1zPVhpZCTGy6Rn1ntTQtnmd1nNLTj+/Pqe7oFvoWy52i3/nCgR +OLVMWFazMoyNCXytfzEa99eO+3LHLoexF4VucaMYEYyZ1v3OsT6M3a87olgp +Fve3/YFO9yeEs7oxM47+wvGRc3n3T+wLZ2f3rFS+E4f5g7he1fGscFbS0LAl +LB73tx0bdG/1hLN82oHAQwkYj0x3xObLR7APJS4YaCRiPhOb/zRbJ4LNNQmR +GJMkgoHWc7IaDkWw/gXZJW9xLD58/kwl/wjWcVSdfX6yCAo3zlsUVRzBbrdu +meWagvFjb0CN5psIVvXmj9ZtqSL40Xy/YcSQSHbSxJHBs9JE8LxUfdeA+ZFs +PwfFjX9w3GK7Xne6cST75i476H465uvcdr8DzpFs1YytpZEZGB9bKhe+io9k +E5xtjx3JxPnv8lH2xL1I9krDhTmrs0QQlDRNfeX3SNZmfujbsdmYb9XWBCpP +imL1r+aEvMfx9qI5shpro9i5b+o2FeaIILo8vvDswSh2lGrrYLdcEbxzdTnw +0T+K/er7s2xnHj7faZJKp0qj2KefRzqq5Ivg1kDflsUfotiCtUpz+94QgbPs +Tvfxo6LZ4Ai1d/U4lotJkZ+xIpo9/WNrWEwB7vcbq/x3mEezuzce3OJQiM9b +9ePLwmvRrHryxaFaRSIobd3yST0/mp0mFlYhw2E+pKR5g2+OZsV35p5ox3H0 +NtHiW4Nj2He5t+cVF/+XH17aWbcwhq0d/ub99RIRSKZrTvm2K4ZN2fcr3AQw +n7QyPLbWNYa9Xjpq6/xS3E/VP24uz45hD8nMHC5WJoLZzsrpFk0x7EY79crH +OJZ6pnFy3qBYduH/Y+mso7J6mgCMYouFCCICohiYyE9sHQkLuwML7EIQu7BA +xUJRbEURBRTpRoZGurvz5Y0bL7aifvud3T/nCJd7N2ZmPc+eJ3P9mbeJpB7a +rP0wfJI3aBra/3cyibz/o2uzzLd6w48zV2RWySR/7vije8XVGyqKn78cnEL6 +zR55ll/CvCF2fPh6nsTdZuq+vVbvDc+vZPeJT+XwQ8+/0+b3egMX6ppS76Rx +uOHz1bax097A9mntZ7d/IvU9Pz9l+s43MPduf1PTdA63yqQ+9nfewEj5aEWX +DA5HtdS65Xx8A90tzb3KSPysAA+vlr0B+dMNG/0ySX4d6m7RUestZH+173cm +i/R/77b9KLV4CwFLr35akk3Od35jXYrt38Kdty+c9HPI8/FH/a9nb8FRJWKy +ksQd+CSVBZlvYe2GHC4xl/y9F66lsT/ewtTg5tf38ji8/mKe9YYRPjCo5x/r +Xfnk/KEvnNdd7QPt2zX6Ty0g+yn0xKzuF32gJnZMRvdCDoW1xc6DA30gfoDF +hUoSz7P9snRdjQ+8sts41b+IjIdH4s0oNV+4nOYgnCvm8IjW6CkWM3xh15Br +b5aXkPPjfvU5X/b6woKTnpuHlnI4+8y2Z1kPfWFMQYTGFxKffvDNIivNF3qN +yc1MKSP1+2DoqM/ffEG41HLxQTk5P/U7PsdshB/kV/2ZtreCQ/WfA8+Hr/GD +ENMByumVHP7316FqpbMf3L811ketijx/0zorzTA/OC6x2FpD4qtBT+JUmv1g +wxxrzcBq0j//7T1efcA7mPHocPaFGlKf3567aTX3Hei2Xbu8qpa8r0NYvu/R +d6Cy6OWM4XVkPi+dFia8eQcNXpFt30jsu8ejsqrkHSS35/p+qifnW7V018Cu +7+HNGsm2xw3kvB1bqPSb+h6ufvirdaCR9KujjnTO2vse9nXVzJ3VxOEfm53R +/Z+8h8Xbxrn0aSbnkaqtnS9mvYfxUZaz6kk84IB2pebf99BXfdOX4BbST8+f +a1wwwR/a9jm+uywh67XzvZ8hNv5QnORqu7aVw4kvQsbEuvtDxOBX2qOkpH+3 +WxYjS/GHR0ej8n6SuHV832fwwx9O5+RdyZSR7//9JCV69AfYPLJ19jM5+fmV +HkabNn8AOP/vq52Cw+79PD8Oc/sABuWa/nM4kq+PrjvWL+kDqJqM36HOk/nX +X79g+LcP0Ow6V6eJxEN6zxi11SgA0ho3FYQJHC585dEDNwWA38wj166IHG57 ++rfR0i0Abty/PmeDksNVup18xaQAsONffR/dRurVG9Olid8DYPn86A/tJJ55 +o09T1YBAMPHM35nzmcOXFa+d5RMCQeNn62DPLxx+6a3XpZdVIHxboVLk8JXD +T0Yft5rtCIQyP63rFt9If1aZeOPquUCIVp1gPuA7h8GX7a40PAyEp5vm/Wwh +sZnp34XLQgLBKWxzYOQPUh/9P+TkZAeCTe+ju11/kv23KaaPTWsgWOy+obfp +F9nfNlu6dlENguHxXsXjfnMoXs8L+KgbBF21Y278I7HjxoWqV6cGgdShwCK/ +ncPev//+3rEqCDIzpL9e/SH7YdGIe6vtgsB/WIfgI39Jf1TWlrL2WhDcPjNw +77x/HJbWelzf/zoIHIonDBmowuOVxRa1dzEIVo+fXyol8ZrokTFZFUEw+cqW +WzEdeOxy5LC29rcgGFh3dO7Njjz6OS7/crxfMPyaerN9iyqPHrbcXOnYYKi6 +8zrEuBOP6x45dju4IBjiZDH7OnYmP6+vNll1RzB4WhQaFJHY4X3Np3dOwXDx +qazMuwuP77z7xex6Egw7vnZwO96Vx/1DMrpMigiGeUu15y/sxmNO3Zg3/QuD +weit8d9B3XkUNmxw7ywEQ0+VBWEKEjf5O37q3jMEuPVbD8T14DHA/zEMGRkC +uUHHhrn15HFquaJtgUUIBPW4VWGjxqP51itVl7aGgPt27zv/9eJxl67Lt7zT +IXA0NnZB597kez1VZxg/DIF1A4r+lZDYcqj265ehITDNTh7u04fHPzdrxgzP +DwGdtI52p/ryGHd6X1Y0FwJ/9AcNX9yPx2iTAifbHqFQd2Jila46j6k+RnMG +jwyFxPwF7gKJF1281LXVIhRej95mldCfxznqytyUbaHgcul4B3cNHodvvOge +djYU9lTditwxgMcv3ectjngcClambw5N1uRR7/zCLxkRoTD21scR3bR4PJ/+ +0FksCoXekqLqchJvfTn718i2UBBBce/dQB43OlgudugTBgUPVRef1eZx54rg +o1ljwyBMOUh12SAek7vetp9mFQYPrEyih+jw+Ldb3eTI3WFw0muhQxuJy4/7 +Ji5wDgPr9m2jkgfzuHw430v+KgxmrTlRe1+XxxtuAeov4sNA/8Ntj916PNa2 +cBk7asKgQ9e3S6bp8xih6mM8sz0MGrfGdeo5hMdn9pWzhg8Kh5TI4pgqEo/a +eVmhPzUc3vbjDn8w4PFX6rPJY9eGg+u+TqPPD+Ux3MhA0+pIOBxI0qlfMYz8 +e04X55N3w2Hp4P8eDjMk6+3N3LPRgeFgfNRq2VcSL11S+EMtNxzUc2y6pA0n +49vntdKeC4cvI05+fDiCR8dmP5uWnhFQ4uR2ZN9IHjscLzc/ODoCIsvejpk5 +iseSgUNud14YAY8nYkMvI7J+sw/OCdgdAWdcSx7Vkvj73MDl+1wiYGsjtzxo +NI/fagujJntHgNnMzt0ujeHxwdukoxrJETDs/mBcPZbHU2v3nlNtjIDO/H/H +RozjUbrKP1u1YyRI5i0a94PE+aHHNg8wiIT0F7ZN6eN5jJ/xbuTUOZHw7sfJ +J08m8Fi2fsKIA1sj4eaKOysPGpP9YNSyMuhcJBzy8+kOE3lsPBn0tuvzSFih +Gh/f14TH6gQHvUMfI2HSptLjDSTuMKpLkKQqEjTD+PGh//HY9/ziDQ7tkfCj +V5cW50k8zlpk0E9tcBRU7NJ9ts6Ux9GRWwsiZkRBLE5abTSZPP9VvccR6yh4 +PnBxz98kLr3ntN78dBScd9iemDWFx4Lkvv0MnkSBbcapk8+n8vhTc39035go +sBx219h+Gln/g7cv7VcZBSPO+ErMpvP4TyMrdejvKOheHP+8/wweg+XHdefq +RIN8XNmaZhKbTp+y+MSMaMh2EdQiZvKoPb98cax1NATUdkm+OotHoy5jtfud +iYY7U/VOb5zN4+6B7X7HnkaD4x1Tk7HAo2qWRrsiNhrWyBZL/5B44+A5XY5U +R8MUix2euXN45IPmJfX8Gw3aT0+ve2nG444GYWyoXgz8/nK3t6M52U+BHWYd +hBioWeKXYmnBo07WHG7ythiIf5NwRtOSrNe79lP6XYiBV//K/mslsbfaqgHt +L2Pg8npRFjWXx5dtPue/J8bArqCur67P43GCz0RH1aYYWNBDf8Pm+eR9Z79r +0e8cC6O3T+47YQGP2x0LihaPiAW12CVpKgvJ9wfbTnGdHwu8xs5zBSSWq2t2 +K9sTC3kHz5i+tuLx0kbvOZNdYyEk1V1xdBHJh7/SKl6/i4X7+u+85i/msWf/ +SXnDsmPh+InEjdpLeHxu93xQCB8LG/LL+8lJvMolOmRl348wY7TyU+xSHiOd +pt/vaPIRdC91O39rGY/Tx+VGJq76CP8q9adsW86jQe9RA92PfoT6SVP4iSvI +fl/6+b3jg4+QdHOpt+pKHg+nVdvviPoI3i07NxWT+OHfu5t3Vn6Eq3C2/9tV +PN4f8fHAsT8fYd/DexknVvOYqdf54QP9OFisfHfBag0Zf0f18k9mcTDeKmnq +4LU8rtx83ajHjjjo61UhcCS2StS4ZO0SB22/lW9wHY8Jk1bWxvrEQdHq7lvu +rCfj87l5yvjMOAj3HzJg+wbyPXJ7lwAuDh52mZo1aSOPeaF3k+f0RTi1ddml +Ltbkfe5J5fUmCJsjd00vI7HOWfVvd9cgQL9zSt9NZP338q1adQLBYN99n9Ob +eZzmNv+R4RME1aT3W5ds4dHur8vwznEIzTrJmvpbST5r/3vqax1C2pHKbJHE +Bvyr9F8d4sE3u+1y4jYeE5f8CLnYPx6uj+gx854NqZeHF55UHx4PB50MPu+0 +5XH91a2afpPjYVnZVL8p23lU76d6deGCeDCZuNym+w4ehzT/zhA3xIOG6+6B +lSQe91+/qhf74+Fbw7nc9zvJejnfPXLt2Xgom+Hhcm4XWR9v/awH3I6H6Hv+ +s5bv5jGwIiqp0jMennLJXwz28Pjq5W+Fb3A8nJtX9e4ziW9V/FfklBwP2158 +tk3ZS+pN1PBjm0viwfxHj0EP9pH1nOGea94aD4Yrhubv2c+jPwfVE37FQ1e/ +aVenH+Dx3Eb5E0O1BJB2XAFqB3lMer62m4FeAmRa7/lWTeKjz830hxsngH+o +k3+AHY8V7vurjc0T4HavBzsuHOLxQh93sFydAA67PuissufR4sdR8627EmAV +phQYOpB6fT2/4cKJBDAdWH3tG4k5a1vd964JoOXwZc6nw6QerGriq58mwM/0 +nj8eOfK4L0R9tVZAAlQNHRaw/wiPLn19Fq5PSIC409N3zTpK+oV1W7I8CxPA +s2iFbp9jPNpk8WVicwJcHLe3qI7Ehl3VDyz4kQA7XM5fDz5Oxuu708W3PRJh +Xu0D88snyPppFAb21k2EUVMDfq45yWPn3QPHnpmQCD3upAaOPEX6hSMBkUqz +RFBIq3f/JLGwal+M3epEyDH/qpd5msSv/xh/3pUIgU/USp6e4fHEJl1Np5OJ +4P5l2E27szz2Sj2zW/1GIhxdMsNyzjkeT17KMfB/ngjr3qz83c+J1OMbyWbL +ghJh2r+9wY0kfmDcN/lHUiLorL+wN+w8j3v723r7liTCn8CHQ65c4HGt8b4G +G2ki1HYPLF1/kcewstLjBu2JkGCbdmv0JR7Hh26wbe2dBF4xNXPbSRwV/+x5 +uEESOGt8a8++zKPnyhVGNyYlwZ6DvUJfOPN4UcPg3575SWCVarjfwYXHZV2j +Bi/ZmARj9WcOtbjC45KLyeemHkyC3idWlWtcJfn7P95gzPkkEPP2ubWQ2Fu3 +ruNw9yQoMLo4P/IayTdPLfRGvEmC0IuP/l5z5fHs1gT78VFJ4FEZGGZ9nXyP +XYfvs7KS4MSkTwfG3SD1fsQ7/9W1SbDxZu2wfyT+PX3nncNtSTCr5VtF3k2S +X8OLnz/onAz60Pvuq1s8qv18WZA0MBk6PBy+8Mht0p9F2Rl9H5MMjeJMlXlu +PHp1rnsxEZIhZeHqCK07PG6e88jEcWUyvH21305K4rphs2pidibDtd8Xh8fc +5fFm/MEXPU8mw/7Vj6tuuPM45mzWYdsbybDEP8h9yz0eWzV/rY5/kQwTuqRb +Gd//fz/mYT48JBnUt9Z16OhB9r+L2dQ7qcnwJeJ7ZCGJVa88MulUkQwlffvY +ez/g8WrUzPHnuGSI3Dti5PGHPJ65/mnkP5UUeJw4q2bBIx7fxJfrXNFIgTM6 +a+4PeszjPEe1rpqjUmDLkQOLFSTuq9He/G5GCszJvqQa94RHk30WYQuWpcDQ +EU+ibz8l/b37GQeFbQp0cgp2sHlG6oOqmdaDYykgKU0f9d9zHkOcjbwWuKZA +unF9bacXZLym5/Tr8DwF3l374VFC4s2PU7fGB6XAzYY+S308ecw47HvNJSUF +Ds0Y2fnUS7J+WtVurCpPgRX3ZscuekX6+eMu20dyKfAft8ZR14vHD6KfWscO +qTBg3sHRAomrz/S+3KiRCt+fX66Pf82j5LFlauaoVCj//uThXW8eFw+py42e +mQqxy0OW7XhD+uNpjs8Cl6fCc9+MLpPf8jh0z+0x/jtS4XzHho9dfcj8uKQc +CzyRCrbWP4+Uk3hlsvfp6BupYBnad+w7X5Iv3uLkTM9UGNFrVOMZP9J/9H71 +uiE0FbrtgsdL35H+dmxlgkp6Ksji1q4Y8p70k9d/uA6vToUsLbtubSQuUbvS +vlyZCh/snTHJn/Rrrer9L3VOgzvpT4/d/8Cju//IzBjtNHAcGjpudwB5nznL +DX6PS4M1pzObpgby+LpaU93MPA2mFDU86RFEzl9anR/fXJsG2uN+rawi8etS +r7C6fWnw27lfjw/BpB5tOW0z3SkNqmtGJTiFkPpu3v/xY/c0wClzTqwIJf2d +Q5t1B580eOm2bsKwMB7vTnjhZRebBpekdi1fSNxP+8XBhrw02GXu8iw1nORz +/ePhm5rTYMGTZ6sfRpD+78a7U9U/02D0l9Ce+yJJv/0Xw3f0/gRqS7ISZ0SR ++T84ZXfb0E/Aezee7BVNvn/EvasuUz5B3t9fxrUkPpmzTstg8ScIXqfeGhhD +xnerat/EbZ/gXqDRi4uxPD4ep3Vo79FPcKy72drVH3m8Vqc+Ucv1E6y3Xd9r +RByZ7xNHV2Y+/wQzYg4lfydx5pKPuc4hn0BX48rpdCTru9ce73mfPsG/A89N +nsST80tsW26v6k9QnxImPZDA45SI8kWVyk+QpJftOTuR9KOBDwcEdEkH7+NN +6/om8bg6xM/omk46XMn73buBxK36rpf3GqfDXqP+qSHJPGZ/CBy6fG46LLo4 ++qxzCsmXhx6ozNqYDuMqzSatS+WxLS18kPGhdOg7aYN8VBqPY4+5HDS6nA5t +N+xf/SJx+K2zX0c9Soei5isbsj6R9zurHjD+QzqEz37R93k6jzM6x3hMT0qH +hw/C0w5l8HhgjsJncVk6nBKzz5llkvzgOahhB5cOmxY2m/bP4jGUi5p9qWMG +zH7Vrmgi8cIFs2LeamXAkN/9X4dn8wgjtVYXjM2AjqvHWF/NIee19VGdVc0z +oPm9ufrGXPL3VO9nTVuXAWmdN6aPySPz8WqQ97EDGeC7xeH8HxLfV4u+HnUh +A65HXJ2Sm0/6k/0NZzo+yICDfT15zwIe71l0PLrifQYs2xvhfbiQR2e7K/Zv +EjJgYmLOJssiHo/r1e7vUJoB/XVa+msW8xhTdXS7rSIDvjr+yZCQeIBrxKr0 +DplQmqVxMaqE9ANb+kybrJUJ0cPHTrteSuq9TNLHd2wmPD1nIW4qI/tD412R +gXkmnCvd+HZ8OckPOomXPNdlwjbjw1tUKnic6XFfe/jBTDC/dm1AAYn1Dt+8 +G3AxEwwbPLO8Ksn56EtfOTzMhC4zIi8dreLRNcZFv8Q/E1rdc6fPr+bxR/Tq +sYeTMiFD0aIcWMPjHajrpVGeCe/n/vWRkdiRuxkfw2fCrecDtsXW8ugQnzBn +b6cscPg+VutWHY9aarWugwdlwarlljlb60n9PL3gSfGELDD1tXae2MDjFvVt +dvfmZoFWR8eZqo2kfxp9RWWDdRb83Oj6uYjEo0/oLR3mkAWVIS/93jSR/rmT +y6rPLlnwUS3K5kQz6ddMdHulP82CFzvzBlq1kOfFmh9/HZwFF+IkuToSUu9C +V99y/pQF27X+uXAk9rgcuPRATRbMs9ecja1k/wrBoeu/ZMGo9HFf3aQk3/aO +jLXqkQ09hs59bysj/dNqne3mQ7JBcWrT9kly0j+5TnkNk7Mhp9BxUBcFj75F +u86YL86GwLHX80tJnPmuY6uVbTbcdX511ZfjMaVhe+P6E9lwpCYKTvOk3r1u +2HfgVjasnZL/bbHAY9zhklPOr7Nhqlurv55IzgNGHn1eR2eDjvTfDpHE9r+P +D/+Ulw1/zLQGJypJvj6SH6lsyYbax+ML3dt4nHr8e8qQP9mQ8Hmu687PPAbM +mb1wTf8c8Fq82WzKF3Le3PFz9m2jHHD2PvKj21ceN+Wd9sqBHNj993pABYkX +fdJy7L82Bxau89r1/huPg2R6fpsP5MCYwGjdc995DBrTYOl/MQd6dS8oWvaD +7L/KIOj4KAdEG+l1g5/kPOlZ7bEpIAcKolUsPpPY6mTovNiUHAjtP/BX8i+S +3+MuLzKoygGPAxOCPH7z2Nvg6cvrbTlwImXenj3tZH5858/93S0XNupt0Z/+ +h+znqEITe/1cmHn8aEnPv2S+et7YJTPNBb28GzerSawfElO1d3EuqBi9tgz4 +R9avjv8d3jYXGi7E/D6vIqBND78LJ07mQkpFQfDKDgIOf/rbp6tbLrz9T7bX +sKOAW+fLVJ++yWU8nIAjKj/eMv2Yy3g4AQ87fZxbVJjLeDgBDcMmDD8hy2U8 +nIDdxvjfc1PJYzycgJeszN5KeuYxHk5A6bljC8y08hgPJ6BPtN2xZ0PzGA8n +YMTTRRPax+UxHk7AR/bjHTZPy2M8nIDbx0+dnmCZx3g4ARNPXbs6cnke4+EE +/PnGfKObdR7j4QTkAy/5/96Vx3g48j0WB87vPZzHeDgBc0N18svP5jEeTsCE +7kHPFl/LYzycgM5tVk0J9/IYDyfguqyuXtM88xgPJ+DYl53LQt7lMR6OvP9I +2wvGEXmMhxNwb9L0ZwGJeYyHE/Ds5fdGE3PyGA8n4LeRRYZh5XmMhxPwrVbO +jRnNeYyHE3DKvvCtyWIe4+HIeDf6PFnWnsd4OAEz2+JnV3fNZzycQPpT7fkH +++czHk5A3R/Zwf/08hkPJ+Dv8T+c7o3OZzycgCpOwe/HTM5nPJyAelGaU1LM +8hkPJ6C59WxdmyX5jIcTcK2b+eZ/6/MZDyfgAuOZX1/syGc8nIC94y0aze3z +GQ8noK+Dw/DW0/mMhxNwgmF11O0r+YyHEzBj+/Pn09zzGQ9H5nNvVn7z83zG +wwnoNPjcint++YyHE/B2nwydueH5jIcT0O9S6vgfCfmMhxMwZte1q/7Z+YyH +E7Bu1aiRO8vzGQ8noIN7ZDf95nzGwwnYXWfZmEoxn/FwZL5vqtx81J7PeDjy +PTPqx2zsVsB4OAEHGXTuoqtRwHg4AU/InLQb9AsYDyfgUmHHDt8xBYyHE3Co +aVrT4SkFjIcTsN4u6uFsiwLGw5H3a19yTm1ZAePhBGxReLhXbSxgPJyA4395 +l3zYVcB4OAEP3bwx99LhAsbDkefFWtdsOFfAeDgBzRYbvjBxLWA8nIBl7785 +9/IoYDycgBf+NLjJXhYwHk7ADz1+fkz3L2A8nIAHly7o+S6qgPFw5O+Jtcdu +pRQwHk7APqk5fx3zCxgPJ+DqlcNeWlcXMB5OwF8pcuu50gLGwwl47J/puIlf +CxgPJ+A/1z799TsUMh5OwFuvnHv26VXIeDgBZeO8NTpoFzIeTsD3iafGfjUs +ZDycgJav1VfIjQsZD0eel3TifOPMQsbDkflKiIysXlDIeDgBb7ZWfy9fXch4 +ODK/pp9nlG0rZDwced/lqpfKDhQyHk5AyZ2BmRUnChkPR+bzz0z12suFjIcT +cPK8U2ub3QoZDydgVHb5fe5pIePhBFT12pHz3aeQ8XACbr407K9qWCHj4QT8 +r0JvmHpCIePhBNRO2DJjaHYh4+EELPgns5xUXsh4OLLf1DJnLWguZDycgBU9 +uhhuURYyHk7AouxXX4/+KWQ8nICdS94G3u5exHg4km9W6qx6N6CI8XACvhyi +WvnJoIjxcOR7snbMax1XxHg4Uh9uz3XvPr2I8XAChq30w7HzihgPJ+Ak/wfp +K1YWMR5OwA1N/QJObCliPBzJN4rBdi/3FTEejszPrthOWceKGA8nYM83n+1/ +XCxiPBxZvz4pgSNuFzEejoynrmni2idFjIcT0N7G0uvq2yLGwwl41enritiQ +IsbDkfc3scpSYhHj4Uh9aLDoa5RVxHg48v5vG3Vsy4oYDyfgkwWjuadNRYyH +E3Dx9IEXy8UixsMJWOzpX6b1p4jxcGS/L5Px67oXMx6O7M/U7MRHA4oZDydg +69R1K6sNihkPJ2DSZecnQ8cXMx5OwL7T1j3dO72Y8XAC7nHPWBk8r5jxcAIe +OF8d276ymPFwAn56crNswdZixsMJOMe4zMtjfzHj4QQMnxI9uOV4MePhSD61 +NjabcrmY8XAC1rRN7uPqVsx4OAGNumRcrnlazHg4AYMWNb+Y5FvMeDgBtxhd +3nIjrJjxcAJuC30Z15xQzHg4AWcGTY2bk1PMeDgB89wtrJ9VFDMejvQLTrHu +v1uKGQ8n4P15z3Zafy5mPJyAd/bX5sT+K2Y8HKnH+mey9dVKGA8nYJrlga2X +B5YwHo6M3z6fizLDEsbDkfVUMMp05cQSxsOR+riv+UjMrBLGwwlYWVgMI6xK +GA9H8t0mpfvdtSWMhyP5p9OEQyrbSxgPJ+C8k865hw6VMB6OrAdteXDd6RLG +wwn44PMarVVXSxgPR8Y3JeZX6r0SxsMJaNrez3rmyxLGw5HxCl8yOcS/hPFw +ZL8mbHcdE13CeDgBgx8vWOOdWsJ4OJKf7MV7QwpLGA9H6o/+svnPaksYD0f6 +C9P1+3QUJYyHEzBe/Pv78Y8SxsORegCTf+l0LmU8HOk34kTb5/1KGQ8noOfH +IZOG6pUyHk5Au+mR+9+OLmU8HFm/jT5dx08pZTycgIO1mzqHW5QyHk7Ak9KN +O2B5KePhBEzV+DskY1Mp4+HI/u8ZN23t3lLGw5H9xrm+bzxayng4Ui9urD5+ ++GIp4+EE/LK3x8OOt0sZD0f6i8gnaveelDIeTsB3G74XjPApZTycgN/re0qj +Q0sZDydgj/tpi5cnlDIejszP7oHtLdmljIcj9eif/Mu5ilLGwwn4JmiC6UBJ +KePhBLR2yYsO/lzKeDiSH01jLi1VKWM8nIAbR1TdlKuVMR6O1ANH/dJr2mWM +hxPwaKv9ZqMRZYyHE3DTvxi9DJMyxsORetssH3gAyhgPR/bvcfnCPovLGA8n +oP9c74CQ9WWMhyP5/Zbqgg07yxgPR37fUuyrcriM8XACzuq2ppvPuTLGwwm4 +cLzO2BXXyxgPR+pHzJhTvx+UMR5OQJ2dh8Q3r8sYD0fqu3vOjVVBZYyHE9Bq +68QlHeLKGA8nYP5dpwmBGWWMhxNQ7us5cVtpGePhBGzLP72iX1MZ4+HI+0q/ +30gSyxgPR/LZxd/1x/6UMR5OwPKqA0vH9ChnPBwZX35iXp1mOePhBBxpbbzz +wbByxsMJ6HF6Sc9lxuWMhxPw+Hq7+K6zyhkPR9bb++MXExaWMx5OQNvmJcvO +rC1nPBz5XoNiw6nbyxkPJ2CosVLl66FyxsMJ+NXDuT74TDnj4QQMuXo02eFa +OePhSL5QeL2d6FHOeDgBz2z6fLXtVTnj4cj3Dp23KzSgnPFwAq6cdW7W8dhy +xsOR51mfVZuRXs54OLJeag3z/xWXMx5OwMs1a66lNJQzHk7AAXpKkxtCOePh +SP3WKs1c1V7OeDgBz32XrBjcvYLxcAIaP1FNah5QwXg40v+4d9cNHFrBeDjS +jxiWbz49oYLxcAL2t1hxaf7MCsbDkX7u4fLrGgsrGA8n4PXzYY4NayoYD0f6 +zW5bpgfZVjAejuSPtpHV5w9VMB6O7L8gccOKMxWMhxPQ8eD9D0OvVTAeTsDP +f9vKvtyvYDwcqU+fm0vSXlUwHk5AE1erN08CKhgPR+pVeLulfWwF4+FIvdcr +C5ybXsF4OHL+0YmU6JRUMB5OwCPRxyVtDRWMhxPQIFrpnyFUMB5OwIG3VKZ5 +tVcwHo7M16ZzLme6VzIejvx9lbn31mpWMh6OrLfO47dNHFbJeDgBq/zVW9WM +KxkPR84DXKaRdGYl4+FIPj9gMix1YSXj4Uh+cNEr8FpbyXg4Up+s9ky6uL2S +8XAkP5+RzLexr2Q8HDkvuTv2MDtbyXg4ATWiGk8auFYyHk7Axqze9zo+qGQ8 +nIDLbTLXNnlVMh5OwB9kDFMDKxkPR9ZTxYps34+VjIcj631P2pmbGZWMhyPn +y4zhWQ6llYyHI/OpaR61tqmS8XCk3g5Ums1UVjIejpy3l3fdMfRvJePhSP+o +XK/bvWcV4+EETGmK2i9qVTEeTkBI/bO4zLCK8XACXpR8j4ufWMV4OAHXLz2N +vrOrGA8n4LCwjYvdF1UxHo6c9133bD+7vorxcGR8Px/ruGdnFePhBPyTum7k +qsNVjIcj51H3qtzZTlWMhyPf/6jk5+gbVYyHI/1fvqaX1qMqxsOR/rLlYHqn +N1WMhyPzO/39vrbgKsbDkfdpfHm5DqsYDyeg0k9vQG5WFePhyPlAvU07rryK +8XCkX1gmd/NvqWI8HKlni8uPP/tcxXg4AW/4umbdVKlmPByZ79jsG+d6VTMe +jqzf2/bhhwZVMx6O5OPGOfNtRlYzHo7M93i1WasmVTMejvRPT17cn2tWzXg4 +Mh89Py2durSa8XDkvKK5ctcY62rGwwmo1q1Hhd6easbDkXzeEPNW/Wg14+FI +ftz6X3aXi9WMhyP1XT5jwe9b1YyHI+Pl56kpPqlmPJyAP0+MndzsU814ONJ/ +NPu+rgirZjycgNcmN27NS6xmPByp/3tf7UzNrWY8HKlXxkEhsVXVjIcj/eeX +svkh0mrGw5H6V9Kg7/etmvFwAga8ezDtpWoN4+EE3KUSf/dh3xrGw5H8pGVo +5KZbw3g4AZPl139dGV3DeDgBd4zFTuen1DAeTsCMOc5zT1jWMB6O/Pw872j7 +FTWMhxOwXb3FZu+WGsbDCfjbuPc02/01jIcT0KKxcZb1iRrGw5H1PGyc3Wrn +GsbDkfF9GZC25G4N4+EE3JlqvGD+ixrGwwnYIfAoN+d9DePhBMyeZBE2PaqG +8XACzm/Y/GxSag3Q+9wiLvxzzWt8YQ3Q+9wi6l68njKqrgbofW4RbxqO6DCM +qwF6n1tEcZnuat1fNUDvc4s4a+SkWK2utUDvc4uo5TxuirpGLdD73CLW/85M +VDOoBXqfW8SM4vxNXcfXAr3PLWKtRgfVjjNqgd7nFlHHUSekfX4t0PvcIh63 +bdj3fXUt0PvcIv5U0xrVZlML9D63iCmrT7Uq7GqB3ucWcWxRlq/kdC3Q+9wi +xlfn7G24Wgv0PreIW87MGVF9vxbofW4R5zrWV5W+qgV6n1vEobMdrhcE1AK9 +zy2iQb+ACdmxtUDvc4vYoXxDWlp6LdD73CLqzzBenlhSC/Q+t4g37otpsY21 +QO9zizjw3/qxEWIt0PvcIu6bP/J00J9aoPe5RXQ+rVa+pnMd0PvcIsa8PSop +6FkH9D63iA+9/SXL1OuA3ucWsTXnblnWwDqg97lF7L1RNWqhfh3Q+9widt5Y +fSV1eB3Q+9wiHor7a2Extg7ofW4Rn6yaq0CTOqD3uUW8nXjLaea0OqD3uUXs +cyeyPRLqgN7nFvGNgaet6bw6oPe5RQwKGRoYtLgO6H1uEXds120at6oO6H1u +EYuNDv7x3VAH9D43eZ9q/tfwbXVA73OLKJ3gVPlyVx3Q+9wi2gf/eqZ7sA7o +fW4R7+TPMnvkWAf0PreIS0sNkzVO1QG9zy1ikuz6cLfzdUDvc4to1HfZjp5X +6oDe5xaxcZSVk8vNOqD3uUW8+nn90Q736oDe5xZR0nPd3DOP64De5xZRQ67P +f/OsA3qfW0QHyS07h7d1QO9zixgxySlF7l8H9D63iO2NmcqdoXVA/SYiJjQu +a6uNrgPqNyHr819zyoaEOqB+ExHVG/bsL0yrA+o3EfFv35D6xTl1QP0mIs5W +Ph+ZWlQH1G9Cxie5y0yorAPqNxEx8l2ybmR9HVC/CZlvr+BM49Y6oH4TETu6 ++Fn68nVA/SYi7unlcsXgax1Qv4mIZbl67o9+1wH1m4iIZkt39etYD9RvIqJN +GPfvard6oH4TEZs2lmxR6VMP1G8i4rY59ReOD6gH6jcRsWaFZDevUw/UbyLi +/g0JfXYOrQfqNxFR79/8E5Wj6oH6Tcj+SV/luWJCPVC/iYixOh9d0kzrgfpN +yPOnbRo9a2Y9UL+JiP7m3V2DzeuB+k1EvDD56euRC+uB+k3I+CkVJ54uqwfq +NxHxvnFh535r64H6TUTsd2OElfOmeqB+ExFfnomz/GlbD9RvImLd+sNfDuyt +B+o3EbFhjfb6ukP1QP0mIs644mq36lg9UL+JiL1qn05OPVMP1G9C4vEjA6Ze +qgfqNxHx3jCVUr9r9UD9JiJ6Lfn7frBbPVC/iYjKgT8m3PKoB+o3EbEtL2nL +v6f1QP0mIra8mDLV3qseqN+EvN8to5g633qgfhMRC5wPtSwPrAfqNxHRY1Ft +ZHx4PVC/CVlvzksnGn+sB+o3ETE16u7SF0n1QP0m5PetLvTpnVEP1G8iouoZ +4fCZvHqgfhOSDw8FH5eV1AP1m5D9P+LF4PXV9UD9JiIeO++6LaWxHqjfRMSJ +sxeam8jqgfpNRBzxNyD+uVgP1G8i4q3Z7hU9vtcD9ZuIqLI57daxP/VA/SYi +nvMYUlWv2gDUbyLi6a6OCYt7NAD1m4gof3d3VkTfBqB+ExGXbF21zECrAajf +hOSfqqtfXXUbgPpNSD2J6zLhy7AGoH4TEb86ef7aNLoBqN9ExHmnjNalGDcA +9ZuIeFdyxGLclAagfhMRT9avibs3qwGo30TEozYvUn9bNAD1m5D1McfQ2taq +AajfRMQe0yJOfVreANRvQsajcbTh+HUNQP0mIr6IXLHWfXMDUL+JiIHqf/v8 +2N4A1G8iYsnojss37WsA6jch3zPIeEC8fQNQvwnZ31Ubtgw73gDUb0LyzYIV +o13ONgD1m4hYqNN6vPVSA1C/iYhmgjDXyrUBqN9ExNWzp7u/c2sA6jcR8dqO +h1vUHjQA9ZuQ/Pys8s2BZw1A/Sbk/boU78vyagDqNyHz+XSN7xi/BqB+ExEr +Z4y3cQ1sAOo3Ifv79YQ7reENQP0mpB9wHD113scGoH4TETXHCKu9khqA+k1I +PR+9tOVfegNQv4mIu4O15NZ5DUD9JiJatujYRpQ0APWbiMh9Gm2lXt0A1G9C +6t9sjVcHGhuA+k1EdFHz2ZMqbQDqNxFRrTzsqb7YANRvIuLzMb1mnfjWANRv +QvbTgbML8tobgPpNyPe2FH8cqdoI1G8ionFy85Nz3RuB+k3I/PQ7U1/UpxGo +34Ss/4+7b47WbATqNxFxlcfx506DG4H6TUQctuRU/6KhjUD9JiIOPzJbPtKo +EajfRMRRTncNT09oBOo3EfFBkmVctmkjUL8J6RdODIvQn9kI1G8iYlF4ey8H +80agfhOyX08+T0hY0AjUbyKi05OSvH7LGoH6TUh9qzo83WZNI1C/CamXVXP+ +BFg3AvWbiPhlcO+Bf20agfpNRPTu6HNt0Z5GoH4TEZ8VVi5/aNcI1G8i4pTI +YweajjQC9ZuI+Ct6buWE041A/SYivv004OmpC41A/SYiWgSG+CdfaQTqNyH1 +eJiid+9bjUD9JiIOCLset/ZeI1C/CRnvqi0xzx83AvWbkP5JObFTi2cjUL8J +yd8T8h+MfdsI1G9C9ndIt6OH/RuB+k1EDHF6/TgipBGo34TU86P2XdujGoH6 +TUg93TQ1GuIbgfpNRNxamRZ0MbURqN+EPO+cTJGc1QjUb0Lqbej+fV0KG4H6 +TUTUztU0ml/eCNRvQupVh4iRV2obgfpNSD7ZobUztbkRqN9ERCtlx7pOikag +fhOy31wsH5i3NQL1m4j4p+nNNacfjUD9JiS/BX4Ji/nbCNRvIqLg8GPQ905N +QP0mIvZ0PxMxsWcTUL8J+V7bRa77+zUB9ZuQfll9wt3XWk1A/Sakf4lSZlfp +NgH1m4h4YunmmRqGTUD9JiJWB8yoshrdBNRvImL2s7Xvzxs3AfWbiOgZe/xd +2OQmoH4TES/d21MmndkE1G9CvudO20RdiyagfhPSn6rWhi9b2ATUb0Lyhf73 +nReWNQH1m5D5+K06O3hNE1C/CTkfeOTMarBuAuo3Ifs5R39HP9smoH4TEV/t +jQ+APU1A/SYirut7Uv+gXRNQvwnZ38vVgx8daQLqNxHx4uPVu1JONQH1m4j4 +eW63GeL5JqB+E9Jv3Pw6ftCVJqB+E9Jv326aY3GzCajfRMSc5c8O7XdvAuo3 +EbFLtCTq7qMmoH4TEd0eXteLetEE1G9C+qXny5/WeDcB9ZuImLb970TV901A +/SYkH2/fVDMiuAmo34TUTxUTz4WRTUD9JqR/Ozfv2P64JqB+ExEn9LTZeiO5 +CajfRMRO4Qs2vs9oAuo3EbH70eSdmXlNQP0mZP0Oe3JeWtIE1G9C9vsFd98u +1U1A/SYiHjE9Uju0sQmo34T0BxmqBrOlTUD9JiIqgrodXC80AfWbkPOZ+cJE +h69NQP0mIu6MuK7v+rsJqN+E1Lv/PC697NAM1G8i4gEcz0V0bQbqNxExbr6O +dXavZqB+ExEvexpk1fdvBuo3If3npa7wVbsZqN+E5Ee3u8FdhzQD9ZuQ/n7x +1eHaI5qB+k3I/jL84GE0thmo30REfl96p2kmzUD9JuR8t+6F3fypzUD9JmR/ +9/hasHp2M1C/iYjpV24b21g2A/WbiLgh9j+XA1bNQP0mpJ6felNwbHkzUL8J +2b+n32ucX9sM1G8i4rstPRZd3dQM1G9CzsPvLh+5bdsM1G8i4uOc2tv39zQD +9ZuQflu37slju2agfhNSH/MXPnh+pBmo34TMT5/68y9PNQP1m4h4pXbvOq/z +zUD9JmR/mfhov3ZpBuo3If1v3dZUrxvNQP0mIq7xWb3p1d1moH4T0i8aW1W8 +eNgM1G8i4sxuneDp82agfhMRo7zmXnvwuhmo30TE89sKIu74NQP1m5B6cOlg +umtgM1C/Cdl/UytiL4Y3A/WbkPhepdvJ2GagfhNynnOfZHkosRmo34Tk/4E+ +xds/NQP1m4i4XvnDYl1OM1C/iYiuedKbC4uagfpNSH5YOSt4ekUzUL+JiIva +wwJG1zUD9ZuQfDRN01m7pRmo34ScH7YNmdhV0QzUb0Ke7+8R/FnZDNRvQvr/ +eTO61X5vBuo3EXH59Dzj9D/NQP0mIuY5aY0JVm0B6jchf/9bwddH3VuA+k1I +v7c7+fb5Pi1A/SYi+l3y/75zQAtQv4mI5s3LxlrptAD1m5B+vs/BseMMWoD6 +TUh9sEv91ntkC1C/Cfn99bquwtgWoH4TER17/tecY9IC1G8i4siAT138p7YA +9ZuQ9bLmBXdtdgtQvwk533w//nCnZQtQvwmZn9P9u8yxagHqNyH9i7+Jqfby +FqB+E9L/zfUwVK5pAeo3EfFM89+CNOsWoH4T8nytcfDMpgWo34T0y8vqdzvs +bgHqNyH5iKtcZHmwBajfRMQO06qlAxxbgPpNyPra6T2n5UQLUL8JOd+5fVka +dq4FqN+E5KcE1/6XLrcA9ZuQn5eMvLnMtQWo34T0u/XXowe5tQD1m5D+/six +x033W4D6TUS8veLtGP8nLUD9JmQ/atQcOPKyBajfhKwfi4pN09+2APWbkOcv +s/71930LUL8J6dfuD7FMDG4B6jch691aOvVyZAtQvwnpx4uOlFrGtQD1m5B8 +q3NRr1NyC1C/CamnM/LUEtNbgPpNyPlkuN7Lc7ktQP0mpF5Ej66YVtwC1G9C ++pWk0PDPFS1A/SYiTv92yvR9XQtQvwk5X3yZsWZ7SwtQvwlZr4vDNbQVLUD9 +JuQ8cNTncLayBajfhPSDRXkHz39vAeo3ETEhiutk8qcFqN+E1Bvbj5MbOkqA ++k1EHP/9T4c73SRA/SbkfH7j4M7ZvSVA/SYijhuWsVXWXwLUb0LqrU++cE9b +AtRvQvqR72Yas/UlQP0mJN8WlWU2G0qA+k3+//8hqwbcGC0B6jch9fezvWBs +LAHqNyH9lw23vshUAtRvImJ5qMvyYzMkQP0m5Ly6+leRppkEqN9ERMN7nZvD +5kmA+k3Ifgve6bRqsQSo34Tk4+rSV8IKCVC/iYhN47WXuq6TAPWbkPn+0OI0 +bLMEqN9ExA8r/0yOsZUA9ZuQ82y79uEVeyRA/Sak/p/9OaHloASo34ScZ+6u +P3TSUQLUb0L2R+vn8T1PSoD6Tcj8D7x68Mk5CVC/CYl9841GX5YA9ZuQ81a/ +m7YR1yRA/SYiJoYc07S4LQHqNyH/3mhlmX1PAtRvQvqd2hTZmscSoH4Tsv5L +vLpVv5AA9ZuQfr7F86mttwSo34Ss/0EnvVv8JED9JqRfOdBqsDdQAtRvQupb +ql9fWZgEqN9ExOZ/p+33xkiA+k3+//e05kjiJUD9JiJuHjT93PZUCVC/Cdlv +a58b1WRKgPpNyHlypIrZunwJUL8J6X/66STllEiA+k3I+Ln4fbCskgD1m4i4 +WLq3Y1S9BKjfRMSA6xofx0gkQP0mpH8L2Fn9VCEB6jch+cV5oK1amwSo34Tk +lwmtC099lwD1m5Dzwcfb7i3tEqB+ExHnmmTMWtGxFajfhNSvcyvnR3dtBeo3 +EVH3UuMHg16tQP0mpD9Xn+p4Rb0VqN+E9DNCD3e5VitQvwnpD7M0ey3VbQXq +NyHfs1iz7sPQVqB+E5LPOyX36D2qFajfhKzHExXX949rBeo3IftVX8M2zaQV +qN+EnP+3jrlhMLUVqN+EnJfcKrqdmtUK1G9C+vf2ypI881agfhNyvq2Rfhm+ +oBWo34T0N9vibE4uaQXqNxHxpmf/wZkrW4H6TUi9+uA1VGd9K1C/iYhDXEcd +2bu5FajfhPTrIzb1CbdtBeo3If3Q7h9tKntagfpNSH0wjNC1OtgK1G8ioo/h +ylt3DrcC9ZuIeGrYJYvS461A/SakP8tWnalzthWo30TE0sSbxzZfbAXqNxHR +916F8tmVVqB+E3K+SPN6X3WjFajfhJzfCl95a99tBeo3IfnA4E7l6getQP0m +Ilr3HrXk1tNWoH4TUp9kQ36mvGwF6jcRsduvSTW/37QC9ZuQ+uyg+3vC+1ag +fhMRv592X2ob1ArUbyKiu+ny0rvhrUD9JmS9BKjdS4hpBeo3EXE1d+ESH98K +1G8i4ifJntfaqa1A/SYiurie+mqe2QrUb0L6ibBDR/fltQL1m5B+dGaHYW7F +rUD9JiI+lUjaQypagfpNSP12rOpQUtsK1G9C+ge3B8bfmlqB+k1If9mUf0VD +1grUbyLi9e6WXSYKrUD9JiK+v/nGb9GXVqB+ExJ/CXLc8bMVqN+EjE+43rbT +f1uB+k1EHGzubeemKgXqNxEx/MefZ17dpED9JmT+TeoVob2kQP0mpJ+b1XVj +sroUqN9ExDLrUU35WlKgfhMRTVLbr1UPlgL1m5D66DfTSmIgBeo3If37OM9h +wggpUL8J2c97ajW+jpEC9ZuQ88j+4ME/jaVA/SYiHpqZP+23qRSo34Ssz7LP ++35PlwL1m4g42qTgw0+QAvWbkPOsiWGnb5ZSoH4TEZOiX+4VF0qB+k3I/vLs +WNO6VArUb0LWW9DfrbWrpED9JiKqhyzhC9dLgfpNyHrf9vZK6mYpUL8JqR8H +kkZH2EqB+k3I8y5sKPHeLQXqNxFxl5nBtbsHpED9JmT+5xaYnXWQAvWbkH5b +z/DfzmNSoH4T0n+sycHFp6VA/SZkPe67enHieSlQvwnJP6Xd52k4S4H6Tcj+ +UevR/es1KVC/iYgrHedkFN6SAvWbkPpzYMfVQHcpUL8J+XurxplffygF6jch ++eHUym/bn0mB+k1Ifhp73Wv6KylQv4mIyz7cWtDnrRSo34ScN2dpNNW/kwL1 +m5Dx7ld4JDhQCtRvQr5v/cWf58OkQP0mIn6cWW6/JFoK1G8iYl3drXItlAL1 +m4hoarPRpC5JCtRvQv69sO3km09SoH4TUm8W9AnYly0F6jch+T1jV97YAilQ +v4mIFpsCqxQlUqB+E/K8P68K/CqlQP0mpJ55fw3cVScF6jch47Fw67EhzVKg +fhMRi0o8DMqkUqB+E1KfTFcF3eSlQP0mImpYLTI0+ywF6jch57E205Nt36VA +/SZkfLpnfHjZLgXqNxFx6Pa4pKUdZED9JqQ/zEsP/9lZBtRvQvo3PS+XVz1k +QP0mIg7q38FkYR8ZUL8JqR+OjyO4/jKgfhNSD/4O13QbKAPqNyH779DGxca6 +MqB+ExHXOvHrcwxkQP0mJB/VP5y+b4QMqN9ExE3z+oqqY2RA/Sbkfax7nngy +QQbUb0J+PnNOvvEkGVC/CcmvM3f/SpoqA+o3EXHgStO21bNkQP0mpL/7YBPR +aCYD6jch/SL/aoH9PBlQv4mIDwICXv+ykgH1m5D+3HV2xsVlMqB+ExF7DegS +2W21DKjfhPR/zbEHbqyXAfWbkPP+LW1Jr80yoH4TEc96Fgy/aSMD6jchv//u ++cgeu2RA/Sbke2ZMkl/eJwPqNxHRuWbhoT92MqB+E/L9eh7Bjo4yoH4TEQsv +54ZIjsuA+k1If/L4vcOGMzKgfhNSHySNsk/nZUD9JqSfUY7Rm+wsA+o3Ifkd +ZvR4eU0G1G9C+oP1n/y735IB9ZuQ8zZe62p/VwbUb0L2Y7upZpGHDKjfhPSL +dy+VmT6RAfWbiPiom9GS+y9kQP0mpB9qrDrc5iUD6jcREXzXLFjiIwPqNyH1 +sW5hlvd7GVC/iYiyvvZ/fgfKgPpNRNROPFexLEwG1G9C+qezY21fRsmA+k1E +jM6a4C5+lAH1m4hYPwMOzEqUAfWbkP5qXT/FlVQZUL8J+XsfNvXLy5AB9ZuQ +8c8vrRiQKwPqNyH9x/Jp8zcUyoD6TUT0Spyy5nGpDKjfhKzf2Guq5ZUyoH4T +Um9LmpcPqJMB9ZuIOMW/48xlTTKgfhMy/9UeSS6tMqB+ExF/dN3QEqOQAfWb +kPNB3r9XvCgD6jcRMbna7IfeVxlQvwn5++XFTYt/yoD6TUS87HB494k/MqB+ +E7Ie1uace9lBDtRvQs4PMz2NPnWWA/WbkH64+vkuRXc5UL+JiHpfz0/q3VsO +1G8i4kbLP3fGqcuB+k1EPOaWcdZKUw7Ub0LyodeLbzsGyYH6Tch51eq/32f0 +5ED9JiIWbJzpeneoHKjfRMT57xxeeY+QA/WbkPyi7WoePloO1G9C+qWrM/cm +j5cD9ZuQuA4G5JnIgfpNSP/YZ9Hc8slyoH4Tku+6DfhRO10O1G8i4r3U1WOb +ZsuB+k1I/VqQUNdsLgfqNyHnsXv9NJrnyYH6TUQcG9GeXG8lB+o3If1w8rS2 +yqVyoH4T0g8VOz0uXCkH6jch9ULNJfbTWjlQvwmpL26q62I2yoH6Tcj33wnY +826LHKjfhOSr+WbiQ1s5UL+JiA+FQ4pLu+RA/SbkvJvfZn1gnxyo34TU++kX +Zq20kwP1m4g48mLBbdPDcqB+EzJ+XZ+v0DwmB+o3Id838cmFLyflQP0mpL+y +v6CXd1YO1G8iouesbiN9L8iB+k1EVNWRPHJylgP1m5B66l58dNU1OVC/Cal3 +M69HGd6UA/WbiCiE4PbPbnKgfhMRV70c7Yj35ED9JuR8Fn6p5epDOVC/CXnf +wIuxy57KgfpNyP5aVfu5v6ccqN+E9F8OK64Xe8mB+k3IfLS4Xbj3Vg7UbyKi +t8ea4uXv5ED9JqTfPbLOuUeAHKjfhLzfqaVuCcFyoH4TUv+C274cDZcD9ZuI +6DSuPWhktByo30TEbT2HpZZ8lAP1m5Dz6DF940sJcqB+ExFvefvyY1PkQP0m +ZD9UnlQp+iQH6jcRUbF7wY4TWXKgfhPSL73JHjgoTw7Ub0K+XyVxcFShHKjf +RMSf8mq7NaVyoH4T0g/Jy3sJFXKgfhMRT9+2++FcIwfqNxFx6rHV4wY1yIH6 +TUS0XWT57l2zHKjfhPSvn77ZT5fKgfpNRFSGGZ5NVciB+k1I/y91yVkmyoH6 +TUg/Pb9ke8lnOVC/iYg6yZkzNn6XA/WbkHpnM2RN5S85UL8JqW/GNz9s+CsH +6jch+XxF5rziDgqgfhMRd3xzH7y0swKo34ScJzd7jk/upgDqNxFxd6nv6Slq +CqB+ExGPJ+3t6NNHAdRvQs5vdx4lDOivAOo3EdEhqS3ivKYCqN9ERGPp+KZW +bQVQvwlZz95d5y7VVQD1m4io8m5yeeAQBVC/CTkPxB190ddQAdRvIqJ9+DmP +gyMVQP0mZH2c7PkxbbQCqN+EnNc7lvTTH68A6jcRcZr1Q3fHiQqgfhMRS16p +maVMUgD1m5D83Z0bqDFVAdRvImJO/mftbTMUQP0mJF+srDT3na0A6jch+fbz +5ruCmQKo34Q832Ry1//mKoD6TUSc9FzP03GBAqjfhJw3KvOtgxYpgPpNRLzi +2WOaYqkCqN+ErOfoS5MNVyqA+k1EtOz2Y+WGNQqgfhMRtzsNvXl9vQKo30TE +3kZxjdHWCqB+E7Ieh7mskWxRAPWbiPifl2l9X1sFUL+JiBfEK85TdiqA+k1I +Pt831cx6jwKo30TECOeO/c/sVwD1m5B6l/Xy5yM7BVC/CelH6/M/hzoogPpN +RJx4aqlK9hEFUL+JiPzOQt2G4wqgfhOSv68NXvTllAKo30TErg3NLqrnFED9 +JiRfX5Tn9rmgAOo3ETHXQ2qofVnBeDgl/rB5eln/ioLxcErcAvHcUFcF4+GU ++OxVv63DbioYD6fEPK0lpUPcFIyHU2K+xYQ1Ou4KxsMp8VDTkVJ1DwXj4ZT4 +Pq9iU9dHCsbDKfHzD92mH08UjIdT4prdv/dLnisYD6fEZDD+XPBSwXg4JS6J +P3ki5rWC8XBKXGF2/5fnWwXj4ZSYajrnxCU/BePhlHhwzFTR1l/BeDgl9smc +bgOBCsbDKTGmrHPGwBAF4+GUqDJpnZEQpmA8nBJHlynOJkYqGA+nRKeqy6l3 +YxSMh1PiqSPVHbbFKRgPp8TuYuj40QkKxsMpMSMmfZEyScF4OCUusqlYF5aq +YDycEs2ueK84lq5gPJwSs5TSKZOyFIyHU2LQxV3dhRwF4+GU+HRaRfKbfAXj +4ZS4flKnvdZFCsbDKVEzwOuLWqmC8XBK9O50YldMuYLxcEoM/jDn464qBePh +lNhj3sfvvWsVjIdTYtlFf43QegXj4ZTYNDNffW2TgvFwSizY3yp+aVEwHk6J +O0eG+LtJFYyHU2LsW+V8I4WC8XBKvD93R1wcr2A8nBJvnMrss0KpYDycEjfV +S6bXfVYwHk6JQyefmn3gm4LxcOR711pof/2hYDycEveZd8o49VvBeDiyXnTO +LfvzR8F4OCW6Dj/sc0aFYzycEmvTXhb+6MgxHk6J2jdych06c4yHU6KRfvQT +SVeO8XBKdFwxYvLGHhzj4ZTYW6v4Wboax3g4Mr9Xr+ab9uEYD0fmp65j3vN+ +HOPhlJhzocvDThoc4+GUKIprRu3W5BgPp0S/shCn1IEc4+GUWNOt8elQHY7x +cEr8e+zNudO6HOPhlLhydsKwfH2O8XBKnOH5zXXYUI7xcEo826lHyGFDjvFw +Sjz/M+5x3AiO8XBKfDSpfE5XI47xcGT/3B38evEYjvFwZHw1lyTdGscxHk6J +ltz4Z9kTOMbDKbHrPhfj7iYc4+GU+L3bwDNmkzjGwykx3M7n3LHJHOPhlJi2 +p32yz1SO8XBkPXNlXiXTOcbDKbFIVzW5wyyO8XBKfG0+x8MIOMbDKfF37hrt +pWYc4+GUWL29++JDFhzj4ch4pQ4Zd3Mux3g4Je5V2MS8nc8xHo6s5893v8Yt +5BgPp8RIw1MVBYs4xsMpUe979Z7GJRzj4ZQo6en8QlzGMR6OzO/EyWd/reAY +D6fEXjaRKh1Wc4yHU6J1UsGoTms5xsMp8dr7xV9U13OMh1Oi53PORmUjx3g4 +JdotPGv/05pjPJwSs12T9ITNHOPhlPjV7ur++q0c4+GUmGh8b02eDcd4ODL+ +0wMqY7ZzjIdTYumwV+1eOznGw5HxDDeNuLqbYzycEs/ITXrs28sxHk6JJ2W2 +X+bv5xgPp0SF2u0jQw9yjIdT4seq89d+2nGMhyP/rtHRJNueYzycEitfVh14 +dphjPJwSX72smLrvCMd4ODIeO1Lv/XeMYzycEo8d2Of08zjHeDglqh668z3m +JMd4ODK+q7u0nzrNMR6O5JPJ965PPssxHk6Js3d18ebPcYyHU6LGzlHzvc5z +jIcj+0GWYr/6Isd4OCVeqPcb0vEyx3g4JXbZ77XmvTPHeDglHq463XvVFY7x +cOR74lWsvl3lGA+nxFHlf7t4uHKMh1Pi7sEWliY3OMbDKdEg8rFK5k2O8XBK +/NC3cPq22xzj4ZR4JSFKqXTjGA9H9tOzwYbn73KMh1Oib0B6Xo97HOPhlHh1 ++u1vd+5zjIcj+Spqxr0BDzjGwykxs+6Zz/2HHOPhyM8/u2yi/phjPBz5Hk00 +vv6EYzycEnu+H/xK5RnHeDiynn4ccD78nGM8HKmPBU7ldS84xsORfLZg+LNF +LznGwylxvve43OBXHOPhSL6w32un+ZpjPBypbwd8Tx7z5hgPp8S7D+KEgjcc +4+GUOGDcqdwxPhzj4ZSo4+2vdcGXYzycEn+Gjk4r8OMYD0f6h2VJVUPec4yH +U2KL98J1+/05xsOR/DfCzTT4A8d4OLJ/z+899jWAYzycEptf+Aw0DeIYD6fE +iMAhOg7BHOPhyPvoe5/xDeEYD0ee59F9Zk0ox3g4kj86DV3fJ5xjPBzpP+4n +5s6M4BgPR9Z3JL7cFckxHo7Uw8F81o0ojvFwJN+EDl0eEM0xHk6J+0NMRufE +cIyHU+I9t88bpLEc4+HIeug8o0YljmM8nBIf96kN00CO8XBKtBHCag3jOcbD +KbHByGPjxASO8XBKvH117fDpiRzj4Uj91s6ZDUkc4+FIPjAu8p6TzDEejtSz +3XM2zE7hGA9H9tcT2bqpqRzj4ZS47cv/mLjvuBr/93HgKERGSDISKSNUihRH +dlKyQiIqe29RdggJSWUV2kk0tOSuqz21997znPtw32Wv7/V5nMvv/Xv/dz2q +c879Gtfwfj5O8AuNDJY8HJ7vWgvtiZkseTgO7g4okJXLYsnDcRBwqm36T4wl +Ho6DDTa295uyWfJw+PpbLOan57Dk4fB8zdk/NeADSx6Og0GDL5s75LLk4ThY +ufJsxpY8ljwcB2pPZpzRzGfJw3Gw9MeZ3b0KWPJwHHBv5tzPxVji4Ti4Y7T4 +u0chSx6Ogy4fW0/LIpY8HJ6X1nC78cUseTgO2Gv592owlng4DiYvDqn1KGHJ +w+F5maq2w7SUJQ+H58tt1LheZSx5OKxPWjYDQzGWeDjsX/n66VvKWfJwHMhM +PHGpdwVLHg7/fu0XKX+MJR6Ogy97TGKXVbLk4bAfebj2aQPGEg+H9WJf66uz +VSx5OA56h3Z2DKpmycNx8Pv+gnVeGEs8HAcznJKap9Ww5OE4mLVj0/MIjCUe +jgPVubUOc2tZ8nAcSNvNvROLscTDcTBOaBg/p44lD4f3248dFoqxxMPh/soN +cVKtZ8nDYb8rdlL1wFji4TgoNVvRINXAkofD9QiezBzGWOLhOFjydlBMMcYS +D4f987Xa/DmNLHk47OedLvR1w1ji4bA/vV24SYyxxMNxIOebkLysiSUPh/dj +ubrRQ4wlHo6Dawt/tLZiLPFwHOyJkX+q1cySh+Ng1wzrPWcwlng4DlLOpBjG +YSzxcLg+uWrzv2Ms8XB4/+OOLJndwpKHw/yg7rj1IMYSD8fB6h0brj/DWOLh +sN72SU7IxVji4TgIHBjb7zvGEg/HwYhSZcsJrSx5OA56xjTHL8VY4uE4mNkt +VN+JscTDcaBUrOpzEWOJh+NA8d5ZFQ+MJR4OP8+WouCXGEs8HAejspXmvcNY +4uE4yCwwzE/FWOLhcB5L0d//AWOJh8P7btHcrwBjiYfj4NRLrcB8jCUeDuup +xtCVORhLPBwHfdIOCZMxlng4nF9ez70TjbHEw3Hw2nn7zACMJR6Og+b9Bdn3 +MZZ4OA4sIs7vssNY4uE40NIz+26JscTDcaCrs+ymAGOJh8P8uXiRnCLGEg/H +wdm9ei4fcT0lHo6DucPG90/BWOLhOJg+vPmkK8YSD4f1PsCudBvGEg+H9/t4 +iboaxhIPh/3+lbYTHbjfEg+H59/UNyQQY4mH4+B59J+yHRhLPBz2rwPYT6Mx +lng4zD+/d3z/gOdN4uE4mBO98eM5jCUejgNhUlzhZIwlHg7vo9LN57l4niUe +Dp9vVqT5MYwlHg774dI534dgLPFwuL9rpK++xPsi8XDYL20Y1bMQY4mHw3zQ +b69JEd4/iYfjwPG78IY1xhIPx0GY9vOgLry/Eg+H9XuyfcgxjCUejoOa97au +PN5/iYfjQNnknsUxjCUeDvPP84ReXZg/JB4O5+Gvfx2tMJZ4OOzn+q4RFmD+ +kXg4vJ8fA2cYYCzxcNj/rulvHIj5S+LhONCce2DJIIwlHg73a0ae/GHMdxIP +x8Hw6bMSszA/Sjwcrk/g/aUqGEs8HAdGzmIvW8yvEg+H50+wIi8d86/Ew3EQ +5/a0eDjGEg+Hr9cpDNmC+Vvi4bA/kdG3eIb5XeLhOFgjuFZei/lf4uE4MDTK +nzwaY4mHw/07OM5wLdYPiYfD/nvHkdlXsb5IPBzWl12Zn8Kx/kg8HNaXKRr2 +NVivJB4OX6/ON78PxhIPh/VunsYnVaxvEg+H/drBoqolWP8kHg7nVxWPu5ZY +HyUejoNe9y4NPIH1U+LhOPhh4256FeurxMNhfsut2+SC9Vfi4TjQv75T7THW +a4mHw/4Jpsd6YT2XeDisN7qLhjzDei/xcNjPTAme/AT7AYmH4+CY2clertgv +SDwcrm+vgCfXsZ+QeDgOnv1c1XMK+w2Jh8P85X5ykDX2IxIPh+sbpNZkiP2K +xMNhv/Pg6Klp2M9IPBw+/8Ltyf2x35F4OA68x/7NbsR+SOLhsB5Msr4Tg/2S +xMPh/F55T+YW9lMSD8fBwlVe8zdhvyXxcNhPjnykpoz9mMTDYX7b7JLWjP2b +xMNhP5npMcYviiUPh+fDh5lkjf2exMPhPBkxomEU9oMSD8fBGbM3q3Owf5R4 +OOz/Dz8/Yh/Gkofj4MHZHwZq2G9KPBwHhy4WJ+RgfyrxcPj5FBeyh7F/lXg4 +DoYdX58l+4olD4f3w3DSen/sfyUejgPt9gzHedgfSzwc7tdc8z052D9LPBz2 +r6EdH82xv5Z4OOyXt7ipNPiy5OE4OFl94vdO7M8lHg7Xd7/XpeYXLHk4/HnR +zKDt2N9LPByuzwUD21KcByQeDj///q6OFZ4sebj/1UfTv1E4T0g8HAfmXx1i +lR+z5OE4GOoeNOI6ziMSD8eB3sHqoW04r0g8HPZ35/RDluA8I/FwOB/vaGx/ +gvOOxMNx4LuFTxLjPCTxcP/79yNnfQOclyQejoN5l/JNb+E8JfFwWP/m1v7O +v82Sh+MgfXS50XCcxyQeDvul8/Uz1+K8JvFw2F+Yjw655ciSh+MgaHFQcgLO +fxIPx8HOM2HHPuJ8KPFwHCiUb4oae4UlD8fB4ebEe0svseThsL9Pkf+7B+dP +iYfjYNFyuz6OOJ9KPBzW16qRj1/g/CrxcBxcfTY8ORrnW4mHw3qw/tH5zNMs +eTicx6wLMkpPsuThcD6ubvGpO86Sh+Ngx5Veg5uPsuThML/Hre7bjPO4xMNx +4PDop1MdzusSD8fB2hodr1Kc5yUejoMkTnV+Js77Eg/HQT7/a2f0bpY8HM4P +gV0KL3ay5OE4cLmpZHbdhiUPx4EVkzZqjxVLHg77/bHyu5ZsY8nD4TyhOW/u +mK0seTjMN3MOuLCbWfJwmP/GlB9hNrHk4TDfX40sdNzAkofD85OuG7tqPUse +DvvVT64Th6xlycNhvUj9MyjHlCUPx8GE+DC7qyYseTgOQmUb9sxdyZKHw/V4 +klrcZsiSh+Ng2eCHcH8ZSx4O9yfJZZreEpY8HJ6XAqFc1UKWPByer6HNp84s +YMnDcRA1OGKD3HyWPBze57HuoX56LHk4zG/Lsq7N1mXJw2F+2+hcDDoseTgO +BlaNe2o4iyUPh/dvfFxVpgZLHu5/85jffcMZLHk4rK+NYwGmseThMP+m7tgx +ewpLHo6Dr1rv7f1UWfJweH+X7usvp8KSh8P8WP+6/xllljwcB3YhBfaV41jy +cBy8HKtgPXcMSx4O3293arTLKJY83P/qqcrFVnmWPBwHj7aejZoznCUPh/fh +wBDLK0NZ8nD4+cZqHM8cxJKH44D3mtgzcCBLHg7rhVinxag/Sx4O168ybJGD +NEsejoPGry0yMb1Z8nC4PlFKgvY/IvJwHOSZvqwc/ktEHg7rbV5Zg/53EXk4 +7H9Gf1lr+UVEHg7Xu9F8mn23iDwczvOhpvsffBKRh8P60jJNLogVkYfjQCdy +oVJsl4g8HN636c33UtpF5OGwP9Pcvze7RUQejoOOC1N8PzSKyMNx8Df01JLs +OhF5OMxv+kHLUqpF5OHw/O8b8zKmQkQeDt//oOrRwFIReTi876smeLgWicjD +YX7t2adkly8iD4f92Iwt0ls/iMjDcfD5+VpjvSwReTjM15UvxXLpIvJwWM9W +pH5qSRaRh8P1WfB3TSSIyMNh//spTvYSIyIPh/3dhc2Tlr8TkYfD/N2u79Ev +WkQejoMsxeSdKREi8nAcFLZsuH0uVEQeDuf5/uaDNENE5OHwPE7VaKsNEpGH +w3moapHiTX8ReTjMT0+/eM/0EZGH42BTRYxD3jMReTjMxyL23YGnIvJwHPya +KLWizyMReTichyJ3THN3E5GH48A5/6z1pPsi8nAcLH4QIQy5IyIPx0H/4Rez +ZzmJyMNxIDAz/hXuKCIPh+fP7MblmVdF5OFwPusfsMnvkog8HL6/heJFhfMi +8nA4r2mu+3L1rIg8HJ73XakJolMi8nAcXC5pK1t7XEQeDvPDeg2DsMMi8nB4 +HsOG9Qw8ICIPx0EwyHyz3iMiD4f9ianzyogdIvJwHIxUV+j4s11EHg7vo9rE +YsOtIvJwWN9HTBvoZC4iD4f3LTPYOdNMRB6Og3cTVbf0WSsiD8fB7j8jTsxd +JSIPh/Oj4Y/ivUYi8nDYv7WbX3qwTEQeDp+35s3JuEUi8nA4/6g6hNUIROTh +cB7O2zjnh56IPByev543vUfMEZGHw/ofP2LklFki8nAceG6TPao7U0QeDu/z +2PFDF08TkYfDvzct7TFUE5GHw35n63tlo4ki8nDYvydtvbdMSUQejoMjg5cu +FYwWkYfDfN76Z57mSBF5OJx/+423VRomIg+H9W2xVk+/wSLycBxEX0uPFMqI +yMPhepediMmRFpGH4+C2eebPgF4i8nDYn6/YeuXCLyF5OA5KeyetWPNNSB4O +82lvl9XjeoTk4fDnjVbuLR+F5OE4YLZnjQ0SCsnDcTDRfE/jnnYheTjsF3IL +Wyc0C8nD4TzSGTi1rE5IHg7n0W/XXzpWCcnDYf0+MnCfdpmQPBzeX2HJzspC +IXk4Du7PdvQ8lyskD4f1YEHisNFZQvJw2M+oTk4JTxWSh/vfPGYZtjxRSB4O +582P/StL3gvJw+F88SNfYBUjJA/HwRsdy+qWCCF5OA5WvtoYufuNkDwcBwl+ +ezIaXwrJw2G+eDRP3sJfSB4O+xHdi09yXgjJw3FQvLveQt9TSB4O+3nDwet9 +HgrJw3Fgph3r0O+BkDwcB82J94W77wrJw+H9T1vlnHhLSB4O6/86r10K14Xk +4bCfj9Y7tfeykDwcB0dvlMa9PSckD4f58PF0vZ+2QvJwWB+ke4QLTgjJw+Hz +T+4sPXdYSB6Ogwbf9M+R+4Tk4bA/2rfSpHOnkDwc9iMvNcsUrYTk4fDzt+l5 +LN0iJA/3v//fonZ7/0YheTgO3ubFRjqtFZKH42Dfj8jhgSZC8nBY39Tq/RIM +heThOLhi/vtA4WIheTjMh2zZrnqBkDwcBxoPZ7t2zBWSh+NgzJYGXqQtJA+H +9/+Bv6NoppA8HAf2X9eatk8Vkofj4IZHmGHtJCF5OJxvqx6cyBsvJA/HwRTn +nIK40ULycFj/CqbZ+MgLycP9b553mHR9qJA8HO7HjZejdg4UkofjIEfddoGg +r5A8HNbDVHCT6/XPw3GgtddKqeFHF3k4DvzvaJYHf+4iD4frNWZQ8rFPXeTh +cD+3FtXOEnaRh8P1kLFRF7d2kYfD/LDiUaB/Qxd5ONy/1xYbN1d3kYfjYPbC +mzr9yrrIw2H9PyGzJLSgizwczuNaiZfNcrrIw3Ewv8LjI5/WRR4O653B6bt3 +ErvIw+H5CV2+bdL7LvJwHHR+6t4aGdVFHg7zybLDTovCusjDYX7e+6IjI7iL +PBzmA/cLtsb+XeThODB1+qKT+byLPBzmG4c/ExY/6SIPx4GhgdOCKLcu8nAc +POntckv1Xhd5OMw3D/v3vXerizwcztfrukJ7rnaRh8N8KqvjtPFiF3k4DsJn +1D0IP9tFHg6fP6jzg8zJLvJwOB/22jhn6+Eu8nAc7DecmBu0t4s8HD6v0jo3 +zqaLPBzOX/EdTnMsu8jD4X3i2LBTm7rIw2G9HLuvX+jaLvJwON/qWt9uMe4i +D8eBbUXDwpHLu8jDcfBK68ukxQu7yMNxEDH4zdx9+l3k4TD/1ow656TTRR6O +g1Uhy8SBM7vIw3HAzVhxP3FKF3k47I8idXaVTOwiD8eBSDBhb/PYLvJw2P8d +UH3CjuwiD4fzqpPFb35oF3k4zL/uVS7dA7rIw+H91Uzd8FGqizwc9u+vZ69s ++91JHg7vj8KqIxVfO8nDYb+rPCM1jeskD8fB+LnfjN8IO8nDYb64WNrHtbWT +PBzmu4Iu4bH6TvJwmI8TrfuZVHaSh8N+87nN+gnFneThOLhoP7rg04dO8nAc +yIyPvsSkd5KHw8+vuNfmamIneTict+K2nV4e10kejoOM0bnv+0R2kofD+U2+ +a/b7153k4ThwXy1sOBLYSR6Og6f6AxKVvDvJw3EgHHaxKONJJ3k47C+brise +duskD4fz0I3troPvdpKHw88XumLRyxud5OHwfFQ5qCy60kkeDvvjHWb6Rfad +5OHwfJz9c83qVCd5OMxHk3P6dhzuJA+H+7G+D3Nwbyd5OA6sk8WBXdad5OEw +P4ypzdq1pZM8HAe1TWNVqsw6ycPh/bz+M8zYtJM8HD6vWZ5ttGEneTg8344d +J5UWdZKH4+DH4hdBl/Q7ycNhP+JuOrJWu5M8HAcpF4zj5szoJA+Hn7eLf3hL +rZM8HAfb4vzeVIzvJA/Hwc0U+KOi2EkeDu9Xgf/NfcM6ycPh/BQQt+rlwE7y +cNiv99ll0irVSR6OgwveA66P+91BHo6DNsHIH6u/dJCHw9d34YPPf+wgD4f1 +YuvQR/4dHeThcH60rk7KauwgD4f95qHMqZ1VHeThsN5vMM6VKukgD8eBz/ey +0NG5HeThsP8zbitQT+8gD8fBsBW81lzoIA/HQUmvMwUGsR3k4XAee8aHLg7v +IA+H/dm21vyFwR3k4TB/PCjU0vftIA/Hgdrb+cUzPTvIw3EAJsExSu4d5OHw ++QcF1Mnc7SAPh+cv/Z3RR8cO8nAcnDt24kvBpQ7ycHj/Jlt1vD7bQR4O59eZ +w5Qdj3eQh+Mg8cvYpxYHOsjD8TBp4shtU3d2kIfjodXebTe/tYM8HA/F0rOj +YjZ0kIfjYWfPvVVnTTvIw/EwItpwxmzDDvJwPKR//LZBaNBBHo6HyVdXZnjN +7SAPx4OsS+1VE60O8nA81Npa3u6Z2kEejoe+1UfrHk3sIA/Hw3r/lAt6YzrI +w/FwpF+/A8XDO8jD8WBq1+67X7aDPBwPL9LGzPgp1UEejocNfTZK3fzVTh6O +h96PTNXlPreTh+Ph+NIE7wdsO3k4HsYo7jwwvK2dPBwPvg4/rjnXtZOH42Gv +yXK+d3k7eTh8vcf93p7IbycPx0MhL5VZl9FOHo4H16V9tQ0T28nD8dBcXcIF +xbaTh+Oh/tRCmf7h7eTheIjUlre1ftlOHo4HvUBVQZR3O3k4Hm6XLNva90k7 +eTge3mqalKx1bScPx8NCX7mgh07t5OF46Lp/rLzSoZ08HA8rK412jjrXTh4O +n7/EbtXak+3k4XgI3N724NrBdvJwPAwvOzkvcmc7eTgeDo0fuLh+azt5OB6S +fB8E9d3QTh6Ohwnbfh+fsqqdPBwP1vm6z5YtaycPx0P1UG2NbYJ28nA86Gc0 +qxyf3U4ejgeN2UsvXJ7RTh6OBxMNY4Pbqu3k4XjInvp5z/1x7eTheLCcs/Sb +q3w7eTge/vTT7b43qJ08HA9FPYWbb0q3k4fj4fMvJfXzv9rIw/FgkzFp38Ge +NvJwPGQFfRyxSdRGHo6HK/4uGoKWNvJweP5PKb5TqmkjD8fD+ytPI38Ut5GH +40Gb11IpzmkjD8dDqj/fJzCljTwcD2Hzfpvbvm8jD8fDSbljKovftpGH4yHZ ++PDO/q/ayMPx4FQyelyWTxt5OB64HV6mjk/ayMPxsO2syncD1zbycDyc21um +3H2rjTwcD+s2dSb7XGkjD8eD4eK7zaZ2beTheDD488Oh51gbeTgeXi3c5+Ox +r408HA+vHw5bOtu6jTwcDzPPzdmTa95GHo6Hv8tGDt6xpo08HA8D9T/r8YZt +5OF4OHZjnPCCQRt5OB7i1pSq9tVtIw/HwyC/Q+03ZraRh8P76qw9u59aG3k4 +Hm4OsB90eVwbeTjMF4qPj38e0UYejgeZmI5de2TbyMPxcK05tb2oTxt5OB5K +fZ1/zvvRSh6Oh7J+Pt7PuFbycDz0m3qg+ndHK3k4Hqq0TYM3N7SSh+NBYU/0 +0NDyVvJwPEwZJT+4d34reTgezkeV+65ObyUPxwOfe7r0YXwreTgeNIfs8a2J +bCUPx8Moo0lDlUJaycPxoHV6+hgL31bycDyMjfub5vKklTwcrucBVfnU+63k +4XiYPXBiv+6breTheNiy8bKX0uVW8nA8GC0sqF56ppU8HA/m37yTdx9pJQ+H +9+PTLbOru1vJw+H5qVV28bRsJQ/HQ37sH7tws1bycDxcz0odnmzcSh6OB2W/ +EZZ5i1vJw/GwStPFvEyvlTwc5ofApn5Vmq3k4XgQ+EQfrJzcSh4O79Pw9zdK +lFrJw/EQbeJjmSPfSh6Oh8y+KqJ42VbycFhPrLvnhvRpJQ/Hw8uOosUe31vI +w/Ew9PFl2fOfWsjDYf1oBI9t7S3k4bB+BZi3zqtrIQ+H+cNk+PcRpS3k4XgY +HRZf3JHTQh4OX193+pl3yS3k4XjoztRsvf6uhTwcD8IqX+XVYS3k4XhoOLJz +6vDAFvJwPBwevbp3kVcLeTjML31XhNxxayEPx0P819nTl99uIQ+H72fW5/K3 +Ky3k4Xg4Ot4rJPBsC3k4PM9SXyPWH20hD8fD3c193b/vbiEPh+s9MWmDp2UL +eTge1shP6Zln1kIeDvOZ19zTpStbyMPxIG75UnlwUQt5OMz/+YdU/+i2kIf7 +3/r7b3Ke2UIejoetX9+cUFBtIQ+H+aDOy95zTAt5OB7c0m4eGz+shTwc3vdb +Tps8+7eQh8PPV5+kofCnmTwcPv/mdT9v9zSTh+Ph4x6rhN9dzeTh8D5YK9gf +bGwmD4f196qfVml5M3k4HmJ/z2+Zl9dMHg7roWj4Q8/UZvJwPCzdtdPkR1wz +eTgeLp48KLUhvJk8HObrKYeTXgb++344PO/339z46fXv++HwPmXbbV7p9u/7 +4bBefhmn+8Dp3/fDYT416VKpvPzv++F4cJDXmzj2zL/vh+MhJ9pax+Lwv++H +w/oflbz1wc5/3w+H/UpMomeWxb/vh8P75JT07eeaf98Px8OlqVon1A3/fT8c +DzXx52Q3Cv59Pxz2Q69GZZzT/vf9cDxYOZ8LfDb13/fD8fBk/qTQ+PH/vh+O +hzPldxsq5P99Pxzm24wlBp8G/vt+OB7qEjKypXr/+344HqLSgm+O+NpEHg73 +U/+CvTLbRB6Oh47iEp8pzU3k4XgoCDWRnlHZRB6OB2/Ty94z8pvIw+H+PBp5 +YVpaE3k4HvYLIx6rvG8iD4f9w0jpH6PCm8jDYf2zfvdiQGATeThcL7cbLl89 +m8jDYf/4a8qHRtcm8nA8nD20eVPmzSbycDxIG1XPenWxiTwcD7rXztg4nWoi +D4f7/eZb++4DTeThMB/yGpkLrJvIw/HgMv6j9PBNTeThMH8HDvduMmkiD8eD +T+iZ56GLm8jD8YDVQMpubhN5OB52r/LIM5jZRB4Oz0fMpL69JzWRh+Ph3ZYn +L0GxiTwcD2oDPyXYD2kiD8fDsL3KRtrSTeTheDjYOsWo7XsjeTge5hUOTvb4 +2EgejofxG6pil7Y2kofD/tP+qSZb1UgeDvdz3q5pDwoaycNh/3pm1cs56Y3k +4XjYLHM8ouR9I3k4Hg4kdK84Ft5IHo6HZ/t/HpIJbCQPx0NC3VtVL89G8nD4 +/L9NT2i4NpKHw34/8u9m5kYjeTgeLgyQrjG80EgeDvPj+Kd/ck80kofD/nTO +wNR1+xrJw2G+jHuqWbStkTwcD4+XPl+2xqyRPBwPXp77+2UZNZKH4yEka8PZ +hQaN5OH+V28TvSJ0GsnD8cDwQ+1VpjWSh8N8b/JG7t74RvJwWL8EA3d/G9FI +Hg776SWP7LcPaCQPh+urV7Qh6U8DeTgevlnM/Tqhp4E8HA+n1qzafaGzgTwc +nl/Npz5ldQ3k4TB/3HwbMaOkgTzc//o39ceXshrIw+H9WvXEIj+hgTwcPu8W +369jIxvIw/GQqC203f2ygTwcD0pyStWvnjWQh8P5ILtzyscHDeThcP2q+9to +3mogD8fD9L06TocuNpCH4+HLIo3AgJMN5OGwX8SUWLuvgTwc9rfJUTnDtjeQ +h+OhM66paolZA3k43M8wZfaYUQN5OPx7I5N+ngsayMPhPPN3pXqqdgN5OB76 +a/fb1jmlgTwcD5eVj/nIKjWQh+OhsufCH/XhDeThsJ8qnH56Rf8G8nA8zPD6 +Kp/8rZ48HA9Sg0TXPjbVk4fD+6W9bsmo3HrycPh8x9Zs1I+pJw/HwwCzsekb +vevJw/HQ7vXZ89DtevJwuP7bpzddOF1PHg77k68jn92yqicPx4OZ1tjKeyvr +ycPx0LTk9VMXnXrycDyc6DIW31aqJw/Hw8QTlyqv9K8nD4f5L6Vp9wmujjwc +9lOnR3tuq64jD4frW1VybWlaHXk4PE/DiqeqhtaRh8PNkat3+fuojjwcnu93 +BWmlDnXk4TAfNDsXBByqIw+H+fVI37gTm+rIw/EQvlb/tv6iOvJwPKT5zzb9 +Oa2OPBwP9glyA2JH1JGHw3yr1ZNx9HcteTge2JbhD1Taa8nD4X2d9uZkQUEt +eTh8v0T5k3ZxteThePgu8nmi5FdLHg7nmxvJ3cydWvJwPPwYKLxhfqaWPBzu +Z4C3NWtdSx4O65+x3Y2LxrXk4XgYnDC8n+zsWvJwWP+Cx7W5KtWSh+Nh42uz +WQr9a8nD8fB7vwPn9qmGPBzmr0dXtIZW1ZCH48FvlN63ayk15OGwX/71ZP2X +kBrycJgffV+v3OlRQx6Oh33JV9pzLtWQh8P1PjtXV2t/DXk47G+2/17osr6G +PBzm0ydyQ0Xza8jD8bBLzLxeqlZDHg7rdY7l5IdDasjD8fDJxuJq29dq8nA4 +P0eq589qrCYPh+sZYC5vl11NHg7nNXnbbczbavJweP9r1KN+elaTh+Phxddp +k+c6VpOHw3x5S/f9kaPV5OFwvuFULvlsriYPh/1UXcH5osXV5OGwX7GaBX/U +q8nD4Xn+umzdFPlq8nDYD78ea7DqdxV5OJz/VEtdDrdVkYfjYXXQiw2386vI +w2H+Whzv5h9bRR4Oz3uOnSXjXUUeDvuRvnNi8p2qyMNhPfTZFlZ/soo8HObr +HSfMRJZV5OEw/07oE/J5eRV5OOxPha/SfmpUkYfDfnyYcsifUVXk4XDeFIw9 ++KdXFXk4HrZfOjbkZ2cleTg8T4f+evcUVZKHw3wx7d0s4ftK8nA8tFx5nlXn +V0kejoe1f1JP59+pJA/HQ0/PqiXxtpXk4XAefXFwfpBVJXk4rJez9hx2Maok +D8fDhw7XltOzKsnDYb1Xs3i9eUwleTicf8/PKtGTqiQPx4Nqvv9uBVEFeTge +cretO8KVVJCH48G2tuFHVnwFeTjs/+8MV3wRUEEejodGk+iik/cqyMNhvgx5 +PXf52QrycDxUDxKZydtUkIfjwT3YdnbjygrycFj/3u1qe6VdQR6Ohztn6m1P +ja0gD8dDsKFK9zzpCvJw2J+B/b6/onLycDxYZBi1JJWWk4fDeh3856RDQjl5 +OFwvzYlqiwPLycPx0DtkRd8/98rJw2F+nThO5d3ZcvJw2J+v3nrrhE05eTj8 +/BklRurG5eTheNgkd/BIg3Y5eTi8729GyLiPLScPh+u1vHySkXQ5eTicD4ML +87+LysjD8RDxd9aY4NIy8nA8QMa8YRYJZeTheJjTe0lKv8Ay8nBYTwThBm/v +lZGH48Eyuq/r9rNl5OFwnj9UkCNjU0YeDvOZXvSP8JVl5OFwvu84OnOLdhl5 +ONyfTdkneo8tIw+H88bVgMpAqTLycLjeId+PmYpKycPxMGtf7gq+pJQ8HNYH +ZuFhj/hS8nB4PvWuftIPKCUPh/dzeH1Dzd1S8nA8zNYPNb10ppQ8HNar07sM +J1qXkofjQe7ytapko1LycDifjgsevWtWKXk43B+d6yP6jiklD4f9A1tV7t+n +lDwcD44frtsaCkvIw/GQkXH6b1txCXk4nM92hzncYErIw2E9KVsrN9W/hDwc +rr/czdjMOyXk4bCeCD1v77ctIQ+H846+6PFAqxLycJgfWju6X60oIQ+H+zlk +XLCpVgl5OB50JqrlflQsIQ+H/eqXd4dde5eQh8P1ZQM853QVk4fjwV82/0Bl +UTF5OB6WyM/ovPC+mDwcD4+C8qZO8ismD4fz1s66eVnOxeTheNjz5bHWsdPF +5OF4SDc0H6u4vZg8HA9jbl8dlGRYTB4O+4N9viMPahaTh8P6I2dmpKBYTB6O +B+3thtHJvYrJw2F/8tno+LHOIvJw+H5WBreVi4rIw+H6qqsoFMQVkYfDetBf +RtXBt4g8HA87OsdmzHEuIg+H/ZiKzzDhqSLycNiPOX4d6bOtiDwczjfX77dt +MSwiD4f3a/Uf95GaReTheMgWt+gWjSoiD4c/P9q3xqVXEXk4HsZ903u0rrOQ +PByeR9Hxi/JFheThML8NZrwr4wrJw/EwylMw0tu3kDwcnvfT2qIDzoXk4fD5 +dL/ozz1dSB4O++9tP4b1215IHg7z9YGcG+WGheTh8Lz+GRr6SrOQPBz2I71u +PXVQLCQPh/UIhtlY9i4kD4fzzZAXI/S7CsjDYf9+eFmGYnEBeTh8/enqLj/f +F5CH42GBw0OHRr8C8nA4LyeyEdl3CsjD8eBx4OWcGNsC8nA4r23ZoRxoVUAe +DufhjpxrT4wKyMPh8wyMsr8/q4A8HA8z7WUUnMcUkIfD+lX+4aCTVAF5OOyH +nq5UGV2ZTx6Oh7vHnumqvconD4fv57x6of6FfPJwPEQuWrl345p88nDYPyvG +VNpNzCcPh/lp2o+kgO488nCYDza9MalJyyMP979/33vpM+ZRHnk4HkLP/uJt +DuSRh8P7/p3bFyHIIw+H52uF3KxBQ/PIw+F5LVE8e7Qxlzwc9guvZQ7WvM0l +D4f3M1dxrpljLnk4PO+7EwaUbM4lD8eD86xXclbTc8nD8aCYlmvX8/sDeTjc +j+p1J90KPpCH4+FN4IvpC30/kIfjwW6KTWHP6Q/k4TD/nDj7IMroA3k47FcG +DfS+MvYDeTicr+adnWYhziEPh+dj7S5LQVIOeTgeTsuE7p/ulkMeDutZ+dkr +k/fmkIfj4alApWTmvBzycDxsG7fKdfHgHPJwPPyUqxDZNGSTh8PfT+6Qv/c2 +mzwc9p8LmuZnOWaTh8P9XXHggdyWbPJweL7j9pnunplNHo6H8or+nhm9ssnD +4XoERYbPLckiD4f5caVyUlRgFnk47KfM5v9efC6LPBwPTuqLHtesziIPh/V3 ++8vM6ypZ5OGwn+wzFxZ8ySQPh/ltkDhMOjuTPBwPWa8Vi6q8MsnD4fkMNzRP +PJ5JHg77/yrT01HLM8nD4fMsNLaJG51JHg77odfP1uazGeThMP/3/ny2JymD +PBzmW2cDOXWPDPJw2O8fj1x17EAGeTjc7/4VuzIMMsjD4f7OO3lPc0QGeTjs +d0SPpF62p5OHw/Xe4v9Nh0knD8dD5gWDgAKXdPJw2A8f27Ds0u508nD4/AUT +ZRfNSycPh/l62gydEUPTycNhPTZb9vlbcxp5ONyPWkcnLjaNPBzeH1vLGb/v +pJGHw/VYbS8/bmcaeTi8r1ebzq/WSyMPx8NU55bnboPTyMPhPPZEKVbclEoe +DufrwXa9tsWmkofDelaqFVl/J5U8HA+9WnOHnNmZSh7uf/9+PnXVJP1U8nD4 +eafuD2oZkkoejoc/Q2Ss37ekkIfjweViXmhgXAp5OB6W5ZSVvHRJIQ/Hg3mN +86DkPSnk4XCe8Xzj9kmQQh4O78P+Va/mjEghD4f90I2su/c7k8nD4fzlWnNB +OjGZPBzuP38v5q5HMnk4HvqZLjmndTiZPBwPU34FyHQtTSYPx8MIh/Tn78ck +k4fjofbvn8v+XBJ5OMxnIdJsYGYSeTjsP42bVFOeJ5GHw/vj833/V9sk8nD4 +fr+k/ixbnUQeDn/uPnxSiFoSeTgeCpTvjZ35O5E8HM6f+gL1zJJE8nDY74rm +uF4ISSQPh+s1hfcwvZZIHg776QWLz+tZJpKHw35/z2pHg9mJ5OF4SNF/8d1q +UCJ5ONzPPdq687KAPBwPb20+WYnsgDwcD/pd4T/L1IE8HA+3Nux3/1GdQB4O +z2d26jvzOwnk4bDfNNP8IjZIIA/Hw736worET/Hk4XjQWO/2Kdcnnjwc3j+X +8bkKG+PJw/Gg61L552n/ePJwPCjt/6SwPY4hD4fz8d51gdsOM+TheHCbELrk +6QSGPBwPD25dOT+q5D15OOyHhvbvLLrxnjwcDzdDlyvlzX9PHg6fV2FQ6IBP +ceThMD+952c7+sWRh8PX/2tpuMwijjwcD6vW2p9bNiSOPBzOI8YrDt9IeUce +jofwurtRg+3ekYfjIcBOKC7XeEceDuvV9MzItpZY8nA8TCq18Z33NJY8HK7H +ukzzknWx5OGwHw2sPxopE0seDvPFPBunaoghD4fn80eNofGZGPJweH6exi3v +rRlDHo6Httx5U3u3R5OH4+Hr8bMuxs+jycPxEFS+f2KdeTR5OB7CvjdeZOSi +ycPxIGbum7ZlRZGHw/Mya4CO5dUo8nCYL8piS8cviCIPx8Pner5G42skeTge ++HOiT87hkeThsP9Qhjj9Q5Hk4Xj4Vbi/Zc6USPJwPEyzK1J0aHpLHg7noxkZ +UsrP3pKHw/nn+t91g7e8JQ+H89pnpXBThbfk4fB+KIfnNxRFkIfj4dqMOdsy +7kWQh8P6qz5yYB/TCPJw2A8eCrlxf2AEeTgerrasuGmbGU4ejoeYu1Mg3DGc +PBwP9wsuNSxdHk4ejodPTfbeM6XDycPh882fF3s0JYw8HM4/P8qrB14NIw/H +w3bzfal/l4SRh8P8Jv9TfY1UGHk43M9m/QfdN0LJw2E/aRgR3WtgKHk4nIce +ndbLcH5DHg77B9bT1UjuDXk4Hg6or1js6PaaPBzOo1KeyVdGvyYPx4OrdL6H +4HkIeTicP56oLIpVCyEPh/3LZOGSLyGvyMPxcGWkq9q32a/Iw+H5/PHoGMQH +k4fj4UOY5xvTFcHk4fDvr24+5Ff4kjwcD6csXuqlWb4kD4f9bruLX3hHEHk4 +7L+79JYePB1EHo6H79u/vOzuE0QejoecnFXHVt0PJA+H9e46p3lmQiB5OKyn +vfKvnQ4LIA/Hg/UkmcErFweQh+Ohr8/Pfd1F/uTheFhotWK+7W5/8nBYn4py +phZ/8yMPh/XlZGiF7B0/8nB4PzV29ExU8SMPh/W5+sWUUbG+5OEwfyeencCu +9iUPhz+XM7nn3+ZDHo4H04sFk5dc8iEPx8OMzHGeqYo+5OF4MNzgE6Dx1ps8 +HOav3Dm9HFZ7k4fDfJc1bHdi1wvycDxsPln1QHjjBXk4Ho4v9DbtO/kFeTge +PhoWvhrv9Jw8HPZ3r9e0KmQ/Iw+H90vLq+KL7DPycNiff7s/NnmNF3k4PA/X ++q087+5JHg7P++bqXtNqn5KHw/qR3asjZ/JT8nCY7wouBu078YQ8HK5303mu +T+Jj8nD4+dtXnnk29DF5OB6M9XZ1G9g8Ig+H9z/gimpH5EPycJgfnz7M8xr4 +kDwcD7ILm+N27vAgD4f9ZDgXs4BxJw+H+X1D0GNNRXfycDzMn2Cqv8DWjTwc +9ouD7p0+UPaAPBy+/rW72u/1HpCHw/mDbbXQ8XIlD4f5Ui+iqkzKlTwc5tN7 +T1++PHSfPBz2133qEkPLXcjD8XBpzfoJ7DIX8nA8qJ9in+Tsu0cerhuSaj8G +zF91lzxcNwz6ctJRe/Yd8nDdcD4geU/sBGfycN0QUx5zuXTYbfJw3eCRUqLo +2t+JPFw3WO5ff+lL71vk4brhsupsOdneN8nDdcO8PVt0KqRvkIfrBoGru+We +wY7k4boh90+sbMKY6+ThuiFQ8c2H9hnXyMN1Q3HwjbmipVfJw3VDs7H7p2Ir +B/Jw3VDSaRsUePkKebhuqL+lNe5YwGXycPj+OufVD/lcIg/XDc6dq4ul+1wk +D9cNb0ZO+/b+4HnycN0wcHPKGd96e/Jw3XDt+17j3G125OG6Yc+EcQ4mbWfI +w3VDnlmWsZadLXm4brBavbfrjsJp8nDdkD1hcpJtwknycN3Qx//Ryt/HTpCH +64aoJfWKszWOk4frhseyAfFbRUfJw3XD5qE7Pvy4f5g8HL6eufO0g6YHycN1 +g+4O3fKi8fvJw3VD3MwlQzb320serhtMIt4bTJTeTR6uGwKkdeQ2Ku4kD9cN +T6eO2jFmqQ15uG7wnzzpkdYAK/Jw3aCYkCoQvdtKHq4bunbap0Y/2kwerhtW +vTWNrPffSB6uG9L0A6VjGteTh+uGS06DVO6sWkserhsW3oLq3bkm5OG6oU15 +8cVu6xXk4bohfPK29D0blpKH6wbRizn2mWsXkofrhknzgqJV3uuRh+uGY643 +FKZ1apOH64Zbsy2CNsROJw/XDRapX9XE6pPIw3WDy9c1TjcsFMnDdYPSxWFy +P3rLkofrhvawMs0thl8NJB6uG6R58cqtsqUGt5x7T/zSh4Ve9N/BVsWKDCkW +5ppF3h85OS3e1EDr3mNpFgTPozfPmp4Wr/lwheHBvizseJidajwzLX7Yp+2/ +Bf1YqHpyNnaOVlp8t5Ft5JD+LPzZ8HAqg3Gp952DDRizSYXnXatl4mN++KlE +yLBwVLfhS8yMz8wTM6by6gAWjk2u0fk5qFBwPqTYZeNAFpTeOSd5uPcw2/sK +V0yRZSFuvZJhrym8YNH2Pn+/Ydzyfcvb3nm94lViRkdnD2JhvVGHTtnUKoG0 +3KzDnoNZKDXePH1C3ltB2z4j1SNDWCjcWfzozp58JjPJqnrhUBY+rb245ER3 +BxM85ozrMDkWJiSq3HdVLmacT95d2YxxH/ljlpZz2wVHP/j3ihr23/qsU4uP +cRzOQsfgnEP1bqxA52LJkc0jWEjodbEiJFUkGFkuVFOXZ+HwaS/13PuVzFdN +qdqfGF9NTrRf5pUtqLw5xi13JAsWhnuOlgQ2CN43zjJ5rsCCo0dDS8PkHsZr +3so+x0excEn/sWtPmZC59MD63RJFFo5Ybh/28EI7YyM6c0x+ND5P/C+bG70T +mWXL701pw/juAX+ZaNdIweRnAXUxY1hwv2A16c7gYkbma7z7rbEsFCk3JO5S +jxF0rSldtXUcfr69jy3OffvEfAgSSc1UYmHT9+sJ7tqfmDe9pd//wTj+zoX1 +ZY4fGZctY08UjMf91pTVf335JXPirfY0H2UWhowwX2dlKWY2DDJuODmBha7p +2reveBcxurttHi6fyMJt+xmHVfoWC0YnnF09SgX3FwyeZYe3Mj8VXPp2Ypzo +7v34wZ8CpvZoIBM3iYWg/FxDhcoOBjITTjqrsvDzdnRqcdMnxntimfp2NRa2 +t7tZht/JEly1Zxs1J7MQddR4XNLlSmZ3sfTj3lNY+B65TsHuqT+zYsa4tcUY +/x3dM3GmW6lg2nWd/v5TWfixfKDm38WNAtk64wTbaSysOrSp2kvNTyDW3XHa +SJ2F5ELnr1DZwhTcs5sxZjoLg2vubvn9vY2J6HBpFmI8fPLeyGyjEsZtcdCT ++BksGD+0sL7CxAlsn8C6ezPx+fWXZyTadjCbu8tkbDRwP6zvpkjnNDHzVolB +W5MF+4Hj7ud+bmPG+fc9I63Fwsar8+1Wvq0U/Pk9TqMM40ypfd/WJ9YwDZtm +twbOYmHSlFFxG7TeMimhJp522rgfOnlBR4c2Mv4yO81MdFgY336vvay2lblh +Yz9QaTaep+vitjd9sgT74+4niTEeOKzyZ+zNcMZkxMuziXNYqDznESYtrmRm +HkrUdNVl4caf9dcHDclkhqaVt+2cy0KYsomWhW+0gFP66DVHjwWD8O2HZFa3 +MMW2/Tb212dB4/DB2IbcMiYqX2lQJcb1S8WP9l7OEDyaOicleB4LUnqN6b+6 +qhj7K6vsz89nYeZowxe5zaECy6qds1YLWMh7nO3y1aSUMdA516G8gIWFoxLu +iISVzARn1+ccxu6FqhMXLK5j+rS+3JRiwMKpmouixb8rmJYFSYPdF7KgNezF +6bdfwgXpHhWpexax8HpAYf9dp8qYoI8fz+ktxtcLFUXe1XrPOBn11xm4hIUM +2QLXA/YNzGHv8V3VGDu9TS0fVR8qWPNjjvfrpSyYfTvwLHVUJTPLzHTzpWUs +rLk947qva7ZgRMiuoeuW4/3/Nmm9cVk+81n6fLqKIQsB/ab/mBZRKCjf9uBC +D8adwXYG0SdLBO+ig2enr8DXW94/9mQII3g6NFn40IgFa/VeDbrmJYIL+yp9 +9q9kYdqDdYOkxdWMVdIni/nGLIwJzsnyK41gFo+RGTbYhIUNT+BBeWO2QPWk +cmYdxgk9bC9fi1im3wfdS2Gr8HzUJSRerMlkOlRX6zqY4n53JU0N+pTHZF/Y +zZqtZkFarahS+91TQUjZeT+1NbheFVU3z48uY+5qum39inHijCEnzLf6CY7d +fDU8ay0LW+qfda39WMGsb0zOerKOhaExo1oLbucys+dVXT60ngUrmfmKhrJl +jMIDbq6BGd6fqZMrW/d6Mt+FMh+HbmDhXf6K9IjGKqZ62YSARoyV7b7YPjCN +F8R7zd32diOuT8Ef5cKQROb5l9Xy1zexcGBDk3TJhCrmypo9OZvMWYjOv7o7 +eOgHZmfQBYepm1kYVj10+X6fImZ5b3f9Hxgb/vhzs00GmClbQj7lWLDgdW5H +467XBYIBb1MCvbZgfZliPdi5PZ0RylZvP7oV79ufS8GnX2QI8nbxIxdbsnBB +xvz1yD7egrD4AbnDt+F65G+aUjUwmXFVmHitBeNRD2cMOq97lTl1VG9+9HYW +ThgvGDPjZoJgU+Ya/oYV1r+Rzw0e6T5i9CbufWlhjb8fPmBZ7ZYEwRj7i9bT +bfB5IkZcuXUynflV5D7qN8ZLXvV8sZaJYOqmv87L28FC2lYtQw/nXCbxWur1 +FzuxHg1/cK1co4jxra0WnNjFQq7jTYsM+VjBdd3u7qW78fXmGak+VY1k9t4b ++GrkHhbs9Pc1XUtOE6zsmLijHeO4zk0+Uc+TmemL9Ue/28uCa9eBApW2LGbw +k7UFTvvw/AyfGrf6kA/zkd97w3I/C3L3DlZzimlMocklA40DLJxsf8gqSMUw +b/08Pv/FeFCi8YmhZeGM++/XIYUHsR4cebbo9rkbgrOb0nb6HmLh0JrmptGJ +BcyW0Joxpw/j3+c8xb6ykBHI9BQaHvmvHo63kb2leBTPn8GyOUPSfZhecSqL +ujD+kxMTwT8pZJqGz/v6/hjuz7Kui5bOCYLUg+ve3DnOgnhTqNeLEC8mIHXf +bqsTLDz9+iHM1CWfual0edysk1jf461cNQSuggO2D4v7nGJhwMj8C72PgsA0 +/41Tyan/3l9zavrigNPYn+xVP+TkVcgMu1L77YwtCw6/pXbFnohhuit7Qlee +YeFKj9c+//osplR70N6xZ1nY56VRCTsSBTG3J41nMdayKbDQ518wj1vmlSbY +sfDhxcPlqfqvBOcWrHd2scfz7bAx6CAUMNs89i/dce6/91/48fIPnfMs9Ky3 +zj1UVsKoGD0K73uBhd85+lpu63MZae/QfeUYa/j+njq3sYhp+56u/PIiC7x9 +2sJhB8uYzPV1ZfaXWHj+5cFi97hiJvjV5zurLrNgu6dg316bZMZZevDy8VdY +uO9k/8h9zXvBkW2qvz5iPPlw7xNl9+IFa6Pnv01yYEF3XvueHodiRnuo2YEH +V1kwUa3aVzojlZHfd2Di7mss/LqcNGJ1/yTma+KVCt3rmK8KD/crsM1gKkc/ +vifjiPnu07DdqYa+zPsTYYZVGA+qGKDwcWuGwCsn4/erGyx8zl8y9vaJIuaS +an3khZss6D2UKh5q9oyxufDl4JpbLAT+1JmdFxXNLC0bPGmiE97/4+Pyl7kH +M2qaalU8xqkdVzceHccw/W8K7qfexvfPsl09dmIh09lgZuThzIL3VdbHxCOC ++aB/8O/eO/j6y9tS86SymTeuDtH6d1mQnWK6xio9l3ERPj4sew/XL9PBdHpi +JnNiWbhqLcYxVYZhJaqxgg1emdVvXPD1v+zap3ejkNH9Uu96+T6en3k2V7TX +JTCKa76uXO/KwvmV3/q0Ls1lfgQO6a36APd77N3tC/rGCGp6TY79jPHQ8bHP +lwQDk2Cx4GiGGwujVW9+2n2lgPGO2DD5sTvWv2enVdfvyWGuyh6qPeDBQlvB +8dyhKvHM7l1X3QQPMX992Ko5/EIBsyL+icmQR5jvlfPFxzfHCKYpRPRpwDhE +VWvL0dBERvZo1rvwxyz45gVm3p+XLGAzGo5dfcLCY8+kJSfnewjyJ3ybsvEp +C5cvHEo3kfJjwu2G1k/2ZGHi52G9Mkw/MA+KJnt8w7g6zkghTT2fsZ1uYJrt +heevePlUlbZUZvO1jdKez1hYNPnwppf3Mpl5tYfeH36O++Fgnbis8h0zTvfa +iYUvcH/3bmo+NsOF+XP36bRh3pifP7ScdT0TL2hoj2howjjSXF3m/sIIJnlR +9sNIHxZa730ZnpIVJvB73Lja0ZeFR4Pe+t1Ynck48t/6bvbD82YZMZnZGybY +ZyIXP82fhfz5eyrsRscITPymnPqJ8Zvpu+aWar1mZv42mJ4bwMKKr/4Gs6cw +zNBNm5qeBeL7N4zslrLIZrg3hx8fC8LnPXTbOGttJlPc//raJS+x/1yx/1uU +QSETZe3ZXz6YhXINqYPnggqYh+/eJrRinHf2wtsfQSECu+E5p2NesaDepZex +4XMes/Vg04xbIdgvOuT2sb7pJ1iQ+r15y2sW5Dc9ilP1i2WUlYY9nfGGhVkD +5j2z/RvA9LGduv4PxtnNQTHzLyQxLXkLBxSE4u9b31TbmpggSJ9inugdhvV9 +9OO/ooWJTNDlI2dOhmP+kfJ5bb0vSuBUeV1jeQTe50DbtLUzo5lD2l6tCm9Z +qJg3pMNLOYdZfTvSs+Ptf/lEqyXHLC4S82k/B+GkmjjB8AXNA52jWJCxu6Ux +9kEa0+P+I2lbNAsfn4ix978uKBcPs9OMwfMYedlgQ3uM4N2KaVq9Y7H+Bfc3 +FmlECJ6+WNRehHFJ/74FrjURzIXv5s/83uH7T9BJUu0XzlitP7rRNg7vl52d +lXrbK8HiV46DjN7/93kmST9LGc2wcP269fk121KZvtui7IUYu05bNt9/pTfT +HvVhVnw8C186b8pn6D1ksoa0dNxNYEEU4ZZe+fSlIGTvz+fWgPnhY03X3I0B +gruJw821E1nIOTDg7I7w14Jjo9WHSCexkFL9qW1Shyez/sTitFKML++4Xq19 +MZ2ZnbP5fGAyzk+NQdN6XqYwCqrHdOxS8D4+eKoJ518x387f6DJOZcG08uL8 +c1wcU1X6zHtcGgsvtCprH9aGMYxG9GYxxikdal05gVHMsxu5QxPTWQiuGnro +pV2Q4EpDS/r9DFwvg6qBA3rnMTv1f13YmYn9xqQ5eyZrxDLLXUfMmZOF59PA +YrTHiBjBFKG6qF82C+Yqu+UXLchkBixb4luB8eVvDacfTgtkhJ4WW4JzWDie ++mx6bckHJvfzsWHnP2B9VQi0yH76igldfTPTNJeFkW7Tpg+ans/cD3x+STkP +81NzgHvXugjByV4xulzef+u/ySKPTc7HeUd++aAD+h6MXkSrn1sB9rN+S0et +evOMGSP7e+ueQuwH29/IHZSOZX7tlB+hV4Tn//b50UkX3Zg6Znr2gGIWdq86 +4mVumMwkjlx6pRpjq7/WzWfHxzA+R7bovS5hYet1hb+rS7wE1zKOf7xYiv2I +Ycww57QQZs+EWwFry7C/uBr4vLtXJmNk92KbSjn264u2SecEYj9SFCPfg7HD +hdyHP/ZgPzI9PyetAvuHhOXL539JZD5ebXN4WIn5NoYdGfU2UlBY81t/fxXO +3yvX5MdFv2bezhnJzavGfr60fW7X0xTG/e6MoEE1LLBTWb1eOjHMmfalVnUY +TzN9tNYtI4+xWLRVIawWny93yDmd0+HM/Mcncq/UYX08/G3Ir6W+jBJ/65pZ +PQsuF7vTPw6MF/Qy8Z6v1sDCq9Rv5k5Vj5km31j+C8buEVZ37R1TmNRf+S8z +G1lgPu33m/XiAxOwsd36SRPmzyuf7AJ7RTI33/wZdagZ62tjuNp27ilzoL9C +/oIWzN9zHwWWGXkLVlnPdBzaivOjs+OKjs8xjMa7ZQsaMW50VNkWr5LOyA23 +7IloY6Hfrte3w/yzGP7AyVfX2rEfGAKP9me7C0pTnHZs6sD1G5Rk9Mw7mokZ +5zN6aifOuzPLJ+7NT2Qen35X8B3jlfFPNERD0phzeQU3crqwvo+p3vTZIkyw +bUqHgZcQ76fx3oFnUsOZhZf/fj4iYmGn083HAS6xgomVCq8XsSykix2Pna9L +ZaS0NXYNF+N6mOdpFjx9x7Q6LR/bgvG7CGiQvewmyGi2LIr6iPVilGXs1qtv +BcGCU7dufML+fGW+C6frwzi7315kwbGwoKKy9csdV8ERsc9XdR7P402PmYmv +I5m1K+Le/MJYo0JDNX5FDqP9onB3XjfOz6q2riKVNwL57x3jXvTg+l0I8uk+ +9UjwZV2vkuOfsT/UVux+VBIiqAgedXvpF5zfTvdjTBc4CuKkNJeM/Ir5qi5V +rUQnUuBpafi9DeMZxgrZ3Ilk5lLUtrDYbyyo6Yz00zZzFdgMOb3X6TvmW0at +j2agp2DpXufxlj/w/ju//Ro2NZpRS/QtnfkT95NZsu2bTzTTf/R7578YRwdE +KNuZhwk6jxctLfyF+WV7/geFMH8mJ7vzh89vFg5mtp2ZI5fEvJ7UO+LUH3z9 +4vQwx/D7zL3zivsN/7JguWdU7WztFOZ4qeYExV5i0PV8Lc9/TGc2aKwo78Q4 +ZXL0TbNnqYzuje133/cWg0ytnlxDUQSj2HB6+Z0+Yoh2sBycMsJV8EPvzq/t +UmLY3/fnxrRXSUzNfb+3WtJiKCiIsZ+R4iFI6Hp/oE9fMQwqlZrz7m4i82Jp +8cQSjCOSPfeetslgHDy7Kvz7ieGBrsPvrYbegl2fe7uc6S/+f/nEcPXoFStl +xDAxam1Z6aRoZlqg1p8xA8Rg8CNfylkzhZHtZRQlwvjglgHFptO9Bexmq0MJ +A//7+/xw20kusmKoN955eR3m1/CBd6tsBokh8JxsrMlYb+bBTv/7OoPFMHBe +Ztk+6UTmNMMY9R0ihgaFUX6t9uEC85Elf8swdgjaONBpUyqjf0QYHTRUDGvV +0gWjNP2ZsRl9jtjLicH5zElXNe9I5rfyGLVVw8Rg87s9yXRHMtNwdlaN0nAx +GJ58qlt3MJFJLjR68BHjp7Xeh9nNToyfurVx0ggxpF7zVF3kHcI4Xj3T+4G8 +GILH529quhUo2FdzN3bXSDEMdzQEhcORjPGcgKO6Cv8934y78ZNlRolBOC37 +BY5UzJD2ktpKjC/5Bz1/ZhAq+LRQ5PZK8b/fL3okterCaIwrjhxNvh0giOLG +SK0ZIwa79zYyC0KjmYfG2nETxophyfBewt2CWIGd78rjPMYBoaZxhgkxzNZf +1lNTx+H7Fc8/9u71LWbBxrP17kpiqHDXb7uUfJtRfnPPY+94MdyuTVLM35TG +9O4faKqvLIbNfT1+dHrEMM1WCdKyE/77PGmxpe9r/r84cBh74s1EMfiG/Nig +pBPHOB2QVr+sIoa+Bb5ajocTmUMpYxvXTRLD10Gzg5euiRasHqfzaJKqGBJf +H9rKfXzLaJ02XvP5f7HJEKubSknM8Dybfhlq/71+z2S7+EeTxXBGXrat78rn +TNkll1MHpojBzdBqQOerWCa2InC6YKoY5BcWuG06Ec48mQVNg6eJIVZp67A3 +vuGC805lj+sxdro6Vlcph2Gsmtm14er/vf5iQV+Zq9PFYKIydYDPwWDBJPdx +sGGGGK6a+LwwdvBk+op1bCfPFMN86Y16WfteMO2GJjO/Ybzx1TWV+25xTNbz +HS1ZGmI4IatZs8/iHfPqm93Tp5piWPZZjsvWucXcWXd//WEtPA9Sqcv4Ha8F +R4ODBiycJYYFb+qj+EMuzDqpxEQ5bTG4x68ZIbwdxcy2LD/ThPFOV7d9Fa7h +jEKUWCNS57/P+21wv7brs8WAA/Y8jz+JTNUeJS/zOXj/s8ccMrX3YRiYvWGa +Ln7e1j3nXZpfMM8UV8n+xFhD1kNap5cvc/n4zuQPc8Wg0CC0v7YqRLAj297u +mZ4Y3ubJO3VveSBYNslV65g+5oexur0/b01kJp9/2b54nhgKo2dmKaxzEQwo +TXw2Yj6el44Cl40mUYxwZsXGVoyXnNXdekLVT5Dr+HFQjEAMfxW+yau0uAtC +6/ul3lyA9+P5utXGU58I7uuNP7fFQAx/FB/1eb/hNnPy/hztGQvFMFMxemn0 +VFdmY9eqzt8Yy87RONS/1E0wd+muF/mL8PMvqO57PiSIGe15ztx7sRjSA+6J +Hox/z/zscR1ycokYWqbuNB65KExQZxqctmypGHzUdvS3cY8WJAYknVdYJoaE +t6Xnru57KPD5W6HTgXH0uPDIde9CmGubP3W9Wy6GN+nHXNZVhDJ7wvv73DYU +Q9FHts+l+kjGaKCyxbYVYtiaNaRosZqzQH2nrpymkRg898z0+DDAVzCIMc3o +tfK//RHL775YhPHuQ59kNzb6CgoOn5/jZ4zr47y3n1JdpOBt+gPRaRMxGG8+ +c6zHzJ9xV37lu2KVGPyWdv4w2XNDcOZs8pbRppjfhw+TvTTxPWNRWDlMiPHO +h66nlvd6IJivzmUyq8XwZcbd4OPmDwVKV2Uu310jhs8DCm5UP/IX/K1Wnmu9 +VgzjP69pa5P3EjTOniuetU4M6qv9uyu8EpmUO6v9pdbjfigNsHIb58b4t+22 +LF3/3+e/ufDCiEAzMUipXFlotu6O4MAjt+yzG/77+Sru1RXjjWIY6zBCKe5t +GKNhnKI3bhOed+VJa6xtnzByvlUfWYyDVi47vcXsPcP/5ALAXAy2Ez73eZ0S +wJRsGLD9/masN/srLziPdxREv54wcqeFGErt3z5hy/yYR/30Pszegvnnq//Q +4X3uCOyt1lztt1UMv9YPjWiJxn4kds+8CozfFw5cb5LlxywcdpF7aSmG0/cu +KPuxr5iJB9yDzm0Tw8uosq02l18KpFJCrEy34/nqM/fJ9+PugtaxqQrKVmKQ +e/alWOvVeybjVHXuJ4wbh98WHbzICF7m8teSrf973tuTBwrcbP6LD1+a2L17 +hxjGFZp+CDgTxKyp0Aueu1MMi4adW3DZIYLRnrXWZsAuMZxcvP7i5tUvGHmn +vYrVGF+zqptq5xLFfGm6mB+yWwzeXX+lV4bfF1TM93D8P6bOOiyqrnvYdit2 +d3e3y8bA4LEeMLATu8VWVFRA6a4JZoZuUXTrskBR7O5unXOOif2t9137/fj9 +ua8Z5pyzz94ruO593VvmWfHAhJfD2r8S4rB/Yt8x82k8p4j1x24hwj+c/txo +gRV7rxrY98+zTNgy9H78Jxr7b7E3+g8KETOiPs3Kdi64v0H5ZWoHLbTi5g/D +5jzYniGajm18ZcEiut8NI3ctvhEuSsb12tN7sRU/BswxFDMcFW+KjO1fbgnF +y/cvmtxdnibOT1nw9QGNG904tme/e4hIzNiamLyU1ntu4uZnrS3Cq3zQnO3L +rFjCOWjKjBxfWDEvqc745bSeX6e2yK59QIzH7KtNVxTcT7eaD/Z+pfGUiq6b +P4xJFjVWfB5wdqUVi42fc/1VZoz4nls2P2QV7Zcj2099epQA9xs3SV602opv +xd6ODxaGiWMbe8/ru8aKuptP3Tq2OSZ018fWs1lrxZvTbk/Y5awXru2crz+m +cQf7ruaoBslijts2j7R1VA/Mr37f+aMQQx8FDdrpQvury4Tl41rsFC17Jn// +dz3VE009Rz2eeViU8clJabHBiisWFN/rVTkR3r95MP87jT91n2KZvNIAFwd9 +qX9+oxUXP9vY7+OIQyIlrNzN8E203sYuVkKcDwu/z032Ld1M9dL8dQ69mvmI +NaP72A7YYsX8evfWTN+3ExzN435W2mrFPaF2JQbO8xO9/jqnPaOx6485AXUK +x0CdidudD2yz4uG8boNfbA2E3ynBDXdvp/VSe8H00tnHxKPSKbcmulrRbmSc +6+BlGXBi1pn9rXdYse2DH68SKwcJ45GHQ37RuHFMX+XFg0ixq+rXXxd2Fsz/ +giXlM6J2WXGCk5tXTqIXjMhpumiFG813tXWNLv6IgrYNoPHg3VbM2//u53T/ +cKjgMv5O1T0UL7+G7DFVF0K9vND7JY2ntex2vV9qkrjaynXYob0Fv5/hGvJn +r7sVq52OmBaRbxKB91IOTPGg+GX6lXW/oR5cup5d3M6T5n/p1tcfb6aKyfse +NflL47HBP4bMjYoXfV9+vXt5nxWHTS8mtr7NhAb9K/ga9lN+KmbT/fXPg6Jw +cDO71V60X2He4uM7dPBMhUJDva2YdfVLpaI3E0W23YSDNXys+B5mHrNP1AmL +YdHSNzTO92hXvtyIdLH3p2uzI74F97toQuh9Tz96/pbRA495pInRial+0/yt +OK5YaOI/CZmiQ4ncER0DaH99qJRw9GKcqDz9ceEigVSf1dh69E8Mis8Hvx26 +RuPlQZ2cajbyFjcr2iw3BVmx2YIhobXd48Qh5+Yt1gUXXC/0ZN+Hw0MKxpvq +/BtQO5T2V4tyB3f/iodpqxePek/jEcWqL3GNPQADLuwoeizMijVn57fMW5EG +jZuHHfYKp/Vy2dqVuiNRbGvaipkRVnSsYVejxdk08epWbssukVSvXlmkW6ZZ +ILfjk0fFoqw4+ciyt4FtE0T83vzAmzSek5/Usv2yDLHvqY19jI72x4D1v5OH +BItlfVoU36CnfGDjcnnR+Qwx1r+fGGmgeq70w5prHqaILh/+XVXPaMUKS9o2 +LT8hFqoNXdJaofEi/8OPks+Fi2+RO58cj7biriEDv/0Ys1/c+RYW7Gui9+kW +OzBR+AkxJv2fOWaaz2aOE1adTBORsedKdLdQ/9ChtMcO70CxrcjToyVjrNhg +woJwo1MYzJryffUdGnedEPHUy84kbDMqto2PteKzVZNtLxvioXn5ls82xVG+ +zkwvWnmdTpSa1z/UPt6KEU93BNzYfES8PeYwtmEC7Z+aU35+mZ4i8mosLfWR +xr3L320XEZYCSct34alEK0ZBo2srh5uET2742oAkqufviGV1vI+IVY0z2s1P +tmJuxt2wwX/8xb8bzz/vmUL5q82cOjcXxoge15+GlUm14tmcxbfWPDZBrXY/ +xt2ncfCNjOpHnqSIn7sqlUlKo3rS2Kj8qAEp4sHDlie2plsRyu7f8LZpAGCP +AS5jM6z4emzYj+ieMULv7dihyQGqXzo+Tr0z+7DY8Wbpy880Ti7xs9jpqRaY +N8gtIifTikvG4bIba7xheFjEhOCDFI+tP2uPWmsSrT9nlF14qGB9lRudd7JP +lhWD8ryjxZEAsJqerS9/2Iq+xXd0OjQ9S1z+86Pjo8MF309zrPw65YgVe+Zs +/tj1jUH4p7SKchVWLLmj7shjbUxibemBDhOOWnH7xBHpxg5hMHHWxPLNjxX8 +fZ8jy05/o/HDKx+Dk26linpVd2/MRSu2ctkZ+j4tDP4ujuwcdtyKIU1muqws +GwBPsg+8WXyC4t3tIXnNT7nDqfoXdP1O0npfuLBpR480MK177ljxlBU/G743 +yvPSi92Xf1Z4euo//VijmPGH04Rzqyo56aepP7x2fXm8MV2MdG29eVc21XsT +ei8xt0qAdvcGdnXMKbi/il0nvWt5xootM47k7f9wVHz0XG74QWPH45GhS9Z7 +iusvdk/KO2tFh+fVG98abRaZ/aIqRuZacdbhmZlvdckiOCjzzLJz1B8GJI+b +/twHNqgXtgw8T+th7dR2a4w64WT3oluVPCvezT3291+LG/Qz/Hr/nMZBVV2j +L9dKFQ1/VonOvEDxd9nE3COr40WRCW2m7Lloxd/Hh0yY2SRMvEgYVHnyJcpH ++Z9topPM4kzxybltLlvRGvi08Pu+aSJ22optvy//n3rk4J4el67QfOpdekx/ +aRJLKuqsuqtWvOgYu3Pk2jTxj/NB08prBd/vdPKik+11yv+enb55ztWLKnVe +Vql+g/qFyT+K2m42iy+rfp97ReOMxzr9kT4p4lZeVdesm7Tf4nLzXlVNg8PN +2vbyuGVFr04Tu048kCDCtwxWnW5TfVf4qf0Jh0yx5dZkS/s7VuzSvONoj1sH +xYyOK6cVukv5dPS+/FU/48SgvXurXaXxpehVH8SxfaLpU12e8Z4VCzfVnRns +tg9K9Dm0Y819K+6rM77mpclx8NrvUu9hD6yYMrb6EJ3/ATj3/qVW86EVF8y1 +bN9eIVUkDPkT85bGOZ0r9XcfGwL7I6vNEI+s6BLxfcTlrBRY8a1tjf2PrXit +66rf822zxPgxthenP7Fi4LoHFaf+1UG32Cm7Oj2l+mhn4wpT3+ihRpFVUPQZ +Xb9Fhj7HaTd8n+z+6TqNg7dWm+k00SLupevjzM8p306YfDo6RSeOlsua6fKC +1u+KYesPb9oJUXMv1xzxkvJfuet1jDNTxfZjry7VeWXF84+jn4VVChWza/x1 ++0Bjc2LHReFvU8TQ5dX74WsrHr31MqlC2SBomdvui/cbis/b8u3n1vYTZRoP +SZj1luqZ2o+KdxsUJd5vcJrd9Z0VB3aq/6t3oyS4eG1V7RLvqf6f0OPMsk0Z +IqWtx5VbNA5Z/uvR60XB4LvLsCf2A623J6/2/2iQKVY/zOq/0VqwHhx6XPk6 +SqF8bIh4bkyPFT29XyfWV63oti3QVL6tB9R583eOqhZ8//fAGnVPalYccupq +hR/dvMSj0PbX/D4WfH7i0xD3uZ+oPp/VqIivv14YR00d2OOzFX/ubDZqxhQD +7DKtzi/1heq1dq9b+xQ+JOb/8Ui+S2Pb9C/2Oxv7CjtH47yEr9S/TG+UdGGT +EG1SDtfb8s2KThvPPuvcNFmUL331+j/5tP86ltjbj/pNdeYbj0bfqV8+k9V9 +av5hcfVwocGfaHzT/HjKUcUMGVVq/jj9w4r/3Dd1qdbqoAhc3CE18CfVn2Wd +EpZ/3gMu2UMXLPhF9X6zXyXX6dLF5PrTGvT+XfA8sG7NzbJ/rDhq5FfdxYw0 +Uf+y574HNG7fbvW/nrtTRaFW0bbJfykebnFXAx3i4On2Iz+3FVJwUtjjb7Mf +RUD23atp4wor+N7BJ+n37X3C0uWtc9MiCno28Bq7p3OKYB5OQff64cVC0i2C +eTgFN1rHb573MVEwD6fg68CFvWwKewPzcArqd9Zv/K8wAvNwCv5zet6+tydM +wDyc8v/vn3m4gjHzcAoeKvnq01OXRMnDKXij7ievZuN9JQ+n4O4+TV3WTrIA +83AKJg/Uhl/4Fi+Yh1Mw1ddYte2KaME8nIKhZy/3tFQ8IJiHU3Dlio9RDXYc +EczDKTjmYKkVH64nSh5OwQWRQ/vXCUmQPJyC1WvF+1coHw7MwxXcL/NwBWPm +4QrGzMMpeEb9/rOn827JwxV8zjycgr/u7P/085BBMA+n4Ophq/TTV6YJ5uHo ++WxsmnmVyZA8nIJ2nX81af9eB8zDFfwe83AK+pp3Pnx7wRuYh1Pw05K3uzst +zBTMwynootQplxafKXk4Bbc6J59OjD4kmIdTcH/tCb/f3MySPJyCRdfOnt9y +djIwD6dgvySPbbOmmYB5OAVb5mmDBtwNAubhCu6HebiCMfNwCl6p/+hW9xGh +koej8a5Gk1wvJQLzcAoeq73mQm9jBDAPp2CPIW08WrskAPNwCuZOyzlf85UO +mIdT8GKp3zdcepglD6fgqv3qx5PNMiQPp6D3tXkLzT3iJA+noIfdiJt+epNg +Hk7BESVHlP1wMFzycAo+atYxPav8IcE8HO2XsmV7Ni6fIXk4BU9MTWpzcnag +5OEUPPXjRGbkiHDJwxU8L/NwCv58fqZHRNU0ycMpqNW4tvuLNQ2Yh1Pwx8rT +H86lH5E8nILqjiOesxbGCebhFCw191eRL8UTgXk4Bde+rnPraeEUYB5OwcvD ++zfo0MEAzMMpuPRM5y7+gYmSh1NwW6OZ3r0vZArm4RQ8tzX83t6mFmAeTsGc +123s7Y5kSh5OwWpQPCzyQ6jk4RRsZRxpd93FIpiHU9B/cFrHktHxkodTMCqj +/8M/q9KAeTgaN4mZp0xNE8zDKeiT1u3Xl/RkycPR82QUPfngl49gHk7BL+1P +v287L1HycAq69m5RfVM1f8nDKditSs1O+1+nSR6uYH6Zh1PQ71DPIpOO6QTz +cAq2jbrijr0OSB6u4PvMwyn4tsTCmwv/NUoeTsEBvdb5OPqaJA+nYM+SD30T +VF/Jwyk4407Zsm2/75Q8HK23VpfHLz6TCszDKXjbN6Bd0+kpkodTcM6e/ZPN +L8MlD6fg2RJjtMIHEgXzcLTeSjv+NtbNEszDKWguk3EudHmM5OEUHB8dn960 +Tbrk4RScerPK3xqNMwXzcArmVRtlu2WoQfJwCo5MM54vlmOSPJyCB13TcvLL +ZwLzcAXPzzxcwZh5OAVfdbjdftnVJME8nIJrZvX++PCBJzAPp2BaXa/QucX1 +wDycgsNLvo2dpWRIHk7BhQk4+Kp9ODAPp+Awj9/aReM+YB5OweCAdad+2VkE +83D0fmbVSU7tkCV5ONoPI9Zd3vQnRfJwdP33h1uNdvaWPJyCkbXOaUlP3YF5 +OAVX1Dxhd9GQIXk4BQOnXXtwMsBLMA+n4IV5od1KPjNLHk7BWbrzDs/2WATz +cHR9bVmZ9JYhgnm4gvlgHq5gzDwc/f5lmNpzj17ycBSP4nrvPtVbL3k4ynep +f0P7grdgHk7BehmFS+zbHgDMwyn4Mk/p3fzl/3g4ypcrVi5vviZV8nAKzo/o +XGJ0bAAwD6dgpXynGWMGJAvm4Qruh3k4iudR0Rkb0wySh6P5OXMxskGRg5KH +o/Va6+iNiUmRkodTcNDGfXYXd0ZJHk7BuCOB147MTJc8HOX/I5UW1NqYKXm4 +gusxD6dgbOsQU3y0XjAPR/mnUtMZG0+GA/NwCtq8WLh803wfycMpmFRuzvTw +Zj7APJyCGdtv/hp+M13ycAqGdHmutDsXL3k4BTe8v+ZzeUiqYB5OwQm9T5rT +Ak3APJyCl9Kbjkg/FCh5OAW7XpmL2ScyBfNwCv57eKRXZap/mYdT0Hbu9vkd +/QzAPFzB8zAPR+sjMsF23C0jMA+n4KL7ux8XH2iQPJyCbtvjXNc7pQjm4ej+ +P6RGfErxBubhKF52UGqMz44UzMNRPO3QJPxrq0DJw9H7a+4RurTyfmAejvZP +8c62u9q4A/Nw9D5c514/XzlJ8nD0PmOHagafcMnD0fOe1Jy2FjUD83AF9888 +HMX3y7WH5VcKljwcxcd26v4T7TMF83AK2o/yCtih9xfMwynYa/XqbNdwEzAP +V/B7zMPR/s/buuDaA5Pk4Qo+Zx6O7n9SETzybJ/k4aj+ynQ7JM57C+bhFHxc +6n2hmWvcJQ+noGXroPDK3dMlD0fr/XSt3nPHH5Q8nILxHScFvbHdB8zD0fv4 +lNS8xBgTMA+nYIOyvSduquMtebiC+2EejuJJr13n6+w4IHk4ev93sjN+rvAB +5uEU/JtT9dmUlhbJwyl4b/DsVVXtMgTzcAq+s3cFr54GycPR8/Xb7+TsliB5 +OMqXl8qMEzODgXm4guszD0f1b8Xw20NzQyQPp2D03YVvdq9NlTycgr9fV/rc +c5kRmIej+LN24/MdVQ9KHk7Bsf3rbN+0PkjycAreL3w97HChGMnDUb1ScvD1 +95HRwDycgiIh5bhzRaNgHo7qk5cho3fGpgrm4RQ8nLi9RdrgA5KHo/queYWN +LTFe8nC0PocEbB1RJ0jycAqW8UhuX7afDpiHU7B1fNmWH75HAfNwdL3Ag6su +xkVIHo72k5gjcsbvA+bhCuaDeTgFaz+YYOc4OVPycPR+VzeJbdD2kGAejuJl +N5eubiWzJA/3n3ixynLuc6zk4Qp+j3k4iu+6wY4z+6UC83BUD9zGrHYNdZKH +o/7kp2/r1id8gHk4BfNTsUKpwwZgHo72V1TzJnd6p0oejuJXznx1dvt4ycPR +/t2e3/B1rzjBPJyCD8zenV6GpUoeTsGOsfXE9+1xgnk4BT/Y503/p7sXMA+n +YCaqHoMiDZKHU9CpxZ0q39oZgHk4qge/7PzsvC9KMA+n4Prbixo02hYiebj/ +U4/8l4ej+t9S2/F4Sx/Jwyn45unYTX2+WyQPp2DJkdMrzf5gBObhFOw7urXv +mnspgnm4gt9jHo7if0ujGD8yXDAPp2CXiZ2CbSrECebh6H23FJfzlxwUzMMp +OCVq9Q0t5KDk4RR89jp4WowhVPJwlC/7lPnHzX2v5OGofvMt+32ATQAwD0f1 +atvm0U7uXpKHo3oOOwe07R4DzMNRPbhiudfcowmSh1Mwq2OZCzcXREsejuJv +ZunYErQ/mYcreB7m4aj+uXrceeOTJGAejurxZjWrbvurlzxcwfeZh6PPqzsX +Wdg+TvJwCv55k1y0U0NvycMpOKTb7YYzJ1gkD0f95rAGRdvlBADzcApmj1mx +8dAAf8nDKdhn+5wfOcYkycMVXI95OHp/O6lM7aIXzMPRemu4avC8AQclD6dg +6Ufd/9mRvhuYh6P5S5pcc101neThFLzp/dGzx6QwYB6u4PeZhysYMw9H/Snu +XXrwiB8wD6dgUNzJ1cuKmYB5OOrH+p4b/OqPAZiHo/g0pU0b+GaRPBzlm38H +ffzado/k4RQ0PitfZk+aOzAPR/Xb5T95lTKzJA9H9cWFS9kRpdME83AKpn+4 +kalW1Ekejp4vaIkYnWiUPFzB/TIPp2DV6iVSm/dwB+bhqF4OHFWt+IYEYB6O ++m9zw5KTn5gkD1fw98zDUb0SlXr98i43ycNRvHHfU+rOtkRgHo7yhfuyr/+U +SwLm4RQM829Q/PF4HTAPR/ll+ajao6zRkoejeLgiqdiMY2GCeTiKH6VWWbq3 +Oih5OAW3dFtZuEmlXcA8HF2/54o+m0YmSh6O5rv0guMNfx6QPBzV40Eeujnf +UiQPp+BnF23LRPQRzMMp6Px0dpMSe2IlD1fwfMzD0fw3iFw4ZOoBycNR/2Hn +GpxZwkfycAq6Dz18d1lAvOThaP1u0Xq9PJQoeTjKX8+tZmGvkzwc1eOj86Oy +DWmCeTiqxz7veHj6Vrrk4RQc2Hne/GHO/pKHUzAmusex5y33SR6O9uOR36NL +nYiTPJyCTZ3LzLHuShDMw1H8cV9cbLKWLpiHK3ge5uGo/vVcLCYMTBXMw1E8 +9t1hc4zyP/NwBd9nHu7/1CP/5eEov5rsIuq4RUseju730NCT7cv6Sh6Onsfw +6FGpU2bJw1G9tK1f6B6ndME8HNVfS6L7XV1hAebh/s//R/7Lwym4Mnxb/0N9 +/8fDKVh/TgW8lR8LzMMp+O1pu6nHTiVJHo72f2tr7ULrzIJ5uILfYx6uYMw8 +HOVLu+lTY8pESB6u4HM+n63isateazaNTRV8PlvFjDjnaQudqT/87/lsFd08 +YvwjP+qBz2er///v+Xy2iubNA2o+yToo+Hx2wed8PlvF9x21QsVPHxR8PltF +1by7sumZCfh8toqtZ9Ya/TXVCHw+W8UB/p+LXemaKvh8toqLRi6q/fdSCvD5 +bBV9qyWWvTsqBPh8topaXJHYhyUtgs9nq3jiyVrb2lqG4PPZKi68UqTrqQo+ +gs9nq/jgprmF/YAAweezVZz/622ZbiV8gc9nq+iwFCxrToQAn88ueB4+n61i +g7ZBeS2mUX747/lsFU9mGS+Vu50u+Hy2ih7rw88P3Zos+Hy2ihUudDw0tXqc +4PPZKo7MGNI1uIMe+Hy2ilt0Iy93vOUv+Hy2isevfY3JGegt+Hx2wfX5fLaK +G4qGNdGEAfh8too3tVaLqx06IPh8toqmw5+q/4nIFHw+W8W8FUkj4yIPCT6f +rWKtsxE39vyMEXw+W8XV4e7NTh7eK/h8toqLJ12whKTqBZ/PVrHmwNzeZy2x +gs9nqzi8y8zX3WPiBJ/PVnHerIEuWfuMgs9nq3i5+snYFacCBZ/PVnHbjEIt +mrdPAj6fTfdb98xFtYW74PPZKs5+vN+aNtlN8PlsFbttntuxcuF44PPZKtq3 +dzw2aIxZ8PlsFZ1/Xhxw0SNW8PlsWp8zRhTdXv+w4PPZBfPF57NVrOPuePZF +OX/g89kq/m4272qvK6GCz2eruLXrt9KO1WIFn88u+Hs+n63i2oSLug7/pAk+ +n63irYjtPSHfJNhXomJW+QVLex3xFOwrKfh79pWoOD6z0Zub8QGCfSUqBlqG +vMlPiQf2lajYeYSTxbjPDOwrUXFf8Mj34S30gn0lKqZ1OKtr9sxLsK+k4PfZ +V6Ji9z7FDZ86eQv2ldB+8526oR/Vj+wrUXFowhDviCERgn0lKkZ9trm4ZUQ4 +sK9ExezsEiO1UcnAvhIVy+9O61u+mxnYV6IiJHz9fa5ypGBfiYpdFoQYIrr5 +C/aVqLjfrbhjmWYRwL4SFYM29sgtaR8o2FdScL/sK1Fx6qa9j9yqpgv2lRR8 +zr4SFR23NJ0z4kaGYF+Jikti5rQZ0coI7CtR8aN/zuutxxMF+0pUjE8cubJz +to9gX4mKLZr8nHxpdrRgX4mKRz73t69E+Y99JSp6JjfR766WLNhXQu+n2NnL +x8cnC/aV0Py5pHQamK4D9pWoePhhK+O6demCfSUq9rB26rzPnC7YV1Jw/+wr +oXizsPvez209BftKVAzPi9js1yxMsK9ExfTWy1qpvjpgXwm9j0adFlb3zhDs +K1Gx8h3b9zv+GgX7SlQs82OpdzktRbCvRMVOe5f/ajQpANhXUnB99pVQvOmV +2ra2Y5BgX4mKPxNfp8Y5RQD7SlQc1ObLn6iWfsC+EhWL7hhu7KAkCfaV0H7+ +0G/Enu86YF+JimM/3D8c/a8HsK+E9ndSRbF8tU6wr0TFVxOdXIPtfIF9JRSf +xoR/f1lIJ9hXUnB/7CtR0b1B1zKfN5mAfSUULx3sQ+9ZQgT7Suj7dkl95g/O +EuwrUTG62O1zLptiBPtKVEx2PDdt0zijYF8Jzc8N11zH0EjBvhK635LrhtW5 +FivYV6JiPcuqE4tGRAL7SlTsqf81+ua5AMG+EhVfrGowac7dRGBfiYrLmtV6 +W75LpGBfScH9s69ExcLHijVo2CZOsK9ERcufryH/ftED+0pUPLr+QcLhHmmC +fSUqNvqlPYyqkSDYV0K/p/6eNuVapGBfScHvs6+Eru8w6M/wlQcE+0pof7t1 +HXo7Olqwr0TF9Qv3OCgOqYJ9JSret47Nj7mpF+wrUfHCuinLyzRPEOwrKfh9 +9pWoeHX3kPU5HdME+0ooH0V169x4uRHYV6Ki0+0anV07mIF9JbReVgYGPS5i +AvaVqKirVcmz/cK9gn0lKvqXHHH28bYswb4SFW/Pd/l8cZtBsK+k4PrsK6H8 +mfxPjQVOhwX7SlQ0JC/3MDU0APtKqD6YM650uWM+wL4SFf16l3FRxqcI9pUU +/B77Sihe1F3aJPl9nGBfCa3ft4tPuipRwL4Sqhc+1KrX1D9dsK9Exdrt9lcY +8C5VsK+E3s+hOj0P2OwR7CtRcVN4gza2OQZgX4mKualq2rh20cC+EhXnlhZR +Q23CBftKCu6HfSUq6ss7139R3h/YV6Jiwo0SWs3ysYJ9JZRPf/jWalknQ7Cv +hOqPD61Cxk4KE+wrUVHE3fLueOOAYF+JimfPjLcGdEoR7CtRccHKUTPCfmYJ +9pWomFipzHWHn0bBvhKq5xpWq9jSLRzYV6Lin+pL5kFeqGBfiYrWWTV3vdN5 +C/aVqLg0otNWtw6hwL4SFa+7rGy4d3kksK9ExZb2DSuWsKQI9pWouNPjSc7F +mkbBvpKC52dfiYpPykxz6XouWbCvRMW+pmrt941KF+wrKfg++0pU7ONw5XfA +hVTBvhJ6XmNQryJ2McC+EhX3BB4fcH6rRbCvRMWIb68rtpwTBOwroXzuudz7 +zAF/wb4SFU9HpI906WgB9pUUXI99JQVj9pWoOLq06UPr736CfSVUL0wLvB8e +cUiwr6Tg++wrKRizr0TF5/Nrru1aMUuwr0TFgT196l+ergf2laj4+UuVqI9V +fYB9JSpi/FdP3wqxwL4S2s+nu/+5MCcE2FeiYlLa9HtznkYB+0po/j4sKnk9 +21ewr4Tqr+N3LpWeaBHsK6H9UUh1PL82U7CvRMWX4PR4XNtMwb4SFR+NX+of +8TRZsK9ExapNLt/zHmQG9pWoGHMWPp8uYhHsK1Fx0qgtYzoYPAT7SlSc6Xd+ +SvyHSMG+EhXLvnu84N+BiYJ9JQXzwb4SqteHBt3JywgB9pUUfM6+EhUH2z2p +1cZ0QLCvpOBz9pWouPtwYEePynrBvhKq93b3mnat4mHBvhIVw4I25b4+aAb2 +lVC8N9t8yZkWD+wroee9/8LUZGIgsK+E1m/F6UMnhyUJ9pXQ/Pqcq/5wbapg +XwmNqxULmbDNCOwrKbgf9pXQeqtd1MNtiZdgX4mKByyf7T97Jgn2lai4PGFo +H+fwdMG+koK/Z1+JihPPd/sYUiNDsK9ExTXbqv5zorE/sK/k/8Sz//pKVDwT +fnjX0HQvYF8J1Tszp8y6V9co2FdS8H32laiY0rubWwtDDLCvRMXS82rAsuJe +gn0lBd9nX4mKV1zetL0+bjewr0TFVrMcH9cakC7YV1LwffaVqNhmsU+r13Y6 +wb4Sym/Dalfb0DJFsK+k4PvsK1Hx+7B+aD8zQLCvhOLFraZX1q01C/aVqNhx +zIDYJx9TBPtKVGySf+Jzq3kpgn0lNJ/7a1bcOSlBsK+E6s1/Dz7ImxwN7Cuh +frHa1quNloYD+0pU3Jhx+2qdqcHAvhLaf796VHDzjgP2lVD/UWtoreDU3YJ9 +JQX3y74Sqg+3h96yP2cS7CtR8W3pNxXeJwUK9pWo2D7FvTn6+wn2lag4btqr +NcXupwr2lVD+LB5Y8XZSlmBfCe3nNzOd/wQFCvaVUH83bXu2XU6cYF+JisVH +B91bcCVZsK9Exbuvpyx9nKcT7Cuh/tPRZu/CYomCfSUF98u+EhVLLpp7tu1u +nWBfCdWzkZdX9A+PBfaVqLgidmutHxVSBftKKB4uXTCp9M1IYF+Jiv8+itw8 +0StRsK/k/8Sz//pKVCw1r3fv5KxQwb6S/9T7a1a3zY4G9pVQ/TR2yuffX70E ++0qo/7l95Mmtm1mCfSUUvzuXnl3tmy+wr4TyT4OlUxtQPcC+EspXoav+nH2u +F+wrKbg++0pU/OFZbJZT8xTBvhKKN11PN/nSPl6wr4R+7/PvQrX8jYJ9JbS/ +XoeJV646wb4S6geCsotsXJ4l2FdC8WDhEY9xpdyAfSUqXrwyrs7QFhbBvhJa +/9kRK55W8AL2lagY0HxKr7qLPQT7SlRcV+7+YPd0H2BfyX/6a+Otykq0YF+J +ii6jLtsUiYgF9pWoOOzit7rHakUB+0qoHt/k3vfVTz2wr4Ti4/4+19u8TwT2 +lVD9XHTu11OjdMC+Etq/HTf2Df4YA+wrofoqMTDMtnEIsK+E+uGF+QlXDpuB +fSVUT/XzcTM0jgb2lVD/1vXqiinN9cC+EupvFth2Dq2cAuwrUbFclYiEPm/0 +wL4SqjfjHFvrfczAvhIVQ2u8bus8QQfsK6H4+O1JtStjkoF9JdSfPmud3Ccr +EthXouL5c5f1G9YagH0lKub/vlwpZkIksK+E+qWyI4bsy08A9pWomGecO/Dc +/UBgX4mKdkOyPTLjdcC+EhXf9E6a27dsNLCvhOL/420OjcfvA/aVUH32aNJA +20g9sK+E7m9STrSjXxKwr4TmJ91lp20PC7CvRMX+83Y0nvAxAthXomKHGy17 +N3W3APtK6PM6xe6H3DcD+0poPUz3nJ7bVg/sK6H4d3W861EvHbCvhPqXndvn +/QiKAvaVqLj5ZzP/J8ujgX0lKu799XHnUlszsK+E6vFzV/usuhAJ7Cuh+cip +diWoRziwr4TWZ8LXedU/7AH2lVD/mNq4nG1fC7CvhPL/0Pmp6VR/sq9ExSor +i/uuemkE9pVQP20Iv7kl0QLsK1HR7fUXx1yPeGBfCa3nnpMW3R1uBvaVqPhs ++e+d4+pGA/tKVGze717U2rHRwL4Sqk//pNVJ2mwE9pWoqE183m/WagOwr4TW +V0WPubOm+gD7SlTsNkG/sqy/H7CvhPrvD/Y+D0yxwL4SFb3mn955L1AH7Cuh +/jf3epTrDj2wr4Ty/Yh6N/PsooB9JdRvt/8673WaDthXQvntZrXw8RTf2FdC +8aN/VO+L9vHAvhIVv5awHO/RLg7YV0LP33vMu21T9gP7Sqi/vWdcNmthMrCv +hN7PjPzezQN0wL4SWs+Vi1753jkV2Fei4uK4HusO2sYD+0qov7o5semq6lHA +vhLazy4xPtbLccC+EhXvvaq0OaVLDLCvhPrf2JfXW/zRAftKqJ7M/HLn+jcL +sK9EReVj8pvOXkZgXwnFY+PRO/EfvIF9JSoOPXeqQd9UC7CvhPoVm6DEWuNi +gX0lVD95O74LfWUG9pVQfzM4/4Rz3xRgXwn1M9smnKl2PxbYV6Liyh+Xdlzc +GALsK6H7rdgF7JYnAvtK6PMZxTauSjAB+0ro/pTlb87e1wH7Sii/vN1Qt8aG +KGBfiYqV3vRNKfrDBOwrUfHEptx3PvlBwL4S6pe2lugVuSgV2FdC9XXlH47j +R4YA+0pUbOj08uaxd3pgX4mKXe+O8n7ZIQXYV0Lx4a7tgD79w4B9JSqmDZgZ +V+KXGdhXQvt/z8BeMdEBwL4SFQ9V+VXG+XgSsK+E1l/rZyOd7kYA+0ro99Vi +HxveSgX2ldD9eS6//KBtHLCvhPrFZeU61ftqAfaVqNjYX22b2t4I7Cuh+X24 +paNDHT2wr4T6v7px/mWD9cC+Epq/yscj6o0PA/aV/Kde//S9XBczsK9ExWYv +O23Q7w8H9pXQ98sMvujokwjsK1Fxl9/LzhuqW4B9JZTfHBPGeK2OBfaVqFjC +MNn5z70AYF+JimPeXm3iUFsH7CuheHag5oyxr1KAfSVUn3zvsenA5URgXwnV +L7pxZodiCcC+EhV3eLyFAbkWYF+JiqvPP9oR0skM7CtR8V37iXNPTAkE9pXQ +eoZCtjeGBAD7Sqj+aFhj0bP34cC+EhV18xPWBbqGA/tK6H21TI3+t7IR2FdC +/YjObVW70UnAvhK6/2dJbvUvxAD7Smj+N9abYPvOCOwrofjo8cRld4VIYF8J +zX8566OnnjHAvhIVMyu5dEo9HgzsK1ExeXWvcpuPRQH7Suj3TvaboB+iB/aV +0PPgMZt522KBfSUUj/Wdst7siwX2lVC9nWDwPf9TB+wrofWjltrS8oMe2FdC +9SPkDS+0SQfsK6H1Xzpzq12FCGBfiYqzdwWnVkyNAfaVqFhsdOa5UlPNwL4S +Wq/DZjt9uZoE7CtRMbwHOOJuC7CvhPrXvs+2O81KBPaVULzbegpb9gsH9pWo +eG2/XyPHzpHAvhIVlyRMWXI3Pw7YV6LiU/udjkfGRgH7Sqi/GLD09+P1UcC+ +EhW7T2tw4X4xE7CvhOqNyuZhwZ1jgX0lKo7wqrGn3OhQYF8JrY/qr5+27pgA +7Cuh+dLlLS5mMAP7SqieuFHf590jA7CvhPqxt64TPKL0wL4Sqm+SnLsuzY0F +9pWoGOj7d51xeSqwr0TFq+uPucx8mgDsK6H9WbZJlQ/jLMC+EtrPXrOuDm4U +BewroXg8M2fyDdsYYF8J1YcXah16MDQa2FdC9S34ti/ROgXYV6JixdYhxU/0 +0QP7SlS8NbvX/FEhMcC+Etq/vnmTvW7EAPtKVDxp7SCiT/kC+0qo/vKZWsXx +tx+wr4T6vdojdkS20gH7SlSc3nDer0X0PthXQvWL3bagCU1igX0lFM+37rwR +hXHAvhLan/N+PHG2NwH7Sqg+6bLSpsXzOGBfiYpLb1+psT09CdhXQvkr4t9e +5WqZgH0lFI9vrRoX+ywB2FdC/eyvu8sPNIwF9pWoCNrZvXtK6oB9JSpe7ubc +21UfDewrofU1W8EffkZgX4mKvXsF7Og3WQfsK6H1dW30js7hMcC+Elqfy9O+ +P1ATgH0lNH9jiyU/+pUI7CtRMbXo3NZeOSnAvhKqJ/Z9ialmpwf2lVA/FD1r +SM4EM7CvhPqZwZGrtqUkAPtKqJ/Ya7YvtDka2FdC+Xf641kXK8QA+0qo3vp5 +46ZnIxOwr4Ty+4Oq0TPWWYB9JSoOP7D2VFV7A7CvhPqpkc6nag7TA/tKqD46 +OvVdZcUX2FdC832lYRebrGhgXwnFh+yW26JOGIF9JVT/LfMzbrkRDewrof2/ +JGpapeUhwL4SFWd18hl++7MB2Feioo1t4NylnRKBfSV0v6uK5K4dbAD2lVD8 +K7PYe+aTZGBfiYp7Mm0mbfBPBvaVUH3sv+awn20csK+E+tkmVz0dIyzAvhLq +hyKnhXXZEAPsK6HnTbf58WBtOLCvhPrjsG+Pz0+IAvaVUHz2HO38TyUdsK+E +4qPhRMqJ3SZgXwn1n38Hfmn4IxbYV0LrtzYUuxFmBPaVqLhq+hq/+icigX0l +tL88Nmw+9DYC2FdC+bpq1vgaDeKAfSUU/+39xlo6moB9JSpmNzo9uPJYP2Bf +CdWD6RFjCi8yA/tKaD9ev3i7in8MsK9ExQWzj/iNOm4E9pXQ+nVuctE8MAbY +V6Lipc/zn7YebwL2ldD+7DW9dtmqOmBfiYqvbY3d4j7FA/tKqN8t9S59f1cT +sK+E8llksSKXX+qAfSX0vCeHhAx3tQD7SlQ0d/TsfviFGdhXQvnh2YujjzZT +PPuvr0TFIgG1zn8s4wPsK6H6cfKRMtvsAoB9JSoGJzr9fusXCewrofgVvuqI +2/ZAYF+JihkBXa5ZlpqAfSUq+rT/Hfd8XgKwr4T6r+D73x4ejwH2lag4Z/XW +sSEPfIB9JSp2erBywceeemBfCa2n4aePr7pgAvaVqDgo7cn0QtvjgX0lKr61 +251ds7YZ2FdC8+XdNvtA11hgX4mKMY1mLd5fPRTYV0L9QIKpavNC7sC+EhVn +HC///lkVHbCvRMXO+5zqj0ozAPtKaP1vuX/gim0ksK+E6v9+JetVWRsL7CtR +8dfXbaX/E6/YV0L1hvhbckppA7CvhPJB0SDz5PpBwL4Sqidfpzd+2T8a2FdC +6zv00MXW05KAfSUqDpnS5wg8iwf2lVD/8NC5r8MlE7CvhOoNh5/VHjnpgH0l +lH9mDihfY0kcsK+E1vtN49FS3fTAvhIV6xeP+1jdMRDYV0L7y1Ly3s+W0cC+ +EhWfvMldeeZgDLCvRMW/Yed3f6X1yL4SFSOO6g/3am4C9pWoOKlkxp2Fv32A +fSXUf+70uXghJwLYV6JijUUzc0aM8Qb2ldB+mlvSL5/yH/tKVHQ9vsa7ZH8D +sK9ExRsp3YrbXbQA+0ro+vVWlexXNBjYV0L5uftRtWivFGBfCa2/Pc9C6vcN +AfaVUH3hcD3CfawZ2FdC/SM4e83aaAD2laho2y23UeqeVGBfiYpHdEd8He0s +wL4SFb+sCToyt2IksK+E3vemeNcGmhHYV6KisV3vxo9+xAD7Smi//0n70LhI +ILCvhOLBTo9H9u2DgH0ltL5F+LmGSwzAvhLKf6e2Vj4+OBjYV0L1TVER0eCx +F7CvhOq95T+KdymtB/aV0PO0quPeZGMcsK+E8nFj91E7Y4KBfSUqri27vVz4 +8RBgXwnVQy+rJVXfnADsK6F+8mNWzbQDXsC+ElrvK73U8AuxwL4SFR3nV6k8 +Yp4F2Fei4vdFcQlTfOOAfSV0P9W7fqrTJRXYV0L107Oqg+8O1AP7SlQc8Kv6 +kpR0E7CvhPJHZPCF155mYF8J7ae9JbQxA83AvhKqf5Z+PevSIQLYV0L7vfn4 +k/XnmYF9JfQ8tt8fT22TAuwrUbF6SbdBeW2SgX0llI/O5s05sDEa2FdC8/t9 +4uxKLjpgX4mKt0vc7Rs3cz+wr4T6h0rZU8uuCQf2lagoCg33cT0fAuwrof56 +IRQJ2e4D7Cuh+NjtSoUxA0KBfSUUb5JrlXG9YAD2lagYtDex8NRlMcC+Esr3 +z3T9rlB9yr4SFft0/PEyMNwI7Cuh9Z16Oi1kayCwr4T217zvu6f21QH7SlRs +ajrhuthbD+wrofrnbJ8K3v5xwL4Sih+Jm9+VXhcL7Cuh/e7dYvzScj7AvhK6 +3xOzfceXjAH2lVD9MKSH2+fuwcC+EuqfjX5VZvXzAvaVUD92/UIX8914YF+J +ih3t+w59eiMY2FdC9W5o+/eT4+OAfSVUH7cY3L7iUj2wr0TFOyOuzfk03QLs +K6HPH553eDzGDOwrUbHXs495kGsE9pVQ/d2r9fyxx/XAvhIVC7f3OVo/xwLs +K1Fx/tcyqxYnUTz7r69ExWOY9yzGzgDsK6H9+Nuh2qCEVGBfCcUv/29Pm2n+ +wL4Syu8zvOuKfAOwr0TFKU4/HzQ6bQD2lVA9kNzj+8pbUcC+EsrH919tCp2o +B/aVqFj3R6uH3X8bgH0lKt49n+w6pacPsK+E+u/KI7zHDkoE9pXQfprUP3ZG +rhnYV0L1S6cds/TVYoB9JSoO9kv5gA5BwL4SFd1H12w5/1AssK9ExWqiaobo +GA7sK1Hx+oy52HF+KLCvhOLDvzmWcYfigH0lKg5LWWSJH5sI7Cuh93+w4cBR +K3yAfSV0vQWhd7/diwf2ldD+r+C0t3fDQGBfCb2vD59L1vdIAvaVqPjmTgX/ +rNoGYF+JiuvtHCv7u/oB+0pUbJW/3MbvfRQwD6fhu1WPu/WvZgTm4TScs2tB +x5G/4oF5OA2fly1ftlqbaMnDaVh64ZJws28gMA+n4QXb2f/mVQ0F5uE0nO5p +W/XoKTMwD6dhnbyP3+3qxgDzcBqmF3Ys/va5WfJwGorS7w72zvWRPJyGzp9G +NZ4wPhKYh9NwbYS/TevQaMnDabgvTFwKNe2XPJyG4bsnzrmfGALMw2l4t9WP +/r09dcA8nIblkk9eHhdkAObhNDR9Tdu2abMemIfT8OPjeuHx0RGSh6PfW5+y +0z1HL3k4DWfvdtu9tEwMMA+n4br4SV83nEoE5uE0TPL5OqaBiAbm4TQ8fK+M +3+VG0cA8nIY+U5/2vNk2DpiH07Be1QO9H/RIlDychr/u6wbYtY0H5uE0VHp9 +2pO7Jg6Yh9Pw6eYBtQ5XMgDzcBoeGzJZbTU+RPJwGr52eOxqP9cEzMNpOPJM +j5wuJ4KBeTgNz9v2P5pVPxqYh9PQa5i3Q1MbT2Aejp53wK4BFZrogXk4DWe8 +6uO6zj0CmIej+SuVfe/dwhhgHk7D4gGBCwcP9Qfm4TSMP+kcd76TDpiH0zAh +d33Fpk/jgHk4DX+3NLtsGWmSPJyGV4Y/XXp9uBGYh9Nwd8b1Fo4Xw4B5OA0/ +zbW51H1tguThNAyp9m7zzJdmYB5OQzynvv0NocA8HH1/wIm/vQ9ZgHk4DfVX +J/5+oU8A5uE07NC4rjU/Wy95OFpPPw5cqLXXCMzDafjyR0W7axsDgXk4DSOD +ExtWG5sMzMNpODe3wqDnuz2BeTgN81NW9M8/mAjMw2lYY3/mxhqz44B5OA3n +7ZuwYsy7aGAeTsNNPqHGbZtMwDychsG73z6LKW2SPJyGef4uTlVLxEoejtZT +j1HD7GvFAfNwGja1+Vlqzt84YB6O5jcv4Ibv9ShgHk7Ds+vrp3asawDm4TS8 +eeucq3tCAjAPp6Hr+jI7cgMMwDychiNelCr0dqNR8nC0HlYfnT2D+inm4TRs +++G1al/cX/JwGv7TYWvOzuxwYB5Ow4dhrT5umRoFzMNpmHarwZYq5WMlD6dh +nwu+1VwrpgLzcBraW7e39XkeA8zDafjt40C7kXaRwDycRvV1UusOX92BeTgN +b7S8Mjv+uwGYh9NwUaG9xsbtYiUPR3+/e32DYWNMwDychhNv7/jxlfov5uE0 +DCh+NbcCxW/m4TS0mg6+XEnvl3k4DS1FDkaEFk8F5uE0LBvzcbfzzHhgHo72 +w7LgPYH3zZKH0/CHeU7/fk8twDychicmZE/ZEmEC5uE0jKs4v36m5gvMw2lY +tOpLl4bBYcA8nIbPnng9+bIoHJiH07DXz3s+M4zxkoej93HL0Gj8KQMwD6dh +A29l2tpLUcA8nIabuyyYMjYrAJiHo/XcesvqrxlhkofT8OjuPe+31YoG5uE0 +3PJuqN+yP3HAPJyGA/NvVdnZN0zycBq+8n9wPGeATvJwtJ72/Dtp5cxAYB5O +w3bxMxosiDdJHk7DFZ+Pfv9WJknycPR7H74P/vzCB5iHo3i643xv/ReD5OE0 +HLd+xy/b+t7APJyGFUKfBvV6HADMw2l46ZV9vYB/dJKH09DtjiHIaDYA83A0 +f5MnPWv0OAKYh9Ow8cSFa26kGoB5OA03Hrfp6LvQCMzD0f66Ur7l2udJwDyc +hqkjVn/q7BICzMNpeGTW1u1Rq//Hw2k4bezXoy9GxQHzcHS9984HvoQFSh5O +w/4965+9288IzMNpuLNpmTHFUAfMw1F8PKWbf6ZJBDAPR/mgVpUiJ9v7Sh6O +8te758cr6cOBeTjaD4UCfl700APzcBqOHte6WqBBD8zDUb6d/M9OOxszMA9H +629hz6wjuyKBeTjaD/m+3V9S/8w8HMVPsPd79jEQmIejePSjX5g+WQ/Mw2n4 +2H912rpwHTAPp2HN+jNvbqiVAMzDafg9vvSugBGJwDychk5lpinX3iRKHo7y +Z+T1Z0tXGSQPR/l2eHCmz5BoycPRfvu99lqpOfHAPJyGd8wfPjffagTm4ej+ +SxYZ/HJ4CjAPp+Gj6tq69+vCgXk42o8DzVu8vVOAeTgNr889vG2GW7jk4Sh+ +J3mYJ4zWSR5Ow882I28PeJAAzMNpuDK4SY/HJeOBeTgNI5qtMb19YQDm4TTM +2PTygctVo+Th6PdXXU8Jco8G5uGo3sFoz09LU4F5OA37ZszVwVEzMA9H+WF+ +xXNVHMySh9MwKqdJw7VFEiQPp2GVT/6WDrODgXk4DX/evGHzcr0FmIfTULVW +L6I7Z5I8HO3HxBjVPCIMmIej/NJqZG/nSRZgHo7ikb7Hmw02scA8HOX77XmX +LtSLBebh6HpHaswN+KYD5uE03P9l075Kg+KAeTgNvW/tqNMx0wjMw2mole3X +qlrfOMnDaRg048jZNiIWmIej+6lwsI++rT8wD0fxKfmfPbO2e0seTsMHYzqv +uXLHJHk4Df/9d2GpmFHJwDychjYZ/9x/HRYAzMPR/W9s/+LylTBgHo7W16T5 +bwxjE4B5OA0PLX0499fBcGAejuLb7M41eljjgXk4mr9tB0vnPdQB83AUH64m +dSj+NkzycFRPNXebmLPADMzDafjhemLEhYkJkofTcED223oD0hOBeTjKJy2b ++n3MMkoeTsNqlbcuvWdD8ey/PBzth0Dn+d9PRAPzcPR+g8+sbbhbD8zDaVir +YVX3HtnBwDychsuz++dUtI8B5uFo/Y6snJ/bxgzMw1F+HJHp0uirEZiH0zDr +aHb25Po6ycNRfHd9N3RnxSTJw2m4rV3ZT17efpKHo8+vH/q0aXGQ5OHoenYz +OsWa4iQPp+Gwd7pbD2x1wDwcxY+kVR2jKoQD83C0/5elX9k1ej8wD0fxZ/3B +1NxjemAejuojY8b5Clf1wDychqX62EYPD/aXPBytr8mX+1buHAvMw1F+cP4+ +3fowBZiHo3y7YM2xYYY4YB6O6oVp90MztWRgHk7DJaYvXzzqxALzcBpee7t1 +2NLIVMnDadgkbHGd4otigXk4ivdxn0sPXRAIzMNRfOhS1rHWP0HAPBzFj1El +X5xMjgfm4ai+nfqkg1+zEGAeTsMuGTZbqzaJkTychudqrKg7cGaM5OHo/X0f +3dRaOUnycLQ/Vjr4tylhAebhNPR7NrDv/Z3xwDychpc9Koybnx8oeTiaX/v8 +Bj0qpgDzcBou+FineaPgSGAeTkNb75xvA1ubgHk4yi/O1RMm60zAPBzlI12v +jZv+NQHzcBS/RXpJ1+oRwDychu8vTkkabLJIHo7y22OfoTt6xQDzcBrOvxo1 +IynfKHk4qk9+LYr45BQLzMPR/KUP6r/DagTm4TQc5dD05SJjmOThNGz/e/Pt +y1XSgHk4iif1Lhfb3SsWmIejej79fX2bjQGSh6N8vTzc25KRAMzDadj5VPH7 +Z9oYgHk46kfyarb6UywZmIfT0Li42dXFsTHAPJyGKUuan7ndPg6Yh6N+aYgl +o9shIzAPR/VTzEQxsFIyMA9H9YWpVfyAg1HAPBzVMzMqb3d/mgLMw9F+/afc +63c/dcA8nIZvkubDlyXhkofTcMOPHT+LWT0kD0fx5edBa2DRGMnDaehS9tTe +L45Bkoej9TGz6KMRPiZgHo7md9+Ow8POhgLzcLT/S+PC9UNMwDwc1dvln+06 +mGYE5uGoP9x+pJlxnwWYh6P3fXSqS2JLMzAPp2GPF4vtB/8TBczDaf85D1Ji +Xj09MA+nYQury4kqVN8zD0f387LGS8838cA8nIbHt7R8XuZTMjAPpyFUW/1z +4c1AYB6O1kfDFWdL1DAB83CUj7dUtJnnGArMw9Hni+r26aKkSB6Onn9Sz98L +/1iAeTgNC5kyts7oliB5OA1vX9zXPtInDZiHo/5qfb1DoyvGAvNwFL8rFhoz +e1o0MA+nYfcQ/d4nP8ySh6P1d+P+6p+booF5OA2HnP+RdWlzEjAPp+HXNstW ++AyIlzychmElg3ou7hkDzMNpWKxq1JEJSxOAeThan9lXVwHVx8zDUTxaPrHu +1r8pwDwc1Zupixp8qxgNzMPR+p7+2amCOQ6Yh9Ow+dxd970cLMA83H/qz/x2 +kTo/YB6O8ofpTYzrXn/Jw9H7P1Vk9PpaycA8HK2fVuNSd3mGSB6O1veiYvqA +HinAPBzVD4MaaH2LGyUPR/lRWfo7MNAEzMPR+9o+yHmo8JI8nIazfpzcqstM +kjwc7fftTV/bhMdJHo7235Gkbs72EZKH07Bjp5drSvhYJA+n4dbxZescvBor +eTgNh2dd61bBLVrycHS9bp7FZosAycNRvVrFs1yZkEDJw1E9Oi7DbvGQ//Fw +FH++L5m4uk6S5OHo78/7uc15HyZ5OKqHmp13nr3AJHk4Wj8+VdvONARJHo7q +0x39+686Gid5OOrHHHctfuIfLXk4DTsNd3jS6kOy5OE01K3zX7xxjFHycJTf +dW9mVDyulzwcxffcPa2SqV9nHk7DkoPTa/x6mix5OMpfAdsGbdvnL3k4Daf3 +HDtBqRkteTgNtz/1aF6m7/94OA0dH+kz9vYNkTychl9W1NfZXouSPBzNx+/j +Dzvc0kkejuLHtpjtaw7FSB5Ow3tTJjk9s4mSPBzVg/65J+pdjJc8HK2nzo3y +BtonSh6O8ueMhg751lTJw1F9/3nC/KwHJsnD0fUXLL1eMilV8nAaHjTd9LJp +kSx5OMofK7sVG3g0RfJw1D8/vzi5XjWd5OEo/25vuV43Mk7ycBpOaHauyOl3 +BsnDaTg+u/nEl2Exkoej+nfyx7HtrHrJw2l4vvDHG20XmiQPR9dfbJ21dlKC +5OE0HBP9sW6DcC/Jw9F8rBl/v56nr+ThNMw+a3fpdz9/ycNpOLfvoEON/HSS +h9PQo1X/tZGFoyQPp2H9AYWdRnU0SR5Ow+QduTPmhOkkD6dh8ZZvs10aBEse +TsOcU77Oq+unSR6O5nvRnFo35pglD6dh20H3zhctFy15OHr+8ova2PiZJQ9H +8eZmizv3J0dJHo7q4fM5xdc2TZE8HM1nzX7TvIamSR5Ow3q/vw9dfTZB8nAa +hhaf6vRhiEHycLQ/YgdOmFwyUfJwtD5rRydObZcoeTjq7z8ml9SmJEgejuL1 +6Ebru4zRSx5OQ//FDoUc9iZLHo7iVfrWMxGNUiQPR+ujuX+vltOTJQ+n4ch5 +A6bFbAqQPBzFb2uP8oYQs+ThKH/AlqEDzImSh6N8eHLAEcftZsnDafi225qw +6VEWycNp+LDM+ICMbKPk4TS8Oqr7hrpVjJKH03DHjZLLI95FSx5Owz23jq3Y +0ClJ8nAaLnuxdmByfozk4ah/cI2589RilDychvfPDGnQI8IkeTiqv+o3/WZf +xiJ5OMqPji1b9FYNkoej9VHL+CAlJ07ycBpW/BiXWrGnSfJwGvYyu6WOPBcj +eTgN2wxz2XfXJkHycBpWTR95odxfi+ThKP7+3bUqulqK5OE0fLGq37PDZRIl +D6fhzGMf+ryfbZY8HNUjy3NzZ472lTwcxdeJ96e3qRkseTgN/0QvchtI/QHz +cBrGnnw6rAO9b+bhKP78OrU5eFqg5OE0nLf7gm3u/HjJw1H+2xZXoVINo+Th +NCx8K/j54mvxkofTsPKAsX6j9KGSh6P8a4nw2qDGSx6O9oPXO8v63ybJw1F9 +Xv9bpdr/4Xv/y8NpeMzoYbv6w/94OFr/Dx4YbtdOlDychtV72D667hMseTiK +F0/GT9WOmiQPR/l9U7M/WfPiJA+n4d8+w/N8VoRJHo7yYfKTLu41kiUPR/u1 +nt323x1iJA9H188MGZHxM0bycBpWaNZ6R88acZKHo/XU8HlyD4rXzMNRPzV0 +bdUwx2jJw1H/+2J2l9axCZKHo/mYtLSUA+V/5uE0rJTl6+rdOkHycBomtrp0 +yDFLL3k4DY+6bb3W7V245OGov9h0cnSDkgbJw9F6Wnzy+RovveThNDTMm15+ +eIVAycNp+Lx4/lqHEp6Sh6N+0btBjkOFdMnD0XwUyn/0dI6X5OE0XLnl0bNK +FF+Zh6P6ItktduuAWMnDUf0fWCpcOR8jeTgNFzvvCF2xJ0nycNTPT49RZzqZ +JQ9Hz6s4BzkUTpY8HMULW99lNSKiJQ9H/c6+wUcyp6RKHk7DhVv6bSjvpJc8 +HO3vnYdC+570lzwc9Zttrsy5mmqQPJyGXcf4exVuoZM8HNVLS5wPfn/lK3k4 +6rdyu9VJXxMteTjt/583YB5Ow0xL6JRfyf/j4TR0mLhs0LtKMZKHo3zx4NDm +dhGhkoejeLy/2L7ei0MlD6fh+vzUyEGnEiQPp2HpyPNbunaIlTwc7efjQb2X ++1kkD0frd7b9iKLfLZKHo/U+qefSA4nJkoejfmx0Yc/iI9MkD0f7td+iSmfv +GyQPR/ly0JQ9cb2jJQ9Hv3fHL6BdTKrk4ah+DUhx3jr0fzwczV//UNtya5Il +D0f5cfXRSU1PmiUPR+v5XrDdI4pfzMNpaD/MJsg52Sx5OHq/t56VPRpuljwc +xZMU+13dPc2Sh9PwRPLeJWep/2QeTsPy08cP6vs0XvJw1A8cfHbtUtlwycNp +OGXj8zV/gv0kD0f1ZsOanr9X6SQPp+Eg7/SV25pZJA+n4YF3vaOcf0VLHk7D +z11LVXOItUgejvLnvH5//hwLljwcXd/+TP9KqT6Sh9MQj0ZPd9sUL3k4Wr+T +O/XyPGCSPBz17+42exb3i5c8HNULP30LXRn5Px5OQ/f1pZeumhMreTjq/8vZ +3Bty1Ch5OKrHkpqlrG9sljychnebp1ZTPhskD6dh3qjYa2/uJ0oeTsMrQ/Oz +i60xSx5Ow21tXyf22GyWPJyGv5Y4Pc/d9T8ejp438fqLOx+jJQ9H821Xpern +YZGSh6P48KPG7jONLZKH0zDgTxfYMiVc8nBUzzZPnOI3Il7ycNQvBRhNf1Sz +5OGoXt6e65l1K1XycBp227v9/rZZkZKHo356s4NxWtlkycNp+KTnhml92kdJ +Ho7W5+duy+sNjpU8nIaBa1P7H+vxPx6O4t+HR9rph3rJw1F98D3lvkfdOMnD +/ef/G1Pdv85JkDwc1SNfSrbqcjtJ8nAaBn96WzlvYIbk4ej+P16IHtQ8SfJw +GjYsnutafVm45OHo/Xisvr8kPULycFTPTJ02a8zzWMnDUbzt//7FyncmycPR +/lve7eiwKL3k4Wg/3d6XHvEoSfJwlB9a1DjY3y1e8nC0ni7NfOjeK13ycFTv +BYz3Xk31DPNw9P12VhvnsBDJw2l4sd3Jg4MXGCUPR+tjied45ZlB8nAaTqyR +O6nqpCDJw2lYYv5VW1s7veThqP9qOHzOF+q/mYejfJdSanifcTGSh9Ow6Afo +GV5CL3k4ul7zqy9XtNRJHo7iQcavr8pug+ThNDSt7Ru68neC5OEo3g1L77/Q +O1bycLSe9mc/zLbVSR5Ow995jRzaRKRIHk7DLQ6Lhrno4yQPp+GMM4WPl98e +I3k46j/07tPSQ2MkD0fx3+Zs8ZX9YiUPR/F3Wq02D/ZZJA9Hz1fdYXV8G6Pk +4ageM/it/hoULXk4Dfs57agwYkGS5OEo/9V53/1hcX/Jw2n4+szV3HfzEyQP +R/1W3PhxXnd0kofT8PHJgJRomxDJw2m4ZvS6SaceGSQPp+Fs/6xWU1NNkofT +cNf5jfFVriRKHo76gTHes0XJcMnDabh58Uw/z/qxkoejerXH+sIhZovk4aif +CFzRcPzLOMnDaWiebM0esFIneThar4/rtEn7mCp5OA1Fxc2V695PlTwc/f7M +U8l25WIlD6fhtFlVNq3PjpM8nIaefcv0vVgsQ/JwtN/r9nnncDJO8nAa1u6b +W67DK5Pk4TRM2lWhvcNZs+ThaH+0G77yxqFoycNRvbHvYP2E7jGSh6N6oPqY +r/W6pUoejvrdgOvpsafjJA9H9zPt85xXyv94OMqvva+e2J5jkDwc1YMdJs04 +9lAneTjaD3uiekTmJ0oejuKdOaP59VCL5OHoej+qJE8wWCQPp2GrYt9bv8mN +ljychr4Xczc0qZUueTian8mlQiofjpc8HMWD1f5LFtUIlzwc9U9OB2Zo39Ml +D0f5RWubMNyolzwc5c8Gpc40oPfNPJyGW3v3ORlC+Zp5ONqPVao93tomVfJw +1C99ctjbZUqU5OFoPS68vvb0HYvk4ag+vlNyuuOMRMnDaRi2r/zrBrl6ycNp +mFuryrLvpyIlD0f55V3tPvb5RsnDaRg91/B3gFOS5OHo/nwrOBTvmyZ5OA3v +2A2bsa5hzP9r6r7DorqaOADbFUusiIjRqKjYscYaG1FANBgL9hLEKLaIsSb2 +BhZQUAGpAtJBeh0YmoBiQcSCGuwFW7JnFRVRvklmfPbzv33ucvfec0+Zs77P +b8XD/Vuv/OQws+tZYA9H87fLzFP6708DezhaP9/vd/CvHwfs4TQYUivv0ft+ +J8TDUX3h3dc/p88x8XC0v0qpf2/w4HRgD0fPN3zBP1Yd4oA9nK5eYg9Hz/dp +4a5lZbHAHk6DFdtv9e+1JgbYw1H9u9z7n9gEd/FwGjzwxtpzbL0g8XC0PlRN +yVUdc4E9nO787OHo+bqYfgmaFy8ejurBnPJZNxJ8xcPp3s8ejurB7n3vbV95 +FtjD/ft8n3g1fpIG7OGo/27qn12QGAPs4XR/zx6O6oG1h7c1+iZCPBzVR81M +3F3cMoA9HO13zLZMNTySAOzhNPhzbM7Lqa/TgD0crU8rF519k+0hHo7qJesW +3vu3xwF7OA3Wm3H3r2HXPIA93L/7vU1uBg3SgD0czfej99aqvJ0oHo7mP/Nf +WlT19AH2cFRPn8HRJnae4uFo/Z0blpDc8TSwh9Og3V7/Nl8axAN7ONoPrPaz +MNKcAfZwVM+/f2VR1fKoeDgNGo8e2uFEQLB4OA1WwtOoK3digT0cXc+TDa0a +PPIQD6fBc7O6Ro/UjwL2cBqs82VejvPcGGAPp8GhoQ8W1r/mCezhqD+4/r34 ++Jsw8XC0/4p+f+L8rmBgD6drf/ZwVP+bLPnJcLyfeDjaX3efPCpxSSCwh9Pg +h4Pb5wx9mA7s4TSY1/Z1l1LfVGAPR/2x1tMDfe1TgT2cBi13tAsZ7Y3AHk73 +eezhaP2tfWzNjrfxwB6O9nutalnFloSKh9O9nz0cja+Of5Q3uOMG7OE0OMek +TWJijyBgD6d7P3s4Da4x9hniMTYL2MPpjrOH02CVxYPJxq0SgT2cBp2z9zvW +mxcP7OFoPjmz+9Xsqz7AHo7qFXur+d5mqcAe7t//n33+04OaE+Lh6Pxvem2N +f+skHk6Dv697qhq/iAb2cDT+N4zZfWR4ArCHo/ntSNat/KHZwB5Od33s4TTY +yGGlZY86gcAeTuGCKM3WtEfxkg+nsMWB4ClPotIlH07pfj/nPw+ncPGjlp77 +zgUCeziFGy7smP+ddYR4OIWDX8Q2nhYUCezhFI7X3HXYmpYh+XC687GHU5hg +Vc+j1DZGPJzCXe/muja0+ZoPp7DIZPzElJJkYA+n0LvoxYkmK2KBPZzufOzh +dK/ZwynUzzxX671NsOTDKQysXXI7/YmT5MMptMuo0+q4czCwh1M4oebW3Tc0 +f7OH052PPZxCwwFOS06NzZZ8OIXTOvqp+Odf8+EU7m/u8+diPU/Jh1OY6mT5 +vsWRQMmH052PPZzCjTs+HPCMTpN8OIWm0x3dAj/HSj6cwqmn+gWfdE4F9nAK +86YeWlrd/auHU7hzVqMjc9dmST6cwgPhOxzcg9MlH05hy6Ipa6Lxaz4cff6T +oKLEAJB8ON31sIdT2NHOwXGknb/kw+mOs4dT2MPPJvPkQDfxcAotG8Wd3W4f +IflwCpt7NLo9zDRR8uF0f88eTuHdosPJp/5IkHw4hQ4v1xvMsHOXfDiFXS0j +lvr5hQB7OIVD9pR/bGV/XPLhqH+96es6b0ua5MMpvLP67OYhBumSD6fwdL3H +DYau8BcPp9Br5KAlcCoW2MMprG9R2MjOO03y4RSWGRnWTPrDU/LhFNbNtI3y +tvIUD6dwuMn8Wlc7+kk+nMLRBzPNjIrSJB9Od3/s4RSa3OpSZ0xOKrCHU3ho +2tKHE8/GSj4cnd/ly8NOXzyAPZzCcptZBg/NQ4A9nMLi5QPj/DBV8uGof1x1 +87vbOV3y4RRWZgUa1tQNAPZw1L8Le5hcsUyWfDjd9bCHU1iRNv/QrfMe4uF0 +x9nDKfz9XOT2QYddgT2cwh9+b7yjweFUYA+ncK2Rdd5CixjxcApTRkY6LvIJ +Ew+nOx97OIUx9fQ7Tw84LflwNL+cyTDaO89VPJzC741TzGKbJkk+nMIGmtj+ +IdYx4uGof8w2CV75PFLy4Wg+CgkqmHTgLLCHU9io/Zpg3zbxkg+ncL1P8zb7 +lxwVD6fwo2Pp+cRID8mHU/gu5YFv85co+XAKXXYHrs8yTpJ8ON31s4dT6BTX +IN46zlM8HH0+PPep3yhGPByNzxs5ZYlWQeLhFF4PjXhX9ns8sIdTODuyqW3j +5cGSD6cwenF15suNXz2cwteTrFLK7iQCeziFWc/Ch3glRko+nMI/N6y9Vbrx +rOTDKZzc0PBJ+NxEyYdTWOXpkPAqP148nMLN74fu+v5Bing4Gg+L1KHKRScl +H053f+zh6PqbXtH4FoSIh6P+bXW3+e1DPpIPp/Dl9xWfhwWHAXs4haifkdQ9 +JAXYwyk0chsRMOXWMcmHU3izQcvd8xvnAHs4hXue1J976H2w5MMpHDex/4zh +sb7AHk5hh43DrPJpf8AeTmGroXYHPyfGiYdTWG/hPYRuyZIPp3B53fyuOV0D +xcMpbLZ3tFNY2wDJh1PoEfUh5I1RtuTDKVzTJzHU8EWs5MMpnHhh4EEPo+PA +Ho763/TLC47ph0s+nK592MPR64N3nRz9z4qHU3imqse09b3cgD0c9f/hW0cV +9E2SfDiaX9PMXBJnZwF7OIV+I6Yca988SPLhqL18551/uSpMPJzCny2mhfXa +myX5cAoziu68m2MVKx5OYfDtAhuL2CzJh1NoMGFLsv2EJPFwuutlD0f9bfSp +axqfCMmHU+j6YXia3Sdv8XC697OH071mD0fjxe6P0p6tQsXDKVwd07d+l7ex +wB5O4d7TVcEdjM4AeziFrbtf+Dhz8knxcNQ/jzT70XyDh+TD0f0em3y4/c4U +yYej+cchyfr8thxgD6fQZ0jo7NC+buLhFNYMuBMxdXcmsIej8fRrn1umK3KA +PZzCHcVjDN0X50o+nEIYMc+0dUoMsIej9dhs5pBJNXHi4RTGWd4/u+c3X2AP +R+tB5YmGW45GSj6cwi1eLsO6DgkSD0ftP/em1nCPv+TD0eeV7m040CAY2MMp +HNPIcsiPL5OAPZzCF3pPXs56ny35cAqfWjft3cspG9jDKYxaei14x7oUYA+n +0GL7zU53PALFwyl0tvHoWdggSfLhqN74YVa1WUyE5MMpPP7k+h/rj8aIh1PY ++fS4p4YdQyQfju53tHOZ0fzTkg+n8A94+zJsSZbkwylceaj0Q/tTUcAejvp7 +wr3P/6zKlnw43fNnD6cwPGGEw9adx8TD6Y6zh1N4NnVBh/BWx8XDKfx119oP +455ESz4czQ/mI9tm7AFgD6cw5PGFdh9+D5J8OIVzP7Rr0CI6XDyc7vzs4RQu +LQyNqD52RvLhFGa/npjRPT4B2MNRfXN+77Ci1ETJh1P49qGa7bjYFdjDKUxy +X9yoZE+C5MPR/IVzN67uGQns4Wh9t9bzCzvmJh6O1rvFy//O3RgC7OF018Me +juqRSwP+bF3vlOTDKbwUalZuXpEJ7OFo/RhUM97xhr94ON3fs4fTvWYPR/PD +9TfuozZnAXs4ha/O6hs/WOEO7OGoHkmIbFvzTziwh6Pxb+V489DtOPFwNJ6S +Pn/uvjpS8uGonvnzu0dbRhyTfDiFhTsLH9et8Jd8OFof39bcXJN9RvLhaD46 +NCtu4ABfyYej9jbXn/rrTAD2cDQ/9vxpYaQCyYej9d57p0HAMH/Jh1MYP7Ha +bkLD45IPp/CB9YjLwUvjJB9OYZdaTey/2e4sHk7hb9P7nv/xfBawh1PYzuDt +R5s5rsAeTuGT9H1GX4LSxMPp2os9nMIBbsfn6y9Nl3w4Wn+tZ3e6EOgvHk7h +1smfO0Q9jBEPR/3bIu7KqFxvYA+n8PxBr7WvkmKAPRzNN3rDy4f1SJV8OIX2 +taKbNn4eKh5O4bOPpXq9Z2QBezjd9bCH071mD0f16GCnc/reseLhFAZ9tBue +2xwlH476l1GzF/XDsoE9nELbFcMHrLIIl3w4eh6dDhtvGpsD7OFofCw6nVr8 +2UU8HI0nm3eDTacHAHs46s+btxcdeRgh+XAKNUZzA7NnxwJ7OGrvBX/MWT09 +B9jDUb3pcXPj0uwkyYdTqNcgdKHRh3RgD6fwynav0S59/YA9HM13s29vMwnP +lnw4hQ2bWj1vssdPPJxCY7sWzYvGx4uHo/q8Z9Kw3cUpkg+ncOiaa6cWzTks +Hk5hWKtDG9x+SZV8OGr/jY/fLloKkg+nsFfSAHc1NVry4Wg+WW7zpO2NJPFw +NP+PbH265H6W5MPR+XtX11l6KxfYw1H9VOzSImxfgHg4et4HBhsMq3AXD0f1 +hXn0mmspYcAejuqbRbGhMxf7Sz6cwk8vHOoOKE8E9nC0H+zkkzCr2F08HPWn +K6c6lznkSD4c1evPns4+cKlQ8uGoPvyxR0J8y1zJh6P98MyL5UstsiQfjuZT +59GmmtNx4uFoPfC54XRg63HJh6P9a/8bxgOCsyUfTuGSWwdOGv/qJR6Onk9e +7s2f68RIPty/+5e109+F5wJ7OGo/P8+7zmbBkg+nsP+7Z91GdYwTD0f1e3Wn +26ZVQeLhqP0MbedutsgB9nA0nu1+v5mbkiAejtpzUXXkgg05wB6O+mPWXwVX +npyVfDhd/2cPp3DU0bFFJ6qSJB9Od5w9HO3Pfr7gfXBEJLCHU5hWL8fBr1uo +5MMp/BxZvmxth0TJh1M4cuIPY5tRvcweTuHAVRfr3EwKkXw4Gv92rTVJeomS +D6f7PPZw1H7mj+5bf8qUfDiFUypntkrKyJB8OIX5v751H7MzC9jD0fi/pmlW +1idB8uFofOT1exT++rR4OIW/dOsbYRKWJ/lwCgPsPdbZ7MsF9nC6z2cPR/NH +evsb09zzgD0ctffGSlPTqBjxcNRePfuFnnuCwB6O6ocKf4+NyxKAPZzCD22C +qqY2TwD2cLS/m/B4S5XNCfFwtB4OOLbQpZG7eDiqR8wiLo32SwP2cAqVzdQq +H98zwB6O6mn7xMoVlUni4Wg9sPHt98OeQGAP93/fj/zn4ah9fJr1jk5PA/Zw +1J5Lch+O6x8nHo7q7UMjArrMjAH2cArXdW5p98imENjDKez4pqOBuyYK2MNR +/3THl5MvJwJ7ON3nsYej591tQbu3JxKBPZzC3ckwvuOEM8AejvY/fSfbxA5M +Eg9H++1VHZd8npgL7OEU5nSYVueUZTawh6Px+6yxr3d3APZw1L86WXiazMkD +9nAK72m+W7Ld5bB4OIVmi01tPRzTxcPR85621eHHJtHAHk5hz9PXIrvaOwN7 +OIVjh//tceTFaWAPR/Viod6uO+PPiIdTmNxqRX6LfvnAHo76/zKP0LhBgcAe +Tnf/7OEUHt19dfUZqv/Zw+mOs4ej9c9dX996bjawh6P+uvVbk5/+igX2cArb +OtSqHtAyFdjD6f6ePZzuNXs4ur6y166r0mLEw1G9MfkF3LSIBfZwCntcqj86 +NroQ2MNR/fjAJMojLg/Yw9F+7ueWl87/Fi4eTnd+9nBUf2RbXs2YHQ/s4RT6 +hj81G0bzIXs4qqde371uuCsO2MMpNCmDa1ZX04E9nMLuiZaX06bEAHs4qu+y +q8sqrWPFwynchsUlgeMR2MPR+YsfnrfomiweTnc97OHoedYZ2kNvIQB7OIWx +rr1qbH9IBvZwCid9Wm/RJN5LPJzCWQ9q/bBGLxfYwymc/l2zFsGzkoA9nO78 +7OFo/xBg8Dnp+wxgD0f7s1HXu4+wChYPp1D7Te2Wl73SxMPRePm9W58p/ifE +wynsF1i/7r71IB5OYUlEwuXMZSeBPRzVBwHmFx+NjRcPpzA1c1KQp2GaeDia +P750Mll5Oko8HI3fak3uWruv+XC662UPR/vvzEt/VtoGAns43XH2cP9+3xXX +dH5SDrCH+7/ve//zcLTfmvPleth7D/FwuuPs4Wh+CjJZ86gkC9jDKaya06P7 +zqoY8XDU/2bYteq0/6uHo3oo1vnG2L/PAHs43fnYw9H6POvzcO3aOGAPR/X7 +z52nnmuZB+zhdO9nD0f1Z1bsp9RG2cAeTmHz/SG9ncakAHs4hdW3Mnd0/lQE +7OF0f88eTuH4id6TrJLTxcPR/PlWv0PzH2KAPZzCyI/GYTfP5gF7ONpv2eTk +LEtPBvZwCk+svLlv/8A48XC0fs6Nf/RtdCawh1OYovmj397BWcAeTqHHjed2 +JVsigD2cwqwNw0NizROBPZzu+tjD0fgZG3c34nG0eDiFTc1rdoVS/2QPR/Op +tt711yPjxMPR/uvzjcMzZ50H9nBUD04p/SHTI1M8HK03E1u3si8AYA+n8NrN +HcGj4pOBPZzCNhu3xkfqXwH2cDTfztqUlFXrArCHo+dXVDbDLSMX2MPR+mLs +c3M51Qvs4aie2OzWOa9BBrCH090Peziab/qvdrNvGwzs4eh8Ga5DJq0rAvZw +Cod9Hl1ivyhYPJzCbxtdWP7BJAfYwyk0NXhThipWPJxCx4KNlWfrxwF7ONo/ +u42bnT/oIrCHo/qj9Uunnt1SgT2cwr51DD4tHhkL7OEU3gjyvLc5+ziwh1P4 +04zzbcuuegN7ONr/du2TdWu7L7CH090Peziq9727r2ra/pB4OGpfj10n6u+J +APZwtD69czNv7hUoHk7himE117aOixcPpzsfezjqPxUP36eMKQb2cLQeBy1S ++fWzgT0cPZ9w21U3V2eIh6P6b5376Ir8fGAPR/P7ucPqaGo8sIf7v+97//Nw +NH8U1Xx52s1VPJzCY3/fi/7YJgnYw9F8UzLGy/x6ArCHo/6p39VlzJvTwB6O +nsedytphc7OAPZzu/OzhFP78bOOsyvGxwB5Od5w9HK1f5V6Ldh2MEg9H9VKX +5U+dp3/Nh1N48oe3eY8HxouHUzjPwbjrbdccYA9H9eAjx18L9l4B9nAKy39d +fuqcVx6wh6P96Z49zxb+dVQ8nMLcl/O6bBqdDezh/u/73v88nO41eziFSZ4R +2/r/FSkejsbbztrBhQtjxMNRf3IKW9usYTSwh9P9PXs4uv9vAy5PwXxgD6fQ +deHQcZPbRAB7ONqfdHl64e60rx5O4alLZnPahKQBeziFs0PS0+wdLgF7OJoP +12cHlLoWA3s4heZ9zVblbYkF9nDU/zs1u1E3KwvYw9H4MOzhHNwmUjwcHff9 +ssQ1Lk48nMLJ962PfD8pF9jDKXw1IHLd3eZxwB6Orl+vYVn8q2zxcAr/qXwx +PbVPmHg4hXsfznkTPSdMPBzdf2jxM/NDqeLhFK4adduzeOhRYA9H9ZPpg29n +DgRgD0fP47BHmnlYMrCHU3jw4MNNv5ZlA3s4hQ26mS5P6X9EPJzCx91+s23d +67h4ONrf9Ow8+7eKJPFwtN7brzxkO6wY2MMp3Of94+C2dH/s4Wh9toBmI6wD +xMMp3Bj9eq+eWYx4ON3zYw+nsE+nDQO3bSgG9nAKO1Xb7KmyTwb2cFQvPO73 +qHfXcGAPR/PVlOp+F5qeA/ZwCid+arLd7WIRsIej9dDcodH5yDRgD6fwTdj1 +no+NI4E9nEL/VPv+L8ZcBfZwCjfVBJUEbvAUD6dwWUmvcFOzLPFwCiO++Vxx +f00RsIej+mZn/vLdkVHAHu7/vu/9z8MpfLRp6u626wqAPZzCzLEv7kxoUQrs +4Wi9vDepJMA2WzwctVf7zC+NU9KAPRzth7ud/LbflCvAHo7mix6/5Jo6ZQB7 +ONof+fU68GXfVWAPp3D+1o/7K8bniIdTuLX+oNlXr6J4OIUL9I4s2LujSDyc +wiG7G59styhHPJzCtfErvo3OKAT2cArdn/a+Muu3r/lwNN+NPtpgh3MysIej +9uzkEXHlSAqwh6P6Ga0HtC7PBfZwdD+XO9qG30gB9nAKLf21r0/ZegB7OBpv +89vOP1onUTycQuMtN65XBF8UD0ftWTnNO94rHdjDKTz8wqWlleFXD0f1QoLT +shp1HtjD0fWexVsmXUqBPZzC20FmXtV0nD0czR/mns3GZN0G9nD0+WNX4l/N +ioA9HNW/tdIyWp7MBvZwCsMM8vZufJAK7OHo9UgXx7012cAeTuHOF79NMr3k +C+zhaL1o71+3Z3KKeDiFBfverhwfHwjs4Wi8/ek8R7MjTzwc7b/0Io2WBl4F +9nC0v5z+uPbufzyBPZzCHWsGpK10zQX2cAq/NAnIMj2eLh6O6iHr714Oul4A +7OEUznwwY+ergljxcDRerw06Gb80Rjwc3e/Mk+22bsoUD0fr/yWXlm4e6cAe +TuGMHvPC69hmAXs4ai+rX5oFrcoQD6dwROiZL02czomHo/119IrfH5ReBvZw +NN/94hw4bl2IeDjdeGAPp1CzqVH5mqO5wB6O6u9JE/q4LssUD0fvn1NvBY5M +FQ9H9Uzc2t52ViXAHk7h8tAFb2f2CAH2cArXLGgdWuh/E9jD6T6PPRyN/7FF +f/xJz5M9HM0/xRudjE1KgT2cwsTr/aO6jMoH9nA03/VcPMe+X6x4OKoXhlzq +nGRaAuzhaP68c2hGaXyMeDiF+huedXM2ugns4ag95nv/1NU+TzwcvX7bd+mn +hGTxcDR/PTW3NF6aJh6O9sOdXA0NNCXi4RRa6MUHf/mQIx6Oxm9KjwP6emni +4RRWGg1ebmSWLR6O6qcFfSqONCsVD6cwaJJLO4PeV4E9HNXXDc4+N2vhLx5O +4aXnZY52heeAPZzCmk92jjD7IrCHo3pj87aKqLdJ4uEUDipp5hg77754OIU/ +9nXtZLa3WDwcPe9h999Y5VwXD6cwvWz7c6eZZcAeTmFt257lqdEXgD0c9e+f +7//ZqX+ZeDiqNwzM/+417o54OIUJA3tNCnOKkXw4hU+XLWqz9NUd8XAKY+x9 +puoXFIqHo/66sLBv2Jx7wB6Ontfh8P16m9PEwyl0vru52cq5xeLhFF5cZK7f +MeAvYA9H/a+51fXut5KBPRztj3cqgws5MeLh6Hl5NbHwPpcl+XAKby6s3djW +M1c8nMLgeov6fp6UL/lw9P4j3bY7fDwu+XAK13vNeXd0+z1gD6fQ7tQbvynG +JcAejuqleo53WkbdEw9H4zOlS/dpNl89HO3v1rw+0ejWQ/FwtB541Phu2FgM +7OEUurVfOmXLhVLxcAqbNB4xxnHZQ/FwChvmeLWb0K9cPBytz1cquvednCYe +ju63wxLHzo3KxMMpbHxqW1EX+zhgD0f7D70DRoGGnpIPR/Oba/upBnoPxcMp +rGjVes+ZdU/Ew9F6UvzO3NK3HNjDKfQtjehy7PZF8XB0vjEPBkUNShUPR/Xy +7DX9Oly5LB5O4ZEh+Xtufzwj+XB0/a/r5H2+4SP5cFTfeKasC1lbLB5OYe8x +O7v8NfUBsIej9/tecVjolCcejvZvIzzsT+67C+zhdPMDeziqZ+ePKnw04brk +w9H+P31q48xz98TDKbzT77sl5+8WiIej+stoXZeyVtni4Wh/rf/axuHpVfFw +Ci8MHvj9zPp/AXs4hef++bnud9tuiIej/fKKfQ59NrwB9nAKVb8F0XqTnwN7 +OOpPRlv0xzuViIej+jBm3T2PWs/Ew1H71gn3nH/3PrCHo/EXlTqpzavr4uGo +nvcx8vVscgvYw+nulz0cPf/lXp+Mhl6WfDjdcfZw1D+dFs7d0uac5MNRvdHx +2br1BZfFwync36tOo29tKoA9HD2PWbbx73q9Fg9H841PGeR+qRAPR/vzb4pX +jZ6eLx6O5teThjFf5pYBeziFXi6Hz3WoviL5cFoMt/ryvpO2QPLhtNh7hGXO +vYCn4uG0eMMj5Ptx5feAPZwWjyWnBfd0fiYeTotux1yS9Pc/kd9L1eKF9lXV ++hvKJR9Oiys6mfgtPnpDPJwWvSvPwAjXbMmH02JkxLFxR5MixMPR9WhSjc48 +0IqH0+LkRXHfu31Q4uG0aBm9xyPA8Z7kw2nxx2u+E8vzz0o+nBbHGq+4b2l3 +X34vVYu/Vk8u6X7qmng4LV7tPy7aNvCueDgtvi4YX1RRnC75cFpc/eCpRVW7 +SmAPp8VHe04OLn/7BNjDadE21nK8b/1P4uG0uL5D7+VGqYckH06Li9o9NNxc ++VA8nBY3Hth2eJzxB/FwWsyf/92ztS3fAns4LTYZd3VmZV2NeDgt+gQ8HFR7 +wEfxcFosNWlYPmnJR/FwWozbWrLn94Ln4uG06Le3YmRkn0fi4bQ43NgoraxI +iYfTYoZPbvPQffUy2MNpsfDTQOu4Bi/Fw2mxtdZ7Y9ZqJb+XqsWqzNXPtsY3 +zGAPp8XOHS8O37u9RH4vVYvPVpnc/9xKI7+XqsXQuuf1c0JeSz4ctd+58idh +f9fJYA+nxfsHY3Z3ia2SfDgt2t+aW3tASIMM9nBa3Pf3jFb3zbTi4bTYPbnU +curFGvFwWmx29Hrt2XZNM9jDabH81aiPi2e/lHw4Lb4M+nGy5/XaGezh6PyP +v0nf9p1hBns4Lda5HD4m01U/gz2cFl22/umUZHJVPJwWe70e3M10c8PR7OG0 +WH2/YNBJV/3R7OG0uHNK4rhbY+plsofTol3X+Mx2VvUyL138999b3f+PeP77 +L3XM19f/A2leaeo= + "], {{}, {}, + TagBox[ + {RGBColor[1, 0, 0], PointSize[ + NCache[ + Rational[1, 360], 0.002777777777777778]], AbsoluteThickness[2], + LineBox[CompressedData[" +1:eJwl2kMUIMqSBcDftm3b9mvbtm3btm3btm3btu2JPrOIU5ta1KaUeRM0aFuh +TYD//e9/aUP973//xoAEIjBBCEowghOCkJj6v9CEISzhCE8EIhKJyEQhKtGI +TgxiEovYxCEu8YhPAhKSiMQkISnJSE4KUpKK1KT5t27SkZ4MZCQTmclCVrKR +nRzkJBe5ycN/5CUf+SlAQQpRmCIUpRjFKUFJSlGaMpSlHOWpQEUqUZkqVKUa +1alBTWpRmzrUpR71aUBDGtGYJjSlGc1pQUta0Zo2tKUd7elARzrRmS50pRvd +6UFPetGbPvSlH/0ZwEAGMZghDGUYwxnBSEYxmjGMZRzjmcBEJjGZKUxlGtOZ +wUxmMZs5zGUe81nAQhaxmCUsZRnLWcFKVrGaNaxlHevZwEY2sZktbGUb29nB +Tnaxmz3sZR/7OcBBDnGYIxzlGMc5wUlOcZoznOUc57nARS5xmStc5RrXucFN +bnGbO9zlHvd5wEMe8ZgnPOUZz3nBS17xmje85R3v+cBHPvGZL3zlG9/5wU9+ +8Zs//OXf5g9AQAIRmCAEJRjBCUFIQhGaMIQlHOGJQEQiEZkoRCUa0YlBTGIR +mzjEJR7xSUBCEpGYJCQlGclJQUpSkZo0pCUd6clARjKRmSxkJRvZyUFOcpGb +PPxHXvKRnwIUpBCFKUJRilGcEpSkFKUpQ1nKUZ4KVKQSlalCVapRnRrUpBa1 +qUNd6lGfBjSkEY1pQlOa0ZwWtKQVrfl3eLelHe3pQEc60ZkudKUb3elBT3rR +mz70pR/9GcBABjGYIQxlGMMZwUhGMZoxjGUc45nARCYxmSlMZRrTmcFMZjGb +OcxlHvNZwEIWsZglLGUZy1nBSlaxmjWsZR3r2cBGNrGZLWxlG9vZwU52sZs9 +7GUf+znAQQ5xmCMc5RjHOcFJTnGaM5zlHOe5wEUucZkrXOUa17nBTW5xmzvc +5R73ecBDHvGYJzzlGc95wUte8Zo3vOUd7/nARz7xmS985Rvf+cFPfvGbP/zl +38UfgIAEIjBBCEowghOCkIQiNGEISzjCE4GIRCIyUYhKNKITg5jEIjZxiEs8 +4pOAhCQiMUlISjKSk4KUpCI1aUhLOtKTgYxkIjNZyEo2spODnOQiN3n4j7zk +Iz8FKEghClOEohSjOCUoSSlKU4aylKM8FahIJSpThapUozo1qEktalOHutSj +Pg1oSCMa04SmNKM5LWhJK1rThra0oz0d6EgnOtOFrnSjOz3oSS9604e+9KM/ +AxjIIAYzhKEMYzgjGMkoRjOGsYxjPBOYyCQmM4WpTGM6M5jJLGYzh7nMYz4L +WMgiFrOEpSxjOStYySpWs4a1rGM9G9jIJjazha1sYzs72MkudrOHvexjPwc4 +yCEOc4SjHOM4JzjJKU5zhrOc4zwXuMglLnOFq1zjOje4yS1uc4e73OM+D3jI +Ix7zhKc84zkveMkrXvOGt7zjPR/4yCc+84WvfOM7P/jJL37zh7/8e/QHICCB +CEwQghKM4IQgJKEITRjCEo7wRCAikYhMFKISjejEICaxiE0c4hKP+CQgIYlI +TBKSkozkpCAlqUhNGtKSjvRkICOZyEwWspKN7OQgJ7nITR7+Iy/5yE8BClKI +whShKMUoTglKUorSlKEs5ShPBSpSicpUoSrVqE4NalKL2tShLvWoTwMa0ojG +NKEpzWhOC1rSita0oS3taE8HOtKJznShK93oTg960ove9KEv/ejPAAYyiMEM +YSjDGM4IRjKK0YxhLOMYzwQmMonJTGEq05jODGYyi9nMYS7zmM8CFrKIxSxh +KctYzgpWsorVrGEt61jPBjayic1sYSvb2M4OdrKL3exhL/vYzwEOcojDHOEo +xzjOCU5yitOc4SznOM8FLnKJy1zhKte4zg1ucovb3OEu97jPAx7yiMc84SnP +eM4LXvKK17zhLe94zwc+8onPfOEr3/jOD37yi9/84S//PvwBCEggAhOEoAQj +OCEISShCE4awhCM8EYhIJCIThahEIzoxiEksYhOHuMQjPglISCISk4SkJCM5 +KUhJKlKThrSkIz0ZyEgmMpOFrGQjOznISS5yk4f/yEs+8lOAghSiMEUoSjGK +U4KSlKI0ZShLOcpTgYpUojJVqEo1qlODmtSiNnWoSz3q04CGNKIxTWhKM5rT +gpa0ojVtaEs72tOBjnSiM13oSje604Oe9KI3fehLP/ozgIEMYjBDGMowhjOC +kYxiNGMYyzjGM4GJTGIyU5jKNKYzg5nMYjZzmMs85rOAhSxiMUtYyjKWs4KV +rGI1a1jLOtazgY1sYjNb2Mo2trODnexiN3vYyz72c4CDHOIwRzjKMY5zgpOc +4jRnOMs5znOBi1ziMle4yjWuc4Ob3OI2d7jLPe7zgIc84jFPeMoznvOCl7zi +NW94yzve84GPfOIzX/jKN77zg5/84jd/+Mu/Yl8AAhKIwAQhKMEITghCEorQ +hCEs4QhPBCISichEISrRiE4MYhKL2MQhLvGITwISkojEJCEpyUhOClKSitSk +IS3pSE8GMpKJzGQhK9nITg5ykovc5OE/8pKP/BSgIIUoTBGKUozilKAkpShN +GcpSjvJUoCKVqEwVqlKN6tSgJrWoTR3qUo/6NKAhjWhME5rSjOa0oCWtaE0b +2tKO9nSgI53oTBe60o3u9KAnvehNH/rSj/4MYCCDGMwQhjKM4YxgJKMYzRjG +Mo7xTGAik5jMFKYyjenMYCazmM0c5jKP+SxgIYtYzBKWsozlrGAlq1jNGtay +jvVsYCOb2MwWtrKN7exgJ7vYzR72so/9HOAghzjMEY5yjOOc4CSnOM0ZznKO +81zgIpe4zBWuco3r3OAmt7jNHe5yj/s84CGPeMwTnvKM57zgJa94zRve8o73 +fOAjn/jMF77yje/84Ce/+M0f/vKv0B+AgAQiMEEISjCCE4KQhCI0YQhLOMIT +gYhEIjJRiEo0ohODmMQiNnGISzzik4CEJCIxSUhKMpKTgpSkIjVpSEs60pOB +jGQiM1nISjayk4Oc5CI3efiPvOQjPwUoSCEKU4SiFKM4JShJKUpThrKUozwV +qEglKlOFqlSjOjWoSS1qU4e61KM+DWhIIxrThKY0ozktaEkrWtOGtrSjPR3o +SCc604WudKM7PehJL3rTh770oz8DGMggBjOEoQxjOCMYyShGM4axjGM8E5jI +JCYzhalMYzozmMksZjOHucxjPgtYyCIWs4SlLGM5K1jJKlazhrWsYz0b2Mgm +NrOFrWxjOzvYyS52s4e97GM/BzjIIQ5zhKMc4zgnOMkpTnOGs5zjPBe4yCUu +c4WrXOM6N7jJLW5zh7vc4z4PeMgjHvOEpzzjOS94ySte84a3vOM9H/jIJz7z +ha984zs/+MkvfvOHv/xr8gUgIIEITBCCEozghCAkoQhNGMISjvBEICKRiEwU +ohKN6MQgJrGITRziEo/4JCAhiUhMEpKSjOSkICWpSE0a0pKO9GQgI5nITBay +ko3s5CAnuchNHv4jL/nITwEKUojCFKEoxShOCUpSitKUoSzlKE8FKlKJylSh +KtWoTg1qUova1KEu9ahPAxrSiMY0oSnNaE4LWtKK1rShLe1oTwc60onOdKEr +3ehOD3rSi970oS/96M8ABjKIwQxhKMMYzghGMorRjGEs4xjPBCYyiclMYSrT +mM4MZjKL2cxhLvOYzwIWsojFLGEpy1jOClayitWsYS3rWM8GNrKJzWxhK9vY +zg52sovd7GEv+9jPAQ5yiMMc4SjHOM4JTnKK05zhLOc4zwUuconLXOEq17jO +DW5yi9vc4S73uM8DHvKIxzzhKc94zgte8orXvOEt73jPBz7yic984Svf+M4P +fvKL3/zhL/8a/AEISCACE4SgBCM4IQhJKEIThrCEIzwRiEgkIhOFqEQjOjGI +SSxiE4e4xCM+CUhIIhKThKQkIzkpSEkqUpOGtKQjPRnISCYyk4WsZCM7OchJ +LnKTh//ISz7yU4CCFKIwRShKMYpTgpKUojRlKEs5ylOBilSiMlWoSjWqU4Oa +1KI2dahLPerTgIY0ojFNaEozmtOClrSiNW1oSzva04GOdKIzXehKN7rTg570 +ojd96Es/+jOAgQxiMEMYyjCGM4KRjGI0YxjLOMYzgYlMYjJTmMo0pjODmcxi +NnOYyzzms4CFLGIxS1jKMpazgpWsYjVrWMs61rOBjWxiM1vYyja2s4Od7GI3 +e9jLPvZzgIMc4jBHOMoxjnOCk5ziNGc4yznOc4GLXOIyV7jKNa5zg5vc4jZ3 +uMs97vOAhzziMU94yjOe84KXvOI1b3jLO97zgY984jNf+Mo3vvODn/ziN3/4 +y79wTwACEojABCEowQhOCEISitCEISzhCE8EIhKJyEQhKtGITgxiEovYxCEu +8YhPAhKSiMQkISnJSE4KUpKK1KQhLelITwYykonMZCEr2chODnKSi9zk4T/y +ko/8FKAghShMEYpSjOKUoCSlKE0ZylKO8lSgIpWoTBWqUo3q1KAmtahNHepS +j/o0oCGNaEwTmtKM5rSgJa1oTRva0o72dKAjnehMF7rSje70oCe96E0f+tKP +/gxgIIMYzBCGMozhjGAkoxjNGMYyjvFMYCKTmMwUpjKN6cxgJrOYzRzmMo/5 +LGAhi1jMEpayjOWsYCWrWM0a1rKO9WxgI5vYzBa2so3t7GAnu9jNHvayj/0c +4CCHOMwRjnKM45zgJKc4zRnOco7zXOAil7jMFa5yjevc4Ca3uM0d7nKP+zzg +IY94zBOe8oznvOAlr3jNG97yjvd84COf+MwXvvKN7/zgJ7/4zR/+8i/YF4CA +BCIwQQhKMIITgpCEIjRhCEs4whOBiEQiMlGISjSiE4OYxCI2cYhLPOKTgIQk +IjFJSEoykpOClKQiNWlISzrSk4GMZCIzWchKNrKTg5zkIjd5+I+85CM/BShI +IQpThKIUozglKEkpSlOGspSjPBWoSCUqU4WqVKM6NahJLWpTh7rUoz4NaEgj +GtOEpjSjOS1oSSta04a2tKM9HehIJzrTha50ozs96EkvetOHvvSjPwMYyCAG +M4ShDGM4IxjJKEYzhrGMYzwTmMgkJjOFqUxjOjOYySxmM4e5zGM+C1jIIhaz +hKUsYzkrWMkqVrOGtaxjPRvYyCY2s4WtbGM7O9jJLnazh73sYz8HOMghDnOE +oxzjOCc4ySlOc4aznOM8F7jIJS5zhatc4zo3uMktbnOHu9zjPg94yCMe84Sn +POM5L3jJK17zhre84z0f+MgnPvOFr3zjOz/4yS9+84e//Av1BiAggQhMEIIS +jOCEICShCE0YwhKO8EQgIpGITBSiEo3oxCAmsYhNHOISj/gkICGJSEwSkpKM +5KQgJalITZpQ/59b/j8pdrn2 + "]]}, + Annotation[#, "Charting`Private`Tag#1"]& ], + TagBox[ + {GrayLevel[0], PointSize[ + NCache[ + Rational[1, 360], 0.002777777777777778]], AbsoluteThickness[2], + LineBox[CompressedData[" +1:eJwN00OCIAgCALDaadu2bdu2bdu2bdu2bdu2bXtzyBOSoGG7im3/FxAQkCZU +QEA60pOBjGQiM1nISjayk4Oc5CI3echLPvJTgIIUojBFKEoxilOCkpSiNGUo +SznKU4GKVKIyVahKNapTg5rUojZ1qEs96tOAhjSiMU1oSjOa04KWtKI1bWhL +O9rTgY50ojNd6Eo3utODnvSiN33oSz/6M4CBDGIwQxjKMIYzgpGMYjRjGMs4 +xjOBiUxiMlOYyjSmM4OZzGI2c5jLPOazgIUsYjFLWMoylrOClaxiNWtYyzrW +s4GNbGIzW9jKNrazg53sYjd72Ms+9nOAgxziMEc4yjGOc4KTnOI0ZzjLOc5z +gYtc4jJXuMo1rnODm9ziNne4yz3u84CHPOIxT3jKM57zgpe84jVveMs73vOB +j3ziM1/4yje+84Of/OI3f/jLPwJCBwT8j/8IRGCCEJRgBCcEIQlFaMIQlnCE +JwIRiURkohCVaEQnBjGJRWziEJd4xCcBCUlEYpKQlGQkJwUpSUVq0pCWdKQn +AxnJRGaykJVsZCcHOclFbvKQl3zkpwAFKURhilCUYhSnBCUpRWnKUJZylKcC +FalEZapQlWpUpwY1qUVt6lCXetSnAQ1pRGOa0JRmNKcFLWlFa9rQlna0pwMd +6URnutCVbnSnBz3pRW/60Jd+9GcAAxnEYIYwlGEMZwQjGcVoxjCWcYxnAhOZ +xGSmMJVpTGcGM5nFbOYwl3nMZwELWcRilrCUZSxnBStZxWrWsJZ1rGcDG9nE +ZrawlW1sZwc72cVu9rCXfeznAAc5xGGOcJRjHOcEJznFac5wlnOc5wIXucRl +rnCVa1znBje5xW3ucJd73OcBD3nEY57wlGc85wUvecVr3vCWd7znAx/5xGe+ +8JVvfOcHP/nFb/7wl38EhPGf/whEYIIQlGAEJwQhCUVowhCWcIQnAhGJRGSi +EJVoRCcGMYlFbOIQl3jEJwEJSURikpCUZCQnBSlJRWrSkJZ0pCcDGclEZrKQ +lWxkJwc5yUVu8pCXfOSnAAUpRGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCV +alSnBjWpRW3qUJd61KcBDWlEY5rQlGY0pwUtaUVr2tCWdrSnAx3pRGe60JVu +dKcHPelFb/rQl370ZwADGcRghjCUYQxnBCMZxWjGMJZxjGcCE5nEZKYwlWlM +ZwYzmcVs5jCXecxnAQtZxGKWsJRlLGcFK1nFatawlnWsZwMb2cRmtrCVbWxn +BzvZxW72sJd97OcABznEYY5wlGMc5wQnOcVpznCWc5znAhe5xGWucJVrXOcG +N7nFbe5wl3vc5wEPecRjnvCUZzznBS95xWve8JZ3vOcDH/nEZ77wlW985wc/ ++cVv/vCXfwSE9Z//CERgghCUYAQnBCEJRWjCEJZwhCcCEYlEZKIQlWhEJwYx +iUVs4hCXeMQnAQlJRGKSkJRkJCcFKUlFatKQlnSkJwMZyURmspCVbGQnBznJ +RW7ykJd85KcABSlEYYpQlGIUpwQlKUVpylCWcpSnAhWpRGWqUJVqVKcGNalF +bepQl3rUpwENaURjmtCUZjSnBS1pRWva0JZ2tKcDHelEZ7rQlW50pwc96UVv ++tCXfvRnAAMZxGCGMJRhDGcEIxnFaMYwlnGMZwITmcRkpjCVaUxnBjOZxWzm +MJd5zGcBC1nEYpawlGUsZwUrWcVq1rCWdaxnAxvZxGa2sJVtbGcHO9nFbvaw +l33s5wAHOcRhjnCUYxznBCc5xWnOcJZznOcCF7nEZa5wlWtc5wY3ucVt7nCX +e9znAQ95xGOe8JRnPOcFL3nFa97wlne85wMf+cRnvvCVb3znBz/5xW/+8Jd/ +BITzn/8IRGCCEJRgBCcEIQlFaMIQlnCEJwIRiURkohCVaEQnBjGJRWziEJd4 +xCcBCUlEYpKQlGQkJwUpSUVq0pCWdKQnAxnJRGaykJVsZCcHOclFbvKQl3zk +pwAFKURhilCUYhSnBCUpRWnKUJZylKcCFalEZapQlWpUpwY1qUVt6lCXetSn +AQ1pRGOa0JRmNKcFLWlFa9rQlna0pwMd6URnutCVbnSnBz3pRW/60Jd+9GcA +AxnEYIYwlGEMZwQjGcVoxjCWcYxnAhOZxGSmMJVpTGcGM5nFbOYwl3nMZwEL +WcRilrCUZSxnBStZxWrWsJZ1rGcDG9nEZrawlW1sZwc72cVu9rCXfeznAAc5 +xGGOcJRjHOcEJznFac5wlnOc5wIXucRlrnCVa1znBje5xW3ucJd73OcBD3nE +Y57wlGc85wUvecVr3vCWd7znAx/5xGe+8JVvfOcHP/nFb/7wl38EhPef/whE +YIIQlGAEJwQhCUVowhCWcIQnAhGJRGSiEJVoRCcGMYlFbOIQl3jEJwEJSURi +kpCUZCQnBSlJRWrSkJZ0pCcDGclEZrKQlWxkJwc5yUVu8pCXfOSnAAUpRGGK +UJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBjWpRW3qUJd61KcBDWlEY5rQ +lGY0pwUtaUVr2tCWdrSnAx3pRGe60JVudKcHPelFb/rQl370ZwADGcRghjCU +YQxnBCMZxWjGMJZxjGcCE5nEZKYwlWlMZwYzmcVs5jCXecxnAQtZxGKWsJRl +LGcFK1nFatawlnWsZwMb2cRmtrCVbWxnBzvZxW72sJd97OcABznEYY5wlGMc +5wQnOcVpznCWc5znAhe5xGWucJVrXOcGN7nFbe5wl3vc5wEPecRjnvCUZzzn +BS95xWve8JZ3vOcDH/nEZ77wlW985wc/+cVv/vCXfwRE8J//CERgghCUYAQn +BCEJRWjCEJZwhCcCEYlEZKIQlWhEJwYxiUVs4hCXeMQnAQlJRGKSkJRkJCcF +KUlFatKQlnSkJwMZyURmspCVbGQnBznJRW7ykJd85KcABSlEYYpQlGIUpwQl +KUVpylCWcpSnAhWpRGWqUJVqVKcGNalFbepQl3rUpwENaURjmtCUZjSnBS1p +RWva0JZ2tKcDHelEZ7rQlW50pwc96UVv+tCXfvRnAAMZxGCGMJRhDGcEIxnF +aMYwlnGMZwITmcRkpjCVaUxnBjOZxWzmMJd5zGcBC1nEYpawlGUsZwUrWcVq +1rCWdaxnAxvZxGa2sJVtbGcHO9nFbvawl33s5wAHOcRhjnCUYxznBCc5xWnO +cJZznOcCF7nEZa5wlWtc5wY3ucVt7nCXe9znAQ95xGOe8JRnPOcFL3nFa97w +lne85wMf+cRnvvCVb3znBz/5xW/+8Jd/BET0n/8IRGCCEJRgBCcEIQlFaMIQ +lnCEJwIRiURkohCVaEQnBjGJRWziEJd4xCcBCUlEYpKQlGQkJwUpSUVq0pCW +dKQnAxnJRGaykJVsZCcHOclFbvKQl3zkpwAFKURhilCUYhSnBCUpRWnKUJZy +lKcCFalEZapQlWpUpwY1qUVt6lCXetSnAQ1pRGOa0JRmNKcFLWlFa9rQlna0 +pwMd6URnutCVbnSnBz3pRW/60Jd+9GcAAxnEYIYwlGEMZwQjGcVoxjCWcYxn +AhOZxGSmMJVpTGcGM5nFbOYwl3nMZwELWcRilrCUZSxnBStZxWrWsJZ1rGcD +G9nEZrawlW1sZwc72cVu9rCXfeznAAc5xGGOcJRjHOcEJznFac5wlnOc5wIX +ucRlrnCVa1znBje5xW3ucJd73OcBD3nEY57wlGc85wUvecVr3vCWd7znAx/5 +xGe+8JVvfOcHP/nFb/7wl38ERPKf/whEYIIQlGAEJwQhCUVowhCWcIQnAhGJ +RGSiEJVoRCcGMYlFbOIQl3jEJwEJSURikpCUZCQnBSlJRWrSkJZ0pCcDGclE +ZrKQlWxkJwc5yUVu8pCXfOSnAAUpRGGKUJRiFKcEJSlFacpQlnKUpwIVqURl +qlCValSnBjWpRW3qUJd61KcBDWlEY5rQlGY0pwUtaUVr2tCWdrSnAx3pRGe6 +0JVudKcHPelFb/rQl370ZwADGcRghjCUYQxnBCMZxWjGMJZxjGcCE5nEZKYw +lWlMZwYzmcVs5jCXecxnAQtZxGKWsJRlLGcFK1nFatawlnWsZwMb2cRmtrCV +bWxnBzvZxW72sJd97OcABznEYY5wlGMc5wQnOcVpznCWc5znAhe5xGWucJVr +XOcGN7nFbe5wl3vc5wEPecRjnvCUZzznBS95xWve8JZ3vOcDH/nEZ77wlW98 +5wc/+cVv/vCXfwRE9p//CERgghCUYAQnBCEJRWjCEJZwhCcCEYlEZKIQlWhE +JwYxiUVs4hCXeMQnAQlJRGKSkJRkJCcFKUlFatKQlnSkJwMZyURmspCVbGQn +BznJRW7ykJd85KcABSlEYYpQlGIUpwQlKUVpylCWcpSnAhWpRGWqUJVqVKcG +NalFbepQl3rUpwENaURjmtCUZjSnBS1pRWva0JZ2tKcDHelEZ7rQlW50pwc9 +6UVv+tCXfvRnAAMZxGCGMJRhDGcEIxnFaMYwlnGMZwITmcRkpjCVaUxnBjOZ +xWzmMJd5zGcBC1nEYpawlGUsZwUrWcVq1rCWdaxnAxvZxGa2sJVtbGcHO9nF +bvawl33s5wAHOcRhjnCUYxznBCc5xWnOcJZznOcCF7nEZa5wlWtc5wY3ucVt +7nCXe9znAQ95xGOe8JRnPOcFL3nFa97wlne85wMf+cRnvvCVb3znBz/5xW/+ +8Jd/BETxn/8IRGCCEJRgBCcEIQlFaMIQlnCEJwIRiURkohCVaEQnBjGJRWzi +EJd4xCcBCUlEYpKQlGQkJwUpSUVq0pCWdKQnAxnJRGaykJVsZCcHOclFbvKQ +l3zkpwAFKURhilCUYhSnBCUpRWnKUJZylKcCFalEZapQlWpUpwY1qUVt6lCX +etSnAQ1pRGOa0JRmNKcFLWlFa9rQlna0pwMd6URnutCVbnSnBz3pRW/60Jd+ +9GcAAxnEYIYwlGEMZwQjGcVoxjCWcYxnAhOZxGSmMJVpTGcGM5nFbOYwl3nM +ZwELWcRilrCUZSxnBStZxWrWsJZ1rGcDG9nEZrawlW1sZwc72cVu9rCXfezn +AAc5xGGOcJRjHOcEJznFac5wlnOc5wIXucRlrnCVa1znBje5xW3ucJd73OcB +D3nEY57wlGc85wUvecVr3vCWd7znAx/5xGe+8JVvfOcHP/nFb/7wl38ERPWf +/whEYIIQlGAEJwQhCUVowhCWcIQnAhGJRGSiEJVoRCcGMYlFbOIQl3jEJwEJ +SURikpCUZCQnBSlJRWrSkJZ0pCcDGclEZrKQlWxkJwc5yUVu8pCXfOSnAAUp +RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBjWpxf8B+PQ+yg== + "]]}, + Annotation[#, "Charting`Private`Tag#2"]& ], + TagBox[ + {GrayLevel[0], PointSize[ + NCache[ + Rational[1, 360], 0.002777777777777778]], AbsoluteThickness[2], + Dashing[{Small, Small}], LineBox[{5724, 5725}]}, + Annotation[#, "Charting`Private`Tag#3"]& ]}], + {"WolframDynamicHighlight", <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>}], + DynamicBox[(Charting`HighlightActionBox["DynamicHighlight", {}, + Slot["HighlightElements"], + Slot["LayoutOptions"], + Slot["Meta"], + Charting`HighlightActionFunction["DynamicHighlight", + GraphicsComplex[CompressedData[" +1:eJw0mnk0Vt/bhw1JRQMVSUgZEoW+RSVuc5KQJKWIUEkiGYqiRJIiRGVKyFAy +z8M2z/M8z1Q45zw0h3r3b63z/mVd63mc5+zpvj97rUvY4oaBFQsTE1OBFBPT +//4+9mcW/sFCIJkVU3PX9DfC/7M889L0//ja1JbeGlYCjWe/57l6gRdOKMkE +vFpBoMhJZ8GaRn6QDjuqeY2NQN9nDG4c1tsOXAzT5SMrCTRYp/1K5ssO+Krl +nLWOnUAVZSauilmi0BXz9NooZkNPLSfjtF2Q+yduR8YqAl1wu/DDdUgSXp8q +6vNaTSDBEnuzUAVpcP/QEXh6DYFODzBWGVrJginb7FFxDgJl3NfJMdD4D5RN +Wf79wgzbV0yXKx6AHbl8OfWcBOqa2aTxRlceVmyQvR6xlkAT5soe0y6HYPqK +lojdOgIZHeKE5/kKUFtmNgDrCeQN5XsiNipB8laXIK4NBOLbkXebWU0Z/B2f +HZvAXPnPMfyirwrcaIxnyuYi0Cv3Ed0vfapwUrQ414ebQLny4tav5NXhv3ud +dsYbCbQ1ui/DLkYDNvfMiu7eRKADE9Nsl3mPwk9p1qFFzE9n3kh7vtKCPt+t +IU2bCXROo/Bfrrg2FI7JHo/mweshfFyDs/w4RB4+xuLAS6DUIzXNd66cAI/g +i/mqWwgkPcBuw8yvB+ZzLvab+PD4z+9caLbVB3WNAPFpzMfvrWcTNzgJYlHv +hnO3EigrrLrjkaIBrPpZ/OIxP4F0Q3+qMfaeghm9Lh2TbXg+OVgUzMQMoTFx +jnWPAIFExH9Eduw8DR+ZVxT+xeyVGnJSR9wIAs/x32wVxONZ1j1cK3MGbmbu +k3grhOfrm+YRTWVjMOTUHnXcTqA7y7oKVafPgpyVeZiGMIHYWWa2qjucA74S +V13eHQRquXS8pCzIBBZ5Atm+YJb/ZbRKseA8DN1IKCrYSSAmlpiBrE8XANWW +OPqLEEhV0m7rLn4ziBHu3m0qSqAidLZDe/dF8LpDjEmLEQjt45D9W3cRrDpW +vGIWJ1C7zo/QFFtzOCq1Tb8D88DGKCdjbguQ8P6PPX4XXu+MC4p/CyyAY1i7 +xFmCQDpOV0yjrC8BKWfhpLUbj7emTuvgZktoDbgttVWSQNYyVrYN1ZaQ8Tlw +Yhbzdv0fB8+4WUGISuLrYikCjQ5uEBzYZw3Or9HJgD0Emore/sSIsAbjr92r +zPcSKOTmVao2+TIc1iHRPmn8fAX2AtlrV2BbPJvLChn8+SxxNnDvVfi7vG1v +N2YOty+Kk9+uwqjR/qkEWQJFTUd2SpXYQEXq8Yjb+wgUKvXI/6rfNYhfdenU +8f/w+Tz2u+PVWVt4ZH5njcB+AsnJb+crlrwOVwuel5GYxaf/1bUz2cHxjUmu +pQcIpKV0y7C/1w722JZKB8nh/bJVVqwj8wasr+qZviRPoMAd5kbDWvYwL0BF +HjiI92th1e7j+fbQ4bzyNPshAj2SmKyWlnSA7BYBzj7MSFZz7EmUA7zcdaAi ++TCBhp+Yy5htvAl37uvccVcgkN9omE3S45twvv+SrO4RAv2skdO0YXYEpf/c +PgspEuhhrcvtmDuOsN0/KHoes/ZpzwLd747AMpVkVKFEoOxGc+TgcAsmFcvW +vgACCbjwKa2hbkF1aG+ltTKBTL0D53jtnCCRotwOqhDIfn2E52vSCfy02P9b +o0qgf9zLqc/tneF6jODMAGaOmxdllr86g96fAzEpagS6O2+aO+jqArKnThh7 +qBOoRv7uH+l/LrDxg+X6kxoEutcgmfrDxxW+r3Cv3qFJoEWuGj+ZDbeh50Lw +3W+Yv54IUx16fRvyc5L3Vx/F9Suq9cmS2B0IX18+G6aF9/tiEP+zrDtw90rf +26vHCPTy0My752puYFbGOKugTaAZ5ubxlZ1uoLJ1Fdfa4wTK6xJ+SFi5g4ij +UO0w5i0c2aDx2x1WNsp5pOkQ6HODav3aZ3fhs4iu3IMTBLLbdLNXU+Qe1N+1 +Ik7pEoglo0uQUXgPPnS7x4nqEShNZe7k6tMe8Ew6xOQn5pG928WCKA+w933P +XadPoKXeCfEqDU8wGCuve32SQD6Xg8pcQzxh/+F+T1sDAikn7yuYmPAEnuB5 +eaVT+DzZts2y7b8Pv2dXUesNCdRr6iAz8vA+DKhvfzeGeYybw+JG930ojpS/ +kHkaz8df/3M5ux5A9A/dTd5GeD+4DHzNdHsA9/WsG4zO4P2X28p+peUBXEq8 ++2CXMYHYuo/Yt+/0Ag3mF4f+YJZvnFr51dULxM99YDScJVCAw6P4umYvWJ1Z +kRB5DtcH9w5RI9GHMMsxYHrDhECXPB3MX7o/hGbLhc0q5/Hn1F/ZwM6HkFa8 +uon7AoEKedY7KO7xhiAe4YeTmMO3S/6K9vGGWzcOKuSYEojkan+TO+oNRrV6 +C4/MCGRV76r+UMEHDgpfTjp7kUDxrH5FbGE+sPXOvYuS5gT6oG89rvDVB5ba +X/AuYxYTsAwQ038Ew5Ipzc0WBGr80BJRlfIISh9Wer+5hOvX1tmJLZy+EDs0 +cOSmJYGGjEnF7dd8wVvu61c1KwLtl2Z/OFDvC5cD1rzfbE0gTYWzAdqSj+HY +Z2GLT5gdqeWD1/0fg6TKIb78ywQ6E7TinBr5GNa+1m/1u4J/X+lmQ6O+H1AL +lx+dv0qgNaMq1quz/KDtuIfSXht8fuUtWX/zPoHMuNDv/zB3dSG3cPcn8GI5 +5UPbNQKpC8gmMsaegKtR1aVYW9zvlP3Mfx31h3Opg1udrhPopmqwZ8ZHfziy +6lubph2B4r6tHhbkeQqC5hyPt9wg0NufMQaK954CU8EO5RnM3fkrctd+egrj +3Id/FtoTaK1L20SA/jOovHby41MHAnXIR6ZXFDyDd5VXrMxu4v3UuvArUTQA +fAU8t8k64n49bhAEzwPAxjmsg+UWgRx6/jN6tBwAJ1o++nViblnwXKd8IBCk +d1WrvHMi0AqfgJFNtoHAdX/ol4szgVweO6xRjQ2Er33fUo+5EMi/6oRbTX8g +dO3jvMzviuvptIZgPPdzyH2yU5DAHODuPNKv/RxeTR7uKrlNoLo/P5KveD0H +N0UD/8A7BHqX22erW/QcLoReVbNww/M1J8nl//05AOX55z93Ah3K+u4lLB0E +O7ReprPdJZDK2sPp664GwYqY1Cs9mH90/3h4KjYIpn9XCyXdw/WvXWSWGgqC +WoPh7jseOA+9zW2Z2BIMye+/P9XxJNBcXaSgtGEw+K9YqyF4n0B6KaUVbQHB +YHdBZInCzP58xfuGhmDQz1HILHtAoMxXWqXbVoXAvvWnbIK9CFTeYE1VqofA +pis2wlYPcT/g2C9SeT8Efpbe75XzJhAvv6f2VhQCfXyvAlb5ECgncrNuzVII +FN5M0+zH3LWxYGvD4RcQ2VCz/P4RXu/BPRGit1+Ah8hI1l1fAl07IFXSnfsC +zO/+uKb3GI/30DXb4R8vQK177U5hPwJVjYYHHZELBVFp0f4FzG3MNtvmnUKB +3ffI88on+HzruX9fzA6FL6OntEL9CeRkfGv1hR+h0Hjo2r/LT3E9f0yqbZIP +g49BD3IOPSOQ1O4HgcKuYRA4++o6RwCBHpiW9T/MD4Ob6ukiQ5gHRQ+tU1oM +A8PI2oGPgXj+zjnwnFB8CXI/RoI8nxPoaubccLrHS9ii9/OYQRCeH7TrlG35 +S/iTsI5ZJBj//0ykqTvbKxhkEsv7jrnpNpob03oFJWcVb9SEEOj5k8XPEf6v +ICbDUOzVCwI1bClUSml9BV4ctkM2oQS6/dW8j3vza7Cy9Ao5EobzV7VuXNPZ +13C0+PXxdS8JdJlnwG8o6jVI8GSwjGLmBBNX1cnXwHGjLj/9FYGuOAkaL0mE +A1Ezau/1Gu+varvNHPbh0LL9l/jpcAJJ8jwPt8sJh/Tb60fEIvB6Cld1iS2H +Q3C7WOgvzLfvaKT+px4BzpJKJ+ojcb70P7rxxZMIMH54ekVE1P/y4OKcdkcE +HB6yLbwejfNDpr/4af5I2Cb38Ca8IZBN8/aPmZci4e+zcAmuGFwvk3uvWX+I +hNFPGaPjmMmxluPXv0dCuXJ9WNZbAnmMbztUqxQFca/GdH1icX9ltAq6+EaB +z8IvNuM4Am3a+evT7fYouHJ8Q7FEPO5vV155tG6LhuNx4rcWMTs+yGm9czka +9iwrSTa9w/mBTafaNSMa1hsZjUcl4P52/uTx+uVomP94/ZV9Iq5H5ufjc0Xe +QAe7t75qEs4z8bk9mdpvIPtiBPumZAKturY3o8/+DYTlZ5ZMYWZbt2+3RNgb +uM3d4JT7nkAFBrIH3ha/AZNr41KPP+D6Yf2yVn3yDShW/p44l0Kg36OtfRs4 +YkBIgCtc6iOB+ktVTFfuiwEW510GfzGP2+kdFz0bA5PNsLo1Fef1IpPQ654x +UC1+pjQmDdfH8ar9IwkxkOhp5+KYjve/1wz/nZYY8Ovz3quRQaDXyTtVlH7G +gO2+yCmeTJy36mpfSQq9Bd0nWRGfMZsU7uVXPfoWZCYbThVkESi2PKHg/o23 +wK04scY/m0CfHntdnwl7C99e/Cm7kIPXd+d6CffSt9BDct2WziVQ/aoX43Jf +3kL+UQkZ5jwCefJfCNjKHQvhb5Q/tWMWjPsgIq4QC3d/n4mKy8f93qA67Lxl +LJgZ3DjtXIDXW3FmqOBpLKi89+HUKiRQ6cTpOZXcWNi5IqqCrwjnIQn1vPnR +WGC7kH1nFnPsOUKufk0cfMpulC0u/l8+fHShYX8c1K2b/PyshEDrU9WFv5vG +wYfLi9EXEc6TNkYuRx/HwbNS7jP7SnE/VZkxLM+MA3u+3etWlBFoj6dkqtVw +HBjcVKnqwry5V9VNdnU87G8wdk8ox/3Q3Oij6P544BGx/+92BX7/V48VVc3i +4Zf7oxntSlw/LZcFHvnFQ39XVMy2Kpw317Sqf8uOh6K9OcYk5lVHBBIej8VD +1KOm9aXVBPrI8ffQ0bXv4P7oZPXzGgKd/eq7IHXoHVw6tHT3Ui3u721tVYet +3oFG0MYDB+oIZDbzJdH++TsQn909t7KeQLumRwKbi9/BanXV2F7Mke3opuHM +O5iNOHsuuQHX1x3Baiy8CdD03Z7LvRHnv/cXf/WoJUCqrm/tiSZ8v0uW8umy +T4DnCdEeQs34+ejX2J/IBHBkypWbx8xMVjBpNSSA0dlmorwF/160X0/RrwQ4 +mDEVF9JKoCfRmiZnxRJhK8eyiXUbvn8IUZ4ChomwdGnTxoPt+DxluSqufpAI +w0WS9as7CEQZdXlvS0uE0s1q9wcwa1p80z0znAhv7c4dTOnE8xFa/jSfMwke +1jhQ97oIdIt3t7yaQhJYb3/8Tr8b3x+vcSt/u5oEWrffXNjRQyAl94uRjS+T +QLI9d9M3zG5hP9Qaa5JgrWRLQ1Uv7t/Xs3Z9/ZEElNf0g7A+fH/iclFWEUuG +tsHlQ1f7CcT9e4tnzulkyDywef7wAIH+++swaOCdDC+eSSVyDuLnnz+jzZOd +DC6f1MyGMfumh5cwTSXDWWUTnrQhnJ//rtvLvfk9KLy62XR/GPfnhHtPtTXe +g8DC44enRvD7OmS3JTm9B6bjMQqio3g9vdwo6XfvYTw2b+EH5qQroQOD3e+h +cqklqXYM32856/zS2D/Au9OfLr4ex/ftoo755IMfwPfjX17bCZxXd91ia7z6 +AWzYeVoUJwm0bG5VsDH8A+hc3OOzfgrfRwbN2B40foC9+eqKY5g32/IN8Pz9 +ABu4z3/LmMZ5+qiGTLt0CizYOL5/+AnvV7aQ35nmKdBV4Wdh9JlAstGZkkXB +KZC77S3fri84v9vpFc5UpcArp/zW35g/790QCb9SwK259VHDDB7/YnhVwe6P +cEH8s1LkLP6+QajE+QsfATz/fbebI9BqrjfFOwM/gnAfT4oygeu10xlnroqP +wLpvryU3iddfyFhL9MdHmPLT4J/EvH2dwi4ziVSomTjfnk0R6Njb0DXofCok +H7n1+BGDQBcj/k6oB6aC/4snymfnCXRKYEUSoyIV7Mi3P3cv4H717oBu+c9U +0D9a8HEJ8xH/9ZODm9Ng35s2q+avBIrpj/OelU6DTb8/b3vzjUDf1gmuXKud +Bj9OMnU6fCdQrUSxmYplGvQm8z5R+4Hz2UC5v++9NChglVbd/JNAGQ/tHo2/ +TIOI85q/pzGrHPh7TC8zDTyyL6Tl/cL9MeVjc3NTGpivc7rs9xufv/OF680/ +p4HaZX/B83/w+TY3ZV/Jmg6ipbFdexYJxHjSmloskA7sfIX+/zA7njvG6nsw +Hb44tKu1LRFo3eLfRctT6dBQ/+XP22V8Ho6LhRjapUPKTuaMW39xPupdqDJ6 +nA4B7luuav4jUM9I6JNrceng0CW9fQsTiR7pqI0EoXQw3Hu05wvm0wXihY39 +6SD3yPRZITOJVt66ycf3Ix22jDppPGUhUbKj/jcXrgz4c/DpkikriUItCI0v +Uhkw+DwuU2YFic68clx1XSsDSmYKbVjY8PeFOOVYLTPgjVqHcCdmhw/Dte89 +MuBBxExv/EoSvY/nKrQOzwDL78yBLuwkura9fuX+3AzQ1OU7emwViZpHJd9t +7MgAiQSZv1tXk4g6ezaYjcoADiat7DnMkymOtas5MoEwNrMtWUOi1JTXsF08 +E1rSnXcGcpDoYN/cgpZaJqSvedZvzkkiVbNHg15mmRB8Kf75f2tJZC3g86PV +LROcioq02Nbh8b5hVZB5mQlnNnf+68asvoMvLiYrEw7ZzeYkrifR8tNhSdG2 +TOCvYbG7s4FEJW42jQVEJiwLbRXV4SJRwb52D4s1WTDqKjsowE2i6kQJ5W3i +WVDephVMYT7+wIv9s1oWxO2+qF22kUTK3PMtVRezwMfLhTl4E4lEzz0Izr6b +BVcGn+VZbibRt9WaOrmvs0D7wLsbcjwkEvQ89q0+NwuknhWLreIlkWfdS29G +Zxas+9Q51IfZLEbpj/hCFjBgLuT9FhKdc1DXcVifDe0vWXXu8pHI6mSGU6NU +NmTPb2XV20qiSvYA+0Pa2RCmva9gOz+J/q4alcu7nA23Y485LGDuc0kq1/LO +BpOli7sqt5FIX5RcO/s2GxRPu468ECCRf2Aqd3RpNgh9DAi9LEiikWmi3nI4 +G5jZE04cEiJRLmuizJGlbJgwK1nBsZ1EkfYDiqJbc6Aqr6twEPMuq4dzQgdz +IIGLuPlRmER/qiPlpIxywM9mxW7PHSTKkRDm0b6VA7YV/GMnd+LPm1d63w7K +Ad1t/73cKYL32zuNuwVpOSDjpK33HbPuiY5fnC05wN1svrJGFM/v+rh5eyIH +vondLn4pRiLHqWTzaY5c6PYIvGUjTiJmlz7V67tzIa83QfLILhJ1b9kewHYs +F17LovG1Enj/Nl1XTr2cC+5+3a9GMP/USNO38ckFswlCP303iX6MdOTLxeeC +yhG2VV6SJApLqHDaVJkLO19sQ4ZSJLpjdPUe60QusJH/OYvtIdGXUylNrCx5 +8Enz+J5fmNuynC9sFs6DumiLybq9JCpVeC9+UDkP3v+6HR4uTaJeY2kxW7M8 +eHryucF1GXweJKYN0u/lwY3kxNUgS6KJ2+kJ7FF5cJK1tHTDPhINlTkI3ijO +g/3ne1zGMTPvWpn+aTAPeLLJvVn/kWiDp85Zh6U8+LV25bT3fhIpHhfm4tyW +D/3WApFnDpBod55Ze65CPhSh/YYScvj5b8dCb5nkQ9QWHY5FzD0hHsaqbvng +6XCpvFGeRO2VG7iEw/PBov7O7aiDJPrNc61gQ2E+qO8MkrE/hPf/tku6XAP5 +IOae9EnlMIn+bWqs3rGYD6u7SqM2KpAoY9ZFQIO/AGb39J6ewnzgsLyOq0IB +NPlQnLlHSMR3tE+nyKQAUkdWVvoqkkhipRQfl3sBPD8o6HZOiUSXtywlO0cU +gOPzA/ukgESsjZuW5ooK4PSMzpdlzOe2Ka+8NVQA8mqWb1qUSUSma1Zw/C0A +vgi3MzEqJLIcp6SyBAth8VvQOkdVfJ7SmBWvQyEMn0iuUlcjEX+jMiF3sRBK +35W586jj/RpkL891vxDe/uv97zPmeM5Tm5diCuGhMWMmX4NEMQuJnj/LC8E6 +nf3tE00SSSfKOrJOFoLWGqGzF47i91V6Py3EVgS7L8ltkNYi0SXH9k4dsSLg +LDpRw3QMjz/DQt7vaBGQm6zutWOe5eZZ1XulCFqvux+I0yaR17l4ZTm/Isis +Dp5zOo7r4Z+a/rj3RfBC6H3sUR0ScWzc37qzqQhcXMvP8Z0gUZRd1NZMsgjO +tvVxzWI+5VOQabChGBR2z9cW6ZIoz+PwC5Z9xSDgtcrzmR6JDu9pySs/VQz/ +BoTkL+qTSHjdri3BTsUwtl+elD2Jz7vu1w+OYcVQ8VQ3ntWARDdrhuwt84sh +ftrqfBfml3+DLlgNFIMv3N2YcIpEL8SKbZ2Xi8HmZUi9qyGJGgTZXoYJlYDO +/Pv72qfx/Dty99WqlMBe7YqD24xIZHDhicQayxLYENtPEZi1yzd5mfiUwMLi +/Dt0hkRl+w1GihJLoNNwtelzYzw/X6fk9zaUQE7K9s2XzuLxzNr7pBIl8HLl +wcb950jUmhVUqbwBwR0zPa+VJvh9Qr7Mju1DcCHP+nAvZv673D+CTiMArnvz +Sefx/l+bNHjKFYGwzYtEtwskOhR49JVIOALWig9mJ0xJZPfXR5StBMEUfyWP +kBmuZ0t/73wfRVBza6CJgVmYfFv3h7kUkpoWHpZfJFH5iV+ZDzaWwhOxNUdC +zHG/vHnsNrdoKVz3EP5qZUEiY18znmS5UtDrPZgsf4lE3Fysvse0SmGfrL75 +aksSbZ9arGecLYVNfpe3DGDe8x/XYPS1Uvgxfq/lgxXeL56r84zulkKvQqjP +PWu8PxKSTTYHlEJBSIqi/mUSpfXnVwy8KYUIovKb8BUSvY1ZnEvKKIV7moPv +v2J+1v9fp0dlKVyM/mpRdRX3m3xR5wvdpaD6a83WMBu8n+uDW1Q/l4LIyR1t +V66RKIWAIek/pcCefMj3sC2J7p2bDRfhLIMvLCeB8zqJKqKMVgkLlkGDyZUf +Q5idolSERGXKICXLIyXVjkT9wdeGZFTLIGBtmOX9GyS6vz4Y1A3LwMH6I/8p +exKp/XJSNbMug1Ooql3EAffrJ23j913L4MCWocc/MBMmFgIf/MqA1+Gbcu1N +3A9OTZJDEWXwu47j1ytHEtlkchvyppbB4I6dqddukchnQ+Ix47IyKHE7bK3o +hPPCGdPGNx1l8KbzpMB6ZxKZN5K9jKkyeLDnaucoZhF2blutX2Vg6eP5JMMF +z9dPjwcJa8pBcyRM9aEr3j8T1JZ1AuWw62Dq79O3ScR2eYuUu3Q5rHlenSZ+ +B+eFW6l58yrlMPdl6PJvzNQpm0I7w3JoVv0u2OCGOW5Z5qt1OaSFc3ZHuJPI +9bwAj8ftcgj+tvOp3V0Sra12v8ztXw5OJxTUle+R6LZXs3BKVDmceWewyOWB ++7F/pYpeejkc+nc1YwJzmMyGyl8V5cBvfP9qtieJrm60iE/qLofltJfbH90n +kZGMzbj5l3IYWZ3WY/yARNm9PS7CS+VQZlHzbLcXifZmnbX4vK4CYguHNZYw +55dGRuUIV4D3ph9LTQ9J9MbgpIT//gq4cn1tVrQ3iR5sEv535WgFaFeLXHPw +IZEee/62E+cqQEroyA61RyQ68aDy3sHrFbDO9VTfJl9cv/8jhSU9K4DRahM4 +jTleYJRFNLgC2iUeHM17jOtNhJqg2LsKyHrw6u9jPxLdNSuz35tfAaEDadkm +T/B47Jh/KjZWgOv+Wts9/rjfi71PMRypgHNPR3b+w7x42Or5zYUKUJz+0d/6 +FNfXnK6oMLZKEIJ1QW+fkYjzd0x7xZZKYH4peuxWAM5n+XYSPyUrYYJxhEkz +kESxbKPRslAJVccMc3mfk+iC8qt9jgaVkPD2mt0XzKM7FYcLrSrh8eID0cIg +Ej0tvR7NcbsSrhm+HvQPJpHk3cabFv6VcCIlPdg0hESfef4YlkZXgvTKOm2Z +F//LY6GqopmVwG02yswSis+/j8rB59WV8C33Z14HZtZHr/at6K+E7g3r7ePD +SOSbf2TvPaIS8q6Kibu8JJH7k1rxf0xV8LpccVjrFYnelfbxP9pUBe78p19s +fU0iTUdOdp5dVWB6y1ZnDvOGTUtT7xWqQLnJi7UknET7bNSytfSqYIdYeEFA +BM73we4OcxZVsMIjw8E8EvcHVhXeMOcq+NRTt+u/KBJlekvEavlVQZ3M2MiK +aDxfh5u5mKOq4P3jX6HdmC+8rjYrTa+Cp+PrdRPfkKj+ZtJjn6oquKEgznYn +Bu+fz5z+p/qq4GSIUtHxtzjPu/hcEieq4D/itKNALIk+MpI5WZirYbPm9d0U +5iH3dQ8nNlXDz6iHY6VxJPr0Wr26YVc19P0MfxkUTyKd7aMtBUeqoUg/U8/y +Hc7Hhxwj0/SrISqpfqVcAol2XAmQTLGsBk+W8WL2RLw+PlXOaa7VYGHy+1Yf +ZoPKeLcC/2pQz9og9T4J14sEJNfwphrE1u6acE/G+WPd27jxrGpYZQ2vdd/j +fCs1UMZUVw0zJUYnt3/AefLJLz/RoWpo5LVbtYC5m/PRkv58NXy090YVKTiv +febe6MVWA8/rIpxffCRRcIp4QyFfDTjuyNpzORW/j7K+8OKeGjjt1jB5MI1E +cUM83CqqNSDfOR6+Jh3fv3jZXj81qgG+PX8MBjHH9cRmj9rUwKI315qPGbgf +mbqZH/aogaHhXWUembi/q258/Tq4BpC8suvJLJzvHBZMmBNrICbwjPTObBIF +SUfH2hXVgNcXu+lvmLn4oq+Pt9aAtapPZHUOrudCLjnnp2pAKzzS8GUuzn/+ +7+8M/a6B3d+yOGzycN7+i3Is19UC54nGcoV8vP7X5S8v7KgFMn7i9toCPH6x +EF8f+Vpo/ftHZgTz7eYzvMI6tZBxhvtzWiGeXzPWDeUXayEkTSL6QRGJXu/h +vXHVqRacV6sYGRaT6PEotyyvXy0YWxivFSvB6+3qZNAQVQsKhTcqf2JuOFHc +4p1ZCwKbHrnVIby/116J16ythX+2UfvCS/H9pWihZe1QLYxVZX+xLSORfG7f +8YH5WqgQbHqjVI7zaNrLzakr6yDeZfLMhgoSGWYmSzzmr4NHrYvrxjF/FvJ7 +eFWmDq5KbKzOrCRR08e0HfoadXD8we673lW4Xt4IY1I8Vwd7BlT2n6km0UJN +zlaZG3WwYf/Z2V01JJJy9rku8bAOFvzt3/7BnPPs7vddr+qgc+rR2cZa/H53 +uVP3fqyDHKXoDVF1JFJgKww9XFEHL8Nyam7Uk8hWeS5Rp7cO7jCa7qk04Prw +Zuu4JVEH549NHdjYSKIsIl/Ji6UelN4uzU1iPqalWJjAWw/bFzfG5TSRCMR5 +Ddul6oHFUNLEtxnf14zz2VhV62Hqgyr3uRb8e6wvGg+dqYcatnN1kq14Pd5u +jXe2rYckUwfPZcwvOAue5N+vhye5vvItbTifXBt3Zwmrh+sb3pBv2kkUosbi +dPJDPehdzY2/2UEib7tH9u/K6kG2vPm8eieJXARHrjH31MNG/umNPF0kKhx0 +umQxVw/fHZfrP2He7Jd7qo65AXoaNz3I78Z5wHT9ITneBigQlTr0pAf3+5lP +65OkGiDinhrjfC8+H5vedwqrNsC9nnMJe/twfeAv93pzpgEuytw0Zeon0ZHQ +F3yi1xtA9fHjze2YBW8+DUp90AAi428aYwfw/ejbhll42QArFfK8nAZJ5Ffo +I9Sd0gCfg1sOHx0i0a8CQ6mbFQ1QPzc9v2WYRM9hdO2mvgb4oPE3cQazI/G0 +tJBsgGdRmy8WjZDIobRM+eqKRnD4KcX7bJREvJwjftu2NsIpffVmszHcP920 +wrukG+FAkom37DiJTLkv2oVoNAIvi+MR1gmcn3Y/Yjpr0gi/z/l97cS821VQ +d6dDIwxkxiS/m8T5eYXPqa8+jVDMmW/uOoXz2j6BtXURjRBt1bpFexo/r0jV +JS6jEe6XfGrh/4T7XZbhM+/aRrjE+8+HwBz6ME3XdrgRNO15lNBnfH6pjCzj +b42wq27P98AvuN6uyyvSXtMEa3ZofLCYwfnJkP+S6vYmmLtz/tL+WZyf/OTj +QK4Jmjsct66cI1FSp7W7qk4TpEk9aevB3PCe5bO2RRMEeb/1TSJIVDV+acLY +tQluDeeDG4n7Xdy4je2zJjCSb/uhQ5Go5Gb3He+4JjgY+DlFkIHvAxKh6+MK +moD/yz9LBmb7RRfR2tYmWFbh3VY+j+v1rba8+ekmGHm9tyN4gUQHXX5WbV9u +grKvGn5WX0mUqqx07PTGZojVuaAi/w3fNy1/KwVININ3/K1fq76T6HyrW2wz +NMPlv09S+zEfr+V13GjUDMfOxFp/+EGirTOCyRdsm0EyrUDg3k8SpUuOq6c8 +aIa1q9s79X7h8zeQDiyvmoFh/uWJ8G98n3wzFHo+tRnaC5jUvmLWvp2lWVTV +DFkbt/yp/IPre8nD48KDzRBqK50eukiidcIRMU8WmsG1SvPKlSW8PklHNRZX +tcA5QVOhw8v4POd37LMXaoEjLk7dHH/xenH4W88caAHBVv+nQ5iFMgsHr+q0 +AJNEnHrqP7x/+VOekxYtMH6/cNGTiULma5Lvu95ugar+9gwDZgqJRiwmsge2 +QMJ/M1dFWChkdnSGNeJdC+3DUUhsoPjZgeIW2oej0E2PYo3Ojhbah6OQSLa0 +qOtMC+3DUWiVZEpIIFMr7cNRyEtbJeETRyvtw1Hoyz1nLRXeVtqHo1BigZ1z +5I5W2oejUG7EcemlPa20D0ehV/Z7HS4caqV9OApd2nvwcJl6K+3DUaj8zmNf +cf1W2oej0O93qucCTVppH45CZJpXyqJ1K+3D4fGo2XpevdlK+3AUasnib+u7 +20r7cBQqW50eqfO4lfbhKOS9oD1ZFtJK+3AUOtPIHnvoTSvtw1FIKoatN/N9 +K+3D4fcXt7gvk9tK+3AUulpxODK1vJX24Sh09+EHCdnmVtqHo9AP8U6R7L5W +2oejUAJvs7/CVCvtw1FI3ibHrJLRSvtweL4nEsP1llppH45CDQulSkPsbbQP +R+F8ynf0+sY22oejkMCvpox/gm20D0ehxb2/PEJ2t9E+HIWYPDI+SMq10T4c +hQTzeeSrVNpoH45CqiZKAuYn2mgfjkJGgaoX/hm30T4chbRkjnyPtmyjfTgK +rStVm1C1b6N9OAolOTiIfnZro304CkmLDOUHPGqjfTgK1V+KijoU3Eb7cHg9 +rza2TUW10T4chTy23TsZktxG+3AUClhfz6+R00b7cBRK9qre+6usjfbhKFRo +/dg3pamN9uEoNHpql7hVXxvtw1HIIThvldBUG+3DUWg1v57kAKON9uHwej9l +evpqqY324fB4FMYkz61qp304Cm0VZlspsKmd9uEo5DrjwTcu1E77cBTSpSwt +kyTbaR+OQjsO1EzelG+nfTgKjdnlv1RSa6d9OPx+Syfuceq10z4chabnQoMH +z7XTPhyF9v6J7/5o3U77cBS68dRfw+tmO+3D4ecVmQyfvddO+3AUUtERid7n +1077cBTq/fDDe21oO+3DUej+8njgTEw77cNR6OOa38V1Ke20D0eh67paHO/z +22kfDv8eY8T5WVU77cNRaH1181/Htnbah6OQocHOGJOhdtqHo9CfqlkTjS/t +tA9HIed/B/bIfm+nfTgK/fNbv1GIuYP24Sj07K03x/q1HbQPR6GZPfGbmPk6 +aB+OQh/K70h9F+mgfTgKqcdxn5yV6aB9OPy8ClfPiSMdtA+H16ssL29Iq4P2 +4Sj09PPQzz7DDtqHw+t74KtC78UO2ofD76vP6tVr20H7cBT69HxLQ79rB+3D +4fVcPsI98rCD9uEoJKd5x2gqsIP24SiU39T3gojooH04CrHGWjb/TOygfTgK +XfDa+Zc1u4P24Sj0X7/gTu6yDtqHoxBfmanCjqYO2oejUPu/GfX9fR20D4fP +G2eDotZUB+3DUah/zUoR0/kO2oejUGfT2+9Oyx20D0chtu6EtIDVnbQPh+uN +Af+p95s7aR+OQjHbWQdqhTtpHw6Pp9FS8/OeTtqHw/0hQCN49eFO2oejULZB +MpLS7KR9OArtTwmrO2nQSftwFDo7yZXqatpJ+3C43sxts4ux6aR9OLw+1kUr +Gp07aR+OQhzvvtr/etBJ+3B4/yZWpYkFdNI+HJ5PgQPlRuGdtA9HIXtz9Vjf +hE7ah6OQr8f3k0WZnbQPh99/n3bjPOqkfTjcH8bVNkg0dtI+HH7/hAl+i95O +2oejULjWbiJispP24Sikc3jLgz5GJ+3DUajrTUov73In7cPh8643Q55Z3UX7 +cPh8VjeVv9rcRftwFPp88IzBkHAX7cNRqOKhd/iOvV20D0ehDYfORFw93EX7 +cBS6ElxvkKHZRftwFLL1HCpaMuiifTgK1YY/7dUy66J9OAopy/TGhl7ron04 +CuXIF2ybdumifThcT01kVOQfdtE+HIWGF+TW+wV20T4chSRW1j8cjuiifTgK +pR+fit6f1EX7cBQylXho6p/dRftwFLqYFVMyVdZF+3AUOpJ+sES5uYv24SjU +GqxmEtnfRftwOC94FAUvTnfRPhyFXmhGWpl87aJ9OAo9vzbSXPSvi/bhcD8W +cm8S4uymfTgK1ajbmj3c0k37cHj+bBIfzIh00z4c3k/tuw4YyHbTPhzujzZT +twoVu2kfjkIDHV0gpt1N+3C43p2fDw4y6qZ9OFx/VkjfYLrUTftwFNK87d1y +40Y37cPh/cA3mzHq1k37cBQK+3qa95RvN+3D4fmtKvxTHdJN+3AUOrDEZXIk +ppv24fB85ZyQy0zppn04fF7LLvlJFnTTPhyFMl5rnY6v7qZ9OFyf7Bkh2zu6 +aR8O9x8hvaORI920D4fzxQFjG/65btqHo1Ap4+/i61/dtA+H+wHI/eFn66F9 +OJw3ShgWUVw9tA9HoTfF2/fvEOyhfTgK2R3Ou5awu4f24fD+nUhk3yvfQ/tw +FNrGN8mWo9ZD+3AUuv3lnCXo99A+HIWqN/3dXn++h/bh8PnnKDlkdLWH9uHw +eSP8Pkw49dA+HO4X/oYuNx/00D4chb5dXfOSJaCH9uFwvsgL5wwJ76F9OAq9 +P/uzXSyxh/bhKPRzjONLQVYP7cNRaM2LGh39sh7ah8Prc3nL0nRTD+3D4X70 +b/bbvf4e2oej0Lt06QNbPvXQPhyFTHxaCzK+9tA+HK6PBwq9dJl6aR+OQufE +Bp/OcvbSPhzuB45CPY/5emkfjkJOn+0vSIj10j4chc7/KxSs39dL+3C4307N +brGFXtqHw+fXZfbYep1e2oejUIpGfGqmcS/tw+H6/oxV66xVL+3D4f9XZ2xg +utlL+3AUUlx1elXivV7ah6PQsb38Uief9NI+HO4fhZJ3FsN6aR+OQvxWNxjv +4nppHw739+Bm/1PpvbQPRyFtM9kTzCW9tA9HobYgD+m0+l7ah6PQbNIb2Ys9 +vbQPR6GFNreTXJO9tA+H3/fLT/8KRi/tw+F69mBxzHm5l/bhKNQ3aKsruaaP +9uHw/JKyraM8fbQPRyFxExmrsJ19tA9HoVC3Exx6Mn20D0chF2O7UnbFPtqH +w/vtg8uDsmN9tA9HIYupE3ruRn20D4fHK9wlcvBSH+3DUShLZp7p+40+2oej +0PdQ77EM9z7ah6NQpq9TpcPjPtqHw/ViLjZBNrSP9uEo5H7+q+/C2z7ah8Pj +3aFpnZXaR/twFDJQvKfoUtRH+3D4eSZ3ORXq+mgfDu+XEZG2f119tA9HoYfD +px9XjffRPhyFNgvO7/On+mgfDvdv3p6GU0t9tA9HoXs/P53ctrqf9uEoJBPO +WjG1uZ/24XD+CV4tkLajn/bhcB4R6bvgJt1P+3AU2qh20uvokX7ah8N57qX+ +k03H+mkfjkJPPLMdx0/30z4czpurTA+nW/TTPhyuHwviQ543+mkfDp+/dMbZ +k+79tA9HIcfrLz7ueNxP+3AU+vp3offbi37ah8P96etUd83bftqHo9A+P+13 +4an9tA+H+1XOkrp9UT/tw+F+L9ibplHXT/tw+P7Dn/eJv7uf9uEodKvA5dPC +eD/tw1FIuGA+pZ7qp304Cm15xnQodqmf9uHwep2/5+O+eoD24fDvM2mEGPEM +0D4c3m9sey/K7hygfTgKDaZwf+aUGaB9OHwfIBokvhwZoH04XM9t9+2sPjZA ++3C4PvgItscaDdA+HO5P2lf2P7g0QPtwuD67fzpqbj9A+3D4vhTsuEbl7gDt +w1FoU/7EbWG/AdqHo9BE47oQlrAB2oejkL55g9Fk7ADtw1HoF57D6rQB2ofD ++6n/ZFNS8QDtw+H9fqXG/Wn9AO3D4ftlvWijQ88A7cPh9eRRzTeaHKB9ONxv +t8yrHJkfoH04fN/WZ7fc8XeA9uFwfpw3FljNMUj7cBSqmsy/xuAdpH04CkH1 +sk6vyCDtw1HowaefJaWyg7QPRyFjXTeUpDRI+3AU2pl9Tif4+CDtw+H7vt+V +S3eNB2kfDs/vV2eWK1aDtA9HoeXqM+Knbg7SPhy+jwYPtih5DNI+HB7/q+7f +u/0HaR8O5782nljeV4O0D4fz5fT1uhXvBmkfDq/v4Q82CxmDtA+H32ci5uEo +GqR9OArNJwtubmkcpH04fD/gXuAr6RukfTicF/RmA1OmB2kfDvcznT6XyK+D +tA9HIf8kv8anTEO0D4fXu6jJ/97aIdqHw/s3wD7nxtYh2ofD9XhC+ai5+BDt +w+H13supeGr/EO3D4fwUHv1CQ2WI9uHwenDU6h7UHaJ9OHxf4TGwljQZon04 +CnGuWtMveGWI9uFwPR8vTOB2GqJ9OFwfzf5rWvlgiPbhcH+fVdBafDZE+3B4 +vpLf8DDCh2gfjkK/XaXkphKHaB8O54+ppLj+7CHah6PQY7kJs9byIdqHw/3/ +6lur6pYh2ofD/UomPbNocIj24XD+/NZ7NPPLEO3D4f7XPS6U/GOI9uEolPo+ +7FAM6zDtw1HImqk06OWGYdqHw/WJV0QiUGCY9uEoVDn75M+j3cO0D0chSym0 +wlN+mPbhKFSv7K3hqj5M+3D4+5rxBfYnh2kfjkJL3NPmV02HaR+OQosy6w5Z +XBumfTgKqU1MKJq4DtM+HN7PO/fYGXoP0z4cnt+Y1JoTQcO0D0chq2oZraPR +w7QPRyHmNCdC+cMw7cNRqGm/Wvbh/GHah6PQ0fELkfurhyF/hncDJxMDHVt+ +HLu3Yxh2h8XO3sIs8OBJ1a7RYQhXk64ZwvxURIx5JzEMnIyCt5rMDMTQEzAU ++DMMdyM076ViVhTfX8TLPgKkVvvZLSwMxOu9R5570wiYfb9w4D7mscWGck7h +EWiN+bJhBnN9V9t59r0joKLrNGfAykAjm5hZWRRGIOMPU20hZn5H/syloyOw +M8E/VmQFA7lYjNv8NByBkFNbPJ5i/s3Ju2vBfATYmOLO/cBcZXjn85zdCDh/ +kJYzY2Mgqc7GpE9uI/DJuJCrFnPpUPPVcd8RMGY7SsisZCBTd2WxoRcjUJ/e +XvsKs4bj2GDP2xFQMDWNY2FnoB1KDk/aU0fgw5oZj2uYhblSpZuKRkAg18mk +EzNz39mamroReHaJWV5xFQMJKcjol3ePwL/1T7nfYfZ/wagpmhgB+6It5LrV +DLTln7FULmMExq7E1blgtjkq7pa+PAIGm2XiRzF7u3H2nWYbhYqyQs9jaxio +MMHpUzvHKOy3O3o+A/PL+JRPetyjEL+1Q56fg4E+Nwf1Nm4ZBZ4a040PMa87 +x5p/TGgUHjnOkHOY2c4NPaoWHYVfQs71pzkZ6EbJXzU1qVG42sj8rgRz+CmN +ObRvFPpdn94XX8tAAeXPPI4cGoXjonwXAjGvf563lAejUNQWd/A35nfCbywO +aI7CnnsymyzWMVB65o60dJ1RiNpdRNVjtrwkMLnn1Chs6Dna8N96BuqSuL6c +dHYU7nt1vIvAzDZE/hG9OAoL0mYP2DYw0Bdpj4EY61G4NDhzwQ6zfcafSIHr +o9Dp63yoB/PzNkWVV46joHGAZbMyFwPp9ohUbrozCjljTxmJmCtmnogGeo6C ++DO+Ri5uBpLYoGfJ8WgUXh6OT7iDeWKXtofP01FY/UnGawKz71djJ+aQUbgT +XGSqs5GBPnGc0XB/PQqzoHU4G/OmWSHyx5tROD/XsVlwEwM5fHpm55AwCk0v +zeZ9MOfu96iaTRkFJY3ZRgrz0kTDvFXWKKTOOycab2agsgm9hZGCUdgexfKw +DPPvf1NVZ8tG4bn2M7PdPAzEPX7lWkfNKLD85FMIxvx3Q+aYTvMoOMbG8yxh +VpqPEq/uHIUpPdkFS148P5Urj8DAKBgtFTU1Yc57XymQNzYKNYlaSXJb8HrH +ZjTIfB6Fg6c7H0ZjZvFJVk8iRyGJ+eLFVXwMdGWtzyPh76Ow9eOsggPm3hbB +4FeLo/DknAtvP2akomvNxTIGSytZv6puZSDzbOKf76oxuJ75rPk95slz3aZM +68dg2Gxr8iZ+BrqoPHbfZfMY6HG+876Lefjkp8sk/xiU5smaT2O+drZsvdWO +MZC1Kj6it42BBP8ddR3YNQZvuY5tycMsXHfqzUnpMdhY0vl1uwADFfEX+9Qc +GIOHNhdbHmO+eOj8bsUjY/CdZy55AXOK6mq/DNUxsK5w8TERZKD7chFx4sfG +oOcGq0Ul5r/zc64RemOgtS1AcY8QA72Q6WDjMhqDgtqtfKGYufzFtL3Pj4Gk +07tvfzHHuJeo/7YYgwjhfa2XtzPQqPHNb7ZXx2Btc/H7Vszjp/mMR2+Mwb07 +xx4dEmYghUd+dqecx4AS67J4i3ntSIRctfsYXOy4qMSxA/Ne8dSDXmPQ5jHH +dwtzyE6mnuTHY6Aq5fp9EHPsib8ftgWOQWYva5vGTgaa3/JL+lnoGIh4B3z4 +iHmhtcL0X8QYvJDl9+UVYaDpaPmD9rFjsHL43SVPzKPPJApHk8bAxW8ffMHc +7n1jWj9tDD7LlWw1EGWg0OMjeaU5Y3B24tiPAsy/vXVlZYrHoD6gq22nGANV +5wfpRleMgcIR8xR/zO3a99evqx+DD5/nfL9jZnWnbrq3joHAC1dLU3FcD29k +uMx0j0GAygrlGszrxaK3GQ+NARMZwC+zi4GcPf0uVk2MgcNr/p8vMcsqHVPd +NzMG45oJ7cwSDCT2N7U0ijEGp77u+2iD+ZlScP+an2NQGV3yuAMz04WaZ87L +Y3BAR9vqyG4Guhe6fXCMdRze/epSjsfsxu5YprNmHHjjzbetk2Sg2fdBirkb +xsH3JPHTGfMJs1N6wrzj8HvZtWMEc/qg73c/gXGwSV6RqiWF+0nJSulvO8dh +wCjQLx3zd483f87vHgcd1m3WW/cwkOYdiTNVMuNQnJqg4oU56NMttT3y47D3 +/H8Cc5hvj50uCVEch+hV6JfhXgZyMo+uXlQbhw3Z2p3FmMeVRUwstMfhvnl3 +qpg0A605lHunVn8cFtZaPAnALDuxW2TvmXGwLCCsf2GOzjtpFHxhHLqsb6ua +yzBQGvff9b8ujYPmRjbBeszdu1n0z9uMQy4K/L1PFo9nq8zmUvtx2GW7rSsc +87rBs6Y7Xcbh1ZbEtBX7cL3ROrnb5+44rKn6z/865g7+zy6fvcbBzQFd7sas +QlEa2n7jMCdwXA3+YyBDpcPB7wPH4UJ9t2Ai5seWL005w8ah2dniz4b9uD5H +DryzjRwH2El23cactrLLpjF2HNJabqePYxaLOJ0kmTwOwu5sT48fYKABhb3m +fmnjELTr+ZUszIJx0s8/54wDa9c2dQE5nAccdx/ULB6HW/cThXww80hShrEV +4zC1Z/8iiZl/t+70v7pxMOpH3WfkGehyBu+sSes41PgczyjFrD7Nb5HbPQ6H +/ut5KnGQgYja3drcQ+OQPGJxNQjzS6VNb20nxoHfn1RfxOzDmXil+ss4+B+8 +s93yEANx9mVHCDHGYXmSbakRc5TkWkXXH+Ng9/x5z4HD+DzZ3tVqXRqHEUWB +zCjMadNdxeKsE6A/k/iMXYGBZCqnwu+tnoCy0P029pi7udzHOtdPwD61Uo0+ +zM7Fl5/u5pmAWOq4sOoRBjoV6hLlsW0CNkX0LCVj3nnizsbOHRPgrXWpd6Mi +A4neUpoVl5iAH9/ITHfMuzyCRNykJ+ByzJ2AKcxhFeolTQcmoPfEymu6Sjgv +uO7MFToyAcf+PNfMxdyZs7TWQXUCCt4J7NgO+Lzejior05oAyVNJy76YPcK7 +W7n0JiDi3/6+ecy+gzcPm5+egLUfSrPOKeN+Oai8nGoyAR7GOoEVmL9tW7fl +r/kEMFb0XpNSYaB4lsTHx69MgHn6paMvMEd2DOi/tJuA9gvUjr+Y5fOcbSdv +TYDaGre/1qoM9KdAY0DabQKyclb2t2BOqN0ccef+BIheCso+qMZAammZKZWP +JiB0veDzGMwSO+fWrXs2AexFSbZr1Bloc/aTEqOQCXC9ckDLEbPMoGlh1OsJ ++LKpbOcgZqF52RXTbybgXJnOP3UNXL+l28KkEiag4Xpvfwrm+sxVTjdTJuDI +VsscHk0GyvSIe52bOQEp1dRzD8yfnOzZl/InQNDR7fpnzErnDxZA6QQECLEf +O3mUgcwGatIfVE8AU2OQSAHm+nszc5WNE+DgKsi0Uwv326xrNis7JmBcJHng +CWa+Fh6Jo30TYNh2IPcb5iLmXPFHIxNQdbcs6MIxXE8sea2qpyZAbvcJu2rM +2vMsoyvmJiChu/eYtDY+bz7qYaoLE7DFy1L0JeblyXePPX5NwGNpBhPzcVzf +0r5lF/6dgD8DboNXMVMOv7b+XDEJ13zZ89oxcwS758pyTMLg/uBgBR08Xovj +fte4JuHEmOCNOMwj3NJBcbyTUPI0WXvtCZxf8uebBgUmQfqwnJgzZlfdC0c2 +iUzCm+ky5hHMQ6kKg9q7J4Er+MTQUV0Gaoo0+uApMwkPoC8vDfObIpf32XKT +8HXWMoRPj4G8Qq70fjkyCZYvGTceYH78fEFWQG0SutTdj89iLmQdydE7Ngma +8+zihvq4Xgj9tLqvNwm5kcEsxZg/LbIqZZyeBAltoWHRk/h+ENqsOG4yCa9/ +JOc/w/yyWciSy2ISOGLlXvzE/PZqaSpcmQR3vXL7iwYMdGbDbaHrdpNALJ7Q +qcPMqc+d8erWJJgm9onvO8VAD14bWlfdmYQWQyvWcMxfNVYpMDwnQZl5fpjV +EOeNp9/3bn00Cekp7gW2mG0CJpXVnk7CjnOrQrswN+tH3rgWPAnBK0MclE4z +0MqCT/lBryZhRabQiQTMgS+fCOZHT4KT2ftdG4xwXorSjxiOn4RpDvkVtzHX +XPory/phEs7klY+MYe64dH5YLGMSai11C7XP4P7JtO/NsbxJOMTVH5qJOe+e +pvO1kklILra6uc2YgaQ5zM38KyeB32b+hDfmFTla5z7UT4I/z10JEvNqp0qr +htZJ+Fu+iu3MWbx/d4Z7fumehBs3QkYRZt/7wUkrhyZhlH970a5zDHTrwK2R +HROTcLL2fdhzzKH1rMJKXyah/Ja84x/Mc+mrrhtTk/CfcIXuJRN8P1M9Vu7w +fRLimnR3N2K2yn0i5Lc4CZvv9LMdOI/73X+hXjHMU+AjZj0WidkW7SVy2afg +Z/t80coLDFRylN+kae0UXPG4+/IG5odvhBvHNk5Bn+TqW72Yr3ixw3e+KdDu +DdFTMcX1MTAog337FBQ+3C6ZjPm5jq8on9gUSMl+WLnRDJ8vkY+hElJTEDkk +P+6GmbSpW3Fo3xSs86sonsRcdSba7ujBKfCQ03t14iI+32u+txsqTQFjvP9W +Dua6RwEy5upTYB5grS9kzkBni/7zsdWegg6FBUlfzE533rU760+B+ue77POY +Nd0+bPI0moLskNUTZy0Y6L3pmuO+56dATOVFSTnmY+8f3gqwmIIwYvtryUsM +9Lp5JODFlSlY9fqDUwhmFoHR8Nd2U3Bb8+DJZcwDbcfCom5NwcxChZS1JV6f +9WOeMXemwCRab1UL5kcjV8/Eek5B4/GBCXkrfL72JfLF+UyB4i9r9AbzxVGz +6lj/KfgYt/B6tTUDnU40PP82aAqETt5zvol5QUa7P/rlFAQurzYYwHxk1QqI +iJoC5uQXe9QvM1B+rMbjsLgpuGkkvDoFs+fF9tznyVMwwZIyufkK7gde1+v8 +0qbAMPVg6T3MLw/2Fz3ImYIqk8rwT//jkIHA20VTILdK30X/Kr7PBe9Xv1E+ +BYlZAwb5mP9sSey6VDsFfOaX9+6wYSDj+V9qZ5qnwG/t19VPMPu1fnl6rHMK +FvPvTX3FXGigmHG4fwpsrdeUnb/GQMeXslN3j07BEHdoRBXmoUM83nzTU6CL +hF332uL7w8XtsuxzU4CupZwKw2ycEprxdX4KZLYckma6jvO/psKqkZ9TEFNZ +ueYqZv3DrTJ1y1PA7aA/3Ya51YNXMoN1GrwEBssO2+Hf/9H+/dXqafhWdzky +FnPQ5coAz/XTYOX81ZXzBgMle6X8tNo8Dd07PAydMKtO6Ulp80/D0ZY1MsOY +Q9Zfl9ojPA15bqEcR+1xf7Cr/rFOfBokdu34lIo52VjAj5KahtedKeVbHBjI +keO/qeZ908Bx/1DUfcziqbUrUw5Og/ueqtszmFlORxOPlaaB7NM/feomvt/8 +dHlppT4NZj6DMkWYdd02rlTWnobWfVc4RR1xfknZd4BPfxpURr5+eop5SSNU +ZP70NGQ88aj4gdl96m97jck07DzIEW12Cz+fdw9Emk9DyGTonVrMJ/TGLjtc +nga25zuMZJ1wPSIGjqv/Hw33HZfj+8UB3B5ZIWSTjLKSQkmXQmY2yd57ZEXZ +oUhI9giVVKRBkqKTSlPapb2fed889/1EMvI7v9dzvr//Pi9f9dzXfV3nOsfv +/Xr2SJjjlJBx9zA3NyuT9zgoYVK5WaeWjri/tvhPlRyVsBW3Psp2YS73rJ// ++qSEpVovSszD3OqDe/ez5yTM/FvpoylH8L+XDr+8wF3Cnt/ffuwp5kdVl6L7 +eEpYv1n1y7scxf7+kOO92psSdrn+lPFRzFcXBYx8cV/Cmh536FyF+bBO+e5D +PhK2z/a2fLYT7p9pxavNAySsslHv40vM4oJVv5qCJWzR05DHfZ2xX7s5aHr8 +SwlLWGx+/Bzmt6vkk85FSZjJv492PGatvEOF02MlzP/5ovHLj2G97esyoFWi +hPVcUdYZMHeYnNUxPlXC3FrtUAw/jvPJ0AE+JzMl7GdY/UdPzIOjDYvN8iVs +x5rTPo2YeyRERKqLJay4fccTG0+owPyHs2lwpYTNjby9Ih3z9/rJyzZJJOzd +xiEmJidxv86L1OnNSdjoLqFdvDGPOxx4IEOQsIcx5srWp7AfzMvac7pBwrps +T0rai/nDW76V8V8JO62z2LcQs+fG9xOqW0iZEFd2YuppFYxp+Nv8Wjsp27hn +h30Q5g0ee7ZYdpay3N7fTbqdUcHoIWnrFN2lbHrSae1jmKWB2d9u9Jay1wc6 +cjWY5zRY6VgOlLJhA+8kz3PBepv3Jb1OX8rupA/xe+3y/38PWdLDw1DK2h8N +PTngLN6/aodvRkZS5qw/eaUb5q4b+BV5plKmzEoyVWEuinBd6DhZylafWNzV +/hzOq0t/5fW0krIMg3LuA2b9G63rXttImWXBjhTD83jeXm45tWSelIW6fPe7 +jtm+rND32yIpGzT2zKk/mGvH9J7vbidl10o6rtriiu87RHJqyBopa3HhzoTP +mEMW/50Qs1HKDprod5vohvPsn94HFm2XstrKUP4RZpcTjWMle6Rs2eXJqe0u +4DzjtWKf00EpSzJLfrIf83GZekwHJymbKFl8uhhzB90Le+6flLJAr/JV0y5i +Dso2MDwnZb3ZzonBmDt3vbzxzUUpc1d+79bDXQXxrxx7TrsqZb9vn/l6AnPn +mjnTM25I2Z7pndIkmIdVfFQsuydl5ao7/gsu4f4v8GtX9kjKFnjrn4nC7CF5 +/GCjv5TFzQ5bPdgD938fJ3/JMykb92PyJHfM6t2ywTvCpMzXN7m7GvPHpGfa +itdS1n3Bkm+rLqug7t8xhx0xUnbud3la4uX//75eU6VxUvY9YOfT0VdUsKaP ++clNSVK2demPM7cwn1z+0KA8XcoKm7ms+Yc5fHgzK7tsKZv1opPZ9qvY/3Tt +m/C5QMre2t/Vycbs4vosZHqplBm2Gaoy81TBPPmOFm+rpOz+y7B0X8yhl3Te +j5RKWcd1FgEdrmH/Frql7AEnZSc6pLgcwmx7XndjR1HKvr5ZsrYMc5exstnO +DVK2bnOFmY0Xzgfvr16X/JGyLO1dPUIxzzBOm7KohYxZv/+h6nUd76+Ti2dG +t5WxVztcPp3G3P9sTcjgTjKm37NzoBxzWrdJB926ydjN+LtnF9/Afuab1nVl +Lxlrs2/ouhjMdp96dprfX8aO9A0317+JzzOvZ2WInozJki16XsZs3SpRq/MI +GbM/lCJ8x+x1tPjSrtEyljZoacbaW3heB+psTDaWsckZFYHJmJ3WjfQYPEnG +gp12nTO6jfOSZ3E75yky1n9Yw7q7mP/+KSnIspaxKzkuk1vcwfm2XF4/dJaM +/TvZudcuzEPWx25wspUxh5H3xFzMlx9375e+WMaqCod+triL91WIn17fFTK2 ++Fx4kD/mQe4jDu1YI2MJRlPOd76H/fqw1V0iN8qYSVnK+iOY3237KTbbLmP+ +F5daVGKer/+m/5w9MtZrQmWv2fdVEKi/+Mq1AzJ2oXqXOhyz85Cz0wqPyFjj +lYbPfR5gf5bR0qLvCRnbOfnss7OYC+MvO65xkbESaWdXDnPQjWLB203G5t24 +t2GZN84XyX7BpR4y9n7qsCmxmA1yff17e8nYGD5cd/hDrAeDr5UsvS1jj+5O +qb+KeVXnEbZXHsiYtk1q5k/MfxSDGj/6yNgZcenzDY9U0O6XSfnvpzImPqx0 +TcOctb//77HBMrZp7u6N4x+roOHY9fkbw2Usr6FhygPM100XFnpFytiMJ2d7 +t/bB/RLa8caHGBmLXNjl+x7MS/kzZ7/Gydjwv/eyCjCnSLc/6Z0kY3eChgUz +XxW4ujt/t06XsfbLX7oFYt71et/hnVky5tzCclNXP+xHLZoP8cyXMWVIqqUz +5gdS6Z9XxTK2ZtWyPjWYDx4sbV5QIWOf21Z9n/sE+wfP20Y/amWMRezOjsCc +WpvtpqOQsbD1P4P7+6vgUvvpbcZ9k7HBnc5dcMUcfPnps7n1Mub1tsvmb//P +9eEHNzfKWMut99mKp7g+kQPWH2uSsUPdhvf9gLmftf9ez5ZyVhf78odBgAoi +f/719msnZ8t3WeZ4YX5nXMVFdJKz5F5pL35jPj2l7crEbnI2KXHZxc2BKviy +akRtdi85C3Ko2pyB2Tjpz8WyfnLWp/+eqROC8H58ZjFHOljOLqX+7PsI8+7R +j4d8GyZnfw6fa2j7DM/z9gqd7yPlbI+edq4D5rG7XvZrNJKz8s/3Q4ow77PI +NvttKmcLjg13t36O+/OLeudvczmLG/5qy3PMhsY5IY1MzozzLK10gnGeNdZv +9WO6nPmdTut3AnNCtM8O1Ww50xm9/GcdZqfHLcpl8+XsfFFV7vwXuN/Cm9ZV +LJGzH+f3hL7B3O2V7dfcFXK2zbjRfVAI7vf1AW5Ja+TsS/m5rRcx2+9OMHyz +Uc5mX9K2FjE7nbEv8N8mZ9ETH/RfFaqCrVaDL3rtlrORtcMbEzDvmpFjdWK/ +nD3wfJU3Kgz77QH6/7Y4ylmnKSzsJubDyz7DvGNydlKedqkJc8POCy7jTsvZ +t5vLt20Lx/pT2N5G57ycrbeuts7CXNhRq/33i3KW/XXPALOXKlh8cGpa7hU5 +s77f2OiDOX/35gth1+Xs1czz+Vqv8PctGW196Y6c6ddrhx/EPMR58Y9N3nJ2 +8/EDj1LM1qMu+Zn7yllb2xHbZ0SoYEHIlVldAuTsaOOraSGYYYpObdVzOZP7 +s4G9XuN6d8099DJMzlYuTv91CvOuFS6Np1/LWXrT8gIZ5vcWRQ620XJm8bw6 +fFGkCiorrxT1Ajl7Ybf3cjRm0w0rjSsT5GxAq1/bh7zBP88VnZ6myNnVsPPT +PTDXzeoSujNDzpqt6TroO2bbtK1Zo3LkbH97799rolQwbXVYKVcgZ9WvRxQm +YTb965vzrETOlmyMeDn2Ld5n/t/DtlbKWWLnqVfuYM6fvc5xUJ2cmcak72ge +rYK8gluDv8jl7Ok2uxk7MYebLgm//FXOeunUDMrFrDNnrr6VWs4uxO39MzkG +5zHR1ElskLPG3b8Kn2Be0D4txOePnO3s7fqq0zsV6G2KTZjfXMFKP3a96og5 +LCs1srG1gtke8N5ZgbnHAD9XXy0Fix1gYDPrvQr6dG9uPLuLgo1Njxgcjtnu +4L03fHcFe3xk6t/esXgfNA3t6amrYF31P31xwfxu38p5Rv0VzCXLLkKJefmp +rys+D1Yw9fGaq0sB61HVHfOdwxRss8G+Xe8xr7bRVrUcqWD5+b9shsXh51nV +4ej9sQpm4+KqdxXz0vSp2UYmCvZmTLemBswGFtt+JUxSsBEl3kXrP6hAd7Gp +uHSKgt11M3idinl0yIY3NVYKpmXy2tM4HvvFr76zHGwU7Fjl1N33Md8ODX3y +a46CcR6fZrZKwP7c3TLNZYGCrTFbMWQP5k492kS1W6pgn+tqmvIxX6t7t9tj +hYIxr33Flok471/pLe20RsHCLX+/DsB84nHO0MsbFExP6XpN+yP+/ecPh2tt +VbDrt7vtccIcNtlEeW6ngrWa/nBWNebz5bP3/d2rYIdVBvpzk/D5B9x6efCg +gkkevP73CnPuucxX0iMKZjfbqqRfMvYn94L32x9XsJTvnyLPY24nrVGknFYw +M98VXl8xrxFGDphwXsGeza/dY5eC9Z1N1vK5qGB9f++bHYe5/4qUF+2vKJhH +wG99g1Sct+FiWwcvBfu7xK2ZF+bFf0x75t1SsL3Nupf+wpzkdfaL6X0Fqwh+ ++GZTmgrutjOwvflIwRbaG17/hHlnTekB0U/BPrSO3GuargIWtGyWbaCCGb+0 +mvMQc1Dl7E/+wQrmtzZjaNtPKlBoO/z9HaZgOh3smztg7h1/snjBawVzfVNb ++gXzvBOjNvq8VbCGTQ5RVhkqiP409rrqvYJt1/5z/Rnmqsls95R4BSt657av ++2fsr+y6cm5JCjZnR/e5xzEHhazumpWmYDE9Hg2rw9wpu7C4R6aCjYo3bDE/ +E/uPhWYz7XMVzHtvZFkkZr/4icvuFSpY577Wbwdm4f59d7FlUYmCnUrOuHEB +c1ZB3cIelQqmOmjvIGCe+KKFxYJaBdswqG7uymx8/2W3ElxlCpbzyWF4Auaf +be0lMZyCTXP602JUDs4HWf98v6oULGLohfIbmBPLrH4O+K5gQ3O6R//F7FeU +XzuvUcFunXx0c2uuCs7tP7Dt6F8Fazty5P5MzKuXfz7p01zJjhZGzpuUh/OD +xWODlNZKJj9rPcIH89Wyh1u59kq2yuhzS618FQz4ftqkc2cl+1RqX3EA88rp +f6+N7qZkUy7WRZdgdvRMOzGnp5KFmO6/Nb0A66Hfox+b+yjZwOo/+19gLp8z +/vfxAUrmeeWCbc9CFeSstHD30lOy5pN1DE5hnvl8v6//MCU7IH3USob5eW93 +60hDJau5PrJy4Rfsly5Y7Egco2RLp76Jefv/XMl6ZBkr2UfO+rZeEfaPXebO +KJqgZBPufj5wCbO6XY+fFeZKFjBj5fx6zDeSlo6qtVQyXbHOYE0x3l+zPlTW +WSvZxYf7WydhnnOjq06djZL9mvO3ckyJCka9+ZNYNUfJdjVceHcbs0WimVgy +X8lK/XTuNCvFfij/1L3cxUpmu/DxwR2YrTu6vktZrmSxf0YuyMF81rOlXcxK +JRsb9MZwchk+/7XQ7c/XKpnPsmltnmBePtNKdWejknVrkVnVsVwFd77t485u +VbKzISvfH8Y8OFtctXunktWvlNwpx7zP/MyUxXuVbEvbA4dmVqhguEvOVdMD +Slbw6u+CMMwz2z5c1NNRyWauvziydyU+37j7Z+qdlCyqY4+2Lpi3O5wZkHVC +yQzePq5WYH48pd3woDNKdm/LqNglVSpo2Vd699R5JevQLeruO8yF1/MPL7mo +ZMdjpx0eWo33ncWlt/qXlYzfmbnwCuZvr2CT2lPJ1vZaNaoB8xIfw4NwQ8ky +EyRt19fgfBZ5VnLhjpJNdThQk4J5eJjLuwUPlCy8X1PsuFo8X0sq1N0fK5le +6sV79zD/2b/oUr6fkl0/3MOxZR2+D4nnmRsBStZKz2fRbsz+t5blL3yuZI6f +R43Ox9z1kN15rVAlkzpHtbOU4Odznu/54aWSrRg+vfYpZtOXYv3hSCVLzc2E +LlIVnBr9J3x4tJKZn151/yjm9R2GJBW8V7Lno6SOVZiPOw40OvtByfoVHVg8 +R6aCK/5BX0d9VLLL55tGv8J8tcSpWV6KkjWNc2/fT64CbtuszUc/Kdm+8h51 +5zC/epqh2ydLySrdfeJ4zPHN4vu9zVWyRRNHP1iuUEGjsmzvskIli6+JOgKY +tyqLOn0rVrLxntOXjFCq4NjVvT/PlyvZE4usMdcwT3JcOrpPtZL1kK/S+oV5 +49zpz5/XKZnrTWndRg7715QfDuZyJWuwOvghHbPwWv9EEqdk2782PTDhsf+X +u35eoFKyonvuR70xP5hZsKlArWRzZ/Zc2uarCvompk9e2aBk79Q+Y/dhNtgw +aFnJLyUb/Xh0hy+YRxtdDrFvUrKH895Kpn7Der4o3Sa/Oce6NE6PD8K8+cf1 +fvNbc+y0f5Z3NxXOk2sej0lsxzFh0WqnY5i3FQYdm9iRYxubpEtrMR9J2NEi +sAvHcp8dNLIVcH67dvdDj+4cm273r0Mk5v0J4pvTPTn2uuUl6QBRBUbyMbWy +3hwbFtYzwQ3zfP+2M+b359jt1b4PVZibPZ9QFDaIY+3aj3G2V+M8EHv4kbY+ +x5xev10Wj9kh8uStPcM5ptgwY9zIetwfTh3eJxtybFXn7I43MA9rUdB14BiO +fYpeLfuD2WzVnesHx3FsyjZZwpbvKijw7Wj10YRjId0PPfqMeUV7XldnEscG +xf1znvhDBZ+z1b3XT+bYtd2Xlj/GPGpxiXWQJcda9O5l3L4B6616jdc3K44d +/Ojb6QBmM+MJbcfP4Fjt/jHyYswmDwc8PjiLY8sGRCdO+4nzRkn2qvC5HEtK +m/E4GLPbYy0zbj7HJh7JPtajEfdz9NkJ+os5Fjhkjd1JzNPb/Vxsv4xjvbNk +xlLMm07pXb60gmPuxw91XvhLBZ0NYmuiV3Hs94hmiijM8UNcl0nXcmx3/qWP +g3+rYLyfaZX2Ro6Vnenl4475jMrt/MQtHJs/xu+4GrPjzklWq7ZzDIrHrFj9 +RwVvzrfofnwXx4zcosd/xPz3k0/j3b0c8xlv02XMX+xHq7LVEfs51q0yW3EL +8zjn+c0yDnHsrMeapH+Yv27J7V99hGPfJ8l9tjdh/b7Yb269M8e21h06kY25 +bXWda8uTHCu81sze/B/WaxdlZpczHJtl6WHihznzlly/9zmOPJwAPzc8ODfQ +jSMPJ8BaFsfruXPk4QTw9u26bshljjycAFm9bAsHeXLk4QTInjZ2Wd/rHHk4 +AfbVHirsdosjDydAcFbx6rZ3OfJwAqh/9q/9eZ8jDyfAsm2/d0kfcuThBEhk +RuocH448nAC2cU5HY55w5OEEWGR189fjAI48nABJplOPnn3GkYcTYM/ISaqN +LzjycAJ0STffwMI48nACxHxpnab7iiMPJ0AzEzuDb6858nACGH7hTsRHceTh +BDhVei7JK4YjDyeA86Gy5utjOfJwArRXRYwx/MCRhxMgLSZ1rpDAkYcTYO6G +YrvXSRx5OAGs3PwXOaZy5OEE+CTIJ5p84sjDCRDusrX9t88ceTgBHpgVJz7N +5sjDCbDCpNWOVXkceTgBeob61Xcs5MjDCeDf6ujWmCKOPJwAL0Omvt9aypGH +E0DL5n1D5wqOPJwAX1xe6ERUceThBKi1yO62vJYjDydAzi6Zql7CkYcTYMvw +Vy885Rx5OAHeBQgzDTiOPJwAN2dsjo39ypGHE8DDOb3LIoEjDyfA6iqpeaWa +Iw8ngN4EZ8vdPzjycPi8y6f1/v6TIw8nwE7rVmnOvznycLhf+p5c8PcvRx5O +APehBwKPN+PJwwlQkeyT+7MFTx5OgN4enzP3t+bJwwlgMDD6vrQtTx5OgIOL +hk1YqcWThxOgc69879SOPHk4fL8XLmSbduHJw+H7qWyR9bArTx5OgM9n2txp +pcOThxNApVo2YltPnjycAM++vDqVpMuThxOgvF3NA72+PHk4AZocn5481p8n +DyfAYssPQ7IH8uThBJj8+If7ED2ePJwAJ1ppvTqgz5OHE+B0Y+y92GE8eTgB +7poUTW1rwJOHw/Pj1e/JvJE8eThc3562CVdG8+ThBJjOj/HOGMuThxOg7U5X +o/bGPHk4ARra6R63MuHJwwkQuTfwpOMEnjycAMnb/0wInMSTh8P9zH/xKzDn +ycMJkNe/ZWLzKTx5OAGeWE+9ZcB48nAC/M5c1nu+FU8eToCyTe3n7ZvGk4fD +9UoaNPryDJ48nAA7uA0xATN58nC4n9Ve32Nn8+ThBIjSdy7OmcuThxNgQEPZ +9hpbnjycANIO5x+pFvDk4fD9jptw4tcinjycAJ02RDVrvpQnDyfAqoScEa2W +8+ThBLgYPK++5QqePJwAjx/yG5qt5MnDCbB39gmHxlU8eTgBMtwTBnxbw5OH +E+D73gu7qtbx5OEEiDe6sSxrA08eDtffPLQkZhNPHk6AwiG+f/y28OThcD0j +Td9c2MaThxPguNJYa+cOnjycAE6KjfUzd/Hk4QTgOl49pLeHJw8nwPvS0xcb +9/Lk4fDPdVoYZzjw5OEEKPEp3e19gCcPJ4CvT/GknYd48nC4HpuTbox35MnD +CeC4e+epxiM8eTgBWu671hDjxJOHw/Vd2uaP8zGePBzWkwk3Lk04wZOHE8By +axv/ryd58nAC6GwZMdPvNE8eDs+D4qPDUheePJwAZ6qeDWpxjicPJ0CbXX7L +gs/z5OEEOFB6rPMSN548HD5PXLM5Py7w5OEEGFHU1OaWO08eToBt/aZNN/bg +ycMJMDjqXrP0yzx5OAFCtHPN11/lycMJ4PbhrSB48uTh8Dx599M/7cWThxMg +KDQ1S+sGTx5OgAvmV39cu8mTh8N69XbyjR63efJwAqRXegfevMOTh8P/3vuc +cbd7PHk4fJ6eYHTpPk8eToAOwf18m3nz5OFwP/3cff7AQ548HN6POaeKKh/x +5OGwns0a6j3XhycPJ8BM/9GZL3158nBYLxx27O35hCcPh/fb7iAnR3+ePJwA +Xrdjv+U85cnDCdBjtHPmyECePJwAff1f9DoTxJOHE6AxwjA55xlPHg77hwUJ +pYOCefJwAkj8Z9vtesGTh8P6N8zT9GUITx4Oz+/pHY7fQ3nycALUPQrUNQ3n +ycMJ8CZsUN/9L3nycPh5BvofD3rFk4fDn3ervUV5BE8eDutHK70VXSJ58nDY +f9yMz7R4w5OHw/0dBT5bo3jycHgf9vv6yeMtTx4O602E3sLQaJ48nAC7Xhkb +fo7hycMJcMNTbS9/x5OHw/3QenJ5s1iePJwA97pUvNYBnjycABu+va7Qj+PJ +wwlQbXBr5bgPPHk4Aa5eWD7UPJ4nD4f3d+/PliyBJw+H9cAoz39qIk8eDu+z +bVPtLT/y5OHwfN1X2E1K4snDCbC+/tnjMck8eTjc32X2xoNTePJwAlxpn9VB +O5UnDyfA00OSkb8xazycAEs3OF6rTuPJw+HPX2k/OSmdJw+H+8t0x4inn3jy +cAJ07HTaziWDJw8nwOzZR5NXfubJwwkw9N6oI2MzefJwAkz7dWRLsyyePJwA +QojptQzMGg8nwOVZVo23snnycAIofB0frM7hycPhfqkLdxqQy5OHE4A/l3m1 +FLPGwwkwzCq47FYeTx4O98uIoRtt83nycLi/bvTq16yAJw+H95PRBq1QzBoP +h/2rWDFyZSFPHk6AdoMPnGr+hScPh39/4Y+W/pg1Hk6AH1vnRk0v4snDYT9y +e+H9SswaD4f3xfa650eLefJwAjQPlcs6lvDk4QT4e23KIm/MGg8nwCj3DzUG +pTx5OAHGbVz+6CVmjYcTQH9imcvEMp48nACtnCZejsKs8XAC9FPavDct58nD +4fl+wncNxazxcPh+tTu761fw5OGw3/3qrn8Ls8bDCZC/ZGZly0qePByux7Nh +7/Zg1ng4AaxfdXyTi1nj4bB/PleWaVrFk4fDft79ROsbmDUeDvvTS9nLv2LW +eDgBtP1i46dX8+Th8HzMMJx1G7PGwwlwjv2qq8Os8XACbH2jc9+ohicPJ8Dm +Ueu3HsGs8XACJBxJsInGrPFwuD4ZQyc3YtZ4ODz/0XutTWp58nBYHwxdV+3C +rPFwAszfuPT8Q8waD4f3bYv42AzMGg8nQIBWVJtGzBoPJ0D3/IGrB9Xx5OEE +qO9T834aZo2HE2C0Wmm4CbPGwwnQP1ff9yRmjYcTQPfqUb1bmDUeDj/Pypxn +QZg1Hk6AXmn9zd9i1ng4AVKybDITMWs8HM5jCWY7PmHWeDg87/Y1bbIwazyc +AIeCjAIyMWs8HN6nY7rMTses8XACtPi4WxmPWePhcH55MfFyJGaNhxPghcfa +0U8xazycADU7stKuYdZ4OAHsXx7f7IRZ4+EEMJq0pHE1Zo2HE2DC+OkXLDBr +PBzWT6up2rqYNR5OgKPbJnl+w/XUeDgBJnYd0DYBs8bDCTCyW81BL8waD4f3 +/VOn/DWYNR4Oz/f+PMOhmDUeDvv9M5IDMnzfGg+H+9/WLzgAs8bDCfAosqlg +I2aNh8P+tT2v6o1Z4+Gw/vzd2PgJ95vGwwlgGrns2zHMGg8ngPJDdPYwzBoP +h+ex/4VHGbifNR4On29chJ0DZo2Hw34437SxM2aNh8P3u6DV2SA8LxoPh/3S +0l71DLPGw2E9aLNtbg6eP42HE8C1Uem2HrPGwwkQZvwoUIHnV+Ph8P4e5hzs +gFnj4QQojXH0EvH8azycAAPnXrV3wKzxcFh/HsU2U2D90Hg4nIcb/rmuw6zx +cNjPtV6gzML6o/FweD6/BYyyxKzxcNj/Lmg7JwDrl8bDCTB24k7rjpg1Hg7f +16jPOnuw3mk8nADdRo6LS8X6qPFwuD4B16bpYdZ4OAFmeXz1dsT6qvFwuP8s +Zn5Owvqr8XACRN+4n9sNs8bD4c+TK4NXYv3WeDjsT9qZ2T/E+q7xcAIssDhX +WIb1X+PhBLCZlTmsN2aNh8P3t6ufzUK8PzQeDvvvjXtNzuL9ovFweL9sTlGF +4/2j8XB4vwwf41yK95XGw+HPK/fLbIFZ4+HwvjMfo9LH+03j4bBf25VTbI33 +n8bD4fyqd+vKarwfNR5OgGZXT2kdwPtT4+EE+LXhpu1ZvF81Hg7rW0b5ck+8 +fzUeTgCz85uG3sX7WuPhsH+CkVHeeJ9rPBzeNxOmdn6I973Gw2E/M/zZsHvY +D2g8nAAOSw4288J+QePhcH2bPb13HvsJjYcT4OHvefWHsN/QeDisXzcPdlyP +/YjGw+H6Bg6ttsF+RePhsN+5vu+QAfYzGg+Hz8/WxrfFfkfj4QTw6fsvrQr7 +IY2Hw/tgyPrLb7Bf0ng4nN+Lrra7iP2UxsMJwOZ5T16O/ZbGw2E/2ePO0IHY +j2k8HNa3FZ4fa7B/03g47CdTbvV58ponD4f7w/fdkPXY72k8HM6TL7tX9sJ+ +UOPhBDiyJGR+OvaPGg+H/f+eR3udw3jycAJcP/rLcij2mxoPJ8Duk7mx6dif +ajwcfj5dxu/B/lXj4QToun9xaofnPHk4PB82Qxb7Y/+r8XACGEuTXc2xP9Z4 +OHxfE+22pmP/rPFw2L+Gyr7ZYX+t8XDYL6+8oVfpx5OHE+BgyYG/m7A/13g4 +XN8d3qdqHvPk4fDPc0YHrsX+XuPhcH1OWDrm4zyg8XD4+XcoZDMf8OTh/n8/ +2v57jfOExsMJYNfgEjXwLk8eToAuNwO7n8d5ROPhBJi0q6SLBOcVjYfD/u6Y +WbA1zjMaD4fz8cYq6T2cdzQeTgC/leKHrzgPaTzc///9yMPMEucljYcTwPxU +pu1FnKc0Hg7vv4llfzMv8eThBEjqXTirG85jGg+H/dLxitELcV7TeDjsL+x6 +B1905cnDCRBoFRgfi/OfxsMJsOlImMM3nA81Hk6AnoXLX/c9w5OHE2BPTdzV +aad48nDY3yfo/NuK86fGwwkwdYZTC1ecTzUeDu/X4h53H+P8qvFwApx92C0+ +EudbjYfD+2DxneMph3nycDiPrc9Kzj/Ik4fD+bik1rd8P08eToCNZ5p1qtnH +k4fD+h49v3UNzuMaDyeAy53f7uU4r2s8nAALS8d75+M8r/FwAnwQ9Cen4Lyv +8XACZIp/NkVu4cnD4fwQoOj5eBNPHk4Azwv9l5zfwJOHE2Ddu4+9tq7jycNh +v99XZ7P1Gp48HM4TY80n9lnFk4fDemO605NfwZOHw/rXp3Dvu+U8eTis92cj +sl2X8uThcP8kTYiat5gnD4f9qsprcOeFPHk4vC8Smzqm2/Lk4QQY9D7M6exc +njycAKEdKrdOnM2Th8P1uJeYK7HhycMJML3Tbbg2nScPh+/ng6fBJGuePBzu +lyyldjHjycPh/upSc+jIFJ48nACvO71cqj2ZJw+H57nvzdAnk3jycFjfpqee +M5nAk4fD+rbMIxfG8+ThBNAq7nffZhxPHg7P34Do4pQxPHm4/89jT67ZjOLJ +w+H9WtUXwIAnD4f1N3HjRpPhPHk4ARqMYpyf6PPk4fD8TtveVluPJw+H9bHi +RdsjA3nycAI4BWc5F/XjycMJENS35/qJfXjycPj7tiRGevbiycP9/z7VO1mn +w5OHE+DOqqOvTbvx5OHwPOzsvPpMF548HH6+vmP2p3TkycMJIHoPrtfS4snD +4X3xdXztrLY8eThcv6KwqS6tePJwAlQ11LZ705wnD4fr87q/hbSJIw8nwGfb +oKJufzjycHjffi6oNGvkyMNh/9P7x8LVPzjycLjeVXYGzmqOPBzO86G2O66r +OPJweL/UGmgH8hx5OAHGR7D+UQqOPByet5E1VxOkHHk47M/G7tiWVsuRhxNA +dmK436cqjjycAP9CD1mnlXPk4bC+mQVOTyjhyMPh/t/eJ+jNF448HP7+Xfr7 +AvI58nB43ucNuuWVw5GHw/pav72/UyZHHg77sVErW636xJGHE+D7o4VzJqVy +5OGwXhcFfdVO4sjD4X02M1FVG8+Rh8P1mfJvQQRw5OGw/1VFdzj1jiMPh/3d +iRVDZrzlyMNh/Zaa3WoTyZGHEyBVN35TwkuOPJwA2bVLLx0L5cjD4Tzf1q7j +2GCOPBzuxxFjJGWBHHk4nIeKp+pe8OfIw2F9uv/DZ7QvRx5OgOVf3rh8fsiR +h8N6zPFvd97nyMMJ8Gdwy5kt7nDk4XAeithocPMGRx5OAI/Mo+uHXOPIwwlg +df2lMvgyRx5OgLbdTqaNc+fIwwlgsWTOn3BXjjwc7r8lbqdHn+XIw+F81vbp +8ienOPJw+PvtdU/2PM6Rh8N5beyiH2ePcuThcL9vTozlDnHk4QQ4nScpWLif +Iw+H9WHxGMuwPRx5ONyPYV3rtXZy5OEEeAbtfq7fypGHw/7E1mP2y40ceTgB +ehj2lDWt5cjD4XkcOjjXZhVHHg7v9+4GWu52HHk4PG8pzzxSlnDk4QR4O1h/ +ZYuFHHk4AbY0dT8wcR5HHg7nR5tfudtmceThsH+T2p26Pp0jD4fPWxpyMHoq +Rx4O5x99l7BSC448HM7Dn5eZ/prEkYfD/Vcf0ry7KUceDu//9917DB/HkYcT +4MGaDvsmjObIw+F57jugi5UBRx4O/75tfr3NUI48HPY7q2IGzhrMkYfD/v3D +qqvT+3Pk4QTY22naNIveHHk4rOd1TeZje3Dk4XD+bTPAsX9Xjjwc3m9WRvVt +OnHk4QSIPJcUoWzHkYfD9S448Ca9FUceToBLdim/nzbjyMNhfz5z1ZkTf5Tk +4QTIb/5h5oKfSvJwWE+be87vV68kD4d/XrXuZu03JXk4Ad6tTe0bqFSShxNg +sN3Wqq1SJXk47BcysusG1SjJw+E8Ig8YUVCuJA+H8+jP80GuxUrycHh/79Xa +blygJA+H51eZt6koW0keToBrJq4PjmUoycPhfTAlrmvvVCV5OOxn9IclhCcq +ycP9fx5bHTYjTkkeDufNb22L8mKU5OFwvviVabHujZI8nAAh41eX1L5UkocT +YPbzZRFbQpTk4QSIfbI1uSpISR4O68Udcx17fyV5OOxHJpy8l/5YSR5OgNwt +FfZmD5Tk4bCft+m02Pe2kjycAEuMo1zaXFeShxOgJu6acssVJXk4PP8f53nE +XVSSh8P7f5H35p7nleThsJ+PnHRo22kleTgB9rnlR786piQPh/Xw7shJvx2V +5OHwfmhVr5xyQEkeDp9/mDz/2B4leTgBKv2SvkdsV5KHw/5o++y58k1K8nDY +jwSNLdBdpyQPh59fMunWtJVK8nD///9bhl7asUxJHk6AV5+jItwXKsnDCbD9 +V0S3gLlK8nB4vw2teBJroyQPJ8AZu787s62U5OGwHvIFmysslOThBBhz28RL +NlFJHk6APisrRc5YSR4Oz/91f1dutJI8nADODQttpSOU5OEEcLsVZlM2REke +Dufb4usHPg9QkocTYLhHelZ0byV5OLz/sgw2+OooycP9f553GXK+i5I8HL4P +t6Bem7SU5OEESDd0nGLRWkkeDu/DRLih3ew/DyeA0bZ1/St/KcjDCeB/eWzh +s+8K8nC4Xn06xjuoFOTh8H2uyikbp1SQh8P1aLfB8Gudgjwc1oeZdwL8KxXk +4fD9vbBftqJEQR5OABN2YXybAgV5OLz/D7SzDs1SkIfDedwo7vSSdAV5OAEm +f7n1TfyoIA+H953l4SuX4xTk4XD/hM5YMyRGQR5OALlKvSritYI8HNaT6Xvc +p4YpyMNhfd72WJb8TEEeDuvBzROOc/wV5OEEsHX/MT7lkYI8HNYbl6ZBVvcU +5OEEsLF0n/L6hoI8nAD3mnte1L+qIA+H9eZ229ZXLyrIw+F8vUgRWn9WQR4O +62mH8e7LTirIwwkQPqr8evhRBXk4fP5A+ad2BxXk4XA+bLbMdNUeBXk4AXbY +DM4I3KYgD4fP23/RDWGDgjwczl/vZe6mqxXk4fA8CXzYoeUK8nB4X/bd3iZ0 +oYI8HM63E9Zfqp2jIA8ngOOXStZjhoI8nADPjX4MsWIK8nACvOwUMnG7mYI8 +HNbf0l7H3McryMMJMC94+teA0QrycAIIo2ZeixuuIA+H/VHE+M15gxXk4QTg +LAZtq+mrIA+H/d9O/Xt8DwV5OJxX3e3/il0U5OGw/t4s9lS3V5CHw/M7NnHp +t5YK8nDYv78wmS35KycPh+en57y9Xxrk5OGw3x04KvGjICcPJ8CAiT/nhCjl +5OGwXpzMb+FVJycPh/UuS6F0qJCTh8N6HLe+zdwiOXk47DcfbVg8KFdOHk6A +k869s1Sf5OThBGg3IPLUuyQ5eTj8/LrbNpyNk5OHw3kres3hGdFy8nACJPfO +iGkRIScPh/ObjsIk5oWcPJwAN+crK/cGyMnDCXDfrH1cfx85eTgBlF1P5iTf +k5OHw/6y+rzunhty8nA4D7mt9ep0RU4eDj9f6MypQW5y8nC4P4pd9KaekZOH +w/544xKzHGc5eTjcH0ebzq07JCcPh/VoWHpr2R45eTh8H4tbvNu1TU4eToD1 +8V8DFOvl5OGwPvQpS928Uk4eToCy6r56xUvk5OHwfJ7/HTbHVk4eDp93yWfH +SBs5eTjc366yg/2nysnDCfDL6nHgKTM5eTjsR27a9igzlpOHEyDhxJxo01Fy +8nD4eRXi7YtD5eThBFgT/STkywA5eTgBLiRAk56unDwcnq8s/wvbu8rJw+H8 +9DR6XpCWnDwc9ustNs+tayknDyfACZ/25/v9lZGHE0Bi0ePX/B8y8nD48z3F +Z8e/ycjD4X2xqssdf5mMPBzOj+tLPqRWycjDYb+5O2WEvFhGHg7v+6VzMlrm +ycjDCeDbWBDaO0NGHg77vzmSLMMkGXk4AbrOFI0mgow8nAB5zY5kWUbJyMPh +PPZQDLUKl5GHw/5sTV0meyYjD4f143q2kZmfjDycAENfTc4d/UBGHk4AmPvs +Tf+bMvJw+Pwdn5a3uyIjD4f7L+ntrG+uMvJwAhxzOPAj65SMPByev2HrZC+O +ysjD4fw6uutA1/0y8nACxP3oe99+p4w8nAhDBvdYM2KTjDycCHXON7aIq2Tk +4UTIbWXy+s1SGXk4ETbVX5131FZGHk6E7pE2o0xsZOThREj69nOp0lJGHk6E +YWdnJ3tPlJGHE6GDZ9nZuUYy8nAilDmuvlQ/QkYeToTWJfvK7wyWkYcTYbF/ +wolJfWTk4UTY26bNztxuMvJwItg6Sf12dJCRhxPh8cc+o363lJGHE2Fpi2Ut +L/yRkocTofkdW0Pt71LycCLsnxbrc52XkocToY/upp3dJFLycCL4ufw651Eu +JQ8nwra5M8TmhVLycPjz7rZ5dSBTSh5OhGyxZUp5spQ8nAhe01ob28RJycOJ +UFOSJwRGScnDiVBxiLVrGy4lDydChLGO4/ogKXk4ESYF6Fu89pGShxPhUt70 +Va3vScnDifBq7Ny8hV5S8nAiMD/twNvuUvJwIiiuORQWuUjJw4kwu2jWpl7H +pOTh8PnznOYtPCglDydCwFrJ9XO7pOThROhWcNA8YpOUPJwIuwdoWVWskpKH +E+GD3/XA1kul5OFEGLTm7/7h86Tk4URYnznh4fTpUvJwIpR0MR6zxkJKHk4E +s+Qavf0mUvJwIowxmXbi9CgpeTgR5o6ZY3lJX0oeToS0Ed+3XusnJQ8nwmrT +aT+9dKTk4URoajNBfbWjlDycCDn12SsutJKShxPh+5/+hsf/SMjDibAhecj2 +XfUS8nAipAZ+676ck5CHE+GMv+cYi1oJeTjc/4d03/YvlZCHEyHmzP2IX7kS +8nAiGItGernpEvJwIiT6iy0CEiTk4UQIM/9r5xgjIQ8nwkFtBz2rVxLycCLE +z9mzqe1zCXk4EdzzevdL9ZWQhxNB2Oht63pPQh5OhDVH9RotvSTk4UQ4tq1g +oPqihDycCIuWy+N9z0jIw4lgY3WlxtZJQh5OBMumXy71DhLycCI8Z9t9b22X +kIcT4cXtrtNM1kvIw4kw+pjp1gw7CXk4Ef5N79Fp4wIJeTgRtMy+TxJtJOTh +RHBw66c8YSkhDydC9IJ8/dYTJOThROj4ZLfUbbSEPByeVw9jkzZDJeThRLjQ +3rnj6X4S8nBYL3Tv7v/eXUIeToR2b2Sbt3aQkIcT4VxNojSnhYQ8nAj5fh6/ +zX/VkYcToaCNr89DoY48nAhtRuws+SurIw8nQrGx7bMVlXXk4UTouTWyS2hh +HXk4EYb30unUPLOOPJwIx18X+s1PqiMPJ4KYcTj/9vs68nAijO281a80oo48 +nAi9Zg3p0j+4jjycCEaHR/ax96sjDydC3+h/Hz3v1ZGHw/Xcqa+TeK2OPJwI +JlqD26gv1JGHE2HlstPe/U/XkYcTYRbLKpl2pI48nAh2P33it+ytIw+H50N1 +ccnZLXXk4XD/lA30fLC6jjycCJlRTU7hS+rIw4lwPjWxW/ycOvJwIgx80n31 +Z6s68nAizBvraVcwqY48HNaHgOo2xWPryMOJYOEbuatoWB15ODxP3WLc8vrX +kYcTIXKu7+p0nTrycCKktNbj3neoIw+H98l69cTgFnXk4UQIkuVY3WqsJQ8n +Qpe7pzscV9WSh8P7owpurZHWkofD++upXZ15eS15OKwfc7s1ds+vJQ8nQu+w +97my9FrycPjzJ4w88ja+ljycCOqUsXXn39aShxNBWew3cH5YLXk4ESr3bhrR +LaCWPJwIe3rPb57jXUseDutL65nBl2/UkocT4X2DycgZl2rJw+HvW9Li9M8z +teThRNg3wDs44GgteTjczy0bXi7eV0seToQrK1rfbNxSSx4O13vwh6UPVteS +hxNhgc7wevMlteThsJ55TzycP7uWPJwIX2t/FO2aWkseDut/5m79pgm15OH+ +v/7+yz1G15KHE2FVQ8iBnvq15OGwHpR7Oz/oU0seToQbHy84DOhaSx4Oz/tF +9+UP2taSh8PPV/FhTM+mGvJw+PwrFv2+VF9DHk6Eb1vXxf5V1JCHw/Owvqfz +rqoa8nB4/559YpRfWEMeToSov5NrzT/XkIfD+5DrdvtBYg15OBGmbd4091d0 +DXk4EU4e3NVyaXgNeTis18P3fAgK+O/74XC/Xwtx++393/fD4XlKc1ox+8Z/ +3w+H9+WPfhOuu//3/XBYT+cq9IpO//f9cCK46Ewa3PfIf98PJ0J65Prx9nv+ ++344vP9fx6+6vum/74fDfuVN3INU+/++Hw7Pk/uHn78X/Pf9cCKcGmF0wNDm +v++HE6H0/bEOyyz++3447Iee90o+Zvzf98OJsM7jWMDDEf99P5wI9yYPCX0/ +4L/vhxPhSOGVyi86/30/HNbbZGtLldZ/3w8nQnlsclrL5v99P5wIrz8+u9C9 +oZo8HL5PsxPOA/lq8nAiyHLzfIfXVJOHEyErdG6rUUXV5OFE8LE97TMqs5o8 +HL6fOz1OGHysJg8nwg7ly7t6MdXk4bB/6NHqV6/wavJweP+tf/u4fUA1eThc +rxtung0PqsnDYf/4Z/inKq9q8nAiHN29YnnKhWrycCK0mlUy7vnJavJwIkw4 +d2SD+6Fq8nD4vkN+SrfsrCYPh/VQHJMyZX01eTgRPAd8a9VteTV5OKzfAd18 +qudWk4cTwTf0yKNQq2rycCLgbdDSaWI1eTgRtsy79dlydDV5ONwfb4a0bj6k +mjycCG9X3gsC3WrycCIM1VLFOneuJg8nQtdtA2cZt6omDyfCrrrhsySNVeTh +RDDP7hR/61sVeTgRBiwtjppWV0UeDvtP5/tj+eIq8nD4Ps03G1zPqiIPh/3r +kXlBpklV5OFEWNFu/8u8mCrycCLsjFXPdAivIg8nwsMdv3e3C6giDydCbPkr +fe8HVeTh8Pn/2h4Y41VFHg77/Yh/K965VZGHE+FE+1alNieqyMNhfRxwvynj +QBV5OOxPTbUSF22vIg+H9TL6/ticNVXk4US4O+3R9AVLqsjDieD9YEeb1FlV +5OFECE5depRZVpGH+/99G+f9cnwVeTgR3oldnPUMqsjDYb2fG6J9dUAVeTi8 +vyy0tvzsXkUeDvtp6zvOa9tXkYfD9Z2Us/RDUyV5OBF+2k9sGFRfSR5OhEML +5m05Ia8kD4f7d+x934LySvJwWD8uvHo5Kq+SPNz/+zfDu6dSK8nD4fmad88+ +M7aSPBw+70q/hr4RleThRIgzVjpuCaokDydCf+3+Jc8fVpKHw/kgTT782/VK +8nC4fiVtN4y9WEkeToSR28a77z5ZSR5OhB9TxwQ8PVhJHg77RSyJZdsrycNh +fxv/Or3r2krycCLIo6uLrZdUkofD9xk2kHeYVUkeDv/+rLltHkypJA+H88y/ +2YaJxpXk4URoa9xmjXx4JXk4EU4PdPDt0L+SPJwIRfUnmgy7VZKHw34qe+Th +mW0rycOJMMq7QSf+ZwV5OBFaduTOfauuIA+H58t4kXWvjArycPh8DguWmb2p +IA8nQvslfZOW+VSQhxNB6v39we5LFeThcP3Xjqw+cbiCPBz2Jw09Hl5cV0Ee +ToQlRn2Lrs6uIA8nQrX1i/ue4yvIw4lwQDHn66X+FeThRBh84FTRmbYV5OGw +/iVUbzkglJOHw37qcO8Ha0rKycPh+hbnnZv2sZw8HO6nrrkj9EPLycPhy9Gu +8Px3p5w8HO7vt1kf813KycNhPajxyHq6u5w8HNbXva2jDywvJw8nQvhCs0tm +U8vJw4nw0d/E9rdBOXk4EZxjtdtHdS8nD4f11qg+ed/fMvJwIvC13a7rScvI +w+F5NQg5mJVVRh4Of1+czkGn6DLycCI0cr73+j8pIw+H841bvPrd5TLycCL8 +0lK62R0pIw+H7/Opz3p+fRl5OLz/5ji5nZxTRh5OhE6x3dp0MCkjD4f337N+ +Eq/+ZeThRFj2Ysm4nm3LyMOJ8HeHi3BDVUoeDuvXnTNGXYpLycOJ8KTXpJ/n +EkrJw2G//Ofe4h/BpeThsD76vZi96VYpeTgRtsefkaafKiUPh+t9dOIEox2l +5OGwv1n7l3kuLiUPh/X0nnYXbnIpeTgRNn9992La0FLycHhfp68edrtzKXk4 +EVQb7M9KGkrIw+H8HGGYOa6qhDwcrudTOx2ntBLycDiv6TiuefeqhDwcnv9S +w9e/H5SQhxPhcYPBsImuJeThsF5enBCzd18JeTicbwS9U74rSsjDYT9VnnU8 +x6qEPBz2K+vGQZNhCXk43M8N0xcN1ykhD4f98Iu+lvP+FpOHw/lPP99zj6SY +PJwI8wMfL72UWUweDuuX1fsb/lHF5OFwv6c7rX7nU0weDvuR1qZvMt2LycPh +fei7JqziYDF5OKzXGw8s4VYXk4fD+juoRfD3GcXk4bA/VT7/+HtMMXk47Me7 +Dgxu6lVMHg7nTYu+u5qaFZOHE2HtKYfOv+VF5OFwP+3+51OfU0QeDuuFwdtx +ypgi8nAi1J55lFr+pIg8nAgLmxIPZ14uIg8nQn39POv3jkXk4XAefbxrcuC6 +IvJweF+O27rHc1YReTgRPsm8ag+PKyIPh/f9UPsXK/oUkYfD+ff4uLxJLYvI +w4mgn+m/pSf3hTycCBlrFu0V8r6QhxPBsazyV+r7L+ThsP+/3E338dMv5OFE +qJobmXPw6hfycFgvg19MnHH0C3k4EUo6ckt0NnwhDyfCzWeOJlWzv5CHw/vv +7WbJc+Mv5OFEuHykwvFQ3y/k4UR4ZqOnNm/1hTwc9mfgvP0fV0geTgT75Fm1 +H/ILycPhff2s6aBLbCF5OFyvsYOHWgUUkocToXnwzNZNVwvJw2F9HdxP7+3R +QvJw2J/PX3XxwIZC8nD4+ZPzZhnOKSQPJ8Jy7V17K40LycPheQ/p3u5m30Ly +cLheMwqHzGpVSB4O58Nn2ZmNXAF5OBFe/hvX51l+AXk4ESDZvKt9bAF5OBFM +m1sntAkoIA+H94lFuOWrqwXk4URYHdnaa+3RAvJwOM/vzkpvt6GAPBzWs0mR +v8JnF5CHw/letm/0SuMC8nD4fpanHWjet4A8HM4bZ58WBbQsIA+H6x3c6GDL +5ZOHE2Hc9oyZYl4+eTi8H96xPbfe55OHw/056azK7Gk+eTg8n90qKkuv5JOH +E8HELNT21JF88nB4Xx3ebDN4fT55OBG0T58rjp+VTx4O59N+z3pvHpdPHg7f +z/jz3Vv3yScPh/0DX1zo3yKfPJwIrp/OO9oo88jDiZCcfPifJDePPBzOZ1vC +XNze5ZGHw/ukYKH2CP888nC4/toXolIu55GHw/tE+eDSDsc88nA475hxd7XW +5ZGHw/pQJ1M/n5lHHg7fZ+d+z2yN8sjDiTB+8NCMb7p55OGwX/3xdo9X8zzy +cLi+/NMHpopc8nAi+HfI3FmUk0seTgRrnVHyEzG55OFEuBP4ecSQJ7nk4XDe +2lRunuqRSx5OhK0/7ho5HM4lDydCko1dX921ueThROhz6WzHDza55OGwP9ju +12PX2FzycHj/aC+Z1VM3lzycCMZrbSLjm+WSh8P+5Pus/Q7yHPJw+PvWWV4a +mJNDHg7X11CvZ1Z0Dnk4vA/attN38cshDyfCRnnfZFOPHPJw2I/p+XZVHsoh +D4f9mGtDD981OeThcL45f02y0iaHPByer/lNN3uMzSEPJ0La19oJOb1yyMPh +n+9rXerZLIc8nAj9fk66s0ieTR4O9yO3/6ROTjZ5OKxvnd75FEVnk4cTodcD +ix4+ftnk4XC/Hzbmdnpkk4fD55vww2zi4WzycNh/r/nVtc3abPJwWK93prsV +2mSTh8P92tQl9PnYbPJw2I80u3jfRTebPBzeR9B1w+rm2eThcL7p/Li7mSKL +PBz273umJ+vmZpGHw58/0tDzd0wWeTgRprjcdql6kkUeDuflOP5l2uUs8nAi +3NoZZPrGMYs8HM5rKzcODFiXRR4O52FZ+rl7s7LIw+HzaL12vjYuizycCKOd +2/X06JNFHg7vr8JPu9xbZpGHw37o/my93kWZ5OFEuOLwcMLQ55nk4fD3ecxn +ZicyycOJEDF19rZlCzLJw2H/rPumyGlwJnk4rE8Gvz48VX8mD4f1YHnI3NKP +n8nD/f/f94J8+9z5TB5OhNCjf8QNOz+Th8Pz3ihsf2nxmTwc7q+Z2uM6dvlM +Hg73a57u0X1VGeThsF940W5X6asM8nB4PjN0Jy5xzSAPh/t9S2z7vBUZ5OFE +8Bj3XHvdyAzycCLofsxwqv/7iTwcvo+SRQdvZH0iDydCSMDjkczvE3k4EZyG +b8iuP/yJPBzWnwNHr7+e9Yk8HPYrHbV8zvT9RB4O5yvzowb2X9PJw+H+WLh5 +tcWHdPJwIhxuF7pj5I108nB4nxUePTNsWzp5OBHuW+jljTZPJw8nwpp+87ys +OqWThxPht/YXbkNlGnk4/O/jZTpXX6WRh8P+c0r15FTXNPJw+H5n7ryuvTKN +PBzu7+jttltGp5GHE6HwS9sHyc3SyMPhegRGhE/MSyUPh/Vx9sAPrwNSycNh +P7Vk8l+rY6nk4URwN5x6t3R+Knk4vH/XBqWc10slD4f9ZIuJMOVHCnk4rG8d +v4a1SkshDydC6gvdnGLvFPJwuD/Dbezi9qeQh8P+v9j28OsZKeTh8HnYnA3R +vVPIw2E/9OLhwkw+mTwc1v/m34/Wf0gmD4f11sNS2/BWMnk47Pf3R8xz2JlM +Hg7fd9svm5Mtk8nD4fs1P3h1bPdk8nDY73B3WgZJk8jD4Xqv9P85/l0SeTgR +Uk5YPs3yTCIPh/2ww9Lpp7YkkYfD588a3GGqeRJ5OKzXBqPGd++SRB4O7+Ml +07//rPlIHg7fR5mruxD1kTwcnh/H1aP+Xv5IHg7XY76zTr9NH8nD4Xk9W318 +/qSP5OFEGOFR++hGp4/k4XAeu9c/6mt1Ink4nK87OTVbE5VIHg7vs3yjiIrL +ieThRGhWl9H5yKZE8nD///fzEfOGmCWSh8PPO2JHYG3nRPJwIjR1brc+pjaB +PJwInic/hwZEJ5CHE2F6ekFekGcCeTgR7Eo9OsZvTSAPh/PMg5AbKosE8nB4 +HnbMe27aPYE8HPZDbqlXrsnjycPh/OVVeqJVXDx5OHz/4tU3V27Fk4cToY2t +9TGjPfHk4UQY/udpO8W0ePJwInR3SXoU0yeePJwIZf+aTvsLH8jDYT0LbsUH +pHwgD4f955xq/YRHH8jD4fnxbdzR4PiBPBz+vj8tm6bP/0AeDv/8ZrchwUM/ +kIcTIWvg1b6j/8aRh8P508zCMCUvjjwc9rucqdeJ4DjycLhew8VbtufiyMNh +Pz3F6vik1XHk4bDf3zrf1dIkjjycCAlmjxvXdYwjD4fvc6vxBPNUIA8nwqsN +qnWcE5CHE8FMEf67wBDIw4lwcemOm79KYsnD4f5MS3xrdzmWPBz2m0vG/vhq +GUseToSrFdlf4lTvycOJMGbxDVWG73vycHj+PAdk9Fz2njycCBM8i5rut31P +Hk6E/jtUPddGvyMPh/PxtkUBa/a8Iw8nwo1Bodb3B70jDyfC9YtnjvfKiyEP +h/1Ql7byHLcY8nAiXAid0f/z5BjycPi8PTuGtldFk4fD+hQjmrg+iSYPhz// +32qb6fbR5OFEmLfQ+dj0ztHk4XAemTNzj1vCW/JwIoSXX3ndyekteTgRnjop +vxaOeUseDu+rkSkRktoo8nAiDMnf4Gd+P4o8HK7HohS7vEVR5OGwHw2o2BfR +Loo8HNYL8w3uJfCGPBzuz1+lNnOOvCEPh/vnfvSM5mPfkIcTQZJhPqK5NJI8 +nAgN+496znkUSR5OhMDCHYPL7SLJw4kQ1lh18p12JHk4Eb6+u2YrSX1NHg73 +y7j241effU0eDutFQVT+gCmvycOJ8L1CLB3TEEEeTgTxGKfyCI8gD4f9x0CI +NtsdQR5OhD/ZO2pNh0eQhxPBwClH16X6FXk4nI9GJbcc+PAVeTicf87/W9Rp +5SvycDivfe8fbtvzFXk4PB8DwzMrc16ShxPh3CjTNclXX5KHw/vXsIdWC9uX +5OGwH9wd7HZN6yV5OBHO1s684JgSTh5OhDdXhkO4azh5OBGuZZ2qnDYjnDyc +CKpqZ5/RrcLJw+HzTTaP2pcQRh4O559fhSVaZ8PIw4mw1m574j/rMPJwWN90 +fhsuaBlGHg7fZ43ZdbVbKHk47CdtXkY20wolD4fz0J3Dk5I9QsjDYf/AP/Ca +pR1CHk6EnYYzrVxvvCAPh/NoywfxZ3q/IA8nglerzFsWj4LJw+H8cU9vatTQ +YPJw2L8MU1r/CH5OHk6EMz28hv40eU4eDvfnrzsO8P4ZeTgRPoU9CLGd+Yw8 +HP79syt2P8kOIg8nwiH7oEkfVweRh8N+V+r5JFwWSB4O+2/FpGm7DgeShxOh +ce2PIHWLQPJwIqSnz3OYdy2APBzed+eFsUcGBZCHw/u0Wea5w2FPycOJsH5I +u06zrZ6ShxOhte/v7eocf/JwIrB1Myc7bvEnD4f3U076iNyfT8jD4f1yMPRL +h8tPyMPh+RyzsX6w3hPycHg/lzwe3ivKjzwc1u+4o4P4+X7k4fDPtede9Zf4 +kocTwfZk1jDrU77k4UQYldLvQaKuL3k4EWyW+j4d88qHPBzWrwzTZi7zfcjD +Yb1L7bolTvGYPJwIKw4WX1e6PSYPJ8J+5mPbethj8nAifLPJfj7A/RF5OOzv +Xiyo65n2kDwcni8j7y8/OjwkD4f9+c9rfeMXeJOHw/1wrs3s4zcfkIfD/b6i +pJlB2X3ycHh/pDWTpQ+7Tx4O613WycDtB+6Rh8P1rj4utIi7Sx4OP7909pGH +Xe6ShxNhzqTNassNd8jD4fl/ekZfFnGbPBzWx/u3P3tr3SYPJ0IHVhO9aeMt +8nDYT4YLb6a8u0keDuv70sC7Y3VvkocTYfIgW7MpjjfIw2G/2PHq4Z0F18nD +4c8/d8U4ZtJ18nA4f/B19uO9vcjDYb2c9LK4oKUXeTisp1fvBwXtvkYeDvvr +FuVxoYWe5OFEOLVg8SB+uid5OBEMD/H30rdfJQ+nhg9l355OnneFPJwaOv44 +6Gpscpk8nBqOP43fGjXIgzycGt4Uvjmd3/USeTg13ErI0/Vq604eTg2rdyw+ +9aP5RfJwajitb6LdofkF8nBqMN+6cvyXVm7k4dRg4XVz9dZOruTh1JDRFNUh +ts958nBqCNAN+SQddY48nBpyn7lN5KadJQ+nhpo5N1W561zIw6khT+4YGHD6 +DHk4NVRcNOrn8PQ0eTj8/eOPG+72PUUeTg0e8vm5rVqcJA+nhpAeBj9jdh0n +D6cGrRUJR/wqnMnDqeFc47Y5GWucyMOpYeugfi5zJUfIw6nh85LUOUZOjuTh +1LBu/jbF5Z6HycOpIW3QsA+OsQfJw6mhhf+d2X8dDpCHU8Nr6wpdkzH7ycOp +4W6Hp+9XcfvIw6lhRZeNn35d20MeDn+enYfBLttd5OHUMGHjhMKcATvIw6kh +erR15xVttpGHU8PclzGWg1ttIQ+nhqetxmsv091EHk4N90f02thn2gbycGrw +HzbkjlH7deTh1KAbm2jBvV1FHk4Nik3OiZF3VpCHU8O8V7YRFf7LyMOp4aNZ +QKs3VYvJw6nhlHtHvcvzFpKHUwO7CCVbMuaSh1ODZKDVSfX6meTh1BA+bE3S +1qXTyMOpgXts6pyykJGHU8MQ88BIvZhJ5OHU4ODl1tNAbkweTg0XTewDl0aN +JA+nBvvEhqFfDYeQh1ODZ8MCdzd7XfJwauh/sqv2r+YdyMOpQRpWMHalTYOl +xsOpoZX4dfaqDvmWFz2aD/7Rgodm9L9ddbpfklvyMHFJxP+YOvOwnIL28Vuy +VEgoErKEkGQnY6+oFC2EIllSCZElhBRJpX3f933fl6m7qCitVMqaLYmzREjE +b953nt/3ef+8r+d0zpw5M/fc0/WZ6+MlNa+qVHuDskeQCAMoIn/v0kVVpUsC +tqmfGMHA4YDaSs3FVaWS/MFBNJKBZ8G2hSuVq0q/bb+QO24UA38NAhQwiVuj +7p7oJDFT0Wzn/Xx0acFA7Ozs0QycXtX5o0DxOw7Wxx2OogxYz3ux/PeYZmSX ++sRztxgD04vcKvz9+vDBEZ+3zRdnoFhvuvqQ+V/RpoPD/vWT+P2v/TlDG4aU +zi6Qya8dw4De9u7lbQrPkMj4pSdDxzLQqrl30cyGHNRlvl3+1DgGmo88Cbxr +1ogfVpg83yjBAL/r2paz37px8tSL3pLjGZhZPtvLW+4JdrNx13hH4mGTrI2N +V39Ep+vihuRJCvtHd25pgdMEBrrHPrJ67cug5ddaTu2dyEDZkGvtqZVfkNTT +z3MXTmLg5PmwhfVeHfjnkuEvf5PY8V75ZdWwWtThPNW3XoqBfepmp1sSOlHJ +m6VaEdIMOPl3vu+c14fDVDSGnZnMwPW1Qd59bZ/xdZ9DRVumMHDK+KBkwNWP +2PTLRetJMuR9Sv+Y3h5ajlXVPOZ3kdjdMm50vncumhce/6pgKgN+V03m3B37 +BI/+Wep3R5aBx3Kd5UcXFqCena07jKaR9h0P2neln8d1iV+GL57OwJ5ft8r8 +lvE4fahIyV8Sl969qtfmxGHP/bJnm2aQ771EfG2afRI+m7NsQbQcA+MmGuqa +GLPYYIxmp81MBnoWLXO9EfUYrzpmGqA2iwHXy4onZ494gmTKbHUmzybfFzaE +12Z9wL+lPUd8InG5X1SQz98m/PJ0Ai6ew0BiY726dEc3hodlNm7yDPx2za98 +8pbHUbPaFh6cy8DBj77GWXdrkONl5s2SeQzkndacVmHfgY89EQkaOp+BX7m6 +0pdC4vA2xWm7npD4n0zfrMW+rWjBreWj4hQYGFATW/Jv8xsk/kqz7MICBnZY +7XkeNjcWsasOn9++kIF7zW4/oeM9bvK4pDh1EQNjX7jvH/zVhbO7Pd99JvGE +ecdza7e3YN/NicGligxoBuw7dAMXowvBoOuxmLz/WrUH5Re68d5vbaNNlcj3 +OOR+X+TRW6yyg4VlSxi4LDbNq/57F54WN+KiiDIDux3XXdLI6UB/B6cptZH4 +4XDzfr3yF7hzz4oPCUsZmDN/crGBcg6+n6EVemkZ+R7LGxJPS7zBcaOP6Gst +Z2DGR4+PbS8/4Numl8WmryDj6RbblT6sBlkUe1WwJBaT7Phd6JyFtSYm2Zav +ZKDjin+mCNuBF1uVL/FexcDtv3q3xox7iCWqnnYdWc1AppyW8r6YfNQ7nQtb +uYaBDVkHrUbrvMdPLozcPWotA0onTxR21rfhvMbpYzpI/HorG3jc/gEKVFh5 +P1mFgeFr3lT/6XmGL9/YcdluHQOLZdQj699lIONnR5bqIAYagmo9f2q14g3L +r3TLrWdg4+Syu18+d+CZbt4RvST2a5aftX7zKzzsQ9Ke+xsYOPfi2pfNg+34 +/fqKsX4bGVCWjDyf8yMLVfu3V5ptYiBNtHnU0XNtOJHjrqzZTO6X8SXXXbkE +u2wftVxsCwMPxJu8LS934pNRM3qek9glp/Lp5NcZaOfAyqi0rQzo91uGV07u +wEv1tfdeV2Vgp6virRjvWjQx9aiErhqZ//1z9DTbGvF3Ebvq2eoMxI9cNLAg +uxk9PeBztY/En5Ivbci3aUFF+ckrqreR+6mNKrRJxShE4t7ngO0MHFo4pHOV +YQu6at4RbaHBwAIf3TEi7HNsUsHvW6fJwNTkRzWxrdl489TRkmO1GDAIBp+n +b2qRvI3cw1ckLutjhsTsK8Qj61Zdz9xBxsersvJrLx7ibnmdVQ7a5Hv3VCgk +8g249uoxRl+HAZG5jzuWFYWg1Da72Lk7SX+1P3O2k2nD7kt8jX6SuFxx3FlD +o1hk7ZwyoWYXA/tfh/fs4tqx3pt7NcG6DEgUTP7Q5FqPV6g8s7fSY8Bk9Lop +6uJtWNqnd/UGfTJ/FOZ1fDgein99Hs1JGDBQ1LitOvvNM/xcdWb8GxLLXfpx +wUe7FJWGrT6Qs5v0T9NfuebUchzxQ2fSrT0MWBq8FWmZ+Qzf2Gn2aI8hA/mN +jseSJerwkcSrDgp7GZB8LqFmEf0Yqw31WztAYvWBv85dowHP35/KP9rHQNiV +w2+OpjUh0Zz7CWH7yfoy/9BYt4/V+LP484Onjch8+3s9+XzkA9Rw9KvUZmMG +ro42TJMaFoUyS0XrJxwg/dG4Z/4zsXvYW3rWzfcknhygOMZulSM+d3rNuvyD +DJzVXD9V0bkM7Xm48+ttE7L+SUVsCFwViNfMOp607xC5PktU9eX+MjT18rVD +i0zJ+2RPvHHHphr/eew3eZDEW1L6fhwanY1fLUpraDjMQJWRsrq/Wz0uv1l5 +K/IIWY8m+Nx8qvQYx7x8js4eZaDeyXnfg0mF6Naqb9+2HiP3U9kuHyKfi497 +iKVImTFwaa3525v3qpBG96zDH0lc/GlPdF7EPbxo81qZouMMePdYNs3uqsFj +g3c1uZiT8TNBoVjHKhpzX4/fNrZgYLzHiee9U6pws9b1DUqWDNh8DGCkhxfg +nFj/7/9IPKZc86xEWxb2G0xLbT5B1oNT4Ztcr9xGtnuqjsRYMWC1891bmfIm +vD/jxdTzJ8nfPwohdWUzRqP7mtVPCdfDGabid6acJuNvg+rKcdXReEjx7E09 +JP77qCD7a3AzfjtB5WeJNfk+qj3XjN3KUOUJ3fS7Zxhg92SERaaG4fhK82Mm +ZxkI+VmXqe3ZiJ2n209bakPW91ITbyXkjSwvBDwZdo4BUanGq0NPA9JuTHdp +OSd8/hKF6s3x50l9cnyhlUtYM5a88bL/4gUGHAaHHy08W4C/dfRlaFxk4EZf +mHnc6xrcumzMcVlbBszDlDrgcDkqcJ0zgyGxsmnTvrVfI3HQe5XWsksM1EUG +qFWuTUFX1uu5eV4m49thd+IJaMIH/C22Hr4ifP5Gzn5guR0DfXqH6q3aWvDs +7YFZI64yMPhorbKvXj0Wicowf0pipZhBhdVvHuOuX9VySdcY+Hq5aqPkiTb8 +UO9V2+XrDET88NnsV/wEJ6d8v7vDnoELZk3mx03vYTeRsWozbjDg5XI50G9n +CTp1QP4PR+J5J4eebfMoRbvy1+VUODCwSuWjWZ/DE7xMQt/Sx5EBLfln5q2K +lXiSueWsYzcZ+GNfMVFnVAX+WX6jfdUtkq+aT45suvAAd8gEeYx2IvmOlzxW +qR6DS85mqj8j8Zh2UWnO6AEKe/RgMOU2A98bt8i6nn2Mr8u/zr3qzMCagOFP +JPTDsenVHyd23mEg4ffyFQ15+Xhr29g5s1zI/D8zrVHVLxnPXTL32VcSV3Y7 +7j49DeNRzsir0pU8v+aCjuysZvypU3+7vxsDUY5MtJZ/Nq5be+Lf8bvk/mpd +lQ3Da3G6t0P+WncGxOdr7zSprseen4NOinuQ/nvooL2o/CE+q5ol/5LEBc/U +M1vkC5FB2MPn6Z7k/j+Omq+53YxX/Xjtbe9Fxo+K6Y1lumV4ys6fGnreDNhp +9A/7sLUeDySMGyrvQ763rPvB9SMK0Ish8wq/k1hiRmHElmTAZfvWn37gy4CM +vDN/7EYTjso2mBfkR9a/8PPyemaPsKO41UtLfwa6ms7US8wuxceOOvqiAJK/ +6oyWTLjahLeVBmuNCyT5Xq6RPbO3AC2Qzh7WSeJUeeX9pzPKsfjpmqKsIAZi +GhIeeqncQ8yDTmvHYAaCQiu22KzzR40z++fvDmHA/qpVtdbwWJx1SeL1vFAG +Zn2XHPJAuw77PJ7n30/i58XbpasWNuILizZo14aR8fdETWF2VyXee3O3SGg4 +A5vmndyT5PEQq7y0KjkZQb6Hw6Fy1Y4iPG3VzbMbI8n3Pb7nnbWiJ/7rHrJA +Mork57r3tt4XS1Hnx+zOtyTONVw42mtjNr63qTYgN5qBDx4/JtyvyUSxQW90 +nGIYCByTE3tb5yF2+to/Ym8sGW/G2fPw8UxkrjW+dEEcA43rzNovyRQgrdj5 +536TOH3R0dWtyml48eCGRfXxDGz7GbdhxXyMJfbseRueQJ7fKfVt+L5a3Jt+ +Msg6kbyvlatmza6H+MmoW7u2JJH6c5tFf96GZpx3KHTUpGQGnioNP3ElsQkH +FOWUfSBxg+3VnIHEVHRpwqPzBSkMLOxZ88DgewM2OvFW8U4qqRcd6ocdco5F +6yt/vdufxsCkPYHF8rGFWG66ZIhiOgNLRVXCL/yLx8MuKOj9JXHtu8SCdVcr +8PuGjaJNGeT6Q85zjcrLUPV8w/KoTLK+ywT9+7KxHCfan7pok0Xyz/DotEPm +ecil45aSWjaZzwkXqnYtzsdWy8I+SOcw0K4yrjtM7hHWcc0N7c4R5hPl94/0 +i3NJPh3p8HnOi2I0Yf07Mbc8BkZfuqMk61OF+/wGKg7kM8AFs6T2v4WespKX +lhSQ8Zhrv8HgYwEq2rZAeWghWf+SR2l+UcpGIZGbPj4mccuoEU3eL7Lx1V+G +4bFF5Pkzl1fIj8zCJnqnd18oJvPr0iWThV0paHOK05jtJcL2zBEJvy+DGbh1 +65DdzgOVeMSBvMufSey9QHVdnEYU/phXt7S0lIEfn5wnPVgTgGvGve92L2Pg +S7ZvdUdIEko9/jviEJD8wL3oWb07HrmXTzBcVs7AI0tR28NZachaZuE4kQoG +7j/nu+Z0h2K9s5urWklsf/jW82XXqvGKR3vtEu6R/dObxAV9SfextLz18kv3 +yXz0CVkCdim43+52j2YlA9od19Zd6S3Gz1rDo6ZVMRCp3PEy4GUmxkr5e1kS +3++e2/MoIQ+H366XKK9mIPmZhFXSpUR0o/N9tdcD0l8bnomJDm3AR9b+uXrk +Iak35qw0m6dUiNW8J65cWUPG54Z9Mv4TC9D8zwu/jKxlwHD2sUmb1j/Eoqpb +YtpJbN/feT5gQQL+HLpvf/IjBs5Uhi962VKH679bS9rVkfVVOmFfbUgKztBx +fqhdz4CU74JFYxY1Yq+EiOtyDSQ/vYv369HNRjZDClb1Ngj7f8++BuZeI9nv +TFIbY7nWH6/J/hDr20Tq2ditk3ekh+Op4oNGZs2kHvyYPv6ESCH+c2TSxDWP +yfh3tZOpuOaLX+FFtaJPGDi241SYofo9XC619cZzEpv8O/TOdkYBjj61f01a +CwNGt6T/6bSEoZsPznDXWkk9ol4g6VaVis1m3onf1UbqC8eEiG9DHuLtlyIP +zH5K6vVNB0QeJZB65HHBpD4SO1ytDxgwI/XIosZHVe2kfihTU1v3oxxzjl0O +AR0k3xYwUnk5uaj5xeBai2dk/62xs7E4Pw3nrJTqVXlO6vnWj6t7Qu5jP3fF +xDEvGGAUmDVDlhfgix+3mrwi8QLtwF2+Dxrwvk1G0pkvyfvVj7uy/HwWXhd0 +tv7GK7I+nuwf92drDJ7+9c5N/dcMeF77Vs2JlaIhWlHr5nYykFLZb+jyLAi/ +jSn8+oPEftkm7ped7uPKP41JD98wgHmL2KWRdTh+98dDwW9J/rzBX0oYkoud +0/9OtnpH1tc3WXMP9oZgy1HSjevfk/y9OjChbXsU2nFosZPEB7J/dHPa1v29 +ACsVqa5/Q+I3TrMPlM6uxuMnGPdldzEw8miaa2ZcDf5qaZNy8yOpB8ZBoEWt +H2q973J4TzfpvzEV28Oj8nHBtGgZhU9kv7v46azjjeU46HxR0y8Sa5QGK30Z +V4WvNDTdftRD1vepz/d835eJDszv3hD2mcxPzeNiFyuz8Eb7f99PfWHgiItz +ULxnIZrVIZ22iWGgmnWytntViYcvUzo6gSX9YdiwpCmkCH9wUZN9T+KibOgU +t/dFD94ZP87jyHox2bjQyDEHJaNzd27zpD7XaPTsXRWN3fxcN+3rZWB9e8eH +H3e90Sk2+ufCr2Q8OvsvLk/Lxbu2Faf/IbFSu5J86bZHeFlk87GGb2T/LH/B ++8vsdDTpV/e0yD7Sf1cTo7+dC0Q/dIe0nPlO6sNlU74FtqSi9uTJrlt/kP3b ++ZFYe70TKh6+ZIvUT5KvXlXObVmei0KN1X91kVhRU7q29+w9fD3vQGZhPwNz +l0vFLtP3Rqbjzh93+UXyLZ47bElCKNp63G2G8QCZ/245PzMV8vHc8pjWxb/J +98RbDvRH5+NRMiVu/0icH58td8kwE30683hr8x+SXw421klnxuFHtZ8GogcZ +OPGw6+LK8RU4bc7Q7HN/yf2fVGc6ZXlhD7spFur/GDA2m/xyxbL7+EzrkplT +hrCwKjRt0leuGhsobXv6icT35+U764dX4lW3D7qXDGVh9Ms14zsfZ+MpnefV +7g5jId/BeOz9id5oYM3dPweHs2Ax4vfuqpQK/MIrNkdZhIWmpoLLivf9UVlP +ieWwESyMaR2+ssi9HEdufTKrhcTZ90KPnzd9gB1Ce9rjRrLgs8ph0Eg9Ch39 +PtTz4ij2//KJuo7MNo3RLMzK29XWOicfL0hQ/jtVlIUNA43D3Zbcx+JDtud9 +IfGJ/aJPtBdFIWaviVWZmPDvG7MuzPEUZ+G15hF7XZJfs8Tcn5mOYSHhinih +lmwU9jkS57V8LAtiKg/bzEXK8XmMt48Yx0Kn9OTYD5ezkKFUy782Ejsk7hZz +2VOJ1576nJ8owcKuudVo8pI4LPtg2KnL41lwu2jjPTcqFw/KTZ27Q5IF08GP +FdqH7+FO26Uvpk9gQd0mZNWrE+X4XvN2H47EIS+jTjJ7XXDswkOaFRNZqLwZ +Kr8pKhU7OV4c6jOJheQZjXve3klA5i/cC49KsTDBSR2kT+ZizZXxp1dJC99P +0b103ujJLHxeUBtJtlR43MeWlx0kvh6XGBG+IQPxG7/4pkwRXv84cPiOqzIk +bj91+p5rPMrrnTp851QWLpWYjl6fkY8DNJcVz5RlYcuEIZ+PoUJ0KUbjzFcS +x2doF6uXFWCjP4cUKqeR5z1ZZ12Udgev32372m86C+1+a7uu33PFcuke/sdn +sOD6smJK454qPHRUgvZaORb2jvAf+ORfgN+ZlImIzxS2p6qwteTF/8QJkszZ +9FksxKQOGExfXoxdLEUW2s9mYURTjLLTyXJsdV/2je4cFn6OWZG8dWc+0pm2 +PHCOPAvlaVZGvVwOVj6vufP7f2KtcSbO0yvwhAbTkQ/mCu/fN+9SaeA8Fi5O +Eu8aoRGB2657nrOcz4Kvuonop5RCXNiesAgpsDBpY5PvnrNZOHgpvB27gIXC +6UaS6TFZyM6lLeg1iV0cZVdNf4SxyTtmV9ZC4f03oxGjHRexoDVbQTT6RDKa +4zcNDBRZcNSKjtR0CMUj2OUX5i1mYZ3I7jU15pH4o7rW4n4S7065OdvLtxjX +RBx+X6PEwlnxJS/M9xXhlP5LISFLWFD9Pr63dvkdfFfXS++kMhkPwytVvx5O +Q6eTE0U3LmVhffrrvK9Wnlh3eHn5+GUs+JXunPjZNQ+vMH568S2Jj3j7mrd7 +Z2HpPFYpd7mwvf1jR3bdWsEC2WCr+P8tx8/MpocZriTzv3aqlfblaIxhhcGC +VaS9H8zsPN9F4vApO8R/k1hJ3F9k+ZAYbH/myL261SxId36+fHNHKjpce/lS ++BoWchomuXzb74NU53grW68l+UF21dDvRuV4nl3Sx80qLDTnL66R1vVEoq3l +4RPXkfHS3eS5WysPf17cvvsDibfYrjI6Kx+L6p24MQWIhX/S/ZNmv/dDGa9H +VjqvJ/MjQldHUyEYea2ZcWX/Bhb+TgkcVmLgim28Vi5T3MjC4in5W/MVvPHu +nh2fBkksvlLJalSrL1q99Whk4ybS/vXPR9ilJmKZ0CuGUZtZqI73+OIzowT/ +7vMeZ7OFhfcKRzSlNmWiV9rJVapbWYiee3iUqV8+Ko+vsJNWZaEsp/WKo3kA +iv7XvrybxPnTsnJ1i1Lxzb18T5EaC+nV1p667RnYLGtUtKs6C485Ztj117l4 +u5jcvgPbWDCqGfd481w3tPDIqvFLtrMQarbYv040Bo3B2g+GaAi/Dzvp2LXH +JD5mxYvvfhODmk7arYzVJP3jdnzk9Fe5KKfa58t5LRY091607tOPw35yKTHb +drAQu/XTgJbZbXTR9t5+GW2S3ydIil+fVYL3NXdIfibxkQDvc2pDfNC6hb0P +sQ4LPxTdk88YBqDpjqPt3Xey8F206fbzwDj077nc6kO7WJjxfWdX16Qw9GbF +anapLgsLdeK+tYeV4/t3deKG65HvMV3UxHeaL47rOmbcqidsv/PGqxMT9FkY +PvvGRn3du8gy0LfW1kD4+47elBuau1mQdZg4vTgnEytp3l8zbQ8Z73Jzdh66 +EIzHxzzjGBInaqie369fgr/+7o0HQxYuzPw+LO1+PG4xED3otZesNxYdV91m +OKH8tJlSR/ax0Ho5J5hpi8WBI9fUrdhP8s/POIkJw+6iyyY7HUcasfBHTyL7 +fT6pRwrNVNpJXNIspqdVE4s3Sl7rTTJm4bzHVblYJgXPsvRLvHKAhaS8NiNT ++yQ0/H6qifZBMr6GrQ7+dcYPfZCtlJYzYWF8+I8nyikl+MG55/U8id9McP1y +4hpGSfVfb947JHxf13liyNdUGJ+8PuvbscMsTGvWrou/mIh3tq9JXn2EhU2S +V9bbO2TjZUt3mYoeZcFms961vTqReJLL8SnPSXzT5JXCJc88/OPttcbUYyxE +9fwT0cjyQu3r/J2umbGQZ9C1TekjxsW+aet3HSfx0WHswG2MQ5nKvlnmLKjY +bF7/910+uqb+IuUbiX2v6cT4bgnChyK+Ha6yELZvS7/Y1ABLFq4y246+vJGL +5XVnN5ufIO29rHXLqjUUj0pe66xixcJXv6PRItGl+NMw3Y1jTpJ8+eXDnGfW +2fiRkfmPlySe1Vrm7O4ShNNyr6dlnCLjvSbt6ruFCdhjbMDRG6dZGGkRYHSo +2hudMUuX1bcm47k7a37V1DysD1WP5c8I27Nyyss7P0hsNN7hKrMrA08+07fp +4VkWRPSPtnzMT8S/asT7g2zIfCm5cf/b61T0YvacjBPnWOjBd5RfWobgsisq +ZuvPsxDZ9tZJeVEZjmzRnS5xgYW2g+0GtyyisMNii5ZOEi/RWREfIZeBjzrZ +u2ZfJPXAcekXFl8xVn8dsOWmLZlfyw2s9ebfxAprMn7tvkTqCXm3HZ2mxVjM +qzpz/mUWzpiPuOMxIQ19+fTy+C8Sf1tllLD/bDRq2PJ9xqMrLFi9u7Lhq2Yh +zgwZ0xZqR8abrhUXZFGMffrm3D11ldRLxy/uWTvXC5/XXqe66RoL/dOfnze5 +exMZxuv9lrzOgnOwxsjNZj547T+L7Hckdhg46ic7NBHJ7r1hkWfPQnHdyq0f +rvujwczAmbdvkPEy1dxEtKoMvxbNfLrXgQUNrWSHradzUcXhB+4LHVlQfDnw +MW1CAI4peaX2h8SzE9dzH16G41uTfvypvynsf/OTY3MjbrFgYOzkUZ3mgTSr +5U+ccSL9LXVxVsNABFKUQ7O33mahzv3zbxPfUDTOVr9jkjPJlz+CnOOkMeab +LD27SHxQYWXLhqx0/HiBw7bCO8L75zoE/b3jwoJUZdjBsP447P88M8/IleSv +uD9FL2ZGIdsVD60Wu5H+P3W9+2tbFt5/9/WcfyTWDRxQOxaRgtd3/XjWdJeF +bSYi+HpPPpLbOM472p2sTyISq7p/F+ChgXM1znmQ+YrMrModI9E7Hg1R92Sh +6PF3yeFtabhKw6BgshcLX5BpmU5aJE6IPnHqE4n7XRePHaOZg+/8dphb4i1s +7wmD4BduPuT9FWI3l7lmY+20LJ+DvizoiQSn7UzNx0tG1mgq+5H5xUimljYk +4wkmnUOH+ZP6bPL10r+JgPsKfhY+IbF1wFLjKbM8cdt4Ceu4ABbmmqsFT3VJ +xoUW8+ZfDBQ+L/je+lfbg4Sxnexuv6nBZH7NH1Nw+08KOnjOascXEmuKSJ90 +SMpDm+odh5eFsDDlSL9C3ZlsNHteSLFHKBkvTewKsjvCItezz5iGsWA4WWPy +/IfZ+OPTGoXl4aRebT4Rebo3AdUov3ktEsHC/pLTPf6KqTjlTr9/G4mP9qcr +KJ3OxXffSugkRpL5senSYIZaID69bv6Iy1FkPZCwbTrxKBfr+m7AWtGknhN9 +NeX8q0y8nNltMz2GhXEnFeXHGiQhKfWTCzkSn/Atfp1RG4p/ht98Ux7Lwi21 +zT8Hdrnjjp8hgd5x5Hs6JW1Owz4Y78rZeTSe9OdcQwObe9k4PKl25KoEsn9Y +Iurq6OmP7Ye9LR2VyIKcgXlojHEIOmz061wHiVcYhL310IjDqrnjFVOSWHhn +s1+1KToFzRur8M4umazX+TnDJ1yMxKPNNgbrpLAQ9tbRr/VqCe4p26M7M5XM +nylGv7+bZOK6yadGfyWxythni8NCMlG69S24n8ZCBJr15Oz2OOxVE3rBL53U +8x34tKxnCbaZnbv4eAYLNbnPQrb+9cW7rzx6vyaTrF+Ljsq2WSbi1S1vQ8Sy +WHhYbfX0fGccklk8oPeCxIGtudIlbzLx71uSYunZpJ6MmTV2x6ZM/PKVQsX1 +HBaQuPvlHnk/BKs32ermstCtGzIQuyYRR3kaLpmTR+oX5c6sjiPF2PHTqa4+ +EmeM/C1SeSABmW1xCqvOZ+GkHpxuPe+JtoeEGQQWkHzM/p6640IcXtiXK25Z +KBxfY7Tr7q0rYiGgzjMWl/ghNu7dpbHFLHiPcFxaaFKEm/4OKL8uFl6fbTih +O7OEhTXVV7+u+BSNfTMXRDhgFkY5TtMqWxSHL4hu3mNQysKNvZo5MUtC0N7D +e8fOKxP+/bqS05U/Sfyq+Wtg+tMsPH3S7Ss1wMIC25vBX7JD0D+r8GUh5SwE +zTG1PSvuh95U5X2yqiD5rl2tbt59F3R/Rn3khntkvFtayiu7ZqO4i+8Nx99n +oS/616w6jyh8u+n3uLf3/7Mfm5WoX5yNLRZMrM6pJPvDJy3WKTE5WMth4dVb +VaTeM1A5Gb8gFS1+vnmFYbWwfeNX7Pus8IAFhdySOnemFH91s44eILFheXjw +yUtuuOXD7X11D1nY81569lPteJy/IWJ8eA0Lh4tN83siM3BgQP6D07Vkf+iX +oWfy3gtd5uuvbX5ExsOFA4vPx0RiY40PKyfWsfCspuzf7gQntCH6z5f3JA6Y +5BDbJJOFZ/6eGJtfT/Lv6b01JedS8DCDRUbODSwMlqsZmM4JwR9St0zY30jW +o/4+idj0ePxgxP6aRU0ssP5vh35Zn42TDp6xH2z6n3qkwHl1YzPpzyjb1SZd +cfjk+Eg28jELDYZJN7UuZOOdFgVxZ58Ir196r8FYtYWs/25Lf7odi8ITZbsm +SreS/cL+geGqV+Pxd5vB2o8kzu2MjCpZl4mf1k1yKGoj8y25pu7jpGxUPFdx +retTFjyW7l2xNy8Vh17byhu3k/pu6Fudij35+NrT/QlKHSwsn6es7fq0AB9S +PntwyDOynmrf7bf5nYy33Lkj9ZjEjbE2DC67i+XfRtbFPGdhqHzkg61Od9HI +dYWO51+wcFdWf0rj/mTU7dOosu0lC5m60mqRvnmo9ktX75RXLJgfS7hxY1wW +TlX7m9hD4uplkhtddIOQe7jUIfyaBduwX5pNRZnozE/Fye6dLDxZYTN4XLUI +6+9SbTB5w4L/xZfjD/yLRCuTjG4tfUvqo5uzxx34FIUmD7NBw9+R58/Pjao2 +vo1+7Xf51kLiwOtSpsZ7E/DznKjk+PdkvTXYXxmbGYlLxxSZ2n4g4/fMtkvF +djdRxLGmKZpdZP0b0yIbY5qFb5R9bJT9yMKjzth3IZLB+Mjkf04MiePTlE+E +9mRidWvpDdDNQunTrvRx4gFIoWbxd89PJD/b9+scm+qDxWarpR7uIfXM1Ncj +Vm6JwF8uGx9Z8ZmFzUtn/FGZlY4anthMHfmF1P8Gqx+ctsvFmYquzU9JHGT9 +53X3iUDkfSvaOYkh4+3NR/cBuXx87lXRxiuscDzsWd38YwdH1uPosPcxOUl4 +jWd32gyeBSd7/7ixiq5I9tO/ozwvvH5w8+Rp93pZULv/eNzASg/8Oljpic9X +4e8V39Rcjn0j9fnhWcO8faNwzI4Dm1f3sfD75twdh4yi0a24c/2jv5N6bXH3 +Qq+hhfj4X9eMZyRWzfmuc3O2N9YwjDFL/UH2Lyaz0uvtMF6UWTz92k8WjK88 +fLdMPgOPFX3csrOfzD/lkXc2kP0mb/rJddYvsl9+ULTqQH8xflw8ZOs3ErfF +dxqVcvEod+KUgcoBFna+iFsutaAA+1styfL/TepPceNU6z5nZFulbm7+h9T7 +c/+MuhiZg/fPOCinMih8H3TxfJv4XxZ2aP2IbMjNxjOa3O6+JLHS4nO73W5n +4SELYlUz/pF8eM2F99+TjN7eKPltP4SDfSGdP4+8DkNVzx5n6w3l4Mser/TB +9rs4YXmPhfwwDtzkPHSdl2ViysNx4DIjVCQoJwFTHo6DK6z+VbOvaZjycBx0 ++1uulRjqiSgPx0HUzRmzd+MYRHk4DnZWmt3tqYhDlIfj/q/9lIcTxpSH46Bw +1Mdvb23TBDwcB63TvnnM1fcW8HAc3F4nb3thXwKiPBwHGZt7t9f/TMGUh+Mg +yztmkuKZWEx5OA6CHzatSRifhykPx8HZM18j5BxLMOXhONhVMPoM05Im4OE4 +MA9X3ygblCrg4TiQlknxHTc2FFEeTtheysMJY8rDCWPKw3HwgP/1e43FbQEP +J/yd8nAc/Olw//a7MBpTHo6Dc9tsokzOZmPKw5H3k5CY6yGWK+DhONBY9meO +0pdIRHk44f0oD8eBd/zNVz31nojycBx8O9lze6llPqY8HAe2nOyY7JR8AQ/H +wXWLjMq02EJMeTgO3KcaDH5qKxLwcBwMv3DkuMKRDER5OA42pLvaHz4YhygP +x4FCXe+WTc8CEOXhhO2hPJwwpjwcB80zXj9dpRks4OFIfGvWPofGNER5OA7K +pp6vV4kJQ5SH42C12iLXhbapiPJwHNQcrH405WMkojwcBw2jB1ttV8cLeDgO +bNz5r/fm5gp4OA48n5hZxq9OFvBwHLhqaLb5RMVhysNxoDlKU5wpCBXwcBy8 +nqucUzS2EFMejswXcfE1s8fmCng4DioOpC+6d8RfwMNxcH+gIj9cM1TAwwnf +l/JwHPx+/2B12KRsAQ/HQe/kJ7e/s9mI8nAcDJytZGpzSgQ8HAe8Y4nbYctk +THk4DkYf+zPs+4g0RHk4Di50yz59OzQTUR6Og6btG+WWLIlGlIfj4NSDZct9 +/dMEPBwH9rNMPVXq8zHl4TiovR76/I58AqI8HAfV3Yt0NEryBTwcB1JoREg4 +Eyzg4ThYEKOl0WKbgCkPx4Hv1mzlUbEpAh6Og4jcja/+2mQjysOReE6iGXcg +G1MejgOv7JV/vudkCHg48j65w++9/OOFKQ/HwXelyi+KZmkCHo4DB5X50nZS +vgIejoOVE6csde/OFvBwwv6lPBwHPoVrhu0ri8SUh+NAMaLZBdbmCXg44fWU +h+OgZ6Rlm+XuGAEPx8GmtRe9DL3jBDwcB2tGvfJO5b0FPBwHhzrExRV/3RTw +cGS8LWjSt3qQhSgPx0G7t99ieZNMAQ/HwVFn9/3xXaECHo6DhyN39Q7NS8OU +hyPjTdRwMGZaEaY8HAfxYrm1wdaJAh6OA/3YlBz5RTkCHo6DA20T/02enY8p +D8dBndQO1Wvq0QIejgOt7JhHItVxAh6OgwKH7Or+sfmI8nDC96c8nDCmPBwH +H5e0K51+nI4pD8fB+cMqX1+9dEOUh+Mge5pH8LERUYjycBxsH9WTdJjLFfBw +HFimwtbHOqGI8nAcbHMd7G2IuYsoD8dBoN/F+380EjDl4cj3OSybkbWkSMDD +kfmgebHJ7m+mgIcjz/9SvEDbwlPAw3EQLlPbm/7WBVEejoMzUyo0GqJzBTwc +B/4Hn7y85+eBKQ/HQb1Z8MpR7+IFPBwHhyMf7XnnnIApD0ee33taLEchCFMe +TtgflIcTxpSHI/dvQgfWOEcJeDiSj5JVbt9XiRLwcGS9y/oXvB55YsrDcTA9 +d+jIuzf8EOXhOOiq41Tmdf1/Ho6sl2fOWs87nyXg4Tg4HrZspHaSH6I8HAeS +/caHdm3KwJSHE7aH8nAkn0fE5l7JjhbwcKR/HjSEyw0rEPBwZLzKlLbuTQ8X +8HAcbLlyV6PhZoSAh+MgucT/SYlpjoCHI+t/iaS5zJV8AQ8nfB7l4ThIWhgU +lxIbhSkPR9YfSflDV+6FIsrDcSDxwdLa7riXgIfjIH3MUZPQuV6I8nAc5N5o ++7O9LUfAw3EQtPw9t7g2RcDDcXD5yxOvJrUsTHk4DgxU7sVn+8chysNx0Jgj +r5lT6C/g4ThY0XwMqiryMeXhONhdrOUxgdS/lIfjQPXYjePKPtGI8nDC96E8 +HBkf4amqek9jEOXhODjx4nbniM3RAh6OA6cbyQ6XjDMx5eFI+5mssG+Znojy +cCRfLuEm61eFY8rDkXy6ZE7ojwX+Ah6OfL95rsGnJrgjysOR+TNimeqtRS6I +8nDkezgca3k0IV3Aw5HvmaTeG+0VKuDhyPve6zW+PjweUR5O2H7Kw5H83jR1 +W79koICHI/lxMe9eoZSPKQ/Hgc4ODz/HKF9MeTgO1p47V+UQGocoDye8H+Xh +yPyvu27+5GWcgIcT/k55ONL+fcOg5N1dAQ9H6q98p0L8yBNTHo6DztFfhpie +dxHwcBwkXN8SOmFVjoCHI+O9UkblmH6BgIfjIEV5X8An1buI8nDke3xLnzdy +VxyiPBwHcuIqe+1kPQU8nLA9lIcj+WTtrUeyjnkCHo58/46q3N9nvBDl4Tj4 +Vz3pnZFCgoCH4+D51iM2kzRyMeXhOPis44A81kQLeDjyfhvcjS2cUgU8HFkv +G8X0sGkgojyc8PmUhyP17/jQdvWaIAEPx0HsM8tPty9kCXg4Dga7JfvWnI5B +lIcj+efClfeOkwoEPBwHuhtlb9hdChDwcBy8GNoSUjwkUcDDkXpl1NaWL+Gx +iPJwHODUzHKL8TGY8nCkPukK0r6ZlIUpD8dBcdqN+dlb8wQ8HKnv5o27ogAp +Ah6OjE81v+uasgECHo4DMdcMJfENkYjycBwsTBFXYH5FIMrDkef5F9g0JIcJ +eDgyn/BRXK1/F1EeTtgflIfjYOpLAw3D/fkCHo5833NzkuQUCzHl4Ui+XGm7 +wmlUkYCH+0++sEmo7UsS8HDC+1EejuT3yK2GphuyEOXhSD3QDkWLZ0YKeDiy +P/ntvXBhhReiPBwH/VkwbnRxNKI8HJlfEfPmdKhkCXg4kr+qj/NHlFIEPByZ +vzf6Z3avTcaUh+PgZbzn0q6QLAEPx4Fy0nT860YypjwcB4xOncnOVR6I8nAc +5APvuiU8WsDDcWA8v2Piz8XRiPJwpB78frPP4m4EpjwcB5faT8jNsg8S8HD/ +U4/8l4cj9X/CVMNyBS8BD8fBp7e6dut+JQh4OA5GaZlIHmFiEOXhOFivvdD7 +/PNMTHk44f0oD0fyv0IM1tcKxZSH42D53qWBEuOSMeXhyPdWwE39Jwsw5eE4 +MIo419obVCDg4Th41x14MDE6WMDDkfVyndhOJ5c7Ah6O1G/e4r82SfghysOR +elVxXqyxi4eAhyP1HCzzU1yViCgPR+rBM9Yex0pTBTwcB0XKYvVt5rECHo7k +33zRpJFkflIeTvg+lIcj9c/jcosrb9IR5eFIPT53yiT7f1ECHk54PeXhyO/S +FsMslZIFPBwHfz9lDF8601PAw3GgtrJ9pqlBgoCHI/vNbXLDF1f7IcrDcVC1 +68yVwk2+Ah6Og3U3jg5Ux6QLeDjh8ygPR77fTVKmLo/ClIcj422mzVazTQUC +Ho4D0derdjrm3EaUhyP9l75/ykWpSAEPx0Gb51e31ftCEOXhhPenPJwwpjwc +2Z/CnVMFJT6I8nAcBCTfO3daJA5RHo7sx9bXbv34NxpRHo7kJ6NFi9DPBAEP +R9ab3Vu+/lB0FvBwHMS8GyvmnO2CKA9H6remv3WS+UUCHo7UF/WNVWGi2Zjy +cBzkMK35/PhIAQ9H3i/gJNZOixHwcML2Uh6Og0nSI7PmrXZBlIcj9bL/DqkR +l1MR5eHI/jt+5qj9b+IEPJzw7ykPR+qViKyWpltOAh6O5BsX59Ed9mmI8nBk +vXA5/WPnmHREeTgOQnzlRnTqRyLKw5H1xXrH1B1srICHI/nwTLrIobIQTHk4 +kj9G2ySsWlAg4OE4uLby7NA5krcQ5eHI89ecWWenlSbg4Uh/i5qXz/ydJ+Dh +SD0e4Bp59GemgIfjoM+299pe8MKUh+PA4u2ROSOdkwQ8nPD9KA9H+l8u3FLt +QJ6AhyP7Dw2HwPyRXgIejgMX9eJnp/1SBDwcGb/Xetd2FaYJeDiyfr1n47FO +pICHI/W4dn9EVXQ2pjwcqcf6HF9VPs0R8HAcbF5mdnybha+Ah+MgMXZ12XuF +uwIejszHkkHt0RXJAh6OA3kLsaPsrVRMeTiSf1ysRPb35mDKwwnfh/JwpP51 +s8IGm7Mw5eFIPvZ2lCgj6z/l4YTXUx7uf+qR//JwZH2N0wiTdYoV8HCkvYXq +95TEvQU8HHmf6NevR9+PF/BwpF6y3xDsbJyDKQ9H6q+TsRsen0lAlIf7n/+P +/JeH4+BsqP3GwvX/n4fjYMbRcfC0PwlRHo6Dn28XHyi7ny7g4cj8X8hOHXIx +HlMeTng/ysMJY8rDkfVSw+RAoliYgIcT/k7PZ/NQ9tjjvJ1uFqbns3nITbY4 +aGlB9of/PZ/Ng5Nrom/41yhEz2fz//f39Hw2D/FXN015U1SA6fls4e/0fDYP +X5R7h4yoLMD0fDYPfPztCXHv4hA9n83DQlMZ7R9ZMYiez+Zhk2+fSPOKLEzP +Z/NwQuvE1H+NmYiez+bBWypN/NmOIETPZ/PQmzws6dWoBEzPZ/NQ8eaC6tTe +XEzPZ/Ng2Txsxf1xXpiez+bhZVv8fJ1Nfpiez+bh+J8esZUjvRE9n83DnlMo +4XxFEKLns4XvQ89n8yCnGFA3/yBZH/57PpuHe0UxjWPaczA9n82D66XQR+rX +MzA9n83DuHrlwgPSyZiez+ZBK1dtReCSKETPZ/NwLVKrSfmpL6bns3kof/Ij +sXqzJ6bns4XPp+ezebg8PGROL45G9Hw2D229C6ykCvMwPZ/NQ1zxN+m/YfmY +ns/moe5MulZyeCGm57N5kHkY1ur8OxHT89k8nAt1mXuv+A6m57N5sNpXnxCU +FYXp+WwepmyuUXmYkITp+Wweti837V6VmIzp+WwezA5vti26G4Pp+WwemqTv +JZ2574/p+Wwe7A8NmT9PKR3R89mkvdMeNPDzXTA9n83DkU53Nnu/E6bns3lY +efWY8oShKYiez+ZBR8mwbMuueEzPZ/Ng8bthU4NrEqbns8n4PKQ5/MaMYkzP +Zwv7i57P5kHWxfDhhzG+iJ7P5mFwrtnjtc3BmJ7P5uH6ip+ihlJJmJ7PFv49 +PZ/Nw4XUhsglO7MxPZ/Nw9OwG2tQfxymvhIeisaan1pb4oapr0T499RXwoN+ +/qxPbSl+mPpKePBPUPvUn5mCqK+Eh2Waxgkxd+MR9ZXwcDdQ60vo/ChMfSU8 +ZC95GDn3nQemvhLh/amvhIdV60ZEf1vqiamvhMw37wOXN5D6kfpKeFBPVfMM +UwvD1FfCQ0SfRMM1zVBEfSU8VFWN1OrdkYGor4SHsbez149dGY+or4QHlPpj +sHZCOKa+Eh6WmwdFh630xdRXwoO70whDsblhiPpKeAi4srpmlI4/pr4SYXup +r4SHA3Z3XjtNysHUVyL8nfpKeDC8Jn9UszUXU18JDycTjy7SXBCDqK+Eh6++ +1d3Xy9Mw9ZXwkJKmdXZZlRemvhIe5s/5vb/xSCymvhIeSvo26kiS9Y/6Snhw +y5gTdVsqA1NfCfk+Ig+byvUzMPWVkP6zzVy6OScSUV8JD8WvFsRcvJiDqa+E +h9Xs0mV343Mw9ZUI2099JSTfWK6606fohqmvhIfQurCrPnNDMPWV8JCz8PQC +3jsSUV8J+R6zllpKe+Zi6ivhYUKH6hfHfzGY+kp4EBs45TmmNxNTXwkPS+9Y +/5m1zw9RX4nw+dRXQvLN2izFqYYBmPpKePid1p2VbByGqK+Ehy2Lvv+NUPBB +1FfCw3DH7TFLuHRMfSVkPjMbNJ1/RSLqK+FBl3lRHLvbFVFfCZnf6eOx9blI +TH0lPHzca+wQqOGNqK+E5Kddob+6hkRi6isRto/6SnhwkVsh1mcXh6ivhOTL +PTrBzxOCMPWVkOs10tcd31qEqa+Eh1iR9lpbu0RMfSU8ZBjWHrTTi8HUV0L6 +p9WhxjA4HFNfCWnvqIvbZJ8kYeor4WF6gk3FCc1wRH0lPKyJ+qPdVuuHqa+E +hw82cvuOPktD1FfCw+m5Mj1jl4dj6isRtp/6SngYWiYiN3NRMqa+Eh4S/v4I +2v09ClFfCQ+ll16mFq/OxtRXwsOsP72vIianYuorIffjBw8aPQnH1FcivD/1 +lZDn79nyd/vZPEx9JWR+O61Qb4+NxdRXwsMlS+c93J4sTH0lPLxgdfsT26Iw +9ZXwUH/RyFpsXiqmvhLh/amvhIfHt9UuVStnY+orIetRxMpls61jEPWV8GDc +PnmZw5J4RH0lZLyc9Q/oHBaHqK+Eh0gZSTclyzuY+kp48B2l+bDTvghTXwkP +7cdt+xrsozH1lQifT30lZP3M2DnZ3LgYU18JD9EZ1q5xM6MR9ZWQ+uConuiY +Mi9EfSU8+KiI2XL6mZj6SoT3o74Ski+mnZqT8SUZU18JGb89VvccuAhEfSWk +XmBkpsv75mDqK+Fh6mL3cZs+Z2HqKyHfp1B2TZ6EM6a+Eh7sQuUWqVZHI+or +4aEmi8/WWxyLqK+Eh2OiOEJdIhRTX4mwPdRXwkPUWIsZH8b6Iuor4SG1dWTv +lLFJmPpKyHo64C2jIJuLqa+E1B/MgiDdfSGY+kp4wMlPPZVb8zD1lfDw8IE+ +67c0E1NfCQ/mZ3ccCvldhKmvhIc0SbGWPb9jMPWVkHpuptR4BadQRH0lPPyV +PmmG6oIx9ZXwwB6ecutzpCemvhIeToUtve60JBhRXwkPLbZnZ96xDkfUV8KD +gs7M8SMTMjH1lfBw0/VNdcOUGEx9JcL3p74SHt6IHbRdUZuBqa+Eh/VxUkp3 +d+Rg6isRXk99JTys29M86FefhamvhLxvTMDaYRqJiPpKeHD2L9/06HoCpr4S +HsJ+do9XOBqAqK+ErOdu1p4P8nwx9ZXwUBmWo2WrnICor0T4POorEcbUV8KD +tmgcs/CXD6a+ElIvHPR/ERpWiKmvRHg99ZUIY+or4eH98SkXVowvwtRXwsPm +NV4zmkyiEPWV8ND3fWLE10leiPpKeICUH27e45IQ9ZWQ+Vy56m/90SBEfSU8 +pGebPD/6NgJRXwnpP+bEqJYqb0x9JaT+Ku9oFN2bgKmvhMyPIbzhowv5mPpK +eOhCxp16ivmY+kp4eK1/yjfsbQamvhIeJs1peu65JR5RXwkPiQ9RX+WwBEx9 +JTzs23Ft15JoV0x9JTyY+jwySmHCMfWV8CD+udN89+Y0TH0lwv6gvhJSr6sH +dNTlBiHqKxH+Tn0lPGzVeCOzKC4PU1+J8HfqK+HhdrG/suuEKEx9JaTeu732 +4JPxxZj6SngICbCr6S6IR9RXQvJ9vMT36oMpiPpKyPu++BA3Z68/or4SMn7H +m6jvD0nH1FdC+terVvrVhSxMfSUklhIJMrCPQdRXImwP9ZWQ8TZ1uKvTSQ9M +fSU85CX06fS5pWPqK+HBOlV9nUVoDqa+EuHfU18JD3sfrfwaNDkXU18JD+ft +J+2smO2LqK/kf/LZf30lPDwILb6lnuOBqK+E1DumRoefT4vB1FcivJ76SnjI +VFnpND86EVFfCQ+iZpPR6REemPpKhNdTXwkPzbafFFv0biPqK+FhwWHDTplN +OZj6SoTXU18JD4usvBZ0a0Ri6ish69u2qVKXFTIx9ZUIr6e+Eh5+bdsAOqZ+ +mPpKSL54Kt988UI8pr4SHpR3bUp68zUTU18JD3P6K/oWmGVi6ish/ek+ZfzN +famY+kpIvbm74GXd/lhEfSVkvyh1/fGsU6GI+kp4uJLb/lj2QCCivhIy//6s +HufkmYyor4TsP2TUZQKzbmPqKxG2l/pKSH14I/ipTm0cpr4SHnpEP437ku6P +qa+EB6VMl3ng64Opr4QHvYMfz4u8yMLUV0LWzxH+49vTizD1lZD5/MnU4m+A +P6a+ErK/O3ijSqM6GVNfCQ8jtAOemzdnYOor4eFZt9GpzrpITH0lZP9pKHHH +UiQNU1+JsL3UV8LDqBPHHirejsTUV0Lq2fCmMxtDkxD1lfBwJum6zMC4LEx9 +JSQfnjLfJ9oWjqivhIfdr8Ov7vVIw9RX8j/57L++Eh5Gm6moZBQFY+or+U+9 +f/6cYlUsor4SUj/pGvUN/vDA1FdC9j/tJW+ethVh6ish+XuZ6BGpn96I+krI ++iN36oAcqQeor4SsV8E2fx++j8LUVyJ8PvWV8DDgJnLYeF4mpr4Skm9WVM75 +rpSCqa+E3K9vcIiMbwymvhIyv7pD8EeHSEx9JWQ/EFA17Ip1Eaa+EpIPLEtc +9UY7Ieor4aGhWU9WfX4Cpr4SMv6rws68HeeBqK+EB795RmunWbli6ivh4eKY +F1tdcrwQ9ZX8Z38d83QCF4upr4QH2x1NEsPCkhD1lfCwreHntDKZCER9JaQe +t3NZ//F3FKK+EpIf3de1LPqShqivhNTPw4/9uL8jElFfCZm/ylfWB35NRNRX +QuqrNP8Q1dlBiPpKyH7Ysj+1uTgeUV8Jqac2eDlFz45F1FdC9m8rHp8xmheF +qK+E7G/MVZcFT8hE1FfCw5iJYanrPkUh6ish9Way4cIor3hEfSU8BE/uVrQw +iETUV0Ly4883Us27MhD1lZD96buFGeuKwhH1lfDwqLYp6vKFaER9JTz0DzZJ +JhqEI+orIfslcU21u/2piPpKeKiLOba59oU/or4SHjTUqlzzUyIR9ZXw8Ekl +/dh68VhEfSUk/3fa75mtfxdRXwmpz17v26waHoWor4S0b191rKFPOqK+EtI/ +ObY3VVcnIOor4WGjmeNsg69hiPpKeFjSqqAi75KAqK+E/C4r8iLoRTyivhIy +HkzcTGoUoxD1lZD891jfodQjElFfCdm/3LxhNhAQgaivhIerv+f6vrGORdRX +wsOdP19vnlKNR9RXQurx2sfrbOrDEfWVkP6olmoOWB2KqK+EjM/UH2bSjDOi +vhKyf8yaPUZ1fQKivhKy/qsfz8oh9Sf1lfAw8ewIb5uuGER9JWQ/HR3adi0t +AVFfCQ9O3d8Na1xTEPWVkPG8Zt+JZ9vjEfWV8PDOevCm3rRYRH0lPMzb8Dzi +gm4sor4SUp/+zZZNvxqDqK+Eh9697zccPheNqK+EjK/xrscOH/BC1FfCw0qD +qLPivj6I+krI/pvR8XoZl4Sor4QHj+OVN5/7RyLqKyH735qWCAfHKER9JWS9 +15zeVqcRgaivhOy3lX6YdWdHIuorIetbm1SoPslv1FdC8sfGCJUGnRREfSU8 +/BiZUL56cTKivhLy/iq7PtsbuSPqKyH72+cxpw9bZiDqKyHf51C/yjy/SER9 +JWQ8Txje/GtZFqK+Eh6skldfLFBNQdRXQvZXbXvlbaQjEPWVkPlsm+jFNiUj +6ivh4flHyauZyxMR9ZWQ/W9SV8v8v5GI+kpIPZn/vaPlZwKivhIeuK8Zn5Z5 +xCDqKyH5OKa0I4XxRNRXwoN67X259VkJiPpKyH5FIiBNRi8JUV8JqZ88DT8H +f4xH1FdC9jdb+yss1mci6ish+xl7gwdSL5IQ9ZXwcHag0bHhShCivhLS3vHL +kYZ1GqK+EvL7IZErNqlxiPpKSPs4608PX0Qi6ish60vP5WmTL0cg6ivhQfLT ++szhA3GI+kp4qLCr+ezVH4Cor4Tsl66PXBt+IgtRXwmprycMGOprBSHqK+Fh +pnFXW9nnKER9JTyseLbDs2tJJqK+EpIfnqluWrcxBFFfCQ/Zm0yTR/6JR9RX +Qua/8+a1ibF+iPpKeCic+EfMojwdUV8JGX8L32kZPwtD1FdC7s+LfJ35NAtR +Xwlpn5t100vFZER9JWS/eHrM0uk/EhD1lfAw25dXzFKKQdRXQvr31TXlPbJR +iPpKyP5vWrKveGAUor4S0n8TysOm64cg6iv5T73+7deY5fGI+kp4mNu19HKU +eyiivhJyvdjWBkOvNER9JTzc8uladlk6AVFfCVnfDFN3eZxLQtRXwsPI6P0W +f5/7Ieor4WFXz+M5e6ZGIuorIfksb8oh3Y+ZiPpKSH3ya7VdXlMaor4SUr9E +6sXvEUlF1FfCg6NrD9pUk4Cor4SHc49eOwYtjUfUV8LDZ6W9xyqM/BH1lZDx +jIaotqr5IeorIfXHzMkn3n0JRdRXwkPk8dSL/g6hiPpKyPdSyIrdPSEGUV8J +2Y9EOtks1k5H1FdC2v8u3WlGfSKivhLS/1emG6h+jkHUV0Lyo+sb29vjwhH1 +lZD+H8O+fuuWiKivhId8SdulWeWBiPpKeMg4t3bM1bIIRH0l5H73NhhEqUUh +6ish7wNlEmb2SYj6Skg+jlpa9OluEqK+ElJvp0Z7P/odiaivhIwffvQ1BSYK +UV8JqR9R3fYhdpGI+krI+BfNv64xLgxRXwkPR24FZo3PSkTUV8KDiHZ+7egD +8Yj6Ssh43XbE+PvjdER9JTyErkaGcDsBUV8J2b+uf3fD+HAaor4Sku+u3weF +DaGI+kp4eOLuM8twWTiivhIeTqYanXzWn4yor4SHtzo3DUt0IxD1lZD9xaZT +g52XIhD1lfCw6qBc/QuROER9JaTemBC/LXBZEqK+Eh40PSY7j9EORtRXQsaH +dPfbhcqpiPpKSH9F1lmJRMcj6ish9UTrDK/Pr6MR9ZWQ/ViPg4FrRBSivhJS +36RbrDhVk4Sor4QHf+9/F2OssxD1lfDw+FKZrenbVER9JWR+is+ZyOglIOor +IfPZ4/DjrbMiEPWVkHxsWr2/VTURUV8JqQ/rZQpfqsci6ish9S3yVhq5MBNR +XwkP4xcGjahYF4Wor4SHp0fWHt8RlIior4TMX++6/R6tiYj6Sni4xy7Bsfe9 +EfWVkPrL68BEw0EfRH0lZL83VdMxfEEkor4SHkxmmv05Qb4H9ZWQ+kXDPsBg +ThKivhKSz6/fbI2AZER9JWR+mg28sdCJQ9RXQuqT5Wcl5r9PRtRXwsOp9ubJ +N3LSEfWVkPUrbPfaMTJxiPpKSD5+aqOX9C4VUV8J2c/+eWadNzMJUV8JD6j3 +4R3nUZGI+kp4aFppoeIQFYuor4SMryMcDPjEIOor4UFlrZ/jhv2RiPpKyPh6 +ou24LDQRUV8JGZ/W2b9e8qmI+kpI/+mKZLz+k4aor4SHrOHHFnpUZyLqKyH1 +xN3viVIaUYj6Ssh+KPawWrVBPKK+ErKf2RpuY5+ZiqivhOwn7sTrDLkai6iv +hKy/Jp2HG8YlIuorIfXW79Y2t1lxiPpKyPr+clLsoYsJiPpKeNied+H+JJ1o +RH0lZD+lZXF/yrYoRH0lpD4qPfB5AueNqK+E9HfzzOUSRbGI+kpIfqhSsI+o +iEHUV0Lqv9M+MddaYxH1lZD5fzLioKR1EKK+Eh4OL/Xa3t4XjaivhAcJVf9j +p5amIeorIe21GVZzYWs0or4Skv/ErDxN32Qg6ivhwTlfYt9l3wxEfSWkPvY9 +X+yjmoyor4TsZ+c8djMMS0DUV0L2Q+EHQ5ZfTkTUV0LeN0di4OWFUER9JWR/ +HPKz85FBBKK+EpKf3bQtdkpGIuorIfkxuiKz4nYcor4Ssv/8t/n7zIEkRH0l +ZPxORSKtITGI+kp4sDE57zOjIhxRXwmZX66Xrxb2hCHqKyHr9aQi/clyyYj6 +Skj+1/HRTVCOQ9RXwkPVrMqtE3R9EPWVkHowJ2zX0BPxiPpKyHxsaWif6JuI +qK+EB/MjJT47ymMQ9ZWQ8WsxpyF+cyKivhIeGvuOv12oH4eor4TMz7UmU8Un +RSLqK+GhWzVmZfK3FER9JWS/O/pzjvuKOER9JWQ9CxcZ1tQViaivhLzvPbWg +7Q4JiPpKeIhXdltV/CEeUV8JWR/efSh9fZXks//6SngY5ifz6KuYF6K+ElI/ +7i8Rs9fwQ9RXwkNgmvFgj084or4Skr9CbUqcbvgj6ivhIddv+ZOEU3GI+kp4 +8FIaTH5v9v+Yuu+4nt73ceBGyc5KEllJCdnz5FRCS7JSZqnQEKLIKrIi2nvv +vZfq1NXQkpkZSYqMvOicSEb8rvfnvv36vv+7H696vc7rnPu+7uvO831dKQzp +V4Lnr4DG702lCQzpV9IB5kedNgS+9GRIv5IOmPfSbr+wNJIh/UpwPmndLD1y +J5Yh/Uo6QCPr9e4+Z5MZ0q+kAz5qX6ocNz6OIf1K8H55zKrMXZjIkH4lHZAw +ZY/N9bFBDOlXgueBlNgxCn2uMKRfSQeYlA771Do6giH9Sjpg/rUdcnpZUQzp +V4Lz/0xj7gPNMIb0K8H8f6XExNEOiQzpV9IBv7ucB/0Xr0i/Esw3uL8S2wdF +MaRfCe4H/f3jtsn5M6RfCeaT77OntrExDOlXgvM76MbdmbvSGNKvpANWb19R +xLQmM6RfCZ4fmixVDe/FMqRfCeYbhr+kXu2IYEi/Etx/TNWGSR9IYki/Epzv +T6KLBy6KZEi/kg6QE08Sxm71Y0i/Elxf8RIvfinGMKRfSQe8/lBrV52fwJB+ +JR3wN7juUhfOR9KvpANCiyMLlynEMqRfSQcYS+Q0WPV4MqRfCZ4/z3vevVMV +ypB+JR0gbW1apWPgwZB+JbieLCS8u3H/I/1KOuBcqb2HBBvFkH4lHfA4Y5G4 +9t14hvQrwc+feERiZf8AhvQrwf15cXFH/2UZDOlXgvPvcmugnGogQ/qVYH5h ++Cj0yoY4hvQrwfMjY+m+52QUQ/qVdIDmotopmZczGdKvpAOKIoq8tmrHM6Rf +SQd8s/cvshgRxpB+Jfi8TyWfm8RHM6RfSQdEz14+9dXPBIb0K8H1/idLNLWf +H0P6lWA8OH/1lf4cf4b0K8H5zYXcmnwgiiH9SnD/q3AaVboqgCH9SjC/6c+F +Tmp2Z0i/Esz3Dv0UXzAokiH9SvD7KMlemXYyiSH9SnA/nnpF73xCAEP6lXSA +w5CzQ0NKAxnSrwTzoTaptLGnUxjSrwTPk0LBuKxcd4b0K8H5bufeEXInkSH9 +Sjpg677Ro3T2xjOkX0kH/LBOStnulcSQfiV4PWMXdsouyGRIvxLMn1rHrHqu +HsmQfiUdoPZ77IGM7FiG9CvB/SMs4M57tziG9CvB9eQ6gDdQj2NIvxLMf2y7 +ao6rhDKkXwmud4VN5XJ74xjSrwS/j+aP5p3KGQzpV9IBYyUuatxWTmdIvxLc +j2pum+eejGFIvxK8vz+MzEYej2BIv5IOeDbguWqS6XWG9CvB88PIyp1D7EMY +0q+kA7g+Wp7n6gIZ0q8Ez9dWTL/As54M6VeC8XHRg+EGakEM6VeC8SZdZvC5 +O1EM6VfSAf6uqX13HkxgSL8S3O9bI1Y+wPyU9CvpgBVzf7b5hUQzpF8Jzu/M +m1mBTn4M6VeC62vvj0s7VSMY0q+kA+Rjy87ZeEQypF8J5j81K4Z7+CQxpF8J +xo/U0+2DjiUypF8JrnePGZtsh3oypF8JXm+ZmdcmiQSG9CvB/GH1kotfFwcw +pF8Jnp+jvUfvWenOkH4leB57dGdB3PNkhvQr6YC5+qprWh4HMKRfCea7QXM+ +bUtOYki/EsyPZ6yaM8I2kiH9SjqgQeeheefueIb0K8HXm+oMmw3iGNKvpAOW +tQq3mdpohvQrwfx72cx9G0ojGdKvpAP6zvEslquKZ0i/kg7Y1zX4iE0axrP/ +9SvpgBK43ZqgHcWQfiW4HnsMpTRSMhnSrwTjl8/3lum8D0P6leD+buIxgeuO +Yki/kg7YvuPXyyk3oxjSrwTzgfQlP+yehjOkXwnux43vTgUZRTKkX0kHTPip +1LS4J4oh/Uo64Hld+rntSz0Z0q8Ez9+jdDw2aKQypF8JridjNtGkNo4h/Uow +f5nnsidSKoEh/Uo6YJV3hggM/RnSr6QDrqwbp7jvRiJD+pV0gBQ3JoebG8KQ +fiUd8MjEAubuC2JIvxKMD1uq4jfeSGJIv5IOWJthHZ+8IZUh/Urw+edPVtc7 +7MmQfiX4efuDnn9/kcyQfiW4/ofvcF0+2Y8h/UrweYm+SshdTWNIv5IO+NAw +3KdgfBRD+pV0gKP21lE+57wZ0q+kA5S6D0l6fwpniIfjof1I8yJWKpohHo4H +8wv75+r+TmaIh+PhzZBhQ6SUY6iH42GQ1YGQOC8/hng4Hu5omm25PSaIIR6O +h91ummOKK+IY4uF4kL0t/NCekMAQD8dDdt+t4h/fxFEPxwM3qD1/ea0n9XA8 +WHbqTd28KYwhHo4Hh1AfyZlBMdTD8XAtmLsXFHudejgeQi4ZmTemBjLEw/Hw +XOknu9wtgiEejoeh6eX3N/pHMcTD8RDbleV86nQkQzwcD0LzxJDkmFDq4fD9 +HDPOX6mKpB6OB7NLFy/ZDk5giIfj4ViycdeJilSGeDge0jy7DCZxMQzxcDwU +vhjsfX9KDEM8HA+eO1uWPpmVxBAPx8PEMbnLXy5JpR6Oh9+NEWras5IZ4uF4 ++LKs83KtfRJDPBwPLafVZApHRjHEw/FQsnpbh9KmQOrheHhv2HxO3yKWIR6O +B93qJVULygIY4uF4qNNkiwvkYhji4XhwX+thKC/pxhAPh99X7YLa8GmRDPFw +PJi8W3Hu2JVQhng4vH8DK1+0WyUwxMPxIO7rZ7VqjQ9DPBwPyeWWSXXzIhji +4XhIqXUcId+SxBAPx0OPYtzxM7qx1MPx8ECrxfaRVjRDPBwPl3Iezdh6N5gh +Ho6HTgvJe4sdUqiH4yFQqv20aVscQzwcD3Cr42MPE8QQD4c/r1b2d/mNeIZ4 +OB4i64163kamMMTD8aAydcLn7spI6uFwPv3MvSPjGs0QD8dD288R2g9P+jHE +w/EQFpA6WWpDOkM8HA8WtcM13lxyY4iH46E74zDbnZ/KEA/Hg/T1vJPSZkkM +8XA87L22+bBBewxDPBwPpzyDop1PxTLEw/EQcOlja8KgWOrheLjtc3zHmAGJ +1MPhfFqit1ZfJokhHo4HeclfA83/JjHEw+H9ve372OtROEM8HA81jnKZcydE +McTD8fDk6a1zV1JSGOLheDjnONil1jeKIR6OB523A/t8PBlNPRzOh6PFZiZ4 +niIejodZovcd+uI+1MPxsF7Fqep8ZQhDPBwPTcFKwpmd4QzxcDxkPZ10ZvSw +ROrheFhxx0vq3IhMhng4HvQ/n53l+SaBIR6Oh++CuraudhhDPByP+XXaTJWu +KwzxcDw8VnxglvwjiiEejgfrPq7RU2cnUg+Hv3/JcdJag1iGeDgejJ65/OzC +8xfxcDz4itfXDsf4TTwcD59j89vs8PkSD8dDfL/80CDxTIZ4OB6GJAiXLE2T +GeLhcD0cDLjs1xhHPRwPP+PM2ZUt8QzxcDyUba7cfiY0liEejoekEfvk8ngv +hng4HvqPaTs+OSCYIR6Oh9bX7q+/WYcwxMPxsOzXC0+T6GTq4fB5PI2asqki +iiEejodJHl92OdwLZ4iH4+H0gv3bNxT4MsTD4XyeeeZoV04w9XA8FF+6/MlZ +JoYhHo6HM+1rvA/+SWKIh+NBvfvp6POqwdTD8fDO52VplVoE9XA4ny5vMbYz +9WOIh+NhdrLJpP3JsdTD8XD4a/GP74PTqIfD9xP9WPX1rSdDPBzGU5e65ZHf +oqiH42Gjo8tvTTkPhng4HoYHtfgva/ZliIfj4d47/Ym+6yOoh+PhYkOUf3Rc +FEM8HN6/bcatU5pDGeLheJhqZGX/ODOKIR6Oh5OlknO9rKIZ4uFwfT0Ypujw +Jo0hHo6HTJ2jnfOPBzLEw/FQtMfpbPjRfx6Oh10buorf6iUxxMPh532yzP0W +7Ec9HA/sUrma5yujGeLheDgvP9hADCIY4uEwPlZE7KueFsoQD4f7gczofuVz +vKiHw/2r/U3pyMgQhng4XA99fH/dvRrJEA/Hw7qNM6X8oiIZ4uFwv922/ry2 +ZBxDPBzOP6ulBUUXwhji4XA9dHstbsPzM/FwGD8Zfe9WwY8hHg7j0c+VwZHp +kQzxcDw0+xzNOhYSwRAPx8M4OdMnJ2RSGOLhePiRPOiCr04qQzwcDzsG7/ry +8EMq9XC4f4Y9arU9EkU9HO63WgF5nqtjqIfD9dbj8HCgeTJDPBwPDXGirwpO +0QzxcHj9Ev1WtWllMMTD8fBqLH/s07EQhng4XI/qcWc8PDIY4uF4eGRR6Gxy +MYR6OIzfaVfjNq+LoB6Oh6+Sus/UXqYwxMPxYBcwbUmzRDJDPBwPodPtYz++ +jWKIh+Mh51Tby+P10dTD4fsfeZThfyWGIR4O8x2Iceu0zWSIh+NBNccigimO +Y4iHw/1h34hbow3jqIfjIbxq2mSHfinUw/EwutMnXsUsgCEejodfTx5LtjnG +M8TD8dDxeWy/iFux1MPhekxN6IjTCWaIh8P9RUl3uaVxPEM8HMajyCUfTkgm +MsTD4X5/9va9OxMTGeLh8POKpC18v0cwxMPxcP3bqWsjNZIY4uF48HjqIjs3 +L5ohHo4HfshKJSnVJOrhePA3KapR5hIZ4uHweobnr4ic5cMQD4fxKX395T1n +PaiH4+GlwXz7Bw2x1MPxsGWL1cAEvXSGeDgeJHPWN74P9mWIh8PrPznn7f0H +wQzxcDi/jPd9iNqQwhAPx8MN2yaL3/khDPFwGN/M5ksv+ZzMEA+H9885f9Dt +pgiGeDiMD/VpKuIfg6mHw3xK4aJR1f44hng4HkSPUkPvGKVQD8eDWuXHiWrZ +qQzxcLifKMp7CwXR1MPxIDXKyfaFJMaz/3k4XA9+lvt+lMUwxMPh8w2odph8 +KZIhHo4HmcljriypDGCIh+PhUCVbNUI/gSEeDuev7qjuWuU4hng43B918o5P +6YpmiIfjoaC4snKbXAT1cBjfz7WvOT8ijXo4HpxnD+l09/CmHg5ff3Sj85SN +P/Vw+HnaJvMSY5Ooh+NhbXvE05eaEQzxcBg/0o7MDR8ewhAPh+v/YPaDC+uu +M8TDYfxxzM+sLYlkiIfD/Cg6p254fSRDPBwPA1doxmgF+FAPh/Nr233VUfMT +GeLhcH+w/LH7c1MGQzwc7rf77UvWRiUxxMNhvrCrMSiPT2eIh+PhQOy3b1dl +Exni4Xh4+NFprW1YJvVwPEwLtpEVt05kiIfDeJ/0ddCa/X4M8XAYHxYM2Sqz +3p8hHg7jh57E2/L0ZIZ4OMxvd75W8Z4eyBAPx8OCHEmnMdMSqIfj4Zb04Qnq +pgnUw+Hz+7FO/vOoNOrhcH3YGfooD4hniIfjwbtVXbXxfDJDPBwP968O37iv +2496OLy/+t2TlozIYIiH42G/IKswJSCMIR6OB02Pqu/qM2MZ4uFwf7Ecm7It +IpYhHg73o4hlJ09tiWWIh8P4zWVLnBsbyhAPx8Onu9vTVsXGUw+H+1uz5xqX +ZQkM8XA87KsPN0nrjqYeDvOT39ahnTsSGeLh8P5la7Aun6MZ4uF40DOUb7OO +DqYejoc5Paef3R+dxRAPh/Fk4n2xS8sSGeLhMJ/P/iQnedKXejjcrw+FeMTn +pDDEw/Ewv0K8sVo5iiEeDs8jt8cp/RFLZ4iH4yHaZnq9TWICQzwcDxkHFKqf +zUliiIfD89Lq+JxFN6IZ4uEwf0ow4tRHpjPEw2F+EauUrJYfzhAPh/mMyaiz +V1oyGOLhcL2uH/q+/VcEQzwcDx/S9jHfDoRQD8fDiZ8uv8Q+X6UeDuPLr/zP +fv0TqIfj4fiQCtdvW/2ph8P5Ydr/lY5nLEM8HN7fay6Fa2uCGOLhcP0PAivH +1bEM8XCYbw9rvZCfFc0QD4fnw7NF06OvxTPEw+HzLt55PFUxjiEejoclb230 +V60PZ4iH4//7/0EG7J0YyRAPx8OMz8fLRmN+TzwcXk+bdJvbh2SGeDgeSs8o +vhncmc4QD8cDI3X0l9UTP4Z4OJwfkw/XDJCOZYiHw/34zAjJvVuDGOLh8HXr +CSsWfMmgHg6/v/HSHqs/8QzxcDz0ic1xMlmUQj0cD8/uXpsT5pnFEA+H5yvH +iTfWjUhkiIfD+D2ij4HZrhiGeDgeFgdGur7+GUc9HM6/x41Hf52KYYiH42F1 +3c+Ce6fTGOLheOhSPnjYUy2ZejgegiX8l9osTWCIh+NBbEx40WbbFIZ4OJyf +lfVHGMyPiYfDeHTIaILT3wyGeDjMNzOtJ30fEcMQD4fze/fXHcPjkhji4XhQ +sLjQ6G4YzxAP91/+2T07LMKbIR4O94/YDwnnXH2oh8PnX9FvnaNMOkM8HM4f +pY2ZF9wCqYfD+W0tFum7JIMhHg7zB41JvKp4NPVwuD9+se3x84tliIfD53VW +w3IN5049HA97fpY7ReSlUQ+H6/2s/HvJkCTq4XD9FaUtstQPpR6Oh7nz2uwH +eMZTD8eD06Yhsvn1idTD8aBV8HDR8Isx1MPh5y1yEzPjfKmHw3x1tNvQwYF+ +1MNhProxR9tm9T8Ph/HnxwGjo7Jp1MPh79d5XzT/FEw9HOZD0+sszfbHUg+H +88dzzCzTKH/q4TA/dWHZI8VJ1MPheWzrBZvXPjHUw/EwT8vwtZIonXo4HiKO ++dicNIimHg7394gPJiNKI6mHw/hee1kpHc/rxMPxILEqW/p3Szr1cLh/+Tpr +OF/zoR6Oh91LN2z+Mi6GejgezrZcVRis+s/D8bD1VWSOq2og9XA8fDssF6H5 +MJx6OLwfPaVNKk8jqIfD+OGccNb+RgL1cDy82G68o1UynHo4zAd9assm3k2m +Hg7n0/wpt9X1U6mHw/3TZLJh9+dM6uEwv/+6eV/By1jq4fDz99s+kkjLpB6O +h/zYJ+6SM9Kph8P9w26RmHpxBvVweH5+c3fbRKkI6uFw/z2r6Bihm0Q9HA+b +p9/qd7M9ino4HjZVKhi1BSdQD4f57zZhw+zPkdTD8VDXV3g8yyqWejj8fJvP +exyMU6iH48EgRpgwKcSdeji8H/abGie6eVEPx0Nljfa9npU+1MPxYKGqcWOK +dwT1cDxcVWIdwvqGUw/Hg5xa3x16c2Oph+Mh3aXWxDw4gno4HsQVP1YenxRA +PRwPVRVelkflsqiHw/ttbS7z2DyOejgeZmm8qOs/NIZ6OPz+w6yVJb3jqIfD +ePNkRkPjtnDq4TAfrqsSd5DPoB4O7+e4lbvc12RRD8fDxJ4fa47WpFAPx0OQ ++M4dotVR1MPh+khU37xNIpV6OJyf42NSd85OpR4Oz/dCugS/PYV6OIzX66Y4 +LjCIpB6OBx8bwz6GrunUw2G8ynaqDp2SQT0czg8Fn2WKu9Oph+NBd6/aroRT +vtTDYfz+vGRYVGAc9XC4fzBn1qjFpVIPh/thuVrR1rNx1MPx8HGRffDu8Hjq +4XhoGrzJN6cymno4Hur1Fp+YMDqaejgeXB5LHAptj6EejofLT0sOn5iXRj0c +DwffOqindydQD4fnh3MJDS3x0dTD8dBYvXrSktBY6uEw/5KT/64/OJ56ONwf +tyrOWN4RRT0czg+Z6JcZVUnUw/EwQkjKHLE0lno4HpbFXczUvZVAPRwPymuP +X3sumUI9HA9jsnXvDP0bTz0cxt+/F47ESGVQD8fD2yMrWwsHp1IPx4NpiWjF +J7M46uEwHzlUW2u6zot6OIyvRo27lccFUA/Hw58Y64vqeD4gHo6HxPKWtSr4 +vImHw/jzu+J0wC4/6uF42HvpjmbtvmTq4XD/c04aPlI6mno4Hvo+DXhj8zCZ +ejgeRqlt8NaLDKIeDvff+FD3Ex3J1MPhenBvj3fsiaUeDvNzue8jx//ne//n +4Xgoib6qeVT0z8Ph/H/5MurZ+FTq4XgYu0Tz1SPPAOrhMF683rSTL46lHg73 +91PT/xTsTaIejoe/K7Ruex4Oph4O98P01wuuSKdTD4frdaL22R6VBOrh8PPz +AnVyfiVQD8fD8OkzXZZKJ1EPh/Np8pv0JRiviYfD89QahzHBW2Ooh8Pz71uz +BTMTU6iHw/thbDvQEPd/4uF4GFngdc5jZgr1cDykKt27sbUgkno4HoovOj1c +1B5CPRyeL06Vr5skEUU9HM4nm/I39u6R1MPxELV39zCt4X7Uw/HwRrzbwXCA +G/VweF70mFRlODybeji8H326X7WYu1MPx4PdmVetIzG+Eg+H+UX6xUQntUTq +4TD/9xsY8qUugXo4HmwsXYIOX06jHg7P87sTOkx3xFEPh9/3i6W/Yd906uEw +Xmh6HZQOjaEeDs8711YV5W3PpB6OB6szK08M2xFJPRyu7/M3glTLfaiHw/Om +8gPz+swo6uF4WGjg4953RgT1cJgvHbDM//HOi3o4PG/VLpLNto+hHo7///+/ +AfFwPOTFB23/nf7Pw/FgaHRQo31kAvVwuF+8vHF6dmgQ9XAYj6+LXVtuE0Q9 +HA+O3ZlhGhUp1MPxMCis7sxClUTq4XA9l/ovP+QdTz0czl8zfZ3+P+Kph8P5 +brzUNjc1nXo4PI+t6+smrptFPRyu15XWI2sao6iHw/1SY/vlpOUx1MPh+zV4 ++85OyKQeDvNX3wxLpzX/PBzePzZIc6h9OvVwuD8eLTaWL4+jHg7n84sA7VcY +v4iH40F/raS/ZXoc9XD4fJ+2DikOiaMeDuNJhv6FxW5x1MPxUJbueqAGz5/E +w/EwbPcmDdWWZOrh8DyQ3/rw3pAQ6uF42H7yjf2fAG/q4TDfnDzOredIBPVw +PGh4ZNs5T4+nHo6H3Pbl4Za/Y6iH4+HrwoFShonx1MPh/rl35Z8/JQHUw+Hn +61ezIzM9qYfjAYpjdl88lUw9HM7fbfOWueXGUg+H5/crkpdtViZTD4f5wi+v +Pg90/3k4Hq44DrI9Yp5IPRye/4dKvlhdHE09HOZjadMzHKfGUQ/Hw3OFTKkv +X6Ooh+Phtl7iww+NqdTD8fBgTXelmH0c9XA8OM96n7rkdBz1cDz8PrDjTe2F +fx4Ov2/qo7cNQgz1cHi/tUeP+bo2jHo4jA8/pS9VT42nHo4H3z8LmDPbQ6iH +w3xWIXW7t04y9XB4XvKNjv3TEUc9HObLZ2vdCp5mUg/HwyLXs43Oe8Koh8Pz +9GnD6F1D0qmH4+H10hO7VswJpx4O5+fXRYcmrkqkHo4HP4dMtmTJPw+H8U/0 +ir/ZFEk9HOYHPzIar05Ioh7uv79v7LzSZZ5CPRzmI98klBY8S6MejoeAzo+j +bqvnUA+H1y/cidFQSKMejofJ4rXnxh4MoR4On8/Vo40HskOph8N8ZueuPQZv +EqmHw3jLfnpr1x5LPRyuv0OLiteGR1IPh+vp2bXs0Fdp1MPh/jBDOp+9mEw9 +HM6ne6ZNV5ZlUw+H+Z7vJo+jmM8QD4c/P/uzpGVwIPVwPNydXZ6/an809XA4 +Pw64bfrSGkU9HA9G0rXGY4z9qYfjYcC+ek1N7Ujq4fD8NVnL/Buev4mHw/0u +Y6DWio0J1MPx0F/ELA0ZEEk9HH6eQn3bYcUI6uEwHuT87vpyKYp6OB5iHVSD +7HpSqIfDeLc2m7XySKQeDufT9cqmSs0I6uF46Lk9xVA5NIN6OB7OGFqvPR6Z +RD0cDybVfUuHnU2gHg7PH5FXdmUHJVAPh/FfskbcbmUi9XAYf3fJKL+8Fk89 +HH6/sYZHk5WjqYfDfCzK+2iXfwz1cDys3OEyXGd/GvVwuP/JflrcJO5DPRwP +76vra9v3pVAPh+etpE0b3RsiqIfjobncNyNGMpB6OB7s1x0zrngVRT0cD2Y+ +BUo7M2Oph+PhQt3J5NEPUqmHw/OAgYcZJxFCPRwPp21Mvd3kEqmHw3x1iWPf +wLh46uHwPOF3ePKmtiTq4XiI2/a5Us0ugno4nK/NsspZQib1cDxwI06PmtCY +ST0cvr9pRbr20ETq4XjYtWf0KcfKJOrheHBTHax6VyyHejhc7xNWtBuWJ1EP +x8N41dqhKu9iqYfjIe3C8DmGNXHUw+H6mK1l9/hGDPVwmG9cy5dLWZxAPRzm +A2MNuiYuyqQeDs+7vo+yE28mUQ+H17Prq/m7L/88HO6vy+vLzlZFUQ+H+aCK +sUlJUwT1cLgeLocvCetOpR4O411cjsKjoHjq4fDzfo5O3xwVTz0cD0piP2Z+ +qI2hHo4Hr7u1J6bJZFMPh/dn28DAUYXJ1MNhPDjqc8BaOoR6ODw/7cg14X9k +Uw+H+ws/K0UrOpJ6ONw/Jw2snoTPm3g4HpyWrygPxP2aeDhcj6Olmp2UM6mH +w/NSp6Hrgu3h1MPhfLR65HCzIZ56OMyPGyR2bzVJpR6Oh+Brw95Pqo2kHo6H +WpnRB39UhFEPh/tL+/gV+t3R1MPxEGMR9VdtRxr1cHh9XsMNxVWzqIfjoUF7 +rcmxyQnUw/2Xr6y32zItnSMeDuO3+5Ygqe+RHPFwuH9+v2gXIZ7FEQ/HQ3yf +m63f5/hSD4f5RcjsiPJZntTD4fnqhvirhQuLOOLh8Pkm7ezQm5DFEQ/Xmy8R +D4fPt63m7N6GTI54OB4+nHmmMtM2gyMeDvPf/SEdmTn+1MPxcOmzQaCaWAz1 +cLg//FxXIchVcMTD9b4/8XD4fN3n/onZnk09HOaD5U2GT3LCqIfr/Xni4TAf +VJj96ox1Okc83H/P923w4LeFHPFwOH+PqZRV52ZwxMP1/j7xcJgPHHQ7PXB4 +MvVwmB8NU/R39y7miIfD846mo77MtRyOeDgeNmaWt+uLCjni4XB/st6d/rks +gHo4zJcMRoRcPJPFEQ/Hg9jmxpdLHwZwxMP9d9475i09oJAjHg7jver5Pl3P +c6mHw/intWfET6VQjng4zKdjQVXRIpB6ONx/tyXm5MtFcsTD8WBxPmLMnwHZ +HPFweB44EK4ty8dyxMNhPv/9k/bPkR7Uw/Egr7p4gm9UHPVwPHRxban3XmRy +xMPh9by1HzWgNYB6OB4qDaelrZBK5YiH46Hfn+3l17dlcMTD8bA44fUu8YeB +HPFwOB+8vpj4fE6kHg7PX2nffWvPxnHEw/Xef+LhMP9XNF0voxFOPRyerxV0 +mVzTaI54OB66r5wxXtxSxBEPx8PNsaKpj8IKOOLhcD72abs026qAIx6OBx2n +cfGqIcARD9f7ecTD4f7b19PW6Ws2RzwcnvdG9dHLrE+gHq7354mHw/Uld7Jp +wAtvjng4HowVx+TmzojhiIfr/Xni4XiwlQ9dFKBWyhEP1/s68XA8/NR+rSs/ +KpcjHo6H62UXL4ttz+aIh8N4Envuk9GDUI54OMxXrPR2hGgWcMTD/ffvs+/X +v/7rSz0cvv/nmSeyv7pSD8fD0cNtwuCPaRzxcLj+7dlz15blcMTDYXy7Vvqs +anEZRzxc7/URD8fDQDtrnRn9ojni4QTYmcqfKGzNpvXhBBhxKW7d29QiWh9O +6O2f8z8PJ4BJ68jAC5XRHPFwAtjfctox2SCZejgBFn7MHLwhJoUjHk4ADb7R +7kRhMa0P1/t+xMMJkKMnFvDILIN6OAHOftvmJbH1X304AeoUNdbcqM/niIcT +IKTuo+8Qy0yOeLje9yMerndMPJwAUiWVfb5vjaP14QSI7lv/vOitK60PJ4BF +cb9RPtfjOOLhBFj191njZ4zfxMP1vh/xcALIzHM1DVIro/XhBNggFy5kv/9X +H06Ai5Khp0wGBdL6cAIUuOp8H3EtmtaH630/4uEEcHDqvhSYVkjrwwkwd9Nl +7+ieTFofTgD9oDlxftcLOOLhBLipf9X8t8I/DyeAs+HAa9sOltL6cAJcSnKy +848rovXhBBhZt842Df7Vh8PPfxtTlxvF0fpwvddDPJwAchZ2l1dYRND6cL2v +Ew8nwIzwrSV+872phxNAZ2BW+hmrZFofTgDJgIHPl87NpfXhen+feDgBGuvc +8oNO5tD6cALYtR+R3mzhT+vDCTBNJ9k8PDyeIx5OgEUuTT9GWfnQ+nA4vz7P +9truWEjrwwnw4kD68UXSRbQ+nACRYm8GLLaMoB5OgOAVC0y5oEyOeDgBxLVr +BlqEFNL6cAI0yMr8XXsykNaHE6B/iVlqiF4g9XACLFPc0eeBXDitDyeA6pUS +Tdm6Qlofrvf7EQ8ngOKzqf3Y8gKOeDgBrm4wb1mTnknrw+H7u/9pmfQngCMe +ToCmrYbSLVrxHPFwAtzfPz8rHApofTicHw+8wxunFNH6cAJ0lUbL/O0fxREP +h/O7ZobiPZ18Wh+u93qIhxPgQ+GOq89qA6iH632deDgBjlamnFng5sURDyfA +yqODnQa4FXDEwwlwUNbg5i7tDOrhBLixIuXy7tBE6uF63494OAEyxKSmbIqK +pPXhML7EFsue3+5FPZwAS+RvaGYOzaP14QQYwGeqxBtkUA+H88NIMc76fQqt +D4fxKD6meu2ldI54OAEGjreNCxuTTevDCXAkVHLMRVMP6uEE+HH5UW1uSgCt +DyfAtxuvwyTbgdaHE8D9XPSRUvk8Wh+u9/qJhxPANWtAtkFWIPVw+Pnc+1Dx +gRnUw+H6fFLekKsXQz2cAI8Tkr81HM3miIcTwChlqNng/XG0PpwAaSa/S9od +/nk4AURr9W40vMjliIcToPRd0qLg3BRaH06AU/YHnz1ySKf14QTQlZB5m7Qt +l9aHE+BnoF3Op6ps6uEEOP598dklr29QD4frYbdwtWu3H60P1/v9iIfD6x96 +jw+rjqceDue3XqPk86uhtD6cAO1LPvQsjUvkiIcTAKSK8xTib3DEwwkg6708 +at0zT1ofToCnA0ae2zG4nCMeTgCXt+Lbrn6Po/XhBFBfo7J5WWYYRzycABMc +lupV4fmAeDgBRi22uNKTm0U9nABiu14BNz2f1ocTYH//qmnl06KphxNg2HlV +18SxUbQ+nAABqd3xn2XLaH04AWxn5SbIfMyk9eEEWHNr/pUAWR+OeDicf5vu +7vSUSqL14XrvD/FwOL7S6Ho5Ip16OAFif87YcGSmN0c8HM7/ZSeY6tl5tD4c +xtdCTfdco1KOeDgBwpev8xwvGUPrw+H9Ctte226TSD2cABu1NyTOPF9K68MJ +UFz34puxXib1cALEPa/eqp1ZSuvDCSC9yjHfalUe9XC910s8HM431aCHfGgy +rQ8ngFf3skKLXyHUw/X+PPFwvWPi4XC9WJx8pDQqgXo4AQ5kzBaf+jWTIx5O +gPORP+MmyMZyxMMJMFrh1o8tun7Uw+H8vDZstZZ9AK0Ph9/XU9dtvPMNWh8O +449dnkHt6XKOeDgBQhclGCXM9qYeToC/814k658r4YiHw/W0b9azuZblHPFw +AjjdZ2X8TSpofTgBuOXb546+kcERD4f7seaWRWv/ZlEPJ0CWTnO6y6Ewjng4 +3A+6fCUcPVJofTgBHIPdl05bFEM9HN7/bU87ZVwiaH04/LxH5yXmS8dxxMMJ +wA7UWbS6PY8jHk6Aj4Petht+L6P14QRoMxiqPNO1jCMeToBU84dxTodvcMTD +CaB95umkFwHR1MMJcH1rgFLNgDxaHw7zjZWGvzUzkml9OAF83j4+ecQjg3o4 +AaZEqrfJyMXT+nD4fVWvN8juiKT14QQ4yX1tTzQtpfXhBLC++qh7fFAqRzwc +zvecVz0dNmW0Plzv8yceToCknOV2J5w9qYfrfZ14OAHSC3ZOSBrlQz2cAPvO +HuxWf5tG68NhfNBaMbbYheOIhxMg/s2tcd1HY2h9OAG2dY8bMCItiXq43vcn +Hk4A85qE5N+esbQ+nABlojXFCtk5HPFwmN/Unl9aV5BL68MJ8LVFMLps4sUR +DydAnr/JwHqXHFofDuMXbHM4oJTCEQ+H+7vBoPBET2/q4XC/M9n/pcIhniMe +rvd6iIfDfOTOvFOjxYJofTgB7iRoNml9KOGIh8P9Y8FfjctPIqiH6/194uF6 +x8TDYXx4/NmfOV7KEQ8nwKd0KfnXlv4c8XCYj+SkjP3bkcQRD4frX+/y06vP +s6iHw/WU19OjcCCF1ofDfObU5FbH5Z60PpwANc41b/p/iKD14XB//Pr3qW1Z +LK0Ph/HoqmHW/HlhtD4c3m8tKf19WziOeDiMj0rrd6UIHK0Ph/t9iLN01NII +Wh9OgOw1vy1WSfjQ+nACvDZYfjfOPIvWhxNgap8hVsPPXKceToBDm2bXrq4t +5YiHE2Cc9NcfW429OOLhBHhbdEH2T0wh9XC994t4OAHmefvskDIvovXhcP81 +MJp0KzqCejgBTuj2TEhtyaAeDue3dtY9piKEIx5OgNorwQc/5WVwxMNhvBm0 +rGnpjAJaH04Aqz5pQwe/T6AeToB3Px4NUt5cyhEP13s9xMP1jomHw3x0oWul +VEgm9XACxPywWFYhCbQ+HM4v2WEfxRPLOOLhBDCzXDbPRjuJ1ofD5zHJTf6Y +WjlHPByuj92RBfd73KmHw/W09dvCuZuiOOLhcD4fP1N3rSWZ1ocTgJfdFl1m +lMkRD4f3e+dJ4wObyjni4TDfDHjqYF6WR+vDCTBoQMIu2e4ijng4Ae6dCVZ1 +nx3OEQ+H8c7o+WnFpDJaH04AiaF674e4hFMPJ4C8xQjJOo1s6uEwP1fKW3ru +/g1aH06AxbYPg3Ybu1EPJ0DiqKv23nsKaH04vP8Ob77uNudofTgBZubN8xf0 +02h9OIwn+7e+Hfskj3o4jP8rRkfWN5fS+nD4/sq/+5k/q+CIh8P86b77iMQL +UdTD4fO+tFB66Qd/6uEwv9BKs314I5EjHg7zm92ZCVtMImh9OAF+fbTrP68p +lyMeDs+Dk0JzDO/7Uw+H8+le0JQGu3JaHw7z9XdtRpfu1ND6cJgfrp6Rkz2y +gtaHw/PwlttN5tqltD4cxtPrqnP5yCzq4XA/CH3ieumED60Ph+dXlSfy8+LK +aH04AUyfXfKT3xdMPRw+n5sVTzf2y6D14f47vxzc9C2pgiMeDu9feGDjdc04 +Wh9OAJVv76YzclnUw2H+/nvS87k/Y6iHw/snY7btuHY5RzwcrmeLo08rbuRQ +D4f3c/fvlJ325RzxcDgfS19W33ubTuvD9c5/4uEEYDzU6nx/5tH6cL2vEw+H +57ONt0KuLE/hiIcToFCs3C58egKtDydAT0rT3oMTcml9OAFWrFmpNgzzZeLh +BJhvc7vf07x4Wh8O17/FaD5vUC6tD9f7ecTD4f3Tam02+FVC68MJsK5ry6i8 +4mJaH06Aqn1f/VnnUo54OFz/D/lhDbNyaH04XB8357QmiSKphxNgz/TZyYqJ +N2l9OAGirAIOb71QwREP1/v5xMNh/Cga/2SD/02OeDi83w5dc+emZlAPh/dL +aU5C5VvgiIfD/OFDRIDD3hyOeDgBusfE/NSXzOGIh8Pz3ao3jj+3+lIPh/vh +PM9d7gP9qYfDfEQz+Y5qeCFHPJwAwlb9n6FhsRzxcJhPW+V2WXblUQ+H+8HW +sDkrXaI54uH+z99H/ufh8P6EDlNOKyrkiIfD+2la0aKukkU9HObbV5dHTd2S +wREPJ8DhKSMtWrfWcMTDCSD3WU7an0/liIfD+ekP7bp3czni4Xo/j3g4fN7T +d4776pvLEQ8nwLl8TkNuVSxHPByef2brbs2cn0c9HJ63beRMe9ZUcMTDCVA+ +YUO/IJ0yjng4XL/vBoeFKHAc8XA4vyZpByoa3+SIhxPgFT/Z9Iy7G/VwAmia +zDULuFxEPRw+7w0n7FYPSeOIhxNAKfJhyjSr6xzxcAKoLfsScO1jJEc8HOaL +NYPOvtCIpR5OgPxRllUj5lRxxMPh/N8bkJC1IJojHq73+xMPJ4DHuQcHYjH/ +Jx6u93Xi4XD/85eSMthWxhEPh/P1xETF9S8zOeLhBBhr1+f3vJEFHPFwvb9P +PFzvmHg4vL4GkZdNYQb1cJhv6H7knmpncsTDCTDjjrhqZloNRzwc5o+vFVMD +sm5yxMPheW7jyDu1h5Koh+t9f+LhMP8o03lQbJTNEQ8nQFhSm+ZSjIfEw2E+ +JWp8LHM2iyMeTgDFBu6h3oMijng4ARRyde4WrsvgiIfD/K7sd0OXQSb1cAKc +hvv10RrAEQ+H73+/pVZ7Wj71cL3XQzwcPs9+i2cM2sVxxMMJkOk186/ZynyO +eDgB1v46oj0kO5h6OAEMX/dZaTuogiMeToBNk4eNiDPM44iH631/4uHw/BAl +3ZO3pJgjHg7PZ8xjheV6cdTDCdA5vO/Iu8GF1MPhejk6fda6CF/q4QSYEy3e +/8IRjno4AeqTc+6W7PXjiIfD/CBK63arWjb1cAIUlKyNCZQppB4O48efSYrW +kanUw+H6/c1XHLT4Vx+u93qJh8Pzd8mdU11m0RzxcL2vEw/339+7sobuyCvn +iIf7P3/v/Z+Hw/OW8Z/Hid8DqIfrfZ14OIxPMYq2rfWlHPFwAvw0nqHg/DOD +ejicf5stRk26+M/DYT6Uef2J2pdYjni43vcjHg73Z8OeZZ0Hszji4TB/3zhF +v3LkTY54uN6fJx4O88/SzF8FA8s44uEEkLwYr+zK3uCIhxPg97MSpym/6jji +4Xp/n3g4ATTWhKzVyy+iHg7j51epCZIrMzji4QRI+SGf+DT9Jkc8HJ63tpaX +7y3K54iHE8DX+umFi/OzqIfD/XNbduvEtBKOeDgBbvAn55xfWMoRDydAwJP3 +FvWOyRzxcAKU2i+Lz9TK5YiH670+4uFw/ahlNSa/SaMeToChWn/PJuD8JB4O +42mn2GPRiizq4fD81fPEbYthLUc8HOaD6x6tLAkooR4O95s1o0dZVXMc8XAC +PHzqFMdk53PEwwkwxuFEdorUPY54OIy3hsfySvvc4oiHw+dX17DZu7iCIx4O +9xf50Kf7MV8gHg7ziePeU24OKOaIh+v9PsTDYbxROeBtNTaOIx4O36/Ya9Ha +w3Uc8XACLO1RrbfaHUc9nAATB97a361YzhEPJ8Bc6c8NIGRSDyfA5WqHrnTx +LI54ODw/e6sbVS24zREPh/nH6HZXpekFHPFwAszuJ/3LZEUmRzycAE9iAl8d +L/PhiIcTYP3m2rEND0I44uHw/DttVumzM2Ec8XC934d4OMz3QxRsho6/Sj0c +3t+As77iLskc8XC4P33z1pIMjqYeTgDLpX8fnlDPph6u9/2Ih8P586Hl+w32 +Pkc8HO7HMbuFKvEyjng4fD5JZjZPDxRTD4f532F/1Q9VVRzxcBjfK90Ej4Js +jni4//P33v95OIwfdX//tE33oh5OAM8vr9J+jMnjiIfDeFPPBms9zuGIh8P5 +KTXNnf0cyREPh8/jRVffxG2lHPFwve9PPJwAG985GHZpZHLEw/W+Tjwc7l9N +wbvPXkmlHg7zpan7265v+lcfTgC/lV9vvpmfTT2cANvt5Kc99yrniIfDfLD1 +8r7q8/c44uEEaNq3P6gy+CZHPByeT11c3u166UE9nAAV7dunHlMt44iH+z9/ +7/2fh+sdEw8nQF5g8mmVlynUw+F6c+4bV7Mrg3o4nE+uiQeHSaRxxMP1/j7x +cPj9J0bdXQdVHPFwAnjtWqyuOyaZIx4OzydT2241bvjn4QQIuqNpPCa+kCMe +TgCj+KJCK7s7HPFwGA+PlEU98rrPEQ8ngNZsTZubjpkc8XA4/ycNe9K/tJQj +Hg7Xh8yM63FjUqiHw9fD/ph6ZWVRDyeAbrPBtSVrKzji4QT4NC/lcKNkFkc8 +HF7/IImG7E9l1MMJ0NH1cVPBrETq4QQ432L8Oc04kXo4/P4J999pXS2gHk4A +G+Z54P3FHhzxcJg/zX09cct8jiMeDp+HW0ChVmI+RzycAFeutBzb11DGEQ8n +wIDpc/ffULlGPZwAb6YfMhs904d6ODzfKE0xOvQhj3o43O+trK+aLb3PEQ8n +wIWQ1QvH4vcjHg73Z21u2HKDKOrhBHBIE50fpJlBPVzv8yMeToBZk+znn7a/ +zxEPJ8Ck31tdflrlc8TDYb7wZk6r8rQkjng4jFfrfs+5NbSSIx5OgDW/hpzx +vl3HEQ+H+6GW3cDalEKOeDgBPic+Vnojn8IRDydARIGVykf2AUc8nADH/sbU +R9sHUg8nwN76mUlzNUuphxMgeXjPh2bbOo54OMxvnKv2n0tJ5YiH+z9/7/2f +hxOg9Zj+ubGHqzni4QQoUfv4YtWIRxzxcLhfvlpbH2VWRj0c3q/xJX8G3yjk +iIfD8/B0v4lz1t3jiIfDeDFjT8Vc12KOeDg8H4XPvPTnwgOOeDgBdpz4cfGD +Rjn1cAKcEF9g9OABUA8nwM5B13aed6qjHk6ARecG+43bXU49nAAHsy0nphXX +cMTDCeDfpnzP8NC/+nAY71Q9Bjhdz+eIh8P7OSkg+d61GxzxcJg/g8G80U0V +HPFw+H3uypklPbnBEQ8ngE5EpyjILIAjHg7X246xOzz65VIPJ4C845PHH+Ju +Uw+H97NrQ0h2cBFHPJwAbh/dR+rJ/PNwmC/kuO79K9RyxMPh9abDM8Wpjzji +4QR4HqMZ/BtfJx4O44dW4DC29DlHPBx+vpo1vBxWxxEPh/lvn8LikX5lHPFw +AiRK3zzv8LqAIx4OxyvcL5//W8YRDyeA88dDa+feCeOIh8P9YnxEf6X8G9TD +CVB94au1RnY0RzwcrrdT1415p5vUw+H5a1CKrHn0A454ODxfbnrT91xHIEc8 +nABOtvMKrb0qOOLhBPgzJKp0rk8R9XCYDxlMbl/wuJojHk6ALa83O3+qzqQe +DtfrwwV+2eYZ1MPh993iN+7EsRLq4XD/v+M+0jugiCMeToDNM7Yn9TMr5YiH +w/ult2dYjE0x9XACLE+I/TPEtZJ6ODxfp1keff3oLkc8HMa7Pdej1Q/HUw/X +ux6IhxOAPzawydajgiMeDvPvtatmee0toR4Of95YzBJWFFAPh/lM1kFlC716 +jng4AfYn7Py6ZUY8RzycALY7RyfURDzliIfr/Tzi4XD9q9WdPIXPk3g4jD/3 +HVzlFR9xxMMJkPtYJXUqU8URD4fxTsnE2GpOJvVwmC8sujMlb249Rzwcxs8X +Vzc/ys6gHk4AKft306/LPuWIh8P7sSNk/TSrm9TD4fjrbPNfOfnUw2H8atPS +kTcvpB4Oz8OTvGSk+Xrq4QTQHpQd96e7nHo4XL83ZlySGlRIPZwAXbIL98tq +llEPh/nTzlkfrg17RD2cADFr3cdJKz/giIfD/HpA+nvNERHUwwlw533DZYua +So54OAH+/rK4zBnd5oiHw3zj+OkPqV/zqIcTYEH9sMuZ25uphxNg9WyvSZrn +71MPh897afNnvfLH1MMJUNRw5r3rlgaOeDgB+popNRWk3eKIh8P5vbH51CSV +BurhMN+Q1voyU/0F9XAC5MyfuTbRNYPWhxOgbe/uMeafXlAPJ0CGVai+VHUN +9XA4X3fVzE40fsURD4fPyy3p4qDjhdTDCXC98fgw6233qYcT4PZuLSm5qJcc +8XA4/yT1His8y+eIh8PzsbMgfas8g3o4fF7BQ7RDKktpfTgBnu7qO9gssIJ6 +OAHixHbP7llbRevD4c9fm37G7ocPrQ8nwJFg428eZ15xxMMJYBH0OXydfD1H +PBzmS2KXX4xMfUU9HK7PG1MVNmz95+HwfGcr8h34rIV6ONwPAv6G2Tvc54iH +E8B7vPk6x1uPqIcTYMjg5ezlvS3UwwkgUR48btWcJurhcH++90Fhtm4h9XD4 +fSeYXp4ysIF6OAEGB52um2qVxREPh+ePQZdko2UCaX04jG9e4/WlB7VQDyfA +h1GjXWIPv6UeDveT+9+0dMKaOOLhBAh7lDzV8/lt6uHw/djXC1IXFFAPh/my +ke2cCffuUg8nwLVFVS7Pf8TS+nB4/aJ+N3uehNL6cJjfBN44HH/wPvVwAiiz +zlNf6r/miIfDnw+7Z7fL9Sb1cHh+Wx5g5XehkSMerjc+EA+H+ewOpqZ11WNa +Hw7P/0X6g0sqX1EPJ8CLOZNNaxurqYfD/Ev28NSGUWXUw+H5Wkq01a7tAfVw +AtxaOH/JFvGXHPFwAlR2bOw/+fQT6uHwvGx5wW6W/WeOeDgBhDk70wbpvueI +h8P5JOsopeFaTz0c5ocZh18F9HlHPRze335JgTsamzni4XD9pRasHfPpMfVw +mM+HyoYFDnnGEQ/X+32Jh8Pnvz/4l+ziu7Q+XO/rxMPh/HTdtc1xTCWtD4f5 +hty7w0eq71IPJ8DFmf0GTtz6gSMeDp+HoVn2t5ki6uEw3oQ2cBV/PlAPh+fz +4fdtVDdVUQ+H8dVPJuPPtgaOeDgBgt3dKif8vkfrw3VCkt6f75M6q2l9uE5Q +Xq5T/iqqjXq4TngSEL9EvekVRzxcJ3jmF8YpXX9HPVwneHu650ldfEv7pXbC +rfE/f0vZN9H6cJ1gOUkx3MTjCfVwnRDSFcst9yqj9eE6ISXZU90jL5l6OLwe +vkA29nUn9XCdoLs7a4l3t0A9XCfopLkERF1+RevDdcLqh2FrmqrSaX24TlCT +t2zWsWim/VI7Yd9v3XqFoIfUw3XCAxX1NLPoRurhOkFUrVH34X4RrQ/XCQde +t2n/HNfFEQ/XCa0ufgubvr7liIfrBLNMHY0w8V/Uw3XCkQnK+2ULrtL6cJ2w +e1yLzPGuFurhOsHh0mk3dflu6uE6oWrH5HcHR37liIfrhCHqD7Z09eeph+uE +0KiWBX3n/aAerhMeKUo0rTX9QT1cJ2SdqHc5Wv2eerhOCD//YUXKrFbq4Tph +mbxsYUOdQD1cJxSHVkgmXBArJh6uE2p+zTfIGtBOPVwnjO4McSg9INB+qZ3w +s+TAuxPZEsXEw3XCFLnby86fqaf9UjvhnY1ic88onvZL7YSE/rVS5fEiWh8O +719l09vEL/2KiYfrhOYrGeemZv6k9eE6werZtr7z4gcUEw/XCRe+bB7VrNlJ +PVwnKOQ/0tG//Zd6uE4Y5vG4r5HF0GLi4Tqh6RPzw8SondaH64T2mNW6gY/7 +FhMPh+//ZnjR6ckyxcTDdUK/u0lsiZdUMfFwneB+4pRrnuID6uE6YaZo4fS5 +xyVUiYfrhN/N1Qv8vKRUiYfrBOd1uerPWLES4uE6wWJadsk4PbGSO7f/++9r +77+PBP73XwH7//Mht75TuvqJYK7Y20/WBqPZf+MlfX+3/Te2fjvuWXV/EbTk +Jo+13CnNrls51z1QTAShbxzkqm/Lsir+a9dYi4vg28eNB5evn8yO7NjVwwwQ +QWOtTuDcD1PZTi2HnOESIqgo235cNWc6+zjymnUzjjc7a9kbZSiy+T9jpmYN +FMHOkzu7jr9UZoM2cQ0ug0QgV3Jot98KFfZUykOPLYNFsOVFx8DNFvPYXeLt +a2cMEUHWWb28jasXsGq7+v3txjE7WaytXHUROzVfJu/WUBE8/jhmdYT+ElZs +xLwDIcNE0Gqq5tR2bBnbtl9L3na4CAyXDWU9C1awNWW7X7CSIrjAls8OGb2S +TRp/zGvkCBHITL3h2HeVGut25Lp2K45v/j0SbHJZnT14O7ZP7kgRBJ56pf+h +QYPdML04/+IoEeQvmbE3cIkmu+DMI1uj0SIYH96QZRu5mpV62j595hgRLGpt +E98nvZb9rtL/5S8cX/sYoeIcqMU2XB7vc0dKBNtWF/3Nn6HDFr2epxs+Fp/H +FN3VQ8t12dDl2v0OS4sgnam+e2L/OtbJ26RAY5wIVF5IWPWVXc+afjp2aIwM +fv8d04S7Ngas5mr3GW041j0jKT5j4wZWISyuKX+8CHL8qx5eUt3IDvxe7Osq +KwJ9v++rOuZsYj+uf6y3fQLezyH9VuxW2MzeTvjUf/ZEEcjP6Ap9OG0Lm9ZX +rOgPjl3SfTbozTBkPbbJ2t2Xw+/To7+8Zu5W1i57vlLUJLxfX9cwa9SM2M1D +dZqPTBbBiR79FZVbjNnFFqb+q6eIQKLfx/Gah7exMiXH9aWniuCemW5Jmdd2 +9tdYD/EPOF7SbThQtXAH+/JgPFc4TQR9+kW+yHm3k4WakiNu8iLQULYdryi7 +m42c8mTmruki4MD4oc5ME9blhOi1ioIIYP6QeX9qTViLh2KBfWeIoF6vyy/V +xpRdO2uCwUMcvxgdZm80ag+rdGGBRKwiPu+snap/CvewQ5p0ShyURKBnv39X +2F4z9vPiPfZaM/H7VtdqLZUyZ++7O84aryyCvXMtbOqqzNms9x6t7TiebNC1 +dOtJC9ZHPSGoeJYImhtHyL2Yv5d1CIIN7rNF8DZ88lVD0V7WqPPJQNM5IvCx +s/xSk7SPXa73Gear4PuvkCicZ72fnRArfkxsLr7eLjL2mGPJ/umZMOcJjoec +/KD65qsl22y48G38PBGEtYU+mlVixVak64Y4zheB36xLbpZXrNnYgWabdBfg ++tT+8TDQ2Ia9ZHpi8MSFIli8BIOL8gHWstCz7DOOZ7T9ra3vY8vqjk48XrpI +BForj25+/syWnW1TquK1GOfL+HkKD7MPspKVT9vMlojAY6qpYZPWIZaf+CV0 +0VKcr0WVM3ULDrEPHQZskVgmgktKb6pUlA+zufcmDm3AMcxb8/pq2GE2QHFR +RdJyETRdNZ27e7Qde+Ks3olTK0RwpdnfKtHVjt3x3GyePiOC79WL11j1PcKu +XHDy/SRVEZyvOeYYeeIIO9nNK5zHsc4W50L9b0fYfm8TDStWiiD3tikcPnyU +faNaNsyXFcHEYzIrB385ylb5Pbu5V00Euy54fJK2tWcTvnw5uVRdBIckQ5yD +PtuzV7QkFgzWEMHfUT3pnocc2AORch9f4HiIncncnk4Hdv3PRZGpq0Rwmt+V +33j8GDtv0zojJ00RVC85/VPl7zF2dIq55IbVIjhTp5zedfE4+03sVNXUNSL4 +NbL6ytwRjuzTnd6nv+K4c52/xssgR7YgL2lh1VqMX2H3r/5WOMEGS5a3+2vh +fP/lJXs95wR7en9DlKW2CAKWfYzzXHWS3V3WYbxCRwQf+95tGfDoJKs+fuDI +YboiuPF4ynmRxSlW/sikmiYcjxuSy67+cYodcHuxU4aeCN7Xadwadv00+15e +f/G5dSKwHWP3bI38GfbWaQvRJn0R9Mt6LNdRdIZNeXIqZvp6EWSof9owaIsT +e13FZ/t3HL+aM1nB64sTe+hy8qhaAxH8ftY6o3K1M7vxdXlt0AYRXNznVXbc +x5lduPy5s81GEaglzS9sbXVmx3rzS1ZuwvVk86BdfOFZ9kf7wC+Sm0XwbNfh +ua/On2VfaE6Oe43j16OG7Dn45CxbHLpkZ/YWvB9/3LblKZ5jw7v0x1wwxPlw +7EVn9slz7Nn1e+sMt+L8y78vsf/eOdYs4fQ5RSMRiD9hDtVPc2FX9/Vd9hPH +S26/HdB53IWdsS2lo85YBO6HL8XW3nVhB2VXxIduw/hw6uF0w+nn2fYhL3Yd +3C4CM+fDpgGnzrN3zQUp9R34+pc/8zwenWczigfdGbVTBEVjJQ+rzr7Aeo2d +cv4NjoMnK3eHX7zAHj24dEXeLhF8Hlkfkd98gTWsWS9c2i0Ci1vHNc+vuMgu +nbIv0dhEBLH9r3Di/hfZ8SfOmCibiiDFYG/Lis6L7O96X+keHCtMNHdXMLjE +Nimn3r27RwS3U+6FVKZeYkvP37wQYYbxa3x767ihl9noly8YO3MRvDT6rDrZ ++jJ7YXFn5yoLESxUkTj/4tZldp/74GSpvSJYs8LYXUfZldV+P2XPOxwf+dKz +9ICbK6usvkymYJ8ItnqJbVv12ZUdFmRw/8p+/PyVdnW3Da6wX4R9l3ZYimBw +s/reQTlX2Ae6TivnWOH6XWLe/4f0VTY7xu/bXxw/fgwng09dZX17UlMeWItA +c+K8hI7XV9njhpVm0Ta436ldMe1e68ZuS28cb39ABHYa3s5ZaW4sM/DrgzW2 +Ioj5OqhJbuw1Vs50iOu4gyKI+h65UfXMNbZP4VS1jzh+UiCWP+zdNbZl1PLv +RYdEMOzYg1Z3g+vsTesNadcOi+DhktDMisLrbNzN/Ra77XA+3Re6E6a7s5cn +Ok+YdwT365aNXqynO2vl4P+w31ERHH66wPBSjzu77l7alUc4vic4D1db5MGq +KFapx9mLQOyi+6sxNh7syLMvu485iOCY6+HBGtEebGfD13TtYyJwq1x3svq5 +B/t4/tB9sscxnratlosd5cnmX50mJ8Kx+ymHV891PNnAN8sflziKoPZnV9J+ +F0/2pOpGN48TIojLb7DR5zzZnX6Wq/acxPv1SXmk2zdPlv3i/HPBKREsy/nm +MkXFi52qFZApfloE6sOWZw639GLFItP3P8Vx15Ou85uivdi2H1WTEs9g/KuX +b//y0out2dj05IQT5kNR+fdax3mzScnfruk5i+BTbaicymZv1k1s2Gq5syJY +n1pa8cDdm7XdKf/7C44lPMWS6+q8WYO8Fdll50SQHahVOmGgDztfcpOVt4sI +yuv2frmp6cOO2W81xeI87gdDFsrfPOvDfi89+2zxBRFIyzrrjAcftkEm0H3g +RRHkhUrpV//2YYvsMtY8x/Hj0YXj65b7sqF11T3Jl/B5N84Ome7oyzrJv8o5 +fVkE1otmlTzJ92VNT3dZr3fF77vM2qapy5dd9WTYtClXRFDZHOzFLPZjp6tM +fy7g+EFfqwm8vR8rcZnxvHkV1/f6U99+5fqxH5o3afm5icDe6OignV1+7O1l +1n/3XcN47vp51Zgl/mya17m8ZddFMGvmOY8px/1Zj/bAA0PcRXBuV9nz8wX+ +rJ1mpvxLHDdOXzZ85S9/dnNozYs0D7x/2w6PXacawC7ueuXl7CkCy+xPTZlO +Aey49d+1N3rh/QHFTTblAezP+OF95b3x9z+G7jolHsg29lG48Q3Hdxzh02ut +QLbEWPVgtY8IPK/+eh/iFshGZm1WCPQVQd24opWp9wNZlyE2L638RODYadow +SiqItTB38WH8Mf+q0o+5YxzEri0O0h0eIIJ9Y19ceRkWxCqNzerXjOOh7Pbj +Gm+C2CEHawsyA0Ww317O6LdSMCuqbj7kEoTzq8pWasihYPbe5O4ZW4JFoDzW +M9g2L5jNdJR8pRCCz3NK5WOFnmDWu17BrxvHjidWpy/QDGEdlFeuuxWK+aXb +2tG+V0NYo/NbxELC/ssHf33SeRjCLn9pU3QgHPOHbLcZW2RD2QmLz9uxESKw +ujs5LdsslP1zPVhpZCTGy6Rn1ntTQtnmd1nNLTj+/Pqe7oFvoWy52i3/nCgR +OLVMWFazMoyNCXytfzEa99eO+3LHLoexF4VucaMYEYyZ1v3OsT6M3a87olgp +Fve3/YFO9yeEs7oxM47+wvGRc3n3T+wLZ2f3rFS+E4f5g7he1fGscFbS0LAl +LB73tx0bdG/1hLN82oHAQwkYj0x3xObLR7APJS4YaCRiPhOb/zRbJ4LNNQmR +GJMkgoHWc7IaDkWw/gXZJW9xLD58/kwl/wjWcVSdfX6yCAo3zlsUVRzBbrdu +meWagvFjb0CN5psIVvXmj9ZtqSL40Xy/YcSQSHbSxJHBs9JE8LxUfdeA+ZFs +PwfFjX9w3GK7Xne6cST75i476H465uvcdr8DzpFs1YytpZEZGB9bKhe+io9k +E5xtjx3JxPnv8lH2xL1I9krDhTmrs0QQlDRNfeX3SNZmfujbsdmYb9XWBCpP +imL1r+aEvMfx9qI5shpro9i5b+o2FeaIILo8vvDswSh2lGrrYLdcEbxzdTnw +0T+K/er7s2xnHj7faZJKp0qj2KefRzqq5Ivg1kDflsUfotiCtUpz+94QgbPs +Tvfxo6LZ4Ai1d/U4lotJkZ+xIpo9/WNrWEwB7vcbq/x3mEezuzce3OJQiM9b +9ePLwmvRrHryxaFaRSIobd3yST0/mp0mFlYhw2E+pKR5g2+OZsV35p5ox3H0 +NtHiW4Nj2He5t+cVF/+XH17aWbcwhq0d/ub99RIRSKZrTvm2K4ZN2fcr3AQw +n7QyPLbWNYa9Xjpq6/xS3E/VP24uz45hD8nMHC5WJoLZzsrpFk0x7EY79crH +OJZ6pnFy3qBYduH/Y+mso7J6mgCMYouFCCICohiYyE9sHQkLuwML7EIQu7BA +xUJRbEURBRTpRoZGurvz5Y0bL7aifvud3T/nCJd7N2ZmPc+eJ3P9mbeJpB7a +rP0wfJI3aBra/3cyibz/o2uzzLd6w48zV2RWySR/7vije8XVGyqKn78cnEL6 +zR55ll/CvCF2fPh6nsTdZuq+vVbvDc+vZPeJT+XwQ8+/0+b3egMX6ppS76Rx +uOHz1bax097A9mntZ7d/IvU9Pz9l+s43MPduf1PTdA63yqQ+9nfewEj5aEWX +DA5HtdS65Xx8A90tzb3KSPysAA+vlr0B+dMNG/0ySX4d6m7RUestZH+173cm +i/R/77b9KLV4CwFLr35akk3Od35jXYrt38Kdty+c9HPI8/FH/a9nb8FRJWKy +ksQd+CSVBZlvYe2GHC4xl/y9F66lsT/ewtTg5tf38ji8/mKe9YYRPjCo5x/r +Xfnk/KEvnNdd7QPt2zX6Ty0g+yn0xKzuF32gJnZMRvdCDoW1xc6DA30gfoDF +hUoSz7P9snRdjQ+8sts41b+IjIdH4s0oNV+4nOYgnCvm8IjW6CkWM3xh15Br +b5aXkPPjfvU5X/b6woKTnpuHlnI4+8y2Z1kPfWFMQYTGFxKffvDNIivNF3qN +yc1MKSP1+2DoqM/ffEG41HLxQTk5P/U7PsdshB/kV/2ZtreCQ/WfA8+Hr/GD +ENMByumVHP7316FqpbMf3L811ketijx/0zorzTA/OC6x2FpD4qtBT+JUmv1g +wxxrzcBq0j//7T1efcA7mPHocPaFGlKf3567aTX3Hei2Xbu8qpa8r0NYvu/R +d6Cy6OWM4XVkPi+dFia8eQcNXpFt30jsu8ejsqrkHSS35/p+qifnW7V018Cu +7+HNGsm2xw3kvB1bqPSb+h6ufvirdaCR9KujjnTO2vse9nXVzJ3VxOEfm53R +/Z+8h8Xbxrn0aSbnkaqtnS9mvYfxUZaz6kk84IB2pebf99BXfdOX4BbST8+f +a1wwwR/a9jm+uywh67XzvZ8hNv5QnORqu7aVw4kvQsbEuvtDxOBX2qOkpH+3 +WxYjS/GHR0ej8n6SuHV832fwwx9O5+RdyZSR7//9JCV69AfYPLJ19jM5+fmV +HkabNn8AOP/vq52Cw+79PD8Oc/sABuWa/nM4kq+PrjvWL+kDqJqM36HOk/nX +X79g+LcP0Ow6V6eJxEN6zxi11SgA0ho3FYQJHC585dEDNwWA38wj166IHG57 ++rfR0i0Abty/PmeDksNVup18xaQAsONffR/dRurVG9Olid8DYPn86A/tJJ55 +o09T1YBAMPHM35nzmcOXFa+d5RMCQeNn62DPLxx+6a3XpZdVIHxboVLk8JXD +T0Yft5rtCIQyP63rFt9If1aZeOPquUCIVp1gPuA7h8GX7a40PAyEp5vm/Wwh +sZnp34XLQgLBKWxzYOQPUh/9P+TkZAeCTe+ju11/kv23KaaPTWsgWOy+obfp +F9nfNlu6dlENguHxXsXjfnMoXs8L+KgbBF21Y278I7HjxoWqV6cGgdShwCK/ +ncPev//+3rEqCDIzpL9e/SH7YdGIe6vtgsB/WIfgI39Jf1TWlrL2WhDcPjNw +77x/HJbWelzf/zoIHIonDBmowuOVxRa1dzEIVo+fXyol8ZrokTFZFUEw+cqW +WzEdeOxy5LC29rcgGFh3dO7Njjz6OS7/crxfMPyaerN9iyqPHrbcXOnYYKi6 +8zrEuBOP6x45dju4IBjiZDH7OnYmP6+vNll1RzB4WhQaFJHY4X3Np3dOwXDx +qazMuwuP77z7xex6Egw7vnZwO96Vx/1DMrpMigiGeUu15y/sxmNO3Zg3/QuD +weit8d9B3XkUNmxw7ywEQ0+VBWEKEjf5O37q3jMEuPVbD8T14DHA/zEMGRkC +uUHHhrn15HFquaJtgUUIBPW4VWGjxqP51itVl7aGgPt27zv/9eJxl67Lt7zT +IXA0NnZB597kez1VZxg/DIF1A4r+lZDYcqj265ehITDNTh7u04fHPzdrxgzP +DwGdtI52p/ryGHd6X1Y0FwJ/9AcNX9yPx2iTAifbHqFQd2Jila46j6k+RnMG +jwyFxPwF7gKJF1281LXVIhRej95mldCfxznqytyUbaHgcul4B3cNHodvvOge +djYU9lTditwxgMcv3ectjngcClambw5N1uRR7/zCLxkRoTD21scR3bR4PJ/+ +0FksCoXekqLqchJvfTn718i2UBBBce/dQB43OlgudugTBgUPVRef1eZx54rg +o1ljwyBMOUh12SAek7vetp9mFQYPrEyih+jw+Ldb3eTI3WFw0muhQxuJy4/7 +Ji5wDgPr9m2jkgfzuHw430v+KgxmrTlRe1+XxxtuAeov4sNA/8Ntj916PNa2 +cBk7asKgQ9e3S6bp8xih6mM8sz0MGrfGdeo5hMdn9pWzhg8Kh5TI4pgqEo/a +eVmhPzUc3vbjDn8w4PFX6rPJY9eGg+u+TqPPD+Ux3MhA0+pIOBxI0qlfMYz8 +e04X55N3w2Hp4P8eDjMk6+3N3LPRgeFgfNRq2VcSL11S+EMtNxzUc2y6pA0n +49vntdKeC4cvI05+fDiCR8dmP5uWnhFQ4uR2ZN9IHjscLzc/ODoCIsvejpk5 +iseSgUNud14YAY8nYkMvI7J+sw/OCdgdAWdcSx7Vkvj73MDl+1wiYGsjtzxo +NI/fagujJntHgNnMzt0ujeHxwdukoxrJETDs/mBcPZbHU2v3nlNtjIDO/H/H +RozjUbrKP1u1YyRI5i0a94PE+aHHNg8wiIT0F7ZN6eN5jJ/xbuTUOZHw7sfJ +J08m8Fi2fsKIA1sj4eaKOysPGpP9YNSyMuhcJBzy8+kOE3lsPBn0tuvzSFih +Gh/f14TH6gQHvUMfI2HSptLjDSTuMKpLkKQqEjTD+PGh//HY9/ziDQ7tkfCj +V5cW50k8zlpk0E9tcBRU7NJ9ts6Ux9GRWwsiZkRBLE5abTSZPP9VvccR6yh4 +PnBxz98kLr3ntN78dBScd9iemDWFx4Lkvv0MnkSBbcapk8+n8vhTc39035go +sBx219h+Gln/g7cv7VcZBSPO+ErMpvP4TyMrdejvKOheHP+8/wweg+XHdefq +RIN8XNmaZhKbTp+y+MSMaMh2EdQiZvKoPb98cax1NATUdkm+OotHoy5jtfud +iYY7U/VOb5zN4+6B7X7HnkaD4x1Tk7HAo2qWRrsiNhrWyBZL/5B44+A5XY5U +R8MUix2euXN45IPmJfX8Gw3aT0+ve2nG444GYWyoXgz8/nK3t6M52U+BHWYd +hBioWeKXYmnBo07WHG7ythiIf5NwRtOSrNe79lP6XYiBV//K/mslsbfaqgHt +L2Pg8npRFjWXx5dtPue/J8bArqCur67P43GCz0RH1aYYWNBDf8Pm+eR9Z79r +0e8cC6O3T+47YQGP2x0LihaPiAW12CVpKgvJ9wfbTnGdHwu8xs5zBSSWq2t2 +K9sTC3kHz5i+tuLx0kbvOZNdYyEk1V1xdBHJh7/SKl6/i4X7+u+85i/msWf/ +SXnDsmPh+InEjdpLeHxu93xQCB8LG/LL+8lJvMolOmRl348wY7TyU+xSHiOd +pt/vaPIRdC91O39rGY/Tx+VGJq76CP8q9adsW86jQe9RA92PfoT6SVP4iSvI +fl/6+b3jg4+QdHOpt+pKHg+nVdvviPoI3i07NxWT+OHfu5t3Vn6Eq3C2/9tV +PN4f8fHAsT8fYd/DexknVvOYqdf54QP9OFisfHfBag0Zf0f18k9mcTDeKmnq +4LU8rtx83ajHjjjo61UhcCS2StS4ZO0SB22/lW9wHY8Jk1bWxvrEQdHq7lvu +rCfj87l5yvjMOAj3HzJg+wbyPXJ7lwAuDh52mZo1aSOPeaF3k+f0RTi1ddml +Ltbkfe5J5fUmCJsjd00vI7HOWfVvd9cgQL9zSt9NZP338q1adQLBYN99n9Ob +eZzmNv+R4RME1aT3W5ds4dHur8vwznEIzTrJmvpbST5r/3vqax1C2pHKbJHE +Bvyr9F8d4sE3u+1y4jYeE5f8CLnYPx6uj+gx854NqZeHF55UHx4PB50MPu+0 +5XH91a2afpPjYVnZVL8p23lU76d6deGCeDCZuNym+w4ehzT/zhA3xIOG6+6B +lSQe91+/qhf74+Fbw7nc9zvJejnfPXLt2Xgom+Hhcm4XWR9v/awH3I6H6Hv+ +s5bv5jGwIiqp0jMennLJXwz28Pjq5W+Fb3A8nJtX9e4ziW9V/FfklBwP2158 +tk3ZS+pN1PBjm0viwfxHj0EP9pH1nOGea94aD4Yrhubv2c+jPwfVE37FQ1e/ +aVenH+Dx3Eb5E0O1BJB2XAFqB3lMer62m4FeAmRa7/lWTeKjz830hxsngH+o +k3+AHY8V7vurjc0T4HavBzsuHOLxQh93sFydAA67PuissufR4sdR8627EmAV +phQYOpB6fT2/4cKJBDAdWH3tG4k5a1vd964JoOXwZc6nw6QerGriq58mwM/0 +nj8eOfK4L0R9tVZAAlQNHRaw/wiPLn19Fq5PSIC409N3zTpK+oV1W7I8CxPA +s2iFbp9jPNpk8WVicwJcHLe3qI7Ehl3VDyz4kQA7XM5fDz5Oxuu708W3PRJh +Xu0D88snyPppFAb21k2EUVMDfq45yWPn3QPHnpmQCD3upAaOPEX6hSMBkUqz +RFBIq3f/JLGwal+M3epEyDH/qpd5msSv/xh/3pUIgU/USp6e4fHEJl1Np5OJ +4P5l2E27szz2Sj2zW/1GIhxdMsNyzjkeT17KMfB/ngjr3qz83c+J1OMbyWbL +ghJh2r+9wY0kfmDcN/lHUiLorL+wN+w8j3v723r7liTCn8CHQ65c4HGt8b4G +G2ki1HYPLF1/kcewstLjBu2JkGCbdmv0JR7Hh26wbe2dBF4xNXPbSRwV/+x5 +uEESOGt8a8++zKPnyhVGNyYlwZ6DvUJfOPN4UcPg3575SWCVarjfwYXHZV2j +Bi/ZmARj9WcOtbjC45KLyeemHkyC3idWlWtcJfn7P95gzPkkEPP2ubWQ2Fu3 +ruNw9yQoMLo4P/IayTdPLfRGvEmC0IuP/l5z5fHs1gT78VFJ4FEZGGZ9nXyP +XYfvs7KS4MSkTwfG3SD1fsQ7/9W1SbDxZu2wfyT+PX3nncNtSTCr5VtF3k2S +X8OLnz/onAz60Pvuq1s8qv18WZA0MBk6PBy+8Mht0p9F2Rl9H5MMjeJMlXlu +PHp1rnsxEZIhZeHqCK07PG6e88jEcWUyvH21305K4rphs2pidibDtd8Xh8fc +5fFm/MEXPU8mw/7Vj6tuuPM45mzWYdsbybDEP8h9yz0eWzV/rY5/kQwTuqRb +Gd//fz/mYT48JBnUt9Z16OhB9r+L2dQ7qcnwJeJ7ZCGJVa88MulUkQwlffvY +ez/g8WrUzPHnuGSI3Dti5PGHPJ65/mnkP5UUeJw4q2bBIx7fxJfrXNFIgTM6 +a+4PeszjPEe1rpqjUmDLkQOLFSTuq9He/G5GCszJvqQa94RHk30WYQuWpcDQ +EU+ibz8l/b37GQeFbQp0cgp2sHlG6oOqmdaDYykgKU0f9d9zHkOcjbwWuKZA +unF9bacXZLym5/Tr8DwF3l374VFC4s2PU7fGB6XAzYY+S308ecw47HvNJSUF +Ds0Y2fnUS7J+WtVurCpPgRX3ZscuekX6+eMu20dyKfAft8ZR14vHD6KfWscO +qTBg3sHRAomrz/S+3KiRCt+fX66Pf82j5LFlauaoVCj//uThXW8eFw+py42e +mQqxy0OW7XhD+uNpjs8Cl6fCc9+MLpPf8jh0z+0x/jtS4XzHho9dfcj8uKQc +CzyRCrbWP4+Uk3hlsvfp6BupYBnad+w7X5Iv3uLkTM9UGNFrVOMZP9J/9H71 +uiE0FbrtgsdL35H+dmxlgkp6Ksji1q4Y8p70k9d/uA6vToUsLbtubSQuUbvS +vlyZCh/snTHJn/Rrrer9L3VOgzvpT4/d/8Cju//IzBjtNHAcGjpudwB5nznL +DX6PS4M1pzObpgby+LpaU93MPA2mFDU86RFEzl9anR/fXJsG2uN+rawi8etS +r7C6fWnw27lfjw/BpB5tOW0z3SkNqmtGJTiFkPpu3v/xY/c0wClzTqwIJf2d +Q5t1B580eOm2bsKwMB7vTnjhZRebBpekdi1fSNxP+8XBhrw02GXu8iw1nORz +/ePhm5rTYMGTZ6sfRpD+78a7U9U/02D0l9Ce+yJJv/0Xw3f0/gRqS7ISZ0SR ++T84ZXfb0E/Aezee7BVNvn/EvasuUz5B3t9fxrUkPpmzTstg8ScIXqfeGhhD +xnerat/EbZ/gXqDRi4uxPD4ep3Vo79FPcKy72drVH3m8Vqc+Ucv1E6y3Xd9r +RByZ7xNHV2Y+/wQzYg4lfydx5pKPuc4hn0BX48rpdCTru9ce73mfPsG/A89N +nsST80tsW26v6k9QnxImPZDA45SI8kWVyk+QpJftOTuR9KOBDwcEdEkH7+NN +6/om8bg6xM/omk46XMn73buBxK36rpf3GqfDXqP+qSHJPGZ/CBy6fG46LLo4 ++qxzCsmXhx6ozNqYDuMqzSatS+WxLS18kPGhdOg7aYN8VBqPY4+5HDS6nA5t +N+xf/SJx+K2zX0c9Soei5isbsj6R9zurHjD+QzqEz37R93k6jzM6x3hMT0qH +hw/C0w5l8HhgjsJncVk6nBKzz5llkvzgOahhB5cOmxY2m/bP4jGUi5p9qWMG +zH7Vrmgi8cIFs2LeamXAkN/9X4dn8wgjtVYXjM2AjqvHWF/NIee19VGdVc0z +oPm9ufrGXPL3VO9nTVuXAWmdN6aPySPz8WqQ97EDGeC7xeH8HxLfV4u+HnUh +A65HXJ2Sm0/6k/0NZzo+yICDfT15zwIe71l0PLrifQYs2xvhfbiQR2e7K/Zv +EjJgYmLOJssiHo/r1e7vUJoB/XVa+msW8xhTdXS7rSIDvjr+yZCQeIBrxKr0 +DplQmqVxMaqE9ANb+kybrJUJ0cPHTrteSuq9TNLHd2wmPD1nIW4qI/tD412R +gXkmnCvd+HZ8OckPOomXPNdlwjbjw1tUKnic6XFfe/jBTDC/dm1AAYn1Dt+8 +G3AxEwwbPLO8Ksn56EtfOTzMhC4zIi8dreLRNcZFv8Q/E1rdc6fPr+bxR/Tq +sYeTMiFD0aIcWMPjHajrpVGeCe/n/vWRkdiRuxkfw2fCrecDtsXW8ugQnzBn +b6cscPg+VutWHY9aarWugwdlwarlljlb60n9PL3gSfGELDD1tXae2MDjFvVt +dvfmZoFWR8eZqo2kfxp9RWWDdRb83Oj6uYjEo0/oLR3mkAWVIS/93jSR/rmT +y6rPLlnwUS3K5kQz6ddMdHulP82CFzvzBlq1kOfFmh9/HZwFF+IkuToSUu9C +V99y/pQF27X+uXAk9rgcuPRATRbMs9ecja1k/wrBoeu/ZMGo9HFf3aQk3/aO +jLXqkQ09hs59bysj/dNqne3mQ7JBcWrT9kly0j+5TnkNk7Mhp9BxUBcFj75F +u86YL86GwLHX80tJnPmuY6uVbTbcdX511ZfjMaVhe+P6E9lwpCYKTvOk3r1u +2HfgVjasnZL/bbHAY9zhklPOr7Nhqlurv55IzgNGHn1eR2eDjvTfDpHE9r+P +D/+Ulw1/zLQGJypJvj6SH6lsyYbax+ML3dt4nHr8e8qQP9mQ8Hmu687PPAbM +mb1wTf8c8Fq82WzKF3Le3PFz9m2jHHD2PvKj21ceN+Wd9sqBHNj993pABYkX +fdJy7L82Bxau89r1/huPg2R6fpsP5MCYwGjdc995DBrTYOl/MQd6dS8oWvaD +7L/KIOj4KAdEG+l1g5/kPOlZ7bEpIAcKolUsPpPY6mTovNiUHAjtP/BX8i+S +3+MuLzKoygGPAxOCPH7z2Nvg6cvrbTlwImXenj3tZH5858/93S0XNupt0Z/+ +h+znqEITe/1cmHn8aEnPv2S+et7YJTPNBb28GzerSawfElO1d3EuqBi9tgz4 +R9avjv8d3jYXGi7E/D6vIqBND78LJ07mQkpFQfDKDgIOf/rbp6tbLrz9T7bX +sKOAW+fLVJ++yWU8nIAjKj/eMv2Yy3g4AQ87fZxbVJjLeDgBDcMmDD8hy2U8 +nIDdxvjfc1PJYzycgJeszN5KeuYxHk5A6bljC8y08hgPJ6BPtN2xZ0PzGA8n +YMTTRRPax+UxHk7AR/bjHTZPy2M8nIDbx0+dnmCZx3g4ARNPXbs6cnke4+EE +/PnGfKObdR7j4QTkAy/5/96Vx3g48j0WB87vPZzHeDgBc0N18svP5jEeTsCE +7kHPFl/LYzycgM5tVk0J9/IYDyfguqyuXtM88xgPJ+DYl53LQt7lMR6OvP9I +2wvGEXmMhxNwb9L0ZwGJeYyHE/Ds5fdGE3PyGA8n4LeRRYZh5XmMhxPwrVbO +jRnNeYyHE3DKvvCtyWIe4+HIeDf6PFnWnsd4OAEz2+JnV3fNZzycQPpT7fkH +++czHk5A3R/Zwf/08hkPJ+Dv8T+c7o3OZzycgCpOwe/HTM5nPJyAelGaU1LM +8hkPJ6C59WxdmyX5jIcTcK2b+eZ/6/MZDyfgAuOZX1/syGc8nIC94y0aze3z +GQ8noK+Dw/DW0/mMhxNwgmF11O0r+YyHEzBj+/Pn09zzGQ9H5nNvVn7z83zG +wwnoNPjcint++YyHE/B2nwydueH5jIcT0O9S6vgfCfmMhxMwZte1q/7Z+YyH +E7Bu1aiRO8vzGQ8noIN7ZDf95nzGwwnYXWfZmEoxn/FwZL5vqtx81J7PeDjy +PTPqx2zsVsB4OAEHGXTuoqtRwHg4AU/InLQb9AsYDyfgUmHHDt8xBYyHE3Co +aVrT4SkFjIcTsN4u6uFsiwLGw5H3a19yTm1ZAePhBGxReLhXbSxgPJyA4395 +l3zYVcB4OAEP3bwx99LhAsbDkefFWtdsOFfAeDgBzRYbvjBxLWA8nIBl7785 +9/IoYDycgBf+NLjJXhYwHk7ADz1+fkz3L2A8nIAHly7o+S6qgPFw5O+Jtcdu +pRQwHk7APqk5fx3zCxgPJ+DqlcNeWlcXMB5OwF8pcuu50gLGwwl47J/puIlf +CxgPJ+A/1z799TsUMh5OwFuvnHv26VXIeDgBZeO8NTpoFzIeTsD3iafGfjUs +ZDycgJav1VfIjQsZD0eel3TifOPMQsbDkflKiIysXlDIeDgBb7ZWfy9fXch4 +ODK/pp9nlG0rZDwced/lqpfKDhQyHk5AyZ2BmRUnChkPR+bzz0z12suFjIcT +cPK8U2ub3QoZDydgVHb5fe5pIePhBFT12pHz3aeQ8XACbr407K9qWCHj4QT8 +r0JvmHpCIePhBNRO2DJjaHYh4+EELPgns5xUXsh4OLLf1DJnLWguZDycgBU9 +uhhuURYyHk7AouxXX4/+KWQ8nICdS94G3u5exHg4km9W6qx6N6CI8XACvhyi +WvnJoIjxcOR7snbMax1XxHg4Uh9uz3XvPr2I8XAChq30w7HzihgPJ+Ak/wfp +K1YWMR5OwA1N/QJObCliPBzJN4rBdi/3FTEejszPrthOWceKGA8nYM83n+1/ +XCxiPBxZvz4pgSNuFzEejoynrmni2idFjIcT0N7G0uvq2yLGwwl41enritiQ +IsbDkfc3scpSYhHj4Uh9aLDoa5RVxHg48v5vG3Vsy4oYDyfgkwWjuadNRYyH +E3Dx9IEXy8UixsMJWOzpX6b1p4jxcGS/L5Px67oXMx6O7M/U7MRHA4oZDydg +69R1K6sNihkPJ2DSZecnQ8cXMx5OwL7T1j3dO72Y8XAC7nHPWBk8r5jxcAIe +OF8d276ymPFwAn56crNswdZixsMJOMe4zMtjfzHj4QQMnxI9uOV4MePhSD61 +NjabcrmY8XAC1rRN7uPqVsx4OAGNumRcrnlazHg4AYMWNb+Y5FvMeDgBtxhd +3nIjrJjxcAJuC30Z15xQzHg4AWcGTY2bk1PMeDgB89wtrJ9VFDMejvQLTrHu +v1uKGQ8n4P15z3Zafy5mPJyAd/bX5sT+K2Y8HKnH+mey9dVKGA8nYJrlga2X +B5YwHo6M3z6fizLDEsbDkfVUMMp05cQSxsOR+riv+UjMrBLGwwlYWVgMI6xK +GA9H8t0mpfvdtSWMhyP5p9OEQyrbSxgPJ+C8k865hw6VMB6OrAdteXDd6RLG +wwn44PMarVVXSxgPR8Y3JeZX6r0SxsMJaNrez3rmyxLGw5HxCl8yOcS/hPFw +ZL8mbHcdE13CeDgBgx8vWOOdWsJ4OJKf7MV7QwpLGA9H6o/+svnPaksYD0f6 +C9P1+3QUJYyHEzBe/Pv78Y8SxsORegCTf+l0LmU8HOk34kTb5/1KGQ8noOfH +IZOG6pUyHk5Au+mR+9+OLmU8HFm/jT5dx08pZTycgIO1mzqHW5QyHk7Ak9KN +O2B5KePhBEzV+DskY1Mp4+HI/u8ZN23t3lLGw5H9xrm+bzxayng4Ui9urD5+ ++GIp4+EE/LK3x8OOt0sZD0f6i8gnaveelDIeTsB3G74XjPApZTycgN/re0qj +Q0sZDydgj/tpi5cnlDIejszP7oHtLdmljIcj9eif/Mu5ilLGwwn4JmiC6UBJ +KePhBLR2yYsO/lzKeDiSH01jLi1VKWM8nIAbR1TdlKuVMR6O1ANH/dJr2mWM +hxPwaKv9ZqMRZYyHE3DTvxi9DJMyxsORetssH3gAyhgPR/bvcfnCPovLGA8n +oP9c74CQ9WWMhyP5/Zbqgg07yxgPR37fUuyrcriM8XACzuq2ppvPuTLGwwm4 +cLzO2BXXyxgPR+pHzJhTvx+UMR5OQJ2dh8Q3r8sYD0fqu3vOjVVBZYyHE9Bq +68QlHeLKGA8nYP5dpwmBGWWMhxNQ7us5cVtpGePhBGzLP72iX1MZ4+HI+0q/ +30gSyxgPR/LZxd/1x/6UMR5OwPKqA0vH9ChnPBwZX35iXp1mOePhBBxpbbzz +wbByxsMJ6HF6Sc9lxuWMhxPw+Hq7+K6zyhkPR9bb++MXExaWMx5OQNvmJcvO +rC1nPBz5XoNiw6nbyxkPJ2CosVLl66FyxsMJ+NXDuT74TDnj4QQMuXo02eFa +OePhSL5QeL2d6FHOeDgBz2z6fLXtVTnj4cj3Dp23KzSgnPFwAq6cdW7W8dhy +xsOR51mfVZuRXs54OLJeag3z/xWXMx5OwMs1a66lNJQzHk7AAXpKkxtCOePh +SP3WKs1c1V7OeDgBz32XrBjcvYLxcAIaP1FNah5QwXg40v+4d9cNHFrBeDjS +jxiWbz49oYLxcAL2t1hxaf7MCsbDkX7u4fLrGgsrGA8n4PXzYY4NayoYD0f6 +zW5bpgfZVjAejuSPtpHV5w9VMB6O7L8gccOKMxWMhxPQ8eD9D0OvVTAeTsDP +f9vKvtyvYDwcqU+fm0vSXlUwHk5AE1erN08CKhgPR+pVeLulfWwF4+FIvdcr +C5ybXsF4OHL+0YmU6JRUMB5OwCPRxyVtDRWMhxPQIFrpnyFUMB5OwIG3VKZ5 +tVcwHo7M16ZzLme6VzIejvx9lbn31mpWMh6OrLfO47dNHFbJeDgBq/zVW9WM +KxkPR84DXKaRdGYl4+FIPj9gMix1YSXj4Uh+cNEr8FpbyXg4Up+s9ky6uL2S +8XAkP5+RzLexr2Q8HDkvuTv2MDtbyXg4ATWiGk8auFYyHk7Axqze9zo+qGQ8 +nIDLbTLXNnlVMh5OwB9kDFMDKxkPR9ZTxYps34+VjIcj631P2pmbGZWMhyPn +y4zhWQ6llYyHI/OpaR61tqmS8XCk3g5Ums1UVjIejpy3l3fdMfRvJePhSP+o +XK/bvWcV4+EETGmK2i9qVTEeTkBI/bO4zLCK8XACXpR8j4ufWMV4OAHXLz2N +vrOrGA8n4LCwjYvdF1UxHo6c9133bD+7vorxcGR8Px/ruGdnFePhBPyTum7k +qsNVjIcj51H3qtzZTlWMhyPf/6jk5+gbVYyHI/1fvqaX1qMqxsOR/rLlYHqn +N1WMhyPzO/39vrbgKsbDkfdpfHm5DqsYDyeg0k9vQG5WFePhyPlAvU07rryK +8XCkX1gmd/NvqWI8HKlni8uPP/tcxXg4AW/4umbdVKlmPByZ79jsG+d6VTMe +jqzf2/bhhwZVMx6O5OPGOfNtRlYzHo7M93i1WasmVTMejvRPT17cn2tWzXg4 +Mh89Py2durSa8XDkvKK5ctcY62rGwwmo1q1Hhd6easbDkXzeEPNW/Wg14+FI +ftz6X3aXi9WMhyP1XT5jwe9b1YyHI+Pl56kpPqlmPJyAP0+MndzsU814ONJ/ +NPu+rgirZjycgNcmN27NS6xmPByp/3tf7UzNrWY8HKlXxkEhsVXVjIcj/eeX +svkh0mrGw5H6V9Kg7/etmvFwAga8ezDtpWoN4+EE3KUSf/dh3xrGw5H8pGVo +5KZbw3g4AZPl139dGV3DeDgBd4zFTuen1DAeTsCMOc5zT1jWMB6O/Pw872j7 +FTWMhxOwXb3FZu+WGsbDCfjbuPc02/01jIcT0KKxcZb1iRrGw5H1PGyc3Wrn +GsbDkfF9GZC25G4N4+EE3JlqvGD+ixrGwwnYIfAoN+d9DePhBMyeZBE2PaqG +8XACzm/Y/GxSag3Q+9wiLvxzzWt8YQ3Q+9wi6l68njKqrgbofW4RbxqO6DCM +qwF6n1tEcZnuat1fNUDvc4s4a+SkWK2utUDvc4uo5TxuirpGLdD73CLW/85M +VDOoBXqfW8SM4vxNXcfXAr3PLWKtRgfVjjNqgd7nFlHHUSekfX4t0PvcIh63 +bdj3fXUt0PvcIv5U0xrVZlML9D63iCmrT7Uq7GqB3ucWcWxRlq/kdC3Q+9wi +xlfn7G24Wgv0PreIW87MGVF9vxbofW4R5zrWV5W+qgV6n1vEobMdrhcE1AK9 +zy2iQb+ACdmxtUDvc4vYoXxDWlp6LdD73CLqzzBenlhSC/Q+t4g37otpsY21 +QO9zizjw3/qxEWIt0PvcIu6bP/J00J9aoPe5RXQ+rVa+pnMd0PvcIsa8PSop +6FkH9D63iA+9/SXL1OuA3ucWsTXnblnWwDqg97lF7L1RNWqhfh3Q+9widt5Y +fSV1eB3Q+9wiHor7a2Extg7ofW4Rn6yaq0CTOqD3uUW8nXjLaea0OqD3uUXs +cyeyPRLqgN7nFvGNgaet6bw6oPe5RQwKGRoYtLgO6H1uEXds120at6oO6H1u +EYuNDv7x3VAH9D43eZ9q/tfwbXVA73OLKJ3gVPlyVx3Q+9wi2gf/eqZ7sA7o +fW4R7+TPMnvkWAf0PreIS0sNkzVO1QG9zy1ikuz6cLfzdUDvc4to1HfZjp5X +6oDe5xaxcZSVk8vNOqD3uUW8+nn90Q736oDe5xZR0nPd3DOP64De5xZRQ67P +f/OsA3qfW0QHyS07h7d1QO9zixgxySlF7l8H9D63iO2NmcqdoXVA/SYiJjQu +a6uNrgPqNyHr819zyoaEOqB+ExHVG/bsL0yrA+o3EfFv35D6xTl1QP0mIs5W +Ph+ZWlQH1G9Cxie5y0yorAPqNxEx8l2ybmR9HVC/CZlvr+BM49Y6oH4TETu6 ++Fn68nVA/SYi7unlcsXgax1Qv4mIZbl67o9+1wH1m4iIZkt39etYD9RvIqJN +GPfvard6oH4TEZs2lmxR6VMP1G8i4rY59ReOD6gH6jcRsWaFZDevUw/UbyLi +/g0JfXYOrQfqNxFR79/8E5Wj6oH6Tcj+SV/luWJCPVC/iYixOh9d0kzrgfpN +yPOnbRo9a2Y9UL+JiP7m3V2DzeuB+k1EvDD56euRC+uB+k3I+CkVJ54uqwfq +NxHxvnFh535r64H6TUTsd2OElfOmeqB+ExFfnomz/GlbD9RvImLd+sNfDuyt +B+o3EbFhjfb6ukP1QP0mIs644mq36lg9UL+JiL1qn05OPVMP1G9C4vEjA6Ze +qgfqNxHx3jCVUr9r9UD9JiJ6Lfn7frBbPVC/iYjKgT8m3PKoB+o3EbEtL2nL +v6f1QP0mIra8mDLV3qseqN+EvN8to5g633qgfhMRC5wPtSwPrAfqNxHRY1Ft +ZHx4PVC/CVlvzksnGn+sB+o3ETE16u7SF0n1QP0m5PetLvTpnVEP1G8iouoZ +4fCZvHqgfhOSDw8FH5eV1AP1m5D9P+LF4PXV9UD9JiIeO++6LaWxHqjfRMSJ +sxeam8jqgfpNRBzxNyD+uVgP1G8i4q3Z7hU9vtcD9ZuIqLI57daxP/VA/SYi +nvMYUlWv2gDUbyLi6a6OCYt7NAD1m4gof3d3VkTfBqB+ExGXbF21zECrAajf +hOSfqqtfXXUbgPpNSD2J6zLhy7AGoH4TEb86ef7aNLoBqN9ExHmnjNalGDcA +9ZuIeFdyxGLclAagfhMRT9avibs3qwGo30TEozYvUn9bNAD1m5D1McfQ2taq +AajfRMQe0yJOfVreANRvQsajcbTh+HUNQP0mIr6IXLHWfXMDUL+JiIHqf/v8 +2N4A1G8iYsnojss37WsA6jch3zPIeEC8fQNQvwnZ31Ubtgw73gDUb0LyzYIV +o13ONgD1m4hYqNN6vPVSA1C/iYhmgjDXyrUBqN9ExNWzp7u/c2sA6jcR8dqO +h1vUHjQA9ZuQ/Pys8s2BZw1A/Sbk/boU78vyagDqNyHz+XSN7xi/BqB+ExEr +Z4y3cQ1sAOo3Ifv79YQ7reENQP0mpB9wHD113scGoH4TETXHCKu9khqA+k1I +PR+9tOVfegNQv4mIu4O15NZ5DUD9JiJatujYRpQ0APWbiMh9Gm2lXt0A1G9C +6t9sjVcHGhuA+k1EdFHz2ZMqbQDqNxFRrTzsqb7YANRvIuLzMb1mnfjWANRv +QvbTgbML8tobgPpNyPe2FH8cqdoI1G8ionFy85Nz3RuB+k3I/PQ7U1/UpxGo +34Ss/4+7b47WbATqNxFxlcfx506DG4H6TUQctuRU/6KhjUD9JiIOPzJbPtKo +EajfRMRRTncNT09oBOo3EfFBkmVctmkjUL8J6RdODIvQn9kI1G8iYlF4ey8H +80agfhOyX08+T0hY0AjUbyKi05OSvH7LGoH6TUh9qzo83WZNI1C/CamXVXP+ +BFg3AvWbiPhlcO+Bf20agfpNRPTu6HNt0Z5GoH4TEZ8VVi5/aNcI1G8i4pTI +YweajjQC9ZuI+Ct6buWE041A/SYivv004OmpC41A/SYiWgSG+CdfaQTqNyH1 +eJiid+9bjUD9JiIOCLset/ZeI1C/CRnvqi0xzx83AvWbkP5JObFTi2cjUL8J +yd8T8h+MfdsI1G9C9ndIt6OH/RuB+k1EDHF6/TgipBGo34TU86P2XdujGoH6 +TUg93TQ1GuIbgfpNRNxamRZ0MbURqN+EPO+cTJGc1QjUb0Lqbej+fV0KG4H6 +TUTUztU0ml/eCNRvQupVh4iRV2obgfpNSD7ZobUztbkRqN9ERCtlx7pOikag +fhOy31wsH5i3NQL1m4j4p+nNNacfjUD9JiS/BX4Ji/nbCNRvIqLg8GPQ905N +QP0mIvZ0PxMxsWcTUL8J+V7bRa77+zUB9ZuQfll9wt3XWk1A/Sakf4lSZlfp +NgH1m4h4YunmmRqGTUD9JiJWB8yoshrdBNRvImL2s7Xvzxs3AfWbiOgZe/xd +2OQmoH4TES/d21MmndkE1G9CvudO20RdiyagfhPSn6rWhi9b2ATUb0Lyhf73 +nReWNQH1m5D5+K06O3hNE1C/CTkfeOTMarBuAuo3Ifs5R39HP9smoH4TEV/t +jQ+APU1A/SYirut7Uv+gXRNQvwnZ38vVgx8daQLqNxHx4uPVu1JONQH1m4j4 +eW63GeL5JqB+E9Jv3Pw6ftCVJqB+E9Jv326aY3GzCajfRMSc5c8O7XdvAuo3 +EbFLtCTq7qMmoH4TEd0eXteLetEE1G9C+qXny5/WeDcB9ZuImLb970TV901A +/SYkH2/fVDMiuAmo34TUTxUTz4WRTUD9JqR/Ozfv2P64JqB+ExEn9LTZeiO5 +CajfRMRO4Qs2vs9oAuo3EbH70eSdmXlNQP0mZP0Oe3JeWtIE1G9C9vsFd98u +1U1A/SYiHjE9Uju0sQmo34T0BxmqBrOlTUD9JiIqgrodXC80AfWbkPOZ+cJE +h69NQP0mIu6MuK7v+rsJqN+E1Lv/PC697NAM1G8i4gEcz0V0bQbqNxExbr6O +dXavZqB+ExEvexpk1fdvBuo3If3npa7wVbsZqN+E5Ee3u8FdhzQD9ZuQ/n7x +1eHaI5qB+k3I/jL84GE0thmo30REfl96p2kmzUD9JuR8t+6F3fypzUD9JmR/ +9/hasHp2M1C/iYjpV24b21g2A/WbiLgh9j+XA1bNQP0mpJ6felNwbHkzUL8J +2b+n32ucX9sM1G8i4rstPRZd3dQM1G9CzsPvLh+5bdsM1G8i4uOc2tv39zQD +9ZuQflu37slju2agfhNSH/MXPnh+pBmo34TMT5/68y9PNQP1m4h4pXbvOq/z +zUD9JmR/mfhov3ZpBuo3If1v3dZUrxvNQP0mIq7xWb3p1d1moH4T0i8aW1W8 +eNgM1G8i4sxuneDp82agfhMRo7zmXnvwuhmo30TE89sKIu74NQP1m5B6cOlg +umtgM1C/Cdl/UytiL4Y3A/WbkPhepdvJ2GagfhNynnOfZHkosRmo34Tk/4E+ +xds/NQP1m4i4XvnDYl1OM1C/iYiuedKbC4uagfpNSH5YOSt4ekUzUL+JiIva +wwJG1zUD9ZuQfDRN01m7pRmo34ScH7YNmdhV0QzUb0Ke7+8R/FnZDNRvQvr/ +eTO61X5vBuo3EXH59Dzj9D/NQP0mIuY5aY0JVm0B6jchf/9bwddH3VuA+k1I +v7c7+fb5Pi1A/SYi+l3y/75zQAtQv4mI5s3LxlrptAD1m5B+vs/BseMMWoD6 +TUh9sEv91ntkC1C/Cfn99bquwtgWoH4TER17/tecY9IC1G8i4siAT138p7YA +9ZuQ9bLmBXdtdgtQvwk533w//nCnZQtQvwmZn9P9u8yxagHqNyH9i7+Jqfby +FqB+E9L/zfUwVK5pAeo3EfFM89+CNOsWoH4T8nytcfDMpgWo34T0y8vqdzvs +bgHqNyH5iKtcZHmwBajfRMQO06qlAxxbgPpNyPra6T2n5UQLUL8JOd+5fVka +dq4FqN+E5KcE1/6XLrcA9ZuQn5eMvLnMtQWo34T0u/XXowe5tQD1m5D+/six +x033W4D6TUS8veLtGP8nLUD9JmQ/atQcOPKyBajfhKwfi4pN09+2APWbkOcv +s/71930LUL8J6dfuD7FMDG4B6jch691aOvVyZAtQvwnpx4uOlFrGtQD1m5B8 +q3NRr1NyC1C/CamnM/LUEtNbgPpNyPlkuN7Lc7ktQP0mpF5Ej66YVtwC1G9C ++pWk0PDPFS1A/SYiTv92yvR9XQtQvwk5X3yZsWZ7SwtQvwlZr4vDNbQVLUD9 +JuQ8cNTncLayBajfhPSDRXkHz39vAeo3ETEhiutk8qcFqN+E1Bvbj5MbOkqA ++k1EHP/9T4c73SRA/SbkfH7j4M7ZvSVA/SYijhuWsVXWXwLUb0LqrU++cE9b +AtRvQvqR72Yas/UlQP0mJN8WlWU2G0qA+k3+//8hqwbcGC0B6jch9fezvWBs +LAHqNyH9lw23vshUAtRvImJ5qMvyYzMkQP0m5Ly6+leRppkEqN9ERMN7nZvD +5kmA+k3Ifgve6bRqsQSo34Tk4+rSV8IKCVC/iYhN47WXuq6TAPWbkPn+0OI0 +bLMEqN9ExA8r/0yOsZUA9ZuQ82y79uEVeyRA/Sak/p/9OaHloASo34ScZ+6u +P3TSUQLUb0L2R+vn8T1PSoD6Tcj8D7x68Mk5CVC/CYl9841GX5YA9ZuQ81a/ +m7YR1yRA/SYiJoYc07S4LQHqNyH/3mhlmX1PAtRvQvqd2hTZmscSoH4Tsv5L +vLpVv5AA9ZuQfr7F86mttwSo34Ss/0EnvVv8JED9JqRfOdBqsDdQAtRvQupb +ql9fWZgEqN9ExOZ/p+33xkiA+k3+//e05kjiJUD9JiJuHjT93PZUCVC/Cdlv +a58b1WRKgPpNyHlypIrZunwJUL8J6X/66STllEiA+k3I+Ln4fbCskgD1m4i4 +WLq3Y1S9BKjfRMSA6xofx0gkQP0mpH8L2Fn9VCEB6jch+cV5oK1amwSo34Tk +lwmtC099lwD1m5Dzwcfb7i3tEqB+ExHnmmTMWtGxFajfhNSvcyvnR3dtBeo3 +EVH3UuMHg16tQP0mpD9Xn+p4Rb0VqN+E9DNCD3e5VitQvwnpD7M0ey3VbQXq +NyHfs1iz7sPQVqB+E5LPOyX36D2qFajfhKzHExXX949rBeo3IftVX8M2zaQV +qN+EnP+3jrlhMLUVqN+EnJfcKrqdmtUK1G9C+vf2ypI881agfhNyvq2Rfhm+ +oBWo34T0N9vibE4uaQXqNxHxpmf/wZkrW4H6TUi9+uA1VGd9K1C/iYhDXEcd +2bu5FajfhPTrIzb1CbdtBeo3If3Q7h9tKntagfpNSH0wjNC1OtgK1G8ioo/h +ylt3DrcC9ZuIeGrYJYvS461A/SakP8tWnalzthWo30TE0sSbxzZfbAXqNxHR +916F8tmVVqB+E3K+SPN6X3WjFajfhJzfCl95a99tBeo3IfnA4E7l6getQP0m +Ilr3HrXk1tNWoH4TUp9kQ36mvGwF6jcRsduvSTW/37QC9ZuQ+uyg+3vC+1ag +fhMRv592X2ob1ArUbyKiu+ny0rvhrUD9JmS9BKjdS4hpBeo3EXE1d+ESH98K +1G8i4ifJntfaqa1A/SYiurie+mqe2QrUb0L6ibBDR/fltQL1m5B+dGaHYW7F +rUD9JiI+lUjaQypagfpNSP12rOpQUtsK1G9C+ge3B8bfmlqB+k1If9mUf0VD +1grUbyLi9e6WXSYKrUD9JiK+v/nGb9GXVqB+ExJ/CXLc8bMVqN+EjE+43rbT +f1uB+k1EHGzubeemKgXqNxEx/MefZ17dpED9JmT+TeoVob2kQP0mpJ+b1XVj +sroUqN9ExDLrUU35WlKgfhMRTVLbr1UPlgL1m5D66DfTSmIgBeo3If37OM9h +wggpUL8J2c97ajW+jpEC9ZuQ88j+4ME/jaVA/SYiHpqZP+23qRSo34Ssz7LP ++35PlwL1m4g42qTgw0+QAvWbkPOsiWGnb5ZSoH4TEZOiX+4VF0qB+k3I/vLs +WNO6VArUb0LWW9DfrbWrpED9JiKqhyzhC9dLgfpNyHrf9vZK6mYpUL8JqR8H +kkZH2EqB+k3I8y5sKPHeLQXqNxFxl5nBtbsHpED9JmT+5xaYnXWQAvWbkH5b +z/DfzmNSoH4T0n+sycHFp6VA/SZkPe67enHieSlQvwnJP6Xd52k4S4H6Tcj+ +UevR/es1KVC/iYgrHedkFN6SAvWbkPpzYMfVQHcpUL8J+XurxplffygF6jch ++eHUym/bn0mB+k1Ifhp73Wv6KylQv4mIyz7cWtDnrRSo34ScN2dpNNW/kwL1 +m5Dx7ld4JDhQCtRvQr5v/cWf58OkQP0mIn6cWW6/JFoK1G8iYl3drXItlAL1 +m4hoarPRpC5JCtRvQv69sO3km09SoH4TUm8W9AnYly0F6jch+T1jV97YAilQ +v4mIFpsCqxQlUqB+E/K8P68K/CqlQP0mpJ55fw3cVScF6jch47Fw67EhzVKg +fhMRi0o8DMqkUqB+E1KfTFcF3eSlQP0mImpYLTI0+ywF6jch57E205Nt36VA +/SZkfLpnfHjZLgXqNxFx6Pa4pKUdZED9JqQ/zEsP/9lZBtRvQvo3PS+XVz1k +QP0mIg7q38FkYR8ZUL8JqR+OjyO4/jKgfhNSD/4O13QbKAPqNyH779DGxca6 +MqB+ExHXOvHrcwxkQP0mJB/VP5y+b4QMqN9ExE3z+oqqY2RA/Sbkfax7nngy +QQbUb0J+PnNOvvEkGVC/CcmvM3f/SpoqA+o3EXHgStO21bNkQP0mpL/7YBPR +aCYD6jch/SL/aoH9PBlQv4mIDwICXv+ykgH1m5D+3HV2xsVlMqB+ExF7DegS +2W21DKjfhPR/zbEHbqyXAfWbkPP+LW1Jr80yoH4TEc96Fgy/aSMD6jchv//u ++cgeu2RA/Sbke2ZMkl/eJwPqNxHRuWbhoT92MqB+E/L9eh7Bjo4yoH4TEQsv +54ZIjsuA+k1If/L4vcOGMzKgfhNSHySNsk/nZUD9JqSfUY7Rm+wsA+o3Ifkd +ZvR4eU0G1G9C+oP1n/y735IB9ZuQ8zZe62p/VwbUb0L2Y7upZpGHDKjfhPSL +dy+VmT6RAfWbiPiom9GS+y9kQP0mpB9qrDrc5iUD6jcREXzXLFjiIwPqNyH1 +sW5hlvd7GVC/iYiyvvZ/fgfKgPpNRNROPFexLEwG1G9C+qezY21fRsmA+k1E +jM6a4C5+lAH1m4hYPwMOzEqUAfWbkP5qXT/FlVQZUL8J+XsfNvXLy5AB9ZuQ +8c8vrRiQKwPqNyH9x/Jp8zcUyoD6TUT0Spyy5nGpDKjfhKzf2Guq5ZUyoH4T +Um9LmpcPqJMB9ZuIOMW/48xlTTKgfhMy/9UeSS6tMqB+ExF/dN3QEqOQAfWb +kPNB3r9XvCgD6jcRMbna7IfeVxlQvwn5++XFTYt/yoD6TUS87HB494k/MqB+ +E7Ie1uace9lBDtRvQs4PMz2NPnWWA/WbkH64+vkuRXc5UL+JiHpfz0/q3VsO +1G8i4kbLP3fGqcuB+k1EPOaWcdZKUw7Ub0LyodeLbzsGyYH6Tch51eq/32f0 +5ED9JiIWbJzpeneoHKjfRMT57xxeeY+QA/WbkPyi7WoePloO1G9C+qWrM/cm +j5cD9ZuQuA4G5JnIgfpNSP/YZ9Hc8slyoH4Tku+6DfhRO10O1G8i4r3U1WOb +ZsuB+k1I/VqQUNdsLgfqNyHnsXv9NJrnyYH6TUQcG9GeXG8lB+o3If1w8rS2 +yqVyoH4T0g8VOz0uXCkH6jch9ULNJfbTWjlQvwmpL26q62I2yoH6Tcj33wnY +826LHKjfhOSr+WbiQ1s5UL+JiA+FQ4pLu+RA/SbkvJvfZn1gnxyo34TU++kX +Zq20kwP1m4g48mLBbdPDcqB+EzJ+XZ+v0DwmB+o3Id838cmFLyflQP0mpL+y +v6CXd1YO1G8iouesbiN9L8iB+k1EVNWRPHJylgP1m5B66l58dNU1OVC/Cal3 +M69HGd6UA/WbiCiE4PbPbnKgfhMRV70c7Yj35ED9JuR8Fn6p5epDOVC/CXnf +wIuxy57KgfpNyP5aVfu5v6ccqN+E9F8OK64Xe8mB+k3IfLS4Xbj3Vg7UbyKi +t8ea4uXv5ED9JqTfPbLOuUeAHKjfhLzfqaVuCcFyoH4TUv+C274cDZcD9ZuI +6DSuPWhktByo30TEbT2HpZZ8lAP1m5Dz6DF940sJcqB+ExFvefvyY1PkQP0m +ZD9UnlQp+iQH6jcRUbF7wY4TWXKgfhPSL73JHjgoTw7Ub0K+XyVxcFShHKjf +RMSf8mq7NaVyoH4T0g/Jy3sJFXKgfhMRT9+2++FcIwfqNxFx6rHV4wY1yIH6 +TUS0XWT57l2zHKjfhPSvn77ZT5fKgfpNRFSGGZ5NVciB+k1I/y91yVkmyoH6 +TUg/Pb9ke8lnOVC/iYg6yZkzNn6XA/WbkHpnM2RN5S85UL8JqW/GNz9s+CsH +6jch+XxF5rziDgqgfhMRd3xzH7y0swKo34ScJzd7jk/upgDqNxFxd6nv6Slq +CqB+ExGPJ+3t6NNHAdRvQs5vdx4lDOivAOo3EdEhqS3ivKYCqN9ERGPp+KZW +bQVQvwlZz95d5y7VVQD1m4io8m5yeeAQBVC/CTkPxB190ddQAdRvIqJ9+DmP +gyMVQP0mZH2c7PkxbbQCqN+EnNc7lvTTH68A6jcRcZr1Q3fHiQqgfhMRS16p +maVMUgD1m5D83Z0bqDFVAdRvImJO/mftbTMUQP0mJF+srDT3na0A6jch+fbz +5ruCmQKo34Q832Ry1//mKoD6TUSc9FzP03GBAqjfhJw3KvOtgxYpgPpNRLzi +2WOaYqkCqN+ErOfoS5MNVyqA+k1EtOz2Y+WGNQqgfhMRtzsNvXl9vQKo30TE +3kZxjdHWCqB+E7Ieh7mskWxRAPWbiPifl2l9X1sFUL+JiBfEK85TdiqA+k1I +Pt831cx6jwKo30TECOeO/c/sVwD1m5B6l/Xy5yM7BVC/CelH6/M/hzoogPpN +RJx4aqlK9hEFUL+JiPzOQt2G4wqgfhOSv68NXvTllAKo30TErg3NLqrnFED9 +JiRfX5Tn9rmgAOo3ETHXQ2qofVnBeDgl/rB5eln/ioLxcErcAvHcUFcF4+GU ++OxVv63DbioYD6fEPK0lpUPcFIyHU2K+xYQ1Ou4KxsMp8VDTkVJ1DwXj4ZT4 +Pq9iU9dHCsbDKfHzD92mH08UjIdT4prdv/dLnisYD6fEZDD+XPBSwXg4JS6J +P3ki5rWC8XBKXGF2/5fnWwXj4ZSYajrnxCU/BePhlHhwzFTR1l/BeDgl9smc +bgOBCsbDKTGmrHPGwBAF4+GUqDJpnZEQpmA8nBJHlynOJkYqGA+nRKeqy6l3 +YxSMh1PiqSPVHbbFKRgPp8TuYuj40QkKxsMpMSMmfZEyScF4OCUusqlYF5aq +YDycEs2ueK84lq5gPJwSs5TSKZOyFIyHU2LQxV3dhRwF4+GU+HRaRfKbfAXj +4ZS4flKnvdZFCsbDKVEzwOuLWqmC8XBK9O50YldMuYLxcEoM/jDn464qBePh +lNhj3sfvvWsVjIdTYtlFf43QegXj4ZTYNDNffW2TgvFwSizY3yp+aVEwHk6J +O0eG+LtJFYyHU2LsW+V8I4WC8XBKvD93R1wcr2A8nBJvnMrss0KpYDycEjfV +S6bXfVYwHk6JQyefmn3gm4LxcOR711pof/2hYDycEveZd8o49VvBeDiyXnTO +LfvzR8F4OCW6Dj/sc0aFYzycEmvTXhb+6MgxHk6J2jdych06c4yHU6KRfvQT +SVeO8XBKdFwxYvLGHhzj4ZTYW6v4Wboax3g4Mr9Xr+ab9uEYD0fmp65j3vN+ +HOPhlJhzocvDThoc4+GUKIprRu3W5BgPp0S/shCn1IEc4+GUWNOt8elQHY7x +cEr8e+zNudO6HOPhlLhydsKwfH2O8XBKnOH5zXXYUI7xcEo826lHyGFDjvFw +Sjz/M+5x3AiO8XBKfDSpfE5XI47xcGT/3B38evEYjvFwZHw1lyTdGscxHk6J +ltz4Z9kTOMbDKbHrPhfj7iYc4+GU+L3bwDNmkzjGwykx3M7n3LHJHOPhlJi2 +p32yz1SO8XBkPXNlXiXTOcbDKbFIVzW5wyyO8XBKfG0+x8MIOMbDKfF37hrt +pWYc4+GUWL29++JDFhzj4ch4pQ4Zd3Mux3g4Je5V2MS8nc8xHo6s5893v8Yt +5BgPp8RIw1MVBYs4xsMpUe979Z7GJRzj4ZQo6en8QlzGMR6OzO/EyWd/reAY +D6fEXjaRKh1Wc4yHU6J1UsGoTms5xsMp8dr7xV9U13OMh1Oi53PORmUjx3g4 +JdotPGv/05pjPJwSs12T9ITNHOPhlPjV7ur++q0c4+GUmGh8b02eDcd4ODL+ +0wMqY7ZzjIdTYumwV+1eOznGw5HxDDeNuLqbYzycEs/ITXrs28sxHk6JJ2W2 +X+bv5xgPp0SF2u0jQw9yjIdT4seq89d+2nGMhyP/rtHRJNueYzycEitfVh14 +dphjPJwSX72smLrvCMd4ODIeO1Lv/XeMYzycEo8d2Of08zjHeDglqh668z3m +JMd4ODK+q7u0nzrNMR6O5JPJ965PPssxHk6Js3d18ebPcYyHU6LGzlHzvc5z +jIcj+0GWYr/6Isd4OCVeqPcb0vEyx3g4JXbZ77XmvTPHeDglHq463XvVFY7x +cOR74lWsvl3lGA+nxFHlf7t4uHKMh1Pi7sEWliY3OMbDKdEg8rFK5k2O8XBK +/NC3cPq22xzj4ZR4JSFKqXTjGA9H9tOzwYbn73KMh1Oib0B6Xo97HOPhlHh1 ++u1vd+5zjIcj+Spqxr0BDzjGwykxs+6Zz/2HHOPhyM8/u2yi/phjPBz5Hk00 +vv6EYzycEnu+H/xK5RnHeDiynn4ccD78nGM8HKmPBU7ldS84xsORfLZg+LNF +LznGwylxvve43OBXHOPhSL6w32un+ZpjPBypbwd8Tx7z5hgPp8S7D+KEgjcc +4+GUOGDcqdwxPhzj4ZSo4+2vdcGXYzycEn+Gjk4r8OMYD0f6h2VJVUPec4yH +U2KL98J1+/05xsOR/DfCzTT4A8d4OLJ/z+899jWAYzycEptf+Aw0DeIYD6fE +iMAhOg7BHOPhyPvoe5/xDeEYD0ee59F9Zk0ox3g4kj86DV3fJ5xjPBzpP+4n +5s6M4BgPR9Z3JL7cFckxHo7Uw8F81o0ojvFwJN+EDl0eEM0xHk6J+0NMRufE +cIyHU+I9t88bpLEc4+HIeug8o0YljmM8nBIf96kN00CO8XBKtBHCag3jOcbD +KbHByGPjxASO8XBKvH117fDpiRzj4Uj91s6ZDUkc4+FIPjAu8p6TzDEejtSz +3XM2zE7hGA9H9tcT2bqpqRzj4ZS47cv/mLjvuBr/93HgKERGSDISKSNUihRH +dlKyQiIqe29RdggJSWUV2kk0tOSuqz21997znPtw32Wv7/V5nMvv/Xv/dz2q +c879Gtfwfj5O8AuNDJY8HJ7vWgvtiZkseTgO7g4okJXLYsnDcRBwqm36T4wl +Ho6DDTa295uyWfJw+PpbLOan57Dk4fB8zdk/NeADSx6Og0GDL5s75LLk4ThY +ufJsxpY8ljwcB2pPZpzRzGfJw3Gw9MeZ3b0KWPJwHHBv5tzPxVji4Ti4Y7T4 +u0chSx6Ogy4fW0/LIpY8HJ6X1nC78cUseTgO2Gv592owlng4DiYvDqn1KGHJ +w+F5maq2w7SUJQ+H58tt1LheZSx5OKxPWjYDQzGWeDjsX/n66VvKWfJwHMhM +PHGpdwVLHg7/fu0XKX+MJR6Ogy97TGKXVbLk4bAfebj2aQPGEg+H9WJf66uz +VSx5OA56h3Z2DKpmycNx8Pv+gnVeGEs8HAcznJKap9Ww5OE4mLVj0/MIjCUe +jgPVubUOc2tZ8nAcSNvNvROLscTDcTBOaBg/p44lD4f3248dFoqxxMPh/soN +cVKtZ8nDYb8rdlL1wFji4TgoNVvRINXAkofD9QiezBzGWOLhOFjydlBMMcYS +D4f987Xa/DmNLHk47OedLvR1w1ji4bA/vV24SYyxxMNxIOebkLysiSUPh/dj +ubrRQ4wlHo6Dawt/tLZiLPFwHOyJkX+q1cySh+Ng1wzrPWcwlng4DlLOpBjG +YSzxcLg+uWrzv2Ms8XB4/+OOLJndwpKHw/yg7rj1IMYSD8fB6h0brj/DWOLh +sN72SU7IxVji4TgIHBjb7zvGEg/HwYhSZcsJrSx5OA56xjTHL8VY4uE4mNkt +VN+JscTDcaBUrOpzEWOJh+NA8d5ZFQ+MJR4OP8+WouCXGEs8HAejspXmvcNY +4uE4yCwwzE/FWOLhcB5L0d//AWOJh8P7btHcrwBjiYfj4NRLrcB8jCUeDuup +xtCVORhLPBwHfdIOCZMxlng4nF9ez70TjbHEw3Hw2nn7zACMJR6Og+b9Bdn3 +MZZ4OA4sIs7vssNY4uE40NIz+26JscTDcaCrs+ymAGOJh8P8uXiRnCLGEg/H +wdm9ei4fcT0lHo6DucPG90/BWOLhOJg+vPmkK8YSD4f1PsCudBvGEg+H9/t4 +iboaxhIPh/3+lbYTHbjfEg+H59/UNyQQY4mH4+B59J+yHRhLPBz2rwPYT6Mx +lng4zD+/d3z/gOdN4uE4mBO98eM5jCUejgNhUlzhZIwlHg7vo9LN57l4niUe +Dp9vVqT5MYwlHg774dI534dgLPFwuL9rpK++xPsi8XDYL20Y1bMQY4mHw3zQ +b69JEd4/iYfjwPG78IY1xhIPx0GY9vOgLry/Eg+H9XuyfcgxjCUejoOa97au +PN5/iYfjQNnknsUxjCUeDvPP84ReXZg/JB4O5+Gvfx2tMJZ4OOzn+q4RFmD+ +kXg4vJ8fA2cYYCzxcNj/rulvHIj5S+LhONCce2DJIIwlHg73a0ae/GHMdxIP +x8Hw6bMSszA/Sjwcrk/g/aUqGEs8HAdGzmIvW8yvEg+H50+wIi8d86/Ew3EQ +5/a0eDjGEg+Hr9cpDNmC+Vvi4bA/kdG3eIb5XeLhOFgjuFZei/lf4uE4MDTK +nzwaY4mHw/07OM5wLdYPiYfD/nvHkdlXsb5IPBzWl12Zn8Kx/kg8HNaXKRr2 +NVivJB4OX6/ON78PxhIPh/VunsYnVaxvEg+H/drBoqolWP8kHg7nVxWPu5ZY +HyUejoNe9y4NPIH1U+LhOPhh4256FeurxMNhfsut2+SC9Vfi4TjQv75T7THW +a4mHw/4Jpsd6YT2XeDisN7qLhjzDei/xcNjPTAme/AT7AYmH4+CY2clertgv +SDwcrm+vgCfXsZ+QeDgOnv1c1XMK+w2Jh8P85X5ykDX2IxIPh+sbpNZkiP2K +xMNhv/Pg6Klp2M9IPBw+/8Ltyf2x35F4OA68x/7NbsR+SOLhsB5Msr4Tg/2S +xMPh/F55T+YW9lMSD8fBwlVe8zdhvyXxcNhPjnykpoz9mMTDYX7b7JLWjP2b +xMNhP5npMcYviiUPh+fDh5lkjf2exMPhPBkxomEU9oMSD8fBGbM3q3Owf5R4 +OOz/Dz8/Yh/Gkofj4MHZHwZq2G9KPBwHhy4WJ+RgfyrxcPj5FBeyh7F/lXg4 +DoYdX58l+4olD4f3w3DSen/sfyUejgPt9gzHedgfSzwc7tdc8z052D9LPBz2 +r6EdH82xv5Z4OOyXt7ipNPiy5OE4OFl94vdO7M8lHg7Xd7/XpeYXLHk4/HnR +zKDt2N9LPByuzwUD21KcByQeDj///q6OFZ4sebj/1UfTv1E4T0g8HAfmXx1i +lR+z5OE4GOoeNOI6ziMSD8eB3sHqoW04r0g8HPZ35/RDluA8I/FwOB/vaGx/ +gvOOxMNx4LuFTxLjPCTxcP/79yNnfQOclyQejoN5l/JNb+E8JfFwWP/m1v7O +v82Sh+MgfXS50XCcxyQeDvul8/Uz1+K8JvFw2F+Yjw655ciSh+MgaHFQcgLO +fxIPx8HOM2HHPuJ8KPFwHCiUb4oae4UlD8fB4ebEe0svseThsL9Pkf+7B+dP +iYfjYNFyuz6OOJ9KPBzW16qRj1/g/CrxcBxcfTY8ORrnW4mHw3qw/tH5zNMs +eTicx6wLMkpPsuThcD6ubvGpO86Sh+Ngx5Veg5uPsuThML/Hre7bjPO4xMNx +4PDop1MdzusSD8fB2hodr1Kc5yUejoMkTnV+Js77Eg/HQT7/a2f0bpY8HM4P +gV0KL3ay5OE4cLmpZHbdhiUPx4EVkzZqjxVLHg77/bHyu5ZsY8nD4TyhOW/u +mK0seTjMN3MOuLCbWfJwmP/GlB9hNrHk4TDfX40sdNzAkofD85OuG7tqPUse +DvvVT64Th6xlycNhvUj9MyjHlCUPx8GE+DC7qyYseTgOQmUb9sxdyZKHw/V4 +klrcZsiSh+Ng2eCHcH8ZSx4O9yfJZZreEpY8HJ6XAqFc1UKWPByer6HNp84s +YMnDcRA1OGKD3HyWPBze57HuoX56LHk4zG/Lsq7N1mXJw2F+2+hcDDoseTgO +BlaNe2o4iyUPh/dvfFxVpgZLHu5/85jffcMZLHk4rK+NYwGmseThMP+m7tgx +ewpLHo6Dr1rv7f1UWfJweH+X7usvp8KSh8P8WP+6/xllljwcB3YhBfaV41jy +cBy8HKtgPXcMSx4O3293arTLKJY83P/qqcrFVnmWPBwHj7aejZoznCUPh/fh +wBDLK0NZ8nD4+cZqHM8cxJKH44D3mtgzcCBLHg7rhVinxag/Sx4O168ybJGD +NEsejoPGry0yMb1Z8nC4PlFKgvY/IvJwHOSZvqwc/ktEHg7rbV5Zg/53EXk4 +7H9Gf1lr+UVEHg7Xu9F8mn23iDwczvOhpvsffBKRh8P60jJNLogVkYfjQCdy +oVJsl4g8HN636c33UtpF5OGwP9Pcvze7RUQejoOOC1N8PzSKyMNx8Df01JLs +OhF5OMxv+kHLUqpF5OHw/O8b8zKmQkQeDt//oOrRwFIReTi876smeLgWicjD +YX7t2adkly8iD4f92Iwt0ls/iMjDcfD5+VpjvSwReTjM15UvxXLpIvJwWM9W +pH5qSRaRh8P1WfB3TSSIyMNh//spTvYSIyIPh/3dhc2Tlr8TkYfD/N2u79Ev +WkQejoMsxeSdKREi8nAcFLZsuH0uVEQeDuf5/uaDNENE5OHwPE7VaKsNEpGH +w3moapHiTX8ReTjMT0+/eM/0EZGH42BTRYxD3jMReTjMxyL23YGnIvJwHPya +KLWizyMReTichyJ3THN3E5GH48A5/6z1pPsi8nAcLH4QIQy5IyIPx0H/4Rez +ZzmJyMNxIDAz/hXuKCIPh+fP7MblmVdF5OFwPusfsMnvkog8HL6/heJFhfMi +8nA4r2mu+3L1rIg8HJ73XakJolMi8nAcXC5pK1t7XEQeDvPDeg2DsMMi8nB4 +HsOG9Qw8ICIPx0EwyHyz3iMiD4f9ianzyogdIvJwHIxUV+j4s11EHg7vo9rE +YsOtIvJwWN9HTBvoZC4iD4f3LTPYOdNMRB6Og3cTVbf0WSsiD8fB7j8jTsxd +JSIPh/Oj4Y/ivUYi8nDYv7WbX3qwTEQeDp+35s3JuEUi8nA4/6g6hNUIROTh +cB7O2zjnh56IPByev543vUfMEZGHw/ofP2LklFki8nAceG6TPao7U0QeDu/z +2PFDF08TkYfDvzct7TFUE5GHw35n63tlo4ki8nDYvydtvbdMSUQejoMjg5cu +FYwWkYfDfN76Z57mSBF5OJx/+423VRomIg+H9W2xVk+/wSLycBxEX0uPFMqI +yMPhepediMmRFpGH4+C2eebPgF4i8nDYn6/YeuXCLyF5OA5KeyetWPNNSB4O +82lvl9XjeoTk4fDnjVbuLR+F5OE4YLZnjQ0SCsnDcTDRfE/jnnYheTjsF3IL +Wyc0C8nD4TzSGTi1rE5IHg7n0W/XXzpWCcnDYf0+MnCfdpmQPBzeX2HJzspC +IXk4Du7PdvQ8lyskD4f1YEHisNFZQvJw2M+oTk4JTxWSh/vfPGYZtjxRSB4O +582P/StL3gvJw+F88SNfYBUjJA/HwRsdy+qWCCF5OA5WvtoYufuNkDwcBwl+ +ezIaXwrJw2G+eDRP3sJfSB4O+xHdi09yXgjJw3FQvLveQt9TSB4O+3nDwet9 +HgrJw3Fgph3r0O+BkDwcB82J94W77wrJw+H9T1vlnHhLSB4O6/86r10K14Xk +4bCfj9Y7tfeykDwcB0dvlMa9PSckD4f58PF0vZ+2QvJwWB+ke4QLTgjJw+Hz +T+4sPXdYSB6Ogwbf9M+R+4Tk4bA/2rfSpHOnkDwc9iMvNcsUrYTk4fDzt+l5 +LN0iJA/3v//fonZ7/0YheTgO3ubFRjqtFZKH42Dfj8jhgSZC8nBY39Tq/RIM +heThOLhi/vtA4WIheTjMh2zZrnqBkDwcBxoPZ7t2zBWSh+NgzJYGXqQtJA+H +9/+Bv6NoppA8HAf2X9eatk8Vkofj4IZHmGHtJCF5OJxvqx6cyBsvJA/HwRTn +nIK40ULycFj/CqbZ+MgLycP9b553mHR9qJA8HO7HjZejdg4UkofjIEfddoGg +r5A8HNbDVHCT6/XPw3GgtddKqeFHF3k4DvzvaJYHf+4iD4frNWZQ8rFPXeTh +cD+3FtXOEnaRh8P1kLFRF7d2kYfD/LDiUaB/Qxd5ONy/1xYbN1d3kYfjYPbC +mzr9yrrIw2H9PyGzJLSgizwczuNaiZfNcrrIw3Ewv8LjI5/WRR4O653B6bt3 +ErvIw+H5CV2+bdL7LvJwHHR+6t4aGdVFHg7zybLDTovCusjDYX7e+6IjI7iL +PBzmA/cLtsb+XeThODB1+qKT+byLPBzmG4c/ExY/6SIPx4GhgdOCKLcu8nAc +POntckv1Xhd5OMw3D/v3vXerizwcztfrukJ7rnaRh8N8KqvjtPFiF3k4DsJn +1D0IP9tFHg6fP6jzg8zJLvJwOB/22jhn6+Eu8nAc7DecmBu0t4s8HD6v0jo3 +zqaLPBzOX/EdTnMsu8jD4X3i2LBTm7rIw2G9HLuvX+jaLvJwON/qWt9uMe4i +D8eBbUXDwpHLu8jDcfBK68ukxQu7yMNxEDH4zdx9+l3k4TD/1ow656TTRR6O +g1Uhy8SBM7vIw3HAzVhxP3FKF3k47I8idXaVTOwiD8eBSDBhb/PYLvJw2P8d +UH3CjuwiD4fzqpPFb35oF3k4zL/uVS7dA7rIw+H91Uzd8FGqizwc9u+vZ69s ++91JHg7vj8KqIxVfO8nDYb+rPCM1jeskD8fB+LnfjN8IO8nDYb64WNrHtbWT +PBzmu4Iu4bH6TvJwmI8TrfuZVHaSh8N+87nN+gnFneThOLhoP7rg04dO8nAc +yIyPvsSkd5KHw8+vuNfmamIneTict+K2nV4e10kejoOM0bnv+0R2kofD+U2+ +a/b7153k4ThwXy1sOBLYSR6Og6f6AxKVvDvJw3EgHHaxKONJJ3k47C+brise +duskD4fz0I3troPvdpKHw88XumLRyxud5OHwfFQ5qCy60kkeDvvjHWb6Rfad +5OHwfJz9c83qVCd5OMxHk3P6dhzuJA+H+7G+D3Nwbyd5OA6sk8WBXdad5OEw +P4ypzdq1pZM8HAe1TWNVqsw6ycPh/bz+M8zYtJM8HD6vWZ5ttGEneTg8344d +J5UWdZKH4+DH4hdBl/Q7ycNhP+JuOrJWu5M8HAcpF4zj5szoJA+Hn7eLf3hL +rZM8HAfb4vzeVIzvJA/Hwc0U+KOi2EkeDu9Xgf/NfcM6ycPh/BQQt+rlwE7y +cNiv99ll0irVSR6OgwveA66P+91BHo6DNsHIH6u/dJCHw9d34YPPf+wgD4f1 +YuvQR/4dHeThcH60rk7KauwgD4f95qHMqZ1VHeThsN5vMM6VKukgD8eBz/ey +0NG5HeThsP8zbitQT+8gD8fBsBW81lzoIA/HQUmvMwUGsR3k4XAee8aHLg7v +IA+H/dm21vyFwR3k4TB/PCjU0vftIA/Hgdrb+cUzPTvIw3EAJsExSu4d5OHw ++QcF1Mnc7SAPh+cv/Z3RR8cO8nAcnDt24kvBpQ7ycHj/Jlt1vD7bQR4O59eZ +w5Qdj3eQh+Mg8cvYpxYHOsjD8TBp4shtU3d2kIfjodXebTe/tYM8HA/F0rOj +YjZ0kIfjYWfPvVVnTTvIw/EwItpwxmzDDvJwPKR//LZBaNBBHo6HyVdXZnjN +7SAPx4OsS+1VE60O8nA81Npa3u6Z2kEejoe+1UfrHk3sIA/Hw3r/lAt6YzrI +w/FwpF+/A8XDO8jD8WBq1+67X7aDPBwPL9LGzPgp1UEejocNfTZK3fzVTh6O +h96PTNXlPreTh+Ph+NIE7wdsO3k4HsYo7jwwvK2dPBwPvg4/rjnXtZOH42Gv +yXK+d3k7eTh8vcf93p7IbycPx0MhL5VZl9FOHo4H16V9tQ0T28nD8dBcXcIF +xbaTh+Oh/tRCmf7h7eTheIjUlre1ftlOHo4HvUBVQZR3O3k4Hm6XLNva90k7 +eTge3mqalKx1bScPx8NCX7mgh07t5OF46Lp/rLzSoZ08HA8rK412jjrXTh4O +n7/EbtXak+3k4XgI3N724NrBdvJwPAwvOzkvcmc7eTgeDo0fuLh+azt5OB6S +fB8E9d3QTh6Ohwnbfh+fsqqdPBwP1vm6z5YtaycPx0P1UG2NbYJ28nA86Gc0 +qxyf3U4ejgeN2UsvXJ7RTh6OBxMNY4Pbqu3k4XjInvp5z/1x7eTheLCcs/Sb +q3w7eTge/vTT7b43qJ08HA9FPYWbb0q3k4fj4fMvJfXzv9rIw/FgkzFp38Ge +NvJwPGQFfRyxSdRGHo6HK/4uGoKWNvJweP5PKb5TqmkjD8fD+ytPI38Ut5GH +40Gb11IpzmkjD8dDqj/fJzCljTwcD2Hzfpvbvm8jD8fDSbljKovftpGH4yHZ ++PDO/q/ayMPx4FQyelyWTxt5OB64HV6mjk/ayMPxsO2syncD1zbycDyc21um +3H2rjTwcD+s2dSb7XGkjD8eD4eK7zaZ2beTheDD488Oh51gbeTgeXi3c5+Ox +r408HA+vHw5bOtu6jTwcDzPPzdmTa95GHo6Hv8tGDt6xpo08HA8D9T/r8YZt +5OF4OHZjnPCCQRt5OB7i1pSq9tVtIw/HwyC/Q+03ZraRh8P76qw9u59aG3k4 +Hm4OsB90eVwbeTjMF4qPj38e0UYejgeZmI5de2TbyMPxcK05tb2oTxt5OB5K +fZ1/zvvRSh6Oh7J+Pt7PuFbycDz0m3qg+ndHK3k4Hqq0TYM3N7SSh+NBYU/0 +0NDyVvJwPEwZJT+4d34reTgezkeV+65ObyUPxwOfe7r0YXwreTgeNIfs8a2J +bCUPx8Moo0lDlUJaycPxoHV6+hgL31bycDyMjfub5vKklTwcrucBVfnU+63k +4XiYPXBiv+6breTheNiy8bKX0uVW8nA8GC0sqF56ppU8HA/m37yTdx9pJQ+H +9+PTLbOru1vJw+H5qVV28bRsJQ/HQ37sH7tws1bycDxcz0odnmzcSh6OB2W/ +EZZ5i1vJw/GwStPFvEyvlTwc5ofApn5Vmq3k4XgQ+EQfrJzcSh4O79Pw9zdK +lFrJw/EQbeJjmSPfSh6Oh8y+KqJ42VbycFhPrLvnhvRpJQ/Hw8uOosUe31vI +w/Ew9PFl2fOfWsjDYf1oBI9t7S3k4bB+BZi3zqtrIQ+H+cNk+PcRpS3k4XgY +HRZf3JHTQh4OX193+pl3yS3k4XjoztRsvf6uhTwcD8IqX+XVYS3k4XhoOLJz +6vDAFvJwPBwevbp3kVcLeTjML31XhNxxayEPx0P819nTl99uIQ+H72fW5/K3 +Ky3k4Xg4Ot4rJPBsC3k4PM9SXyPWH20hD8fD3c193b/vbiEPh+s9MWmDp2UL +eTge1shP6Zln1kIeDvOZ19zTpStbyMPxIG75UnlwUQt5OMz/+YdU/+i2kIf7 +3/r7b3Ke2UIejoetX9+cUFBtIQ+H+aDOy95zTAt5OB7c0m4eGz+shTwc3vdb +Tps8+7eQh8PPV5+kofCnmTwcPv/mdT9v9zSTh+Ph4x6rhN9dzeTh8D5YK9gf +bGwmD4f196qfVml5M3k4HmJ/z2+Zl9dMHg7roWj4Q8/UZvJwPCzdtdPkR1wz +eTgeLp48KLUhvJk8HObrKYeTXgb++344PO/339z46fXv++HwPmXbbV7p9u/7 +4bBefhmn+8Dp3/fDYT416VKpvPzv++F4cJDXmzj2zL/vh+MhJ9pax+Lwv++H +w/oflbz1wc5/3w+H/UpMomeWxb/vh8P75JT07eeaf98Px8OlqVon1A3/fT8c +DzXx52Q3Cv59Pxz2Q69GZZzT/vf9cDxYOZ8LfDb13/fD8fBk/qTQ+PH/vh+O +hzPldxsq5P99Pxzm24wlBp8G/vt+OB7qEjKypXr/+344HqLSgm+O+NpEHg73 +U/+CvTLbRB6Oh47iEp8pzU3k4XgoCDWRnlHZRB6OB2/Ty94z8pvIw+H+PBp5 +YVpaE3k4HvYLIx6rvG8iD4f9w0jpH6PCm8jDYf2zfvdiQGATeThcL7cbLl89 +m8jDYf/4a8qHRtcm8nA8nD20eVPmzSbycDxIG1XPenWxiTwcD7rXztg4nWoi +D4f7/eZb++4DTeThMB/yGpkLrJvIw/HgMv6j9PBNTeThMH8HDvduMmkiD8eD +T+iZ56GLm8jD8YDVQMpubhN5OB52r/LIM5jZRB4Oz0fMpL69JzWRh+Ph3ZYn +L0GxiTwcD2oDPyXYD2kiD8fDsL3KRtrSTeTheDjYOsWo7XsjeTge5hUOTvb4 +2EgejofxG6pil7Y2kofD/tP+qSZb1UgeDvdz3q5pDwoaycNh/3pm1cs56Y3k +4XjYLHM8ouR9I3k4Hg4kdK84Ft5IHo6HZ/t/HpIJbCQPx0NC3VtVL89G8nD4 +/L9NT2i4NpKHw34/8u9m5kYjeTgeLgyQrjG80EgeDvPj+Kd/ck80kofD/nTO +wNR1+xrJw2G+jHuqWbStkTwcD4+XPl+2xqyRPBwPXp77+2UZNZKH4yEka8PZ +hQaN5OH+V28TvSJ0GsnD8cDwQ+1VpjWSh8N8b/JG7t74RvJwWL8EA3d/G9FI +Hg776SWP7LcPaCQPh+urV7Qh6U8DeTgevlnM/Tqhp4E8HA+n1qzafaGzgTwc +nl/Npz5ldQ3k4TB/3HwbMaOkgTzc//o39ceXshrIw+H9WvXEIj+hgTwcPu8W +369jIxvIw/GQqC203f2ygTwcD0pyStWvnjWQh8P5ILtzyscHDeThcP2q+9to +3mogD8fD9L06TocuNpCH4+HLIo3AgJMN5OGwX8SUWLuvgTwc9rfJUTnDtjeQ +h+OhM66paolZA3k43M8wZfaYUQN5OPx7I5N+ngsayMPhPPN3pXqqdgN5OB76 +a/fb1jmlgTwcD5eVj/nIKjWQh+OhsufCH/XhDeThsJ8qnH56Rf8G8nA8zPD6 +Kp/8rZ48HA9Sg0TXPjbVk4fD+6W9bsmo3HrycPh8x9Zs1I+pJw/HwwCzsekb +vevJw/HQ7vXZ89DtevJwuP7bpzddOF1PHg77k68jn92yqicPx4OZ1tjKeyvr +ycPx0LTk9VMXnXrycDyc6DIW31aqJw/Hw8QTlyqv9K8nD4f5L6Vp9wmujjwc +9lOnR3tuq64jD4frW1VybWlaHXk4PE/DiqeqhtaRh8PNkat3+fuojjwcnu93 +BWmlDnXk4TAfNDsXBByqIw+H+fVI37gTm+rIw/EQvlb/tv6iOvJwPKT5zzb9 +Oa2OPBwP9glyA2JH1JGHw3yr1ZNx9HcteTge2JbhD1Taa8nD4X2d9uZkQUEt +eTh8v0T5k3ZxteThePgu8nmi5FdLHg7nmxvJ3cydWvJwPPwYKLxhfqaWPBzu +Z4C3NWtdSx4O65+x3Y2LxrXk4XgYnDC8n+zsWvJwWP+Cx7W5KtWSh+Nh42uz +WQr9a8nD8fB7vwPn9qmGPBzmr0dXtIZW1ZCH48FvlN63ayk15OGwX/71ZP2X +kBrycJgffV+v3OlRQx6Oh33JV9pzLtWQh8P1PjtXV2t/DXk47G+2/17osr6G +PBzm0ydyQ0Xza8jD8bBLzLxeqlZDHg7rdY7l5IdDasjD8fDJxuJq29dq8nA4 +P0eq589qrCYPh+sZYC5vl11NHg7nNXnbbczbavJweP9r1KN+elaTh+Phxddp +k+c6VpOHw3x5S/f9kaPV5OFwvuFULvlsriYPh/1UXcH5osXV5OGwX7GaBX/U +q8nD4Xn+umzdFPlq8nDYD78ea7DqdxV5OJz/VEtdDrdVkYfjYXXQiw2386vI +w2H+Whzv5h9bRR4Oz3uOnSXjXUUeDvuRvnNi8p2qyMNhPfTZFlZ/soo8HObr +HSfMRJZV5OEw/07oE/J5eRV5OOxPha/SfmpUkYfDfnyYcsifUVXk4XDeFIw9 ++KdXFXk4HrZfOjbkZ2cleTg8T4f+evcUVZKHw3wx7d0s4ftK8nA8tFx5nlXn +V0kejoe1f1JP59+pJA/HQ0/PqiXxtpXk4XAefXFwfpBVJXk4rJez9hx2Maok +D8fDhw7XltOzKsnDYb1Xs3i9eUwleTicf8/PKtGTqiQPx4Nqvv9uBVEFeTge +cretO8KVVJCH48G2tuFHVnwFeTjs/+8MV3wRUEEejodGk+iik/cqyMNhvgx5 +PXf52QrycDxUDxKZydtUkIfjwT3YdnbjygrycFj/3u1qe6VdQR6Ohztn6m1P +ja0gD8dDsKFK9zzpCvJw2J+B/b6/onLycDxYZBi1JJWWk4fDeh3856RDQjl5 +OFwvzYlqiwPLycPx0DtkRd8/98rJw2F+nThO5d3ZcvJw2J+v3nrrhE05eTj8 +/BklRurG5eTheNgkd/BIg3Y5eTi8729GyLiPLScPh+u1vHySkXQ5eTicD4ML +87+LysjD8RDxd9aY4NIy8nA8QMa8YRYJZeTheJjTe0lKv8Ay8nBYTwThBm/v +lZGH48Eyuq/r9rNl5OFwnj9UkCNjU0YeDvOZXvSP8JVl5OFwvu84OnOLdhl5 +ONyfTdkneo8tIw+H88bVgMpAqTLycLjeId+PmYpKycPxMGtf7gq+pJQ8HNYH +ZuFhj/hS8nB4PvWuftIPKCUPh/dzeH1Dzd1S8nA8zNYPNb10ppQ8HNar07sM +J1qXkofjQe7ytapko1LycDifjgsevWtWKXk43B+d6yP6jiklD4f9A1tV7t+n +lDwcD44frtsaCkvIw/GQkXH6b1txCXk4nM92hzncYErIw2E9KVsrN9W/hDwc +rr/czdjMOyXk4bCeCD1v77ctIQ+H846+6PFAqxLycJgfWju6X60oIQ+H+zlk +XLCpVgl5OB50JqrlflQsIQ+H/eqXd4dde5eQh8P1ZQM853QVk4fjwV82/0Bl +UTF5OB6WyM/ovPC+mDwcD4+C8qZO8ismD4fz1s66eVnOxeTheNjz5bHWsdPF +5OF4SDc0H6u4vZg8HA9jbl8dlGRYTB4O+4N9viMPahaTh8P6I2dmpKBYTB6O +B+3thtHJvYrJw2F/8tno+LHOIvJw+H5WBreVi4rIw+H6qqsoFMQVkYfDetBf +RtXBt4g8HA87OsdmzHEuIg+H/ZiKzzDhqSLycNiPOX4d6bOtiDwczjfX77dt +MSwiD4f3a/Uf95GaReTheMgWt+gWjSoiD4c/P9q3xqVXEXk4HsZ903u0rrOQ +PByeR9Hxi/JFheThML8NZrwr4wrJw/EwylMw0tu3kDwcnvfT2qIDzoXk4fD5 +dL/ozz1dSB4O++9tP4b1215IHg7z9YGcG+WGheTh8Lz+GRr6SrOQPBz2I71u +PXVQLCQPh/UIhtlY9i4kD4fzzZAXI/S7CsjDYf9+eFmGYnEBeTh8/enqLj/f +F5CH42GBw0OHRr8C8nA4LyeyEdl3CsjD8eBx4OWcGNsC8nA4r23ZoRxoVUAe +DufhjpxrT4wKyMPh8wyMsr8/q4A8HA8z7WUUnMcUkIfD+lX+4aCTVAF5OOyH +nq5UGV2ZTx6Oh7vHnumqvconD4fv57x6of6FfPJwPEQuWrl345p88nDYPyvG +VNpNzCcPh/lp2o+kgO488nCYDza9MalJyyMP979/33vpM+ZRHnk4HkLP/uJt +DuSRh8P7/p3bFyHIIw+H52uF3KxBQ/PIw+F5LVE8e7Qxlzwc9guvZQ7WvM0l +D4f3M1dxrpljLnk4PO+7EwaUbM4lD8eD86xXclbTc8nD8aCYlmvX8/sDeTjc +j+p1J90KPpCH4+FN4IvpC30/kIfjwW6KTWHP6Q/k4TD/nDj7IMroA3k47FcG +DfS+MvYDeTicr+adnWYhziEPh+dj7S5LQVIOeTgeTsuE7p/ulkMeDutZ+dkr +k/fmkIfj4alApWTmvBzycDxsG7fKdfHgHPJwPPyUqxDZNGSTh8PfT+6Qv/c2 +mzwc9p8LmuZnOWaTh8P9XXHggdyWbPJweL7j9pnunplNHo6H8or+nhm9ssnD +4XoERYbPLckiD4f5caVyUlRgFnk47KfM5v9efC6LPBwPTuqLHtesziIPh/V3 ++8vM6ypZ5OGwn+wzFxZ8ySQPh/ltkDhMOjuTPBwPWa8Vi6q8MsnD4fkMNzRP +PJ5JHg77/yrT01HLM8nD4fMsNLaJG51JHg77odfP1uazGeThMP/3/ny2JymD +PBzmW2cDOXWPDPJw2O8fj1x17EAGeTjc7/4VuzIMMsjD4f7OO3lPc0QGeTjs +d0SPpF62p5OHw/Xe4v9Nh0knD8dD5gWDgAKXdPJw2A8f27Ds0u508nD4/AUT +ZRfNSycPh/l62gydEUPTycNhPTZb9vlbcxp5ONyPWkcnLjaNPBzeH1vLGb/v +pJGHw/VYbS8/bmcaeTi8r1ebzq/WSyMPx8NU55bnboPTyMPhPPZEKVbclEoe +DufrwXa9tsWmkofDelaqFVl/J5U8HA+9WnOHnNmZSh7uf/9+PnXVJP1U8nD4 +eafuD2oZkkoejoc/Q2Ss37ekkIfjweViXmhgXAp5OB6W5ZSVvHRJIQ/Hg3mN +86DkPSnk4XCe8Xzj9kmQQh4O78P+Va/mjEghD4f90I2su/c7k8nD4fzlWnNB +OjGZPBzuP38v5q5HMnk4HvqZLjmndTiZPBwPU34FyHQtTSYPx8MIh/Tn78ck +k4fjofbvn8v+XBJ5OMxnIdJsYGYSeTjsP42bVFOeJ5GHw/vj833/V9sk8nD4 +fr+k/ixbnUQeDn/uPnxSiFoSeTgeCpTvjZ35O5E8HM6f+gL1zJJE8nDY74rm +uF4ISSQPh+s1hfcwvZZIHg776QWLz+tZJpKHw35/z2pHg9mJ5OF4SNF/8d1q +UCJ5ONzPPdq687KAPBwPb20+WYnsgDwcD/pd4T/L1IE8HA+3Nux3/1GdQB4O +z2d26jvzOwnk4bDfNNP8IjZIIA/Hw736worET/Hk4XjQWO/2Kdcnnjwc3j+X +8bkKG+PJw/Gg61L552n/ePJwPCjt/6SwPY4hD4fz8d51gdsOM+TheHCbELrk +6QSGPBwPD25dOT+q5D15OOyHhvbvLLrxnjwcDzdDlyvlzX9PHg6fV2FQ6IBP +ceThMD+952c7+sWRh8PX/2tpuMwijjwcD6vW2p9bNiSOPBzOI8YrDt9IeUce +jofwurtRg+3ekYfjIcBOKC7XeEceDuvV9MzItpZY8nA8TCq18Z33NJY8HK7H +ukzzknWx5OGwHw2sPxopE0seDvPFPBunaoghD4fn80eNofGZGPJweH6exi3v +rRlDHo6Httx5U3u3R5OH4+Hr8bMuxs+jycPxEFS+f2KdeTR5OB7CvjdeZOSi +ycPxIGbum7ZlRZGHw/Mya4CO5dUo8nCYL8piS8cviCIPx8Pner5G42skeTge ++HOiT87hkeThsP9Qhjj9Q5Hk4Xj4Vbi/Zc6USPJwPEyzK1J0aHpLHg7noxkZ +UsrP3pKHw/nn+t91g7e8JQ+H89pnpXBThbfk4fB+KIfnNxRFkIfj4dqMOdsy +7kWQh8P6qz5yYB/TCPJw2A8eCrlxf2AEeTgerrasuGmbGU4ejoeYu1Mg3DGc +PBwP9wsuNSxdHk4ejodPTfbeM6XDycPh882fF3s0JYw8HM4/P8qrB14NIw/H +w3bzfal/l4SRh8P8Jv9TfY1UGHk43M9m/QfdN0LJw2E/aRgR3WtgKHk4nIce +ndbLcH5DHg77B9bT1UjuDXk4Hg6or1js6PaaPBzOo1KeyVdGvyYPx4OrdL6H +4HkIeTicP56oLIpVCyEPh/3LZOGSLyGvyMPxcGWkq9q32a/Iw+H5/PHoGMQH +k4fj4UOY5xvTFcHk4fDvr24+5Ff4kjwcD6csXuqlWb4kD4f9bruLX3hHEHk4 +7L+79JYePB1EHo6H79u/vOzuE0QejoecnFXHVt0PJA+H9e46p3lmQiB5OKyn +vfKvnQ4LIA/Hg/UkmcErFweQh+Ohr8/Pfd1F/uTheFhotWK+7W5/8nBYn4py +phZ/8yMPh/XlZGiF7B0/8nB4PzV29ExU8SMPh/W5+sWUUbG+5OEwfyeencCu +9iUPhz+XM7nn3+ZDHo4H04sFk5dc8iEPx8OMzHGeqYo+5OF4MNzgE6Dx1ps8 +HOav3Dm9HFZ7k4fDfJc1bHdi1wvycDxsPln1QHjjBXk4Ho4v9DbtO/kFeTge +PhoWvhrv9Jw8HPZ3r9e0KmQ/Iw+H90vLq+KL7DPycNiff7s/NnmNF3k4PA/X ++q087+5JHg7P++bqXtNqn5KHw/qR3asjZ/JT8nCY7wouBu078YQ8HK5303mu +T+Jj8nD4+dtXnnk29DF5OB6M9XZ1G9g8Ig+H9z/gimpH5EPycJgfnz7M8xr4 +kDwcD7ILm+N27vAgD4f9ZDgXs4BxJw+H+X1D0GNNRXfycDzMn2Cqv8DWjTwc +9ouD7p0+UPaAPBy+/rW72u/1HpCHw/mDbbXQ8XIlD4f5Ui+iqkzKlTwc5tN7 +T1++PHSfPBz2133qEkPLXcjD8XBpzfoJ7DIX8nA8qJ9in+Tsu0cerhuSaj8G +zF91lzxcNwz6ctJRe/Yd8nDdcD4geU/sBGfycN0QUx5zuXTYbfJw3eCRUqLo +2t+JPFw3WO5ff+lL71vk4brhsupsOdneN8nDdcO8PVt0KqRvkIfrBoGru+We +wY7k4boh90+sbMKY6+ThuiFQ8c2H9hnXyMN1Q3HwjbmipVfJw3VDs7H7p2Ir +B/Jw3VDSaRsUePkKebhuqL+lNe5YwGXycPj+OufVD/lcIg/XDc6dq4ul+1wk +D9cNb0ZO+/b+4HnycN0wcHPKGd96e/Jw3XDt+17j3G125OG6Yc+EcQ4mbWfI +w3VDnlmWsZadLXm4brBavbfrjsJp8nDdkD1hcpJtwknycN3Qx//Ryt/HTpCH +64aoJfWKszWOk4frhseyAfFbRUfJw3XD5qE7Pvy4f5g8HL6eufO0g6YHycN1 +g+4O3fKi8fvJw3VD3MwlQzb320serhtMIt4bTJTeTR6uGwKkdeQ2Ku4kD9cN +T6eO2jFmqQ15uG7wnzzpkdYAK/Jw3aCYkCoQvdtKHq4bunbap0Y/2kwerhtW +vTWNrPffSB6uG9L0A6VjGteTh+uGS06DVO6sWkserhsW3oLq3bkm5OG6oU15 +8cVu6xXk4bohfPK29D0blpKH6wbRizn2mWsXkofrhknzgqJV3uuRh+uGY643 +FKZ1apOH64Zbsy2CNsROJw/XDRapX9XE6pPIw3WDy9c1TjcsFMnDdYPSxWFy +P3rLkofrhvawMs0thl8NJB6uG6R58cqtsqUGt5x7T/zSh4Ve9N/BVsWKDCkW +5ppF3h85OS3e1EDr3mNpFgTPozfPmp4Wr/lwheHBvizseJidajwzLX7Yp+2/ +Bf1YqHpyNnaOVlp8t5Ft5JD+LPzZ8HAqg3Gp952DDRizSYXnXatl4mN++KlE +yLBwVLfhS8yMz8wTM6by6gAWjk2u0fk5qFBwPqTYZeNAFpTeOSd5uPcw2/sK +V0yRZSFuvZJhrym8YNH2Pn+/Ydzyfcvb3nm94lViRkdnD2JhvVGHTtnUKoG0 +3KzDnoNZKDXePH1C3ltB2z4j1SNDWCjcWfzozp58JjPJqnrhUBY+rb245ER3 +BxM85ozrMDkWJiSq3HdVLmacT95d2YxxH/ljlpZz2wVHP/j3ihr23/qsU4uP +cRzOQsfgnEP1bqxA52LJkc0jWEjodbEiJFUkGFkuVFOXZ+HwaS/13PuVzFdN +qdqfGF9NTrRf5pUtqLw5xi13JAsWhnuOlgQ2CN43zjJ5rsCCo0dDS8PkHsZr +3so+x0excEn/sWtPmZC59MD63RJFFo5Ybh/28EI7YyM6c0x+ND5P/C+bG70T +mWXL701pw/juAX+ZaNdIweRnAXUxY1hwv2A16c7gYkbma7z7rbEsFCk3JO5S +jxF0rSldtXUcfr69jy3OffvEfAgSSc1UYmHT9+sJ7tqfmDe9pd//wTj+zoX1 +ZY4fGZctY08UjMf91pTVf335JXPirfY0H2UWhowwX2dlKWY2DDJuODmBha7p +2reveBcxurttHi6fyMJt+xmHVfoWC0YnnF09SgX3FwyeZYe3Mj8VXPp2Ypzo +7v34wZ8CpvZoIBM3iYWg/FxDhcoOBjITTjqrsvDzdnRqcdMnxntimfp2NRa2 +t7tZht/JEly1Zxs1J7MQddR4XNLlSmZ3sfTj3lNY+B65TsHuqT+zYsa4tcUY +/x3dM3GmW6lg2nWd/v5TWfixfKDm38WNAtk64wTbaSysOrSp2kvNTyDW3XHa +SJ2F5ELnr1DZwhTcs5sxZjoLg2vubvn9vY2J6HBpFmI8fPLeyGyjEsZtcdCT ++BksGD+0sL7CxAlsn8C6ezPx+fWXZyTadjCbu8tkbDRwP6zvpkjnNDHzVolB +W5MF+4Hj7ud+bmPG+fc9I63Fwsar8+1Wvq0U/Pk9TqMM40ypfd/WJ9YwDZtm +twbOYmHSlFFxG7TeMimhJp522rgfOnlBR4c2Mv4yO81MdFgY336vvay2lblh +Yz9QaTaep+vitjd9sgT74+4niTEeOKzyZ+zNcMZkxMuziXNYqDznESYtrmRm +HkrUdNVl4caf9dcHDclkhqaVt+2cy0KYsomWhW+0gFP66DVHjwWD8O2HZFa3 +MMW2/Tb212dB4/DB2IbcMiYqX2lQJcb1S8WP9l7OEDyaOicleB4LUnqN6b+6 +qhj7K6vsz89nYeZowxe5zaECy6qds1YLWMh7nO3y1aSUMdA516G8gIWFoxLu +iISVzARn1+ccxu6FqhMXLK5j+rS+3JRiwMKpmouixb8rmJYFSYPdF7KgNezF +6bdfwgXpHhWpexax8HpAYf9dp8qYoI8fz+ktxtcLFUXe1XrPOBn11xm4hIUM +2QLXA/YNzGHv8V3VGDu9TS0fVR8qWPNjjvfrpSyYfTvwLHVUJTPLzHTzpWUs +rLk947qva7ZgRMiuoeuW4/3/Nmm9cVk+81n6fLqKIQsB/ab/mBZRKCjf9uBC +D8adwXYG0SdLBO+ig2enr8DXW94/9mQII3g6NFn40IgFa/VeDbrmJYIL+yp9 +9q9kYdqDdYOkxdWMVdIni/nGLIwJzsnyK41gFo+RGTbYhIUNT+BBeWO2QPWk +cmYdxgk9bC9fi1im3wfdS2Gr8HzUJSRerMlkOlRX6zqY4n53JU0N+pTHZF/Y +zZqtZkFarahS+91TQUjZeT+1NbheFVU3z48uY+5qum39inHijCEnzLf6CY7d +fDU8ay0LW+qfda39WMGsb0zOerKOhaExo1oLbucys+dVXT60ngUrmfmKhrJl +jMIDbq6BGd6fqZMrW/d6Mt+FMh+HbmDhXf6K9IjGKqZ62YSARoyV7b7YPjCN +F8R7zd32diOuT8Ef5cKQROb5l9Xy1zexcGBDk3TJhCrmypo9OZvMWYjOv7o7 +eOgHZmfQBYepm1kYVj10+X6fImZ5b3f9Hxgb/vhzs00GmClbQj7lWLDgdW5H +467XBYIBb1MCvbZgfZliPdi5PZ0RylZvP7oV79ufS8GnX2QI8nbxIxdbsnBB +xvz1yD7egrD4AbnDt+F65G+aUjUwmXFVmHitBeNRD2cMOq97lTl1VG9+9HYW +ThgvGDPjZoJgU+Ya/oYV1r+Rzw0e6T5i9CbufWlhjb8fPmBZ7ZYEwRj7i9bT +bfB5IkZcuXUynflV5D7qN8ZLXvV8sZaJYOqmv87L28FC2lYtQw/nXCbxWur1 +FzuxHg1/cK1co4jxra0WnNjFQq7jTYsM+VjBdd3u7qW78fXmGak+VY1k9t4b ++GrkHhbs9Pc1XUtOE6zsmLijHeO4zk0+Uc+TmemL9Ue/28uCa9eBApW2LGbw +k7UFTvvw/AyfGrf6kA/zkd97w3I/C3L3DlZzimlMocklA40DLJxsf8gqSMUw +b/08Pv/FeFCi8YmhZeGM++/XIYUHsR4cebbo9rkbgrOb0nb6HmLh0JrmptGJ +BcyW0Joxpw/j3+c8xb6ykBHI9BQaHvmvHo63kb2leBTPn8GyOUPSfZhecSqL +ujD+kxMTwT8pZJqGz/v6/hjuz7Kui5bOCYLUg+ve3DnOgnhTqNeLEC8mIHXf +bqsTLDz9+iHM1CWfual0edysk1jf461cNQSuggO2D4v7nGJhwMj8C72PgsA0 +/41Tyan/3l9zavrigNPYn+xVP+TkVcgMu1L77YwtCw6/pXbFnohhuit7Qlee +YeFKj9c+//osplR70N6xZ1nY56VRCTsSBTG3J41nMdayKbDQ518wj1vmlSbY +sfDhxcPlqfqvBOcWrHd2scfz7bAx6CAUMNs89i/dce6/91/48fIPnfMs9Ky3 +zj1UVsKoGD0K73uBhd85+lpu63MZae/QfeUYa/j+njq3sYhp+56u/PIiC7x9 +2sJhB8uYzPV1ZfaXWHj+5cFi97hiJvjV5zurLrNgu6dg316bZMZZevDy8VdY +uO9k/8h9zXvBkW2qvz5iPPlw7xNl9+IFa6Pnv01yYEF3XvueHodiRnuo2YEH +V1kwUa3aVzojlZHfd2Di7mss/LqcNGJ1/yTma+KVCt3rmK8KD/crsM1gKkc/ +vifjiPnu07DdqYa+zPsTYYZVGA+qGKDwcWuGwCsn4/erGyx8zl8y9vaJIuaS +an3khZss6D2UKh5q9oyxufDl4JpbLAT+1JmdFxXNLC0bPGmiE97/4+Pyl7kH +M2qaalU8xqkdVzceHccw/W8K7qfexvfPsl09dmIh09lgZuThzIL3VdbHxCOC ++aB/8O/eO/j6y9tS86SymTeuDtH6d1mQnWK6xio9l3ERPj4sew/XL9PBdHpi +JnNiWbhqLcYxVYZhJaqxgg1emdVvXPD1v+zap3ejkNH9Uu96+T6en3k2V7TX +JTCKa76uXO/KwvmV3/q0Ls1lfgQO6a36APd77N3tC/rGCGp6TY79jPHQ8bHP +lwQDk2Cx4GiGGwujVW9+2n2lgPGO2DD5sTvWv2enVdfvyWGuyh6qPeDBQlvB +8dyhKvHM7l1X3QQPMX992Ko5/EIBsyL+icmQR5jvlfPFxzfHCKYpRPRpwDhE +VWvL0dBERvZo1rvwxyz45gVm3p+XLGAzGo5dfcLCY8+kJSfnewjyJ3ybsvEp +C5cvHEo3kfJjwu2G1k/2ZGHi52G9Mkw/MA+KJnt8w7g6zkghTT2fsZ1uYJrt +heevePlUlbZUZvO1jdKez1hYNPnwppf3Mpl5tYfeH36O++Fgnbis8h0zTvfa +iYUvcH/3bmo+NsOF+XP36bRh3pifP7ScdT0TL2hoj2howjjSXF3m/sIIJnlR +9sNIHxZa730ZnpIVJvB73Lja0ZeFR4Pe+t1Ynck48t/6bvbD82YZMZnZGybY +ZyIXP82fhfz5eyrsRscITPymnPqJ8Zvpu+aWar1mZv42mJ4bwMKKr/4Gs6cw +zNBNm5qeBeL7N4zslrLIZrg3hx8fC8LnPXTbOGttJlPc//raJS+x/1yx/1uU +QSETZe3ZXz6YhXINqYPnggqYh+/eJrRinHf2wtsfQSECu+E5p2NesaDepZex +4XMes/Vg04xbIdgvOuT2sb7pJ1iQ+r15y2sW5Dc9ilP1i2WUlYY9nfGGhVkD +5j2z/RvA9LGduv4PxtnNQTHzLyQxLXkLBxSE4u9b31TbmpggSJ9inugdhvV9 +9OO/ooWJTNDlI2dOhmP+kfJ5bb0vSuBUeV1jeQTe50DbtLUzo5lD2l6tCm9Z +qJg3pMNLOYdZfTvSs+Ptf/lEqyXHLC4S82k/B+GkmjjB8AXNA52jWJCxu6Ux +9kEa0+P+I2lbNAsfn4ix978uKBcPs9OMwfMYedlgQ3uM4N2KaVq9Y7H+Bfc3 +FmlECJ6+WNRehHFJ/74FrjURzIXv5s/83uH7T9BJUu0XzlitP7rRNg7vl52d +lXrbK8HiV46DjN7/93kmST9LGc2wcP269fk121KZvtui7IUYu05bNt9/pTfT +HvVhVnw8C186b8pn6D1ksoa0dNxNYEEU4ZZe+fSlIGTvz+fWgPnhY03X3I0B +gruJw821E1nIOTDg7I7w14Jjo9WHSCexkFL9qW1Shyez/sTitFKML++4Xq19 +MZ2ZnbP5fGAyzk+NQdN6XqYwCqrHdOxS8D4+eKoJ518x387f6DJOZcG08uL8 +c1wcU1X6zHtcGgsvtCprH9aGMYxG9GYxxikdal05gVHMsxu5QxPTWQiuGnro +pV2Q4EpDS/r9DFwvg6qBA3rnMTv1f13YmYn9xqQ5eyZrxDLLXUfMmZOF59PA +YrTHiBjBFKG6qF82C+Yqu+UXLchkBixb4luB8eVvDacfTgtkhJ4WW4JzWDie ++mx6bckHJvfzsWHnP2B9VQi0yH76igldfTPTNJeFkW7Tpg+ans/cD3x+STkP +81NzgHvXugjByV4xulzef+u/ySKPTc7HeUd++aAD+h6MXkSrn1sB9rN+S0et +evOMGSP7e+ueQuwH29/IHZSOZX7tlB+hV4Tn//b50UkX3Zg6Znr2gGIWdq86 +4mVumMwkjlx6pRpjq7/WzWfHxzA+R7bovS5hYet1hb+rS7wE1zKOf7xYiv2I +Ycww57QQZs+EWwFry7C/uBr4vLtXJmNk92KbSjn264u2SecEYj9SFCPfg7HD +hdyHP/ZgPzI9PyetAvuHhOXL539JZD5ebXN4WIn5NoYdGfU2UlBY81t/fxXO +3yvX5MdFv2bezhnJzavGfr60fW7X0xTG/e6MoEE1LLBTWb1eOjHMmfalVnUY +TzN9tNYtI4+xWLRVIawWny93yDmd0+HM/Mcncq/UYX08/G3Ir6W+jBJ/65pZ +PQsuF7vTPw6MF/Qy8Z6v1sDCq9Rv5k5Vj5km31j+C8buEVZ37R1TmNRf+S8z +G1lgPu33m/XiAxOwsd36SRPmzyuf7AJ7RTI33/wZdagZ62tjuNp27ilzoL9C +/oIWzN9zHwWWGXkLVlnPdBzaivOjs+OKjs8xjMa7ZQsaMW50VNkWr5LOyA23 +7IloY6Hfrte3w/yzGP7AyVfX2rEfGAKP9me7C0pTnHZs6sD1G5Rk9Mw7mokZ +5zN6aifOuzPLJ+7NT2Qen35X8B3jlfFPNERD0phzeQU3crqwvo+p3vTZIkyw +bUqHgZcQ76fx3oFnUsOZhZf/fj4iYmGn083HAS6xgomVCq8XsSykix2Pna9L +ZaS0NXYNF+N6mOdpFjx9x7Q6LR/bgvG7CGiQvewmyGi2LIr6iPVilGXs1qtv +BcGCU7dufML+fGW+C6frwzi7315kwbGwoKKy9csdV8ERsc9XdR7P402PmYmv +I5m1K+Le/MJYo0JDNX5FDqP9onB3XjfOz6q2riKVNwL57x3jXvTg+l0I8uk+ +9UjwZV2vkuOfsT/UVux+VBIiqAgedXvpF5zfTvdjTBc4CuKkNJeM/Ir5qi5V +rUQnUuBpafi9DeMZxgrZ3Ilk5lLUtrDYbyyo6Yz00zZzFdgMOb3X6TvmW0at +j2agp2DpXufxlj/w/ju//Ro2NZpRS/QtnfkT95NZsu2bTzTTf/R7578YRwdE +KNuZhwk6jxctLfyF+WV7/geFMH8mJ7vzh89vFg5mtp2ZI5fEvJ7UO+LUH3z9 +4vQwx/D7zL3zivsN/7JguWdU7WztFOZ4qeYExV5i0PV8Lc9/TGc2aKwo78Q4 +ZXL0TbNnqYzuje133/cWg0ytnlxDUQSj2HB6+Z0+Yoh2sBycMsJV8EPvzq/t +UmLY3/fnxrRXSUzNfb+3WtJiKCiIsZ+R4iFI6Hp/oE9fMQwqlZrz7m4i82Jp +8cQSjCOSPfeetslgHDy7Kvz7ieGBrsPvrYbegl2fe7uc6S/+f/nEcPXoFStl +xDAxam1Z6aRoZlqg1p8xA8Rg8CNfylkzhZHtZRQlwvjglgHFptO9Bexmq0MJ +A//7+/xw20kusmKoN955eR3m1/CBd6tsBokh8JxsrMlYb+bBTv/7OoPFMHBe +Ztk+6UTmNMMY9R0ihgaFUX6t9uEC85Elf8swdgjaONBpUyqjf0QYHTRUDGvV +0gWjNP2ZsRl9jtjLicH5zElXNe9I5rfyGLVVw8Rg87s9yXRHMtNwdlaN0nAx +GJ58qlt3MJFJLjR68BHjp7Xeh9nNToyfurVx0ggxpF7zVF3kHcI4Xj3T+4G8 +GILH529quhUo2FdzN3bXSDEMdzQEhcORjPGcgKO6Cv8934y78ZNlRolBOC37 +BY5UzJD2ktpKjC/5Bz1/ZhAq+LRQ5PZK8b/fL3okterCaIwrjhxNvh0giOLG +SK0ZIwa79zYyC0KjmYfG2nETxophyfBewt2CWIGd78rjPMYBoaZxhgkxzNZf +1lNTx+H7Fc8/9u71LWbBxrP17kpiqHDXb7uUfJtRfnPPY+94MdyuTVLM35TG +9O4faKqvLIbNfT1+dHrEMM1WCdKyE/77PGmxpe9r/r84cBh74s1EMfiG/Nig +pBPHOB2QVr+sIoa+Bb5ajocTmUMpYxvXTRLD10Gzg5euiRasHqfzaJKqGBJf +H9rKfXzLaJ02XvP5f7HJEKubSknM8Dybfhlq/71+z2S7+EeTxXBGXrat78rn +TNkll1MHpojBzdBqQOerWCa2InC6YKoY5BcWuG06Ec48mQVNg6eJIVZp67A3 +vuGC805lj+sxdro6Vlcph2Gsmtm14er/vf5iQV+Zq9PFYKIydYDPwWDBJPdx +sGGGGK6a+LwwdvBk+op1bCfPFMN86Y16WfteMO2GJjO/Ybzx1TWV+25xTNbz +HS1ZGmI4IatZs8/iHfPqm93Tp5piWPZZjsvWucXcWXd//WEtPA9Sqcv4Ha8F +R4ODBiycJYYFb+qj+EMuzDqpxEQ5bTG4x68ZIbwdxcy2LD/ThPFOV7d9Fa7h +jEKUWCNS57/P+21wv7brs8WAA/Y8jz+JTNUeJS/zOXj/s8ccMrX3YRiYvWGa +Ln7e1j3nXZpfMM8UV8n+xFhD1kNap5cvc/n4zuQPc8Wg0CC0v7YqRLAj297u +mZ4Y3ubJO3VveSBYNslV65g+5oexur0/b01kJp9/2b54nhgKo2dmKaxzEQwo +TXw2Yj6el44Cl40mUYxwZsXGVoyXnNXdekLVT5Dr+HFQjEAMfxW+yau0uAtC +6/ul3lyA9+P5utXGU58I7uuNP7fFQAx/FB/1eb/hNnPy/hztGQvFMFMxemn0 +VFdmY9eqzt8Yy87RONS/1E0wd+muF/mL8PMvqO57PiSIGe15ztx7sRjSA+6J +Hox/z/zscR1ycokYWqbuNB65KExQZxqctmypGHzUdvS3cY8WJAYknVdYJoaE +t6Xnru57KPD5W6HTgXH0uPDIde9CmGubP3W9Wy6GN+nHXNZVhDJ7wvv73DYU +Q9FHts+l+kjGaKCyxbYVYtiaNaRosZqzQH2nrpymkRg898z0+DDAVzCIMc3o +tfK//RHL775YhPHuQ59kNzb6CgoOn5/jZ4zr47y3n1JdpOBt+gPRaRMxGG8+ +c6zHzJ9xV37lu2KVGPyWdv4w2XNDcOZs8pbRppjfhw+TvTTxPWNRWDlMiPHO +h66nlvd6IJivzmUyq8XwZcbd4OPmDwVKV2Uu310jhs8DCm5UP/IX/K1Wnmu9 +VgzjP69pa5P3EjTOniuetU4M6qv9uyu8EpmUO6v9pdbjfigNsHIb58b4t+22 +LF3/3+e/ufDCiEAzMUipXFlotu6O4MAjt+yzG/77+Sru1RXjjWIY6zBCKe5t +GKNhnKI3bhOed+VJa6xtnzByvlUfWYyDVi47vcXsPcP/5ALAXAy2Ez73eZ0S +wJRsGLD9/masN/srLziPdxREv54wcqeFGErt3z5hy/yYR/30Pszegvnnq//Q +4X3uCOyt1lztt1UMv9YPjWiJxn4kds+8CozfFw5cb5LlxywcdpF7aSmG0/cu +KPuxr5iJB9yDzm0Tw8uosq02l18KpFJCrEy34/nqM/fJ9+PugtaxqQrKVmKQ +e/alWOvVeybjVHXuJ4wbh98WHbzICF7m8teSrf973tuTBwrcbP6LD1+a2L17 +hxjGFZp+CDgTxKyp0Aueu1MMi4adW3DZIYLRnrXWZsAuMZxcvP7i5tUvGHmn +vYrVGF+zqptq5xLFfGm6mB+yWwzeXX+lV4bfF1TM93D8P6bOOiyqrnvYdit2 +d3e3y8bA4LEeMLATu8VWVFRA6a4JZoZuUXTrskBR7O5unXOOif2t9137/fj9 +ua8Z5pyzz94ruO593VvmWfHAhJfD2r8S4rB/Yt8x82k8p4j1x24hwj+c/txo +gRV7rxrY98+zTNgy9H78Jxr7b7E3+g8KETOiPs3Kdi64v0H5ZWoHLbTi5g/D +5jzYniGajm18ZcEiut8NI3ctvhEuSsb12tN7sRU/BswxFDMcFW+KjO1fbgnF +y/cvmtxdnibOT1nw9QGNG904tme/e4hIzNiamLyU1ntu4uZnrS3Cq3zQnO3L +rFjCOWjKjBxfWDEvqc745bSeX6e2yK59QIzH7KtNVxTcT7eaD/Z+pfGUiq6b +P4xJFjVWfB5wdqUVi42fc/1VZoz4nls2P2QV7Zcj2099epQA9xs3SV602opv +xd6ODxaGiWMbe8/ru8aKuptP3Tq2OSZ018fWs1lrxZvTbk/Y5awXru2crz+m +cQf7ruaoBslijts2j7R1VA/Mr37f+aMQQx8FDdrpQvury4Tl41rsFC17Jn// +dz3VE009Rz2eeViU8clJabHBiisWFN/rVTkR3r95MP87jT91n2KZvNIAFwd9 +qX9+oxUXP9vY7+OIQyIlrNzN8E203sYuVkKcDwu/z032Ld1M9dL8dQ69mvmI +NaP72A7YYsX8evfWTN+3ExzN435W2mrFPaF2JQbO8xO9/jqnPaOx6485AXUK +x0CdidudD2yz4uG8boNfbA2E3ynBDXdvp/VSe8H00tnHxKPSKbcmulrRbmSc +6+BlGXBi1pn9rXdYse2DH68SKwcJ45GHQ37RuHFMX+XFg0ixq+rXXxd2Fsz/ +giXlM6J2WXGCk5tXTqIXjMhpumiFG813tXWNLv6IgrYNoPHg3VbM2//u53T/ +cKjgMv5O1T0UL7+G7DFVF0K9vND7JY2ntex2vV9qkrjaynXYob0Fv5/hGvJn +r7sVq52OmBaRbxKB91IOTPGg+GX6lXW/oR5cup5d3M6T5n/p1tcfb6aKyfse +NflL47HBP4bMjYoXfV9+vXt5nxWHTS8mtr7NhAb9K/ga9lN+KmbT/fXPg6Jw +cDO71V60X2He4uM7dPBMhUJDva2YdfVLpaI3E0W23YSDNXys+B5mHrNP1AmL +YdHSNzTO92hXvtyIdLH3p2uzI74F97toQuh9Tz96/pbRA495pInRial+0/yt +OK5YaOI/CZmiQ4ncER0DaH99qJRw9GKcqDz9ceEigVSf1dh69E8Mis8Hvx26 +RuPlQZ2cajbyFjcr2iw3BVmx2YIhobXd48Qh5+Yt1gUXXC/0ZN+Hw0MKxpvq +/BtQO5T2V4tyB3f/iodpqxePek/jEcWqL3GNPQADLuwoeizMijVn57fMW5EG +jZuHHfYKp/Vy2dqVuiNRbGvaipkRVnSsYVejxdk08epWbssukVSvXlmkW6ZZ +ILfjk0fFoqw4+ciyt4FtE0T83vzAmzSek5/Usv2yDLHvqY19jI72x4D1v5OH +BItlfVoU36CnfGDjcnnR+Qwx1r+fGGmgeq70w5prHqaILh/+XVXPaMUKS9o2 +LT8hFqoNXdJaofEi/8OPks+Fi2+RO58cj7biriEDv/0Ys1/c+RYW7Gui9+kW +OzBR+AkxJv2fOWaaz2aOE1adTBORsedKdLdQ/9ChtMcO70CxrcjToyVjrNhg +woJwo1MYzJryffUdGnedEPHUy84kbDMqto2PteKzVZNtLxvioXn5ls82xVG+ +zkwvWnmdTpSa1z/UPt6KEU93BNzYfES8PeYwtmEC7Z+aU35+mZ4i8mosLfWR +xr3L320XEZYCSct34alEK0ZBo2srh5uET2742oAkqufviGV1vI+IVY0z2s1P +tmJuxt2wwX/8xb8bzz/vmUL5q82cOjcXxoge15+GlUm14tmcxbfWPDZBrXY/ +xt2ncfCNjOpHnqSIn7sqlUlKo3rS2Kj8qAEp4sHDlie2plsRyu7f8LZpAGCP +AS5jM6z4emzYj+ieMULv7dihyQGqXzo+Tr0z+7DY8Wbpy880Ti7xs9jpqRaY +N8gtIifTikvG4bIba7xheFjEhOCDFI+tP2uPWmsSrT9nlF14qGB9lRudd7JP +lhWD8ryjxZEAsJqerS9/2Iq+xXd0OjQ9S1z+86Pjo8MF309zrPw65YgVe+Zs +/tj1jUH4p7SKchVWLLmj7shjbUxibemBDhOOWnH7xBHpxg5hMHHWxPLNjxX8 +fZ8jy05/o/HDKx+Dk26linpVd2/MRSu2ctkZ+j4tDP4ujuwcdtyKIU1muqws +GwBPsg+8WXyC4t3tIXnNT7nDqfoXdP1O0npfuLBpR480MK177ljxlBU/G743 +yvPSi92Xf1Z4euo//VijmPGH04Rzqyo56aepP7x2fXm8MV2MdG29eVc21XsT +ei8xt0qAdvcGdnXMKbi/il0nvWt5xootM47k7f9wVHz0XG74QWPH45GhS9Z7 +iusvdk/KO2tFh+fVG98abRaZ/aIqRuZacdbhmZlvdckiOCjzzLJz1B8GJI+b +/twHNqgXtgw8T+th7dR2a4w64WT3oluVPCvezT3291+LG/Qz/Hr/nMZBVV2j +L9dKFQ1/VonOvEDxd9nE3COr40WRCW2m7Lloxd/Hh0yY2SRMvEgYVHnyJcpH ++Z9topPM4kzxybltLlvRGvi08Pu+aSJ22optvy//n3rk4J4el67QfOpdekx/ +aRJLKuqsuqtWvOgYu3Pk2jTxj/NB08prBd/vdPKik+11yv+enb55ztWLKnVe +Vql+g/qFyT+K2m42iy+rfp97ReOMxzr9kT4p4lZeVdesm7Tf4nLzXlVNg8PN +2vbyuGVFr04Tu048kCDCtwxWnW5TfVf4qf0Jh0yx5dZkS/s7VuzSvONoj1sH +xYyOK6cVukv5dPS+/FU/48SgvXurXaXxpehVH8SxfaLpU12e8Z4VCzfVnRns +tg9K9Dm0Y819K+6rM77mpclx8NrvUu9hD6yYMrb6EJ3/ATj3/qVW86EVF8y1 +bN9eIVUkDPkT85bGOZ0r9XcfGwL7I6vNEI+s6BLxfcTlrBRY8a1tjf2PrXit +66rf822zxPgxthenP7Fi4LoHFaf+1UG32Cm7Oj2l+mhn4wpT3+ihRpFVUPQZ +Xb9Fhj7HaTd8n+z+6TqNg7dWm+k00SLupevjzM8p306YfDo6RSeOlsua6fKC +1u+KYesPb9oJUXMv1xzxkvJfuet1jDNTxfZjry7VeWXF84+jn4VVChWza/x1 ++0Bjc2LHReFvU8TQ5dX74WsrHr31MqlC2SBomdvui/cbis/b8u3n1vYTZRoP +SZj1luqZ2o+KdxsUJd5vcJrd9Z0VB3aq/6t3oyS4eG1V7RLvqf6f0OPMsk0Z +IqWtx5VbNA5Z/uvR60XB4LvLsCf2A623J6/2/2iQKVY/zOq/0VqwHhx6XPk6 +SqF8bIh4bkyPFT29XyfWV63oti3QVL6tB9R583eOqhZ8//fAGnVPalYccupq +hR/dvMSj0PbX/D4WfH7i0xD3uZ+oPp/VqIivv14YR00d2OOzFX/ubDZqxhQD +7DKtzi/1heq1dq9b+xQ+JOb/8Ui+S2Pb9C/2Oxv7CjtH47yEr9S/TG+UdGGT +EG1SDtfb8s2KThvPPuvcNFmUL331+j/5tP86ltjbj/pNdeYbj0bfqV8+k9V9 +av5hcfVwocGfaHzT/HjKUcUMGVVq/jj9w4r/3Dd1qdbqoAhc3CE18CfVn2Wd +EpZ/3gMu2UMXLPhF9X6zXyXX6dLF5PrTGvT+XfA8sG7NzbJ/rDhq5FfdxYw0 +Uf+y574HNG7fbvW/nrtTRaFW0bbJfykebnFXAx3i4On2Iz+3FVJwUtjjb7Mf +RUD23atp4wor+N7BJ+n37X3C0uWtc9MiCno28Bq7p3OKYB5OQff64cVC0i2C +eTgFN1rHb573MVEwD6fg68CFvWwKewPzcArqd9Zv/K8wAvNwCv5zet6+tydM +wDyc8v/vn3m4gjHzcAoeKvnq01OXRMnDKXij7ievZuN9JQ+n4O4+TV3WTrIA +83AKJg/Uhl/4Fi+Yh1Mw1ddYte2KaME8nIKhZy/3tFQ8IJiHU3Dlio9RDXYc +EczDKTjmYKkVH64nSh5OwQWRQ/vXCUmQPJyC1WvF+1coHw7MwxXcL/NwBWPm +4QrGzMMpeEb9/rOn827JwxV8zjycgr/u7P/085BBMA+n4Ophq/TTV6YJ5uHo ++WxsmnmVyZA8nIJ2nX81af9eB8zDFfwe83AK+pp3Pnx7wRuYh1Pw05K3uzst +zBTMwynootQplxafKXk4Bbc6J59OjD4kmIdTcH/tCb/f3MySPJyCRdfOnt9y +djIwD6dgvySPbbOmmYB5OAVb5mmDBtwNAubhCu6HebiCMfNwCl6p/+hW9xGh +koej8a5Gk1wvJQLzcAoeq73mQm9jBDAPp2CPIW08WrskAPNwCuZOyzlf85UO +mIdT8GKp3zdcepglD6fgqv3qx5PNMiQPp6D3tXkLzT3iJA+noIfdiJt+epNg +Hk7BESVHlP1wMFzycAo+atYxPav8IcE8HO2XsmV7Ni6fIXk4BU9MTWpzcnag +5OEUPPXjRGbkiHDJwxU8L/NwCv58fqZHRNU0ycMpqNW4tvuLNQ2Yh1Pwx8rT +H86lH5E8nILqjiOesxbGCebhFCw191eRL8UTgXk4Bde+rnPraeEUYB5OwcvD ++zfo0MEAzMMpuPRM5y7+gYmSh1NwW6OZ3r0vZArm4RQ8tzX83t6mFmAeTsGc +123s7Y5kSh5OwWpQPCzyQ6jk4RRsZRxpd93FIpiHU9B/cFrHktHxkodTMCqj +/8M/q9KAeTgaN4mZp0xNE8zDKeiT1u3Xl/RkycPR82QUPfngl49gHk7BL+1P +v287L1HycAq69m5RfVM1f8nDKditSs1O+1+nSR6uYH6Zh1PQ71DPIpOO6QTz +cAq2jbrijr0OSB6u4PvMwyn4tsTCmwv/NUoeTsEBvdb5OPqaJA+nYM+SD30T +VF/Jwyk4407Zsm2/75Q8HK23VpfHLz6TCszDKXjbN6Bd0+kpkodTcM6e/ZPN +L8MlD6fg2RJjtMIHEgXzcLTeSjv+NtbNEszDKWguk3EudHmM5OEUHB8dn960 +Tbrk4RScerPK3xqNMwXzcArmVRtlu2WoQfJwCo5MM54vlmOSPJyCB13TcvLL +ZwLzcAXPzzxcwZh5OAVfdbjdftnVJME8nIJrZvX++PCBJzAPp2BaXa/QucX1 +wDycgsNLvo2dpWRIHk7BhQk4+Kp9ODAPp+Awj9/aReM+YB5OweCAdad+2VkE +83D0fmbVSU7tkCV5ONoPI9Zd3vQnRfJwdP33h1uNdvaWPJyCkbXOaUlP3YF5 +OAVX1Dxhd9GQIXk4BQOnXXtwMsBLMA+n4IV5od1KPjNLHk7BWbrzDs/2WATz +cHR9bVmZ9JYhgnm4gvlgHq5gzDwc/f5lmNpzj17ycBSP4nrvPtVbL3k4ynep +f0P7grdgHk7BehmFS+zbHgDMwyn4Mk/p3fzl/3g4ypcrVi5vviZV8nAKzo/o +XGJ0bAAwD6dgpXynGWMGJAvm4Qruh3k4iudR0Rkb0wySh6P5OXMxskGRg5KH +o/Va6+iNiUmRkodTcNDGfXYXd0ZJHk7BuCOB147MTJc8HOX/I5UW1NqYKXm4 +gusxD6dgbOsQU3y0XjAPR/mnUtMZG0+GA/NwCtq8WLh803wfycMpmFRuzvTw +Zj7APJyCGdtv/hp+M13ycAqGdHmutDsXL3k4BTe8v+ZzeUiqYB5OwQm9T5rT +Ak3APJyCl9Kbjkg/FCh5OAW7XpmL2ScyBfNwCv57eKRXZap/mYdT0Hbu9vkd +/QzAPFzB8zAPR+sjMsF23C0jMA+n4KL7ux8XH2iQPJyCbtvjXNc7pQjm4ej+ +P6RGfErxBubhKF52UGqMz44UzMNRPO3QJPxrq0DJw9H7a+4RurTyfmAejvZP +8c62u9q4A/Nw9D5c514/XzlJ8nD0PmOHagafcMnD0fOe1Jy2FjUD83AF9888 +HMX3y7WH5VcKljwcxcd26v4T7TMF83AK2o/yCtih9xfMwynYa/XqbNdwEzAP +V/B7zMPR/s/buuDaA5Pk4Qo+Zx6O7n9SETzybJ/k4aj+ynQ7JM57C+bhFHxc +6n2hmWvcJQ+noGXroPDK3dMlD0fr/XSt3nPHH5Q8nILxHScFvbHdB8zD0fv4 +lNS8xBgTMA+nYIOyvSduquMtebiC+2EejuJJr13n6+w4IHk4ev93sjN+rvAB +5uEU/JtT9dmUlhbJwyl4b/DsVVXtMgTzcAq+s3cFr54GycPR8/Xb7+TsliB5 +OMqXl8qMEzODgXm4guszD0f1b8Xw20NzQyQPp2D03YVvdq9NlTycgr9fV/rc +c5kRmIej+LN24/MdVQ9KHk7Bsf3rbN+0PkjycAreL3w97HChGMnDUb1ScvD1 +95HRwDycgiIh5bhzRaNgHo7qk5cho3fGpgrm4RQ8nLi9RdrgA5KHo/queYWN +LTFe8nC0PocEbB1RJ0jycAqW8UhuX7afDpiHU7B1fNmWH75HAfNwdL3Ag6su +xkVIHo72k5gjcsbvA+bhCuaDeTgFaz+YYOc4OVPycPR+VzeJbdD2kGAejuJl +N5eubiWzJA/3n3ixynLuc6zk4Qp+j3k4iu+6wY4z+6UC83BUD9zGrHYNdZKH +o/7kp2/r1id8gHk4BfNTsUKpwwZgHo72V1TzJnd6p0oejuJXznx1dvt4ycPR +/t2e3/B1rzjBPJyCD8zenV6GpUoeTsGOsfXE9+1xgnk4BT/Y503/p7sXMA+n +YCaqHoMiDZKHU9CpxZ0q39oZgHk4qge/7PzsvC9KMA+n4Prbixo02hYiebj/ +U4/8l4ej+t9S2/F4Sx/Jwyn45unYTX2+WyQPp2DJkdMrzf5gBObhFOw7urXv +mnspgnm4gt9jHo7if0ujGD8yXDAPp2CXiZ2CbSrECebh6H23FJfzlxwUzMMp +OCVq9Q0t5KDk4RR89jp4WowhVPJwlC/7lPnHzX2v5OGofvMt+32ATQAwD0f1 +atvm0U7uXpKHo3oOOwe07R4DzMNRPbhiudfcowmSh1Mwq2OZCzcXREsejuJv +ZunYErQ/mYcreB7m4aj+uXrceeOTJGAejurxZjWrbvurlzxcwfeZh6PPqzsX +Wdg+TvJwCv55k1y0U0NvycMpOKTb7YYzJ1gkD0f95rAGRdvlBADzcApmj1mx +8dAAf8nDKdhn+5wfOcYkycMVXI95OHp/O6lM7aIXzMPRemu4avC8AQclD6dg +6Ufd/9mRvhuYh6P5S5pcc101neThFLzp/dGzx6QwYB6u4PeZhysYMw9H/Snu +XXrwiB8wD6dgUNzJ1cuKmYB5OOrH+p4b/OqPAZiHo/g0pU0b+GaRPBzlm38H +ffzado/k4RQ0PitfZk+aOzAPR/Xb5T95lTKzJA9H9cWFS9kRpdME83AKpn+4 +kalW1Ekejp4vaIkYnWiUPFzB/TIPp2DV6iVSm/dwB+bhqF4OHFWt+IYEYB6O ++m9zw5KTn5gkD1fw98zDUb0SlXr98i43ycNRvHHfU+rOtkRgHo7yhfuyr/+U +SwLm4RQM829Q/PF4HTAPR/ll+ajao6zRkoejeLgiqdiMY2GCeTiKH6VWWbq3 +Oih5OAW3dFtZuEmlXcA8HF2/54o+m0YmSh6O5rv0guMNfx6QPBzV40Eeujnf +UiQPp+BnF23LRPQRzMMp6Px0dpMSe2IlD1fwfMzD0fw3iFw4ZOoBycNR/2Hn +GpxZwkfycAq6Dz18d1lAvOThaP1u0Xq9PJQoeTjKX8+tZmGvkzwc1eOj86Oy +DWmCeTiqxz7veHj6Vrrk4RQc2Hne/GHO/pKHUzAmusex5y33SR6O9uOR36NL +nYiTPJyCTZ3LzLHuShDMw1H8cV9cbLKWLpiHK3ge5uGo/vVcLCYMTBXMw1E8 +9t1hc4zyP/NwBd9nHu7/1CP/5eEov5rsIuq4RUseju730NCT7cv6Sh6Onsfw +6FGpU2bJw1G9tK1f6B6ndME8HNVfS6L7XV1hAebh/s//R/7Lwym4Mnxb/0N9 +/8fDKVh/TgW8lR8LzMMp+O1pu6nHTiVJHo72f2tr7ULrzIJ5uILfYx6uYMw8 +HOVLu+lTY8pESB6u4HM+n63isateazaNTRV8PlvFjDjnaQudqT/87/lsFd08 +YvwjP+qBz2er///v+Xy2iubNA2o+yToo+Hx2wed8PlvF9x21QsVPHxR8PltF +1by7sumZCfh8toqtZ9Ya/TXVCHw+W8UB/p+LXemaKvh8toqLRi6q/fdSCvD5 +bBV9qyWWvTsqBPh8topaXJHYhyUtgs9nq3jiyVrb2lqG4PPZKi68UqTrqQo+ +gs9nq/jgprmF/YAAweezVZz/622ZbiV8gc9nq+iwFCxrToQAn88ueB4+n61i +g7ZBeS2mUX747/lsFU9mGS+Vu50u+Hy2ih7rw88P3Zos+Hy2ihUudDw0tXqc +4PPZKo7MGNI1uIMe+Hy2ilt0Iy93vOUv+Hy2isevfY3JGegt+Hx2wfX5fLaK +G4qGNdGEAfh8too3tVaLqx06IPh8toqmw5+q/4nIFHw+W8W8FUkj4yIPCT6f +rWKtsxE39vyMEXw+W8XV4e7NTh7eK/h8toqLJ12whKTqBZ/PVrHmwNzeZy2x +gs9nqzi8y8zX3WPiBJ/PVnHerIEuWfuMgs9nq3i5+snYFacCBZ/PVnHbjEIt +mrdPAj6fTfdb98xFtYW74PPZKs5+vN+aNtlN8PlsFbttntuxcuF44PPZKtq3 +dzw2aIxZ8PlsFZ1/Xhxw0SNW8PlsWp8zRhTdXv+w4PPZBfPF57NVrOPuePZF +OX/g89kq/m4272qvK6GCz2eruLXrt9KO1WIFn88u+Hs+n63i2oSLug7/pAk+ +n63irYjtPSHfJNhXomJW+QVLex3xFOwrKfh79pWoOD6z0Zub8QGCfSUqBlqG +vMlPiQf2lajYeYSTxbjPDOwrUXFf8Mj34S30gn0lKqZ1OKtr9sxLsK+k4PfZ +V6Ji9z7FDZ86eQv2ldB+8526oR/Vj+wrUXFowhDviCERgn0lKkZ9trm4ZUQ4 +sK9ExezsEiO1UcnAvhIVy+9O61u+mxnYV6IiJHz9fa5ypGBfiYpdFoQYIrr5 +C/aVqLjfrbhjmWYRwL4SFYM29sgtaR8o2FdScL/sK1Fx6qa9j9yqpgv2lRR8 +zr4SFR23NJ0z4kaGYF+Jikti5rQZ0coI7CtR8aN/zuutxxMF+0pUjE8cubJz +to9gX4mKLZr8nHxpdrRgX4mKRz73t69E+Y99JSp6JjfR766WLNhXQu+n2NnL +x8cnC/aV0Py5pHQamK4D9pWoePhhK+O6demCfSUq9rB26rzPnC7YV1Jw/+wr +oXizsPvez209BftKVAzPi9js1yxMsK9ExfTWy1qpvjpgXwm9j0adFlb3zhDs +K1Gx8h3b9zv+GgX7SlQs82OpdzktRbCvRMVOe5f/ajQpANhXUnB99pVQvOmV +2ra2Y5BgX4mKPxNfp8Y5RQD7SlQc1ObLn6iWfsC+EhWL7hhu7KAkCfaV0H7+ +0G/Enu86YF+JimM/3D8c/a8HsK+E9ndSRbF8tU6wr0TFVxOdXIPtfIF9JRSf +xoR/f1lIJ9hXUnB/7CtR0b1B1zKfN5mAfSUULx3sQ+9ZQgT7Suj7dkl95g/O +EuwrUTG62O1zLptiBPtKVEx2PDdt0zijYF8Jzc8N11zH0EjBvhK635LrhtW5 +FivYV6JiPcuqE4tGRAL7SlTsqf81+ua5AMG+EhVfrGowac7dRGBfiYrLmtV6 +W75LpGBfScH9s69ExcLHijVo2CZOsK9ERcufryH/ftED+0pUPLr+QcLhHmmC +fSUqNvqlPYyqkSDYV0K/p/6eNuVapGBfScHvs6+Eru8w6M/wlQcE+0pof7t1 +HXo7Olqwr0TF9Qv3OCgOqYJ9JSret47Nj7mpF+wrUfHCuinLyzRPEOwrKfh9 +9pWoeHX3kPU5HdME+0ooH0V169x4uRHYV6Ki0+0anV07mIF9JbReVgYGPS5i +AvaVqKirVcmz/cK9gn0lKvqXHHH28bYswb4SFW/Pd/l8cZtBsK+k4PrsK6H8 +mfxPjQVOhwX7SlQ0JC/3MDU0APtKqD6YM650uWM+wL4SFf16l3FRxqcI9pUU +/B77Sihe1F3aJPl9nGBfCa3ft4tPuipRwL4Sqhc+1KrX1D9dsK9Exdrt9lcY +8C5VsK+E3s+hOj0P2OwR7CtRcVN4gza2OQZgX4mKualq2rh20cC+EhXnlhZR +Q23CBftKCu6HfSUq6ss7139R3h/YV6Jiwo0SWs3ysYJ9JZRPf/jWalknQ7Cv +hOqPD61Cxk4KE+wrUVHE3fLueOOAYF+JimfPjLcGdEoR7CtRccHKUTPCfmYJ +9pWomFipzHWHn0bBvhKq5xpWq9jSLRzYV6Lin+pL5kFeqGBfiYrWWTV3vdN5 +C/aVqLg0otNWtw6hwL4SFa+7rGy4d3kksK9ExZb2DSuWsKQI9pWouNPjSc7F +mkbBvpKC52dfiYpPykxz6XouWbCvRMW+pmrt941KF+wrKfg++0pU7ONw5XfA +hVTBvhJ6XmNQryJ2McC+EhX3BB4fcH6rRbCvRMWIb68rtpwTBOwroXzuudz7 +zAF/wb4SFU9HpI906WgB9pUUXI99JQVj9pWoOLq06UPr736CfSVUL0wLvB8e +cUiwr6Tg++wrKRizr0TF5/Nrru1aMUuwr0TFgT196l+ergf2laj4+UuVqI9V +fYB9JSpi/FdP3wqxwL4S2s+nu/+5MCcE2FeiYlLa9HtznkYB+0po/j4sKnk9 +21ewr4Tqr+N3LpWeaBHsK6H9UUh1PL82U7CvRMWX4PR4XNtMwb4SFR+NX+of +8TRZsK9ExapNLt/zHmQG9pWoGHMWPp8uYhHsK1Fx0qgtYzoYPAT7SlSc6Xd+ +SvyHSMG+EhXLvnu84N+BiYJ9JQXzwb4SqteHBt3JywgB9pUUfM6+EhUH2z2p +1cZ0QLCvpOBz9pWouPtwYEePynrBvhKq93b3mnat4mHBvhIVw4I25b4+aAb2 +lVC8N9t8yZkWD+wroee9/8LUZGIgsK+E1m/F6UMnhyUJ9pXQ/Pqcq/5wbapg +XwmNqxULmbDNCOwrKbgf9pXQeqtd1MNtiZdgX4mKByyf7T97Jgn2lai4PGFo +H+fwdMG+koK/Z1+JihPPd/sYUiNDsK9ExTXbqv5zorE/sK/k/8Sz//pKVDwT +fnjX0HQvYF8J1Tszp8y6V9co2FdS8H32laiY0rubWwtDDLCvRMXS82rAsuJe +gn0lBd9nX4mKV1zetL0+bjewr0TFVrMcH9cakC7YV1LwffaVqNhmsU+r13Y6 +wb4Sym/Dalfb0DJFsK+k4PvsK1Hx+7B+aD8zQLCvhOLFraZX1q01C/aVqNhx +zIDYJx9TBPtKVGySf+Jzq3kpgn0lNJ/7a1bcOSlBsK+E6s1/Dz7ImxwN7Cuh +frHa1quNloYD+0pU3Jhx+2qdqcHAvhLaf796VHDzjgP2lVD/UWtoreDU3YJ9 +JQX3y74Sqg+3h96yP2cS7CtR8W3pNxXeJwUK9pWo2D7FvTn6+wn2lag4btqr +NcXupwr2lVD+LB5Y8XZSlmBfCe3nNzOd/wQFCvaVUH83bXu2XU6cYF+JisVH +B91bcCVZsK9Exbuvpyx9nKcT7Cuh/tPRZu/CYomCfSUF98u+EhVLLpp7tu1u +nWBfCdWzkZdX9A+PBfaVqLgidmutHxVSBftKKB4uXTCp9M1IYF+Jiv8+itw8 +0StRsK/k/8Sz//pKVCw1r3fv5KxQwb6S/9T7a1a3zY4G9pVQ/TR2yuffX70E ++0qo/7l95Mmtm1mCfSUUvzuXnl3tmy+wr4TyT4OlUxtQPcC+EspXoav+nH2u +F+wrKbg++0pU/OFZbJZT8xTBvhKKN11PN/nSPl6wr4R+7/PvQrX8jYJ9JbS/ +XoeJV646wb4S6geCsotsXJ4l2FdC8WDhEY9xpdyAfSUqXrwyrs7QFhbBvhJa +/9kRK55W8AL2lagY0HxKr7qLPQT7SlRcV+7+YPd0H2BfyX/6a+Otykq0YF+J +ii6jLtsUiYgF9pWoOOzit7rHakUB+0qoHt/k3vfVTz2wr4Ti4/4+19u8TwT2 +lVD9XHTu11OjdMC+Etq/HTf2Df4YA+wrofoqMTDMtnEIsK+E+uGF+QlXDpuB +fSVUT/XzcTM0jgb2lVD/1vXqiinN9cC+EupvFth2Dq2cAuwrUbFclYiEPm/0 +wL4SqjfjHFvrfczAvhIVQ2u8bus8QQfsK6H4+O1JtStjkoF9JdSfPmud3Ccr +EthXouL5c5f1G9YagH0lKub/vlwpZkIksK+E+qWyI4bsy08A9pWomGecO/Dc +/UBgX4mKdkOyPTLjdcC+EhXf9E6a27dsNLCvhOL/420OjcfvA/aVUH32aNJA +20g9sK+E7m9STrSjXxKwr4TmJ91lp20PC7CvRMX+83Y0nvAxAthXomKHGy17 +N3W3APtK6PM6xe6H3DcD+0poPUz3nJ7bVg/sK6H4d3W861EvHbCvhPqXndvn +/QiKAvaVqLj5ZzP/J8ujgX0lKu799XHnUlszsK+E6vFzV/usuhAJ7Cuh+cip +diWoRziwr4TWZ8LXedU/7AH2lVD/mNq4nG1fC7CvhPL/0Pmp6VR/sq9ExSor +i/uuemkE9pVQP20Iv7kl0QLsK1HR7fUXx1yPeGBfCa3nnpMW3R1uBvaVqPhs ++e+d4+pGA/tKVGze717U2rHRwL4Sqk//pNVJ2mwE9pWoqE183m/WagOwr4TW +V0WPubOm+gD7SlTsNkG/sqy/H7CvhPrvD/Y+D0yxwL4SFb3mn955L1AH7Cuh +/jf3epTrDj2wr4Ty/Yh6N/PsooB9JdRvt/8673WaDthXQvntZrXw8RTf2FdC +8aN/VO+L9vHAvhIVv5awHO/RLg7YV0LP33vMu21T9gP7Sqi/vWdcNmthMrCv +hN7PjPzezQN0wL4SWs+Vi1753jkV2Fei4uK4HusO2sYD+0qov7o5semq6lHA +vhLazy4xPtbLccC+EhXvvaq0OaVLDLCvhPrf2JfXW/zRAftKqJ7M/HLn+jcL +sK9EReVj8pvOXkZgXwnFY+PRO/EfvIF9JSoOPXeqQd9UC7CvhPoVm6DEWuNi +gX0lVD95O74LfWUG9pVQfzM4/4Rz3xRgXwn1M9smnKl2PxbYV6Liyh+Xdlzc +GALsK6H7rdgF7JYnAvtK6PMZxTauSjAB+0ro/pTlb87e1wH7Sii/vN1Qt8aG +KGBfiYqV3vRNKfrDBOwrUfHEptx3PvlBwL4S6pe2lugVuSgV2FdC9XXlH47j +R4YA+0pUbOj08uaxd3pgX4mKXe+O8n7ZIQXYV0Lx4a7tgD79w4B9JSqmDZgZ +V+KXGdhXQvt/z8BeMdEBwL4SFQ9V+VXG+XgSsK+E1l/rZyOd7kYA+0ro99Vi +HxveSgX2ldD9eS6//KBtHLCvhPrFZeU61ftqAfaVqNjYX22b2t4I7Cuh+X24 +paNDHT2wr4T6v7px/mWD9cC+Epq/yscj6o0PA/aV/Kde//S9XBczsK9ExWYv +O23Q7w8H9pXQ98sMvujokwjsK1Fxl9/LzhuqW4B9JZTfHBPGeK2OBfaVqFjC +MNn5z70AYF+JimPeXm3iUFsH7CuheHag5oyxr1KAfSVUn3zvsenA5URgXwnV +L7pxZodiCcC+EhV3eLyFAbkWYF+JiqvPP9oR0skM7CtR8V37iXNPTAkE9pXQ +eoZCtjeGBAD7Sqj+aFhj0bP34cC+EhV18xPWBbqGA/tK6H21TI3+t7IR2FdC +/YjObVW70UnAvhK6/2dJbvUvxAD7Smj+N9abYPvOCOwrofjo8cRld4VIYF8J +zX8566OnnjHAvhIVMyu5dEo9HgzsK1ExeXWvcpuPRQH7Suj3TvaboB+iB/aV +0PPgMZt522KBfSUUj/Wdst7siwX2lVC9nWDwPf9TB+wrofWjltrS8oMe2FdC +9SPkDS+0SQfsK6H1Xzpzq12FCGBfiYqzdwWnVkyNAfaVqFhsdOa5UlPNwL4S +Wq/DZjt9uZoE7CtRMbwHOOJuC7CvhPrXvs+2O81KBPaVULzbegpb9gsH9pWo +eG2/XyPHzpHAvhIVlyRMWXI3Pw7YV6LiU/udjkfGRgH7Sqi/GLD09+P1UcC+ +EhW7T2tw4X4xE7CvhOqNyuZhwZ1jgX0lKo7wqrGn3OhQYF8JrY/qr5+27pgA +7Cuh+dLlLS5mMAP7SqieuFHf590jA7CvhPqxt64TPKL0wL4Sqm+SnLsuzY0F +9pWoGOj7d51xeSqwr0TFq+uPucx8mgDsK6H9WbZJlQ/jLMC+EtrPXrOuDm4U +BewroXg8M2fyDdsYYF8J1YcXah16MDQa2FdC9S34ti/ROgXYV6JixdYhxU/0 +0QP7SlS8NbvX/FEhMcC+Etq/vnmTvW7EAPtKVDxp7SCiT/kC+0qo/vKZWsXx +tx+wr4T6vdojdkS20gH7SlSc3nDer0X0PthXQvWL3bagCU1igX0lFM+37rwR +hXHAvhLan/N+PHG2NwH7Sqg+6bLSpsXzOGBfiYpLb1+psT09CdhXQvkr4t9e +5WqZgH0lFI9vrRoX+ywB2FdC/eyvu8sPNIwF9pWoCNrZvXtK6oB9JSpe7ubc +21UfDewrofU1W8EffkZgX4mKvXsF7Og3WQfsK6H1dW30js7hMcC+Elqfy9O+ +P1ATgH0lNH9jiyU/+pUI7CtRMbXo3NZeOSnAvhKqJ/Z9ialmpwf2lVA/FD1r +SM4EM7CvhPqZwZGrtqUkAPtKqJ/Ya7YvtDka2FdC+Xf641kXK8QA+0qo3vp5 +46ZnIxOwr4Ty+4Oq0TPWWYB9JSoOP7D2VFV7A7CvhPqpkc6nag7TA/tKqD46 +OvVdZcUX2FdC832lYRebrGhgXwnFh+yW26JOGIF9JVT/LfMzbrkRDewrof2/ +JGpapeUhwL4SFWd18hl++7MB2Feioo1t4NylnRKBfSV0v6uK5K4dbAD2lVD8 +K7PYe+aTZGBfiYp7Mm0mbfBPBvaVUH3sv+awn20csK+E+tkmVz0dIyzAvhLq +hyKnhXXZEAPsK6HnTbf58WBtOLCvhPrjsG+Pz0+IAvaVUHz2HO38TyUdsK+E +4qPhRMqJ3SZgXwn1n38Hfmn4IxbYV0LrtzYUuxFmBPaVqLhq+hq/+icigX0l +tL88Nmw+9DYC2FdC+bpq1vgaDeKAfSUU/+39xlo6moB9JSpmNzo9uPJYP2Bf +CdWD6RFjCi8yA/tKaD9ev3i7in8MsK9ExQWzj/iNOm4E9pXQ+nVuctE8MAbY +V6Lipc/zn7YebwL2ldD+7DW9dtmqOmBfiYqvbY3d4j7FA/tKqN8t9S59f1cT +sK+E8llksSKXX+qAfSX0vCeHhAx3tQD7SlQ0d/TsfviFGdhXQvnh2YujjzZT +PPuvr0TFIgG1zn8s4wPsK6H6cfKRMtvsAoB9JSoGJzr9fusXCewrofgVvuqI +2/ZAYF+JihkBXa5ZlpqAfSUq+rT/Hfd8XgKwr4T6r+D73x4ejwH2lag4Z/XW +sSEPfIB9JSp2erBywceeemBfCa2n4aePr7pgAvaVqDgo7cn0QtvjgX0lKr61 +251ds7YZ2FdC8+XdNvtA11hgX4mKMY1mLd5fPRTYV0L9QIKpavNC7sC+EhVn +HC///lkVHbCvRMXO+5zqj0ozAPtKaP1vuX/gim0ksK+E6v9+JetVWRsL7CtR +8dfXbaX/E6/YV0L1hvhbckppA7CvhPJB0SDz5PpBwL4Sqidfpzd+2T8a2FdC +6zv00MXW05KAfSUqDpnS5wg8iwf2lVD/8NC5r8MlE7CvhOoNh5/VHjnpgH0l +lH9mDihfY0kcsK+E1vtN49FS3fTAvhIV6xeP+1jdMRDYV0L7y1Ly3s+W0cC+ +EhWfvMldeeZgDLCvRMW/Yed3f6X1yL4SFSOO6g/3am4C9pWoOKlkxp2Fv32A +fSXUf+70uXghJwLYV6JijUUzc0aM8Qb2ldB+mlvSL5/yH/tKVHQ9vsa7ZH8D +sK9ExRsp3YrbXbQA+0ro+vVWlexXNBjYV0L5uftRtWivFGBfCa2/Pc9C6vcN +AfaVUH3hcD3CfawZ2FdC/SM4e83aaAD2laho2y23UeqeVGBfiYpHdEd8He0s +wL4SFb+sCToyt2IksK+E3vemeNcGmhHYV6KisV3vxo9+xAD7Smi//0n70LhI +ILCvhOLBTo9H9u2DgH0ltL5F+LmGSwzAvhLKf6e2Vj4+OBjYV0L1TVER0eCx +F7CvhOq95T+KdymtB/aV0PO0quPeZGMcsK+E8nFj91E7Y4KBfSUqri27vVz4 +8RBgXwnVQy+rJVXfnADsK6F+8mNWzbQDXsC+ElrvK73U8AuxwL4SFR3nV6k8 +Yp4F2Fei4vdFcQlTfOOAfSV0P9W7fqrTJRXYV0L107Oqg+8O1AP7SlQc8Kv6 +kpR0E7CvhPJHZPCF155mYF8J7ae9JbQxA83AvhKqf5Z+PevSIQLYV0L7vfn4 +k/XnmYF9JfQ8tt8fT22TAuwrUbF6SbdBeW2SgX0llI/O5s05sDEa2FdC8/t9 +4uxKLjpgX4mKt0vc7Rs3cz+wr4T6h0rZU8uuCQf2lagoCg33cT0fAuwrof56 +IRQJ2e4D7Cuh+NjtSoUxA0KBfSUUb5JrlXG9YAD2lagYtDex8NRlMcC+Esr3 +z3T9rlB9yr4SFft0/PEyMNwI7Cuh9Z16Oi1kayCwr4T217zvu6f21QH7SlRs +ajrhuthbD+wrofrnbJ8K3v5xwL4Sih+Jm9+VXhcL7Cuh/e7dYvzScj7AvhK6 +3xOzfceXjAH2lVD9MKSH2+fuwcC+EuqfjX5VZvXzAvaVUD92/UIX8914YF+J +ih3t+w59eiMY2FdC9W5o+/eT4+OAfSVUH7cY3L7iUj2wr0TFOyOuzfk03QLs +K6HPH553eDzGDOwrUbHXs495kGsE9pVQ/d2r9fyxx/XAvhIVC7f3OVo/xwLs +K1Fx/tcyqxYnUTz7r69ExWOY9yzGzgDsK6H9+Nuh2qCEVGBfCcUv/29Pm2n+ +wL4Syu8zvOuKfAOwr0TFKU4/HzQ6bQD2lVA9kNzj+8pbUcC+EsrH919tCp2o +B/aVqFj3R6uH3X8bgH0lKt49n+w6pacPsK+E+u/KI7zHDkoE9pXQfprUP3ZG +rhnYV0L1S6cds/TVYoB9JSoO9kv5gA5BwL4SFd1H12w5/1AssK9ExWqiaobo +GA7sK1Hx+oy52HF+KLCvhOLDvzmWcYfigH0lKg5LWWSJH5sI7Cuh93+w4cBR +K3yAfSV0vQWhd7/diwf2ldD+r+C0t3fDQGBfCb2vD59L1vdIAvaVqPjmTgX/ +rNoGYF+JiuvtHCv7u/oB+0pUbJW/3MbvfRQwD6fhu1WPu/WvZgTm4TScs2tB +x5G/4oF5OA2fly1ftlqbaMnDaVh64ZJws28gMA+n4QXb2f/mVQ0F5uE0nO5p +W/XoKTMwD6dhnbyP3+3qxgDzcBqmF3Ys/va5WfJwGorS7w72zvWRPJyGzp9G +NZ4wPhKYh9NwbYS/TevQaMnDabgvTFwKNe2XPJyG4bsnzrmfGALMw2l4t9WP +/r09dcA8nIblkk9eHhdkAObhNDR9Tdu2abMemIfT8OPjeuHx0RGSh6PfW5+y +0z1HL3k4DWfvdtu9tEwMMA+n4br4SV83nEoE5uE0TPL5OqaBiAbm4TQ8fK+M +3+VG0cA8nIY+U5/2vNk2DpiH07Be1QO9H/RIlDychr/u6wbYtY0H5uE0VHp9 +2pO7Jg6Yh9Pw6eYBtQ5XMgDzcBoeGzJZbTU+RPJwGr52eOxqP9cEzMNpOPJM +j5wuJ4KBeTgNz9v2P5pVPxqYh9PQa5i3Q1MbT2Aejp53wK4BFZrogXk4DWe8 +6uO6zj0CmIej+SuVfe/dwhhgHk7D4gGBCwcP9Qfm4TSMP+kcd76TDpiH0zAh +d33Fpk/jgHk4DX+3NLtsGWmSPJyGV4Y/XXp9uBGYh9Nwd8b1Fo4Xw4B5OA0/ +zbW51H1tguThNAyp9m7zzJdmYB5OQzynvv0NocA8HH1/wIm/vQ9ZgHk4DfVX +J/5+oU8A5uE07NC4rjU/Wy95OFpPPw5cqLXXCMzDafjyR0W7axsDgXk4DSOD +ExtWG5sMzMNpODe3wqDnuz2BeTgN81NW9M8/mAjMw2lYY3/mxhqz44B5OA3n +7ZuwYsy7aGAeTsNNPqHGbZtMwDychsG73z6LKW2SPJyGef4uTlVLxEoejtZT +j1HD7GvFAfNwGja1+Vlqzt84YB6O5jcv4Ibv9ShgHk7Ds+vrp3asawDm4TS8 +eeucq3tCAjAPp6Hr+jI7cgMMwDychiNelCr0dqNR8nC0HlYfnT2D+inm4TRs +++G1al/cX/JwGv7TYWvOzuxwYB5Ow4dhrT5umRoFzMNpmHarwZYq5WMlD6dh +nwu+1VwrpgLzcBraW7e39XkeA8zDafjt40C7kXaRwDycRvV1UusOX92BeTgN +b7S8Mjv+uwGYh9NwUaG9xsbtYiUPR3+/e32DYWNMwDychhNv7/jxlfov5uE0 +DCh+NbcCxW/m4TS0mg6+XEnvl3k4DS1FDkaEFk8F5uE0LBvzcbfzzHhgHo72 +w7LgPYH3zZKH0/CHeU7/fk8twDychicmZE/ZEmEC5uE0jKs4v36m5gvMw2lY +tOpLl4bBYcA8nIbPnng9+bIoHJiH07DXz3s+M4zxkoej93HL0Gj8KQMwD6dh +A29l2tpLUcA8nIabuyyYMjYrAJiHo/XcesvqrxlhkofT8OjuPe+31YoG5uE0 +3PJuqN+yP3HAPJyGA/NvVdnZN0zycBq+8n9wPGeATvJwtJ72/Dtp5cxAYB5O +w3bxMxosiDdJHk7DFZ+Pfv9WJknycPR7H74P/vzCB5iHo3i643xv/ReD5OE0 +HLd+xy/b+t7APJyGFUKfBvV6HADMw2l46ZV9vYB/dJKH09DtjiHIaDYA83A0 +f5MnPWv0OAKYh9Ow8cSFa26kGoB5OA03Hrfp6LvQCMzD0f66Ur7l2udJwDyc +hqkjVn/q7BICzMNpeGTW1u1Rq//Hw2k4bezXoy9GxQHzcHS9984HvoQFSh5O +w/4965+9288IzMNpuLNpmTHFUAfMw1F8PKWbf6ZJBDAPR/mgVpUiJ9v7Sh6O +8te758cr6cOBeTjaD4UCfl700APzcBqOHte6WqBBD8zDUb6d/M9OOxszMA9H +629hz6wjuyKBeTjaD/m+3V9S/8w8HMVPsPd79jEQmIejePSjX5g+WQ/Mw2n4 +2H912rpwHTAPp2HN+jNvbqiVAMzDafg9vvSugBGJwDychk5lpinX3iRKHo7y +Z+T1Z0tXGSQPR/l2eHCmz5BoycPRfvu99lqpOfHAPJyGd8wfPjffagTm4ej+ +SxYZ/HJ4CjAPp+Gj6tq69+vCgXk42o8DzVu8vVOAeTgNr889vG2GW7jk4Sh+ +J3mYJ4zWSR5Ow882I28PeJAAzMNpuDK4SY/HJeOBeTgNI5qtMb19YQDm4TTM +2PTygctVo+Th6PdXXU8Jco8G5uGo3sFoz09LU4F5OA37ZszVwVEzMA9H+WF+ +xXNVHMySh9MwKqdJw7VFEiQPp2GVT/6WDrODgXk4DX/evGHzcr0FmIfTULVW +L6I7Z5I8HO3HxBjVPCIMmIej/NJqZG/nSRZgHo7ikb7Hmw02scA8HOX77XmX +LtSLBebh6HpHaswN+KYD5uE03P9l075Kg+KAeTgNvW/tqNMx0wjMw2mole3X +qlrfOMnDaRg048jZNiIWmIej+6lwsI++rT8wD0fxKfmfPbO2e0seTsMHYzqv +uXLHJHk4Df/9d2GpmFHJwDychjYZ/9x/HRYAzMPR/W9s/+LylTBgHo7W16T5 +bwxjE4B5OA0PLX0499fBcGAejuLb7M41eljjgXk4mr9tB0vnPdQB83AUH64m +dSj+NkzycFRPNXebmLPADMzDafjhemLEhYkJkofTcED223oD0hOBeTjKJy2b ++n3MMkoeTsNqlbcuvWdD8ey/PBzth0Dn+d9PRAPzcPR+g8+sbbhbD8zDaVir +YVX3HtnBwDychsuz++dUtI8B5uFo/Y6snJ/bxgzMw1F+HJHp0uirEZiH0zDr +aHb25Po6ycNRfHd9N3RnxSTJw2m4rV3ZT17efpKHo8+vH/q0aXGQ5OHoenYz +OsWa4iQPp+Gwd7pbD2x1wDwcxY+kVR2jKoQD83C0/5elX9k1ej8wD0fxZ/3B +1NxjemAejuojY8b5Clf1wDychqX62EYPD/aXPBytr8mX+1buHAvMw1F+cP4+ +3fowBZiHo3y7YM2xYYY4YB6O6oVp90MztWRgHk7DJaYvXzzqxALzcBpee7t1 +2NLIVMnDadgkbHGd4otigXk4ivdxn0sPXRAIzMNRfOhS1rHWP0HAPBzFj1El +X5xMjgfm4ai+nfqkg1+zEGAeTsMuGTZbqzaJkTychudqrKg7cGaM5OHo/X0f +3dRaOUnycLQ/Vjr4tylhAebhNPR7NrDv/Z3xwDychpc9Koybnx8oeTiaX/v8 +Bj0qpgDzcBou+FineaPgSGAeTkNb75xvA1ubgHk4yi/O1RMm60zAPBzlI12v +jZv+NQHzcBS/RXpJ1+oRwDychu8vTkkabLJIHo7y22OfoTt6xQDzcBrOvxo1 +IynfKHk4qk9+LYr45BQLzMPR/KUP6r/DagTm4TQc5dD05SJjmOThNGz/e/Pt +y1XSgHk4iif1Lhfb3SsWmIejej79fX2bjQGSh6N8vTzc25KRAMzDadj5VPH7 +Z9oYgHk46kfyarb6UywZmIfT0Li42dXFsTHAPJyGKUuan7ndPg6Yh6N+aYgl +o9shIzAPR/VTzEQxsFIyMA9H9YWpVfyAg1HAPBzVMzMqb3d/mgLMw9F+/afc +63c/dcA8nIZvkubDlyXhkofTcMOPHT+LWT0kD0fx5edBa2DRGMnDaehS9tTe +L45Bkoej9TGz6KMRPiZgHo7md9+Ow8POhgLzcLT/S+PC9UNMwDwc1dvln+06 +mGYE5uGoP9x+pJlxnwWYh6P3fXSqS2JLMzAPp2GPF4vtB/8TBczDaf85D1Ji +Xj09MA+nYQury4kqVN8zD0f387LGS8838cA8nIbHt7R8XuZTMjAPpyFUW/1z +4c1AYB6O1kfDFWdL1DAB83CUj7dUtJnnGArMw9Hni+r26aKkSB6Onn9Sz98L +/1iAeTgNC5kyts7oliB5OA1vX9zXPtInDZiHo/5qfb1DoyvGAvNwFL8rFhoz +e1o0MA+nYfcQ/d4nP8ySh6P1d+P+6p+booF5OA2HnP+RdWlzEjAPp+HXNstW ++AyIlzychmElg3ou7hkDzMNpWKxq1JEJSxOAeThan9lXVwHVx8zDUTxaPrHu +1r8pwDwc1Zupixp8qxgNzMPR+p7+2amCOQ6Yh9Ow+dxd970cLMA83H/qz/x2 +kTo/YB6O8ofpTYzrXn/Jw9H7P1Vk9PpaycA8HK2fVuNSd3mGSB6O1veiYvqA +HinAPBzVD4MaaH2LGyUPR/lRWfo7MNAEzMPR+9o+yHmo8JI8nIazfpzcqstM +kjwc7fftTV/bhMdJHo7235Gkbs72EZKH07Bjp5drSvhYJA+n4dbxZescvBor +eTgNh2dd61bBLVrycHS9bp7FZosAycNRvVrFs1yZkEDJw1E9Oi7DbvGQ//Fw +FH++L5m4uk6S5OHo78/7uc15HyZ5OKqHmp13nr3AJHk4Wj8+VdvONARJHo7q +0x39+686Gid5OOrHHHctfuIfLXk4DTsNd3jS6kOy5OE01K3zX7xxjFHycJTf +dW9mVDyulzwcxffcPa2SqV9nHk7DkoPTa/x6mix5OMpfAdsGbdvnL3k4Daf3 +HDtBqRkteTgNtz/1aF6m7/94OA0dH+kz9vYNkTychl9W1NfZXouSPBzNx+/j +Dzvc0kkejuLHtpjtaw7FSB5Ow3tTJjk9s4mSPBzVg/65J+pdjJc8HK2nzo3y +BtonSh6O8ueMhg751lTJw1F9/3nC/KwHJsnD0fUXLL1eMilV8nAaHjTd9LJp +kSx5OMofK7sVG3g0RfJw1D8/vzi5XjWd5OEo/25vuV43Mk7ycBpOaHauyOl3 +BsnDaTg+u/nEl2Exkoej+nfyx7HtrHrJw2l4vvDHG20XmiQPR9dfbJ21dlKC +5OE0HBP9sW6DcC/Jw9F8rBl/v56nr+ThNMw+a3fpdz9/ycNpOLfvoEON/HSS +h9PQo1X/tZGFoyQPp2H9AYWdRnU0SR5Ow+QduTPmhOkkD6dh8ZZvs10aBEse +TsOcU77Oq+unSR6O5nvRnFo35pglD6dh20H3zhctFy15OHr+8ova2PiZJQ9H +8eZmizv3J0dJHo7q4fM5xdc2TZE8HM1nzX7TvIamSR5Ow3q/vw9dfTZB8nAa +hhaf6vRhiEHycLQ/YgdOmFwyUfJwtD5rRydObZcoeTjq7z8ml9SmJEgejuL1 +6Ebru4zRSx5OQ//FDoUc9iZLHo7iVfrWMxGNUiQPR+ujuX+vltOTJQ+n4ch5 +A6bFbAqQPBzFb2uP8oYQs+ThKH/AlqEDzImSh6N8eHLAEcftZsnDafi225qw +6VEWycNp+LDM+ICMbKPk4TS8Oqr7hrpVjJKH03DHjZLLI95FSx5Owz23jq3Y +0ClJ8nAaLnuxdmByfozk4ah/cI2589RilDychvfPDGnQI8IkeTiqv+o3/WZf +xiJ5OMqPji1b9FYNkoej9VHL+CAlJ07ycBpW/BiXWrGnSfJwGvYyu6WOPBcj +eTgN2wxz2XfXJkHycBpWTR95odxfi+ThKP7+3bUqulqK5OE0fLGq37PDZRIl +D6fhzGMf+ryfbZY8HNUjy3NzZ472lTwcxdeJ96e3qRkseTgN/0QvchtI/QHz +cBrGnnw6rAO9b+bhKP78OrU5eFqg5OE0nLf7gm3u/HjJw1H+2xZXoVINo+Th +NCx8K/j54mvxkofTsPKAsX6j9KGSh6P8a4nw2qDGSx6O9oPXO8v63ybJw1F9 +Xv9bpdr/4Xv/y8NpeMzoYbv6w/94OFr/Dx4YbtdOlDychtV72D667hMseTiK +F0/GT9WOmiQPR/l9U7M/WfPiJA+n4d8+w/N8VoRJHo7yYfKTLu41kiUPR/u1 +nt323x1iJA9H188MGZHxM0bycBpWaNZ6R88acZKHo/XU8HlyD4rXzMNRPzV0 +bdUwx2jJw1H/+2J2l9axCZKHo/mYtLSUA+V/5uE0rJTl6+rdOkHycBomtrp0 +yDFLL3k4DY+6bb3W7V245OGov9h0cnSDkgbJw9F6Wnzy+RovveThNDTMm15+ +eIVAycNp+Lx4/lqHEp6Sh6N+0btBjkOFdMnD0XwUyn/0dI6X5OE0XLnl0bNK +FF+Zh6P6ItktduuAWMnDUf0fWCpcOR8jeTgNFzvvCF2xJ0nycNTPT49RZzqZ +JQ9Hz6s4BzkUTpY8HMULW99lNSKiJQ9H/c6+wUcyp6RKHk7DhVv6bSjvpJc8 +HO3vnYdC+570lzwc9Zttrsy5mmqQPJyGXcf4exVuoZM8HNVLS5wPfn/lK3k4 +6rdyu9VJXxMteTjt/583YB5Ow0xL6JRfyf/j4TR0mLhs0LtKMZKHo3zx4NDm +dhGhkoejeLy/2L7ei0MlD6fh+vzUyEGnEiQPp2HpyPNbunaIlTwc7efjQb2X ++1kkD0frd7b9iKLfLZKHo/U+qefSA4nJkoejfmx0Yc/iI9MkD0f7td+iSmfv +GyQPR/ly0JQ9cb2jJQ9Hv3fHL6BdTKrk4ah+DUhx3jr0fzwczV//UNtya5Il +D0f5cfXRSU1PmiUPR+v5XrDdI4pfzMNpaD/MJsg52Sx5OHq/t56VPRpuljwc +xZMU+13dPc2Sh9PwRPLeJWep/2QeTsPy08cP6vs0XvJw1A8cfHbtUtlwycNp +OGXj8zV/gv0kD0f1ZsOanr9X6SQPp+Eg7/SV25pZJA+n4YF3vaOcf0VLHk7D +z11LVXOItUgejvLnvH5//hwLljwcXd/+TP9KqT6Sh9MQj0ZPd9sUL3k4Wr+T +O/XyPGCSPBz17+42exb3i5c8HNULP30LXRn5Px5OQ/f1pZeumhMreTjq/8vZ +3Bty1Ch5OKrHkpqlrG9sljychnebp1ZTPhskD6dh3qjYa2/uJ0oeTsMrQ/Oz +i60xSx5Ow21tXyf22GyWPJyGv5Y4Pc/d9T8ejp438fqLOx+jJQ9H821Xpern +YZGSh6P48KPG7jONLZKH0zDgTxfYMiVc8nBUzzZPnOI3Il7ycNQvBRhNf1Sz +5OGoXt6e65l1K1XycBp227v9/rZZkZKHo356s4NxWtlkycNp+KTnhml92kdJ +Ho7W5+duy+sNjpU8nIaBa1P7H+vxPx6O4t+HR9rph3rJw1F98D3lvkfdOMnD +/ef/G1Pdv85JkDwc1SNfSrbqcjtJ8nAaBn96WzlvYIbk4ej+P16IHtQ8SfJw +GjYsnutafVm45OHo/Xisvr8kPULycFTPTJ02a8zzWMnDUbzt//7FyncmycPR +/lve7eiwKL3k4Wg/3d6XHvEoSfJwlB9a1DjY3y1e8nC0ni7NfOjeK13ycFTv +BYz3Xk31DPNw9P12VhvnsBDJw2l4sd3Jg4MXGCUPR+tjied45ZlB8nAaTqyR +O6nqpCDJw2lYYv5VW1s7veThqP9qOHzOF+q/mYejfJdSanifcTGSh9Ow6Afo +GV5CL3k4ul7zqy9XtNRJHo7iQcavr8pug+ThNDSt7Ru68neC5OEo3g1L77/Q +O1bycLSe9mc/zLbVSR5Ow995jRzaRKRIHk7DLQ6Lhrno4yQPp+GMM4WPl98e +I3k46j/07tPSQ2MkD0fx3+Zs8ZX9YiUPR/F3Wq02D/ZZJA9Hz1fdYXV8G6Pk +4ageM/it/hoULXk4Dfs57agwYkGS5OEo/9V53/1hcX/Jw2n4+szV3HfzEyQP +R/1W3PhxXnd0kofT8PHJgJRomxDJw2m4ZvS6SaceGSQPp+Fs/6xWU1NNkofT +cNf5jfFVriRKHo76gTHes0XJcMnDabh58Uw/z/qxkoejerXH+sIhZovk4aif +CFzRcPzLOMnDaWiebM0esFIneThar4/rtEn7mCp5OA1Fxc2V695PlTwc/f7M +U8l25WIlD6fhtFlVNq3PjpM8nIaefcv0vVgsQ/JwtN/r9nnncDJO8nAa1u6b +W67DK5Pk4TRM2lWhvcNZs+ThaH+0G77yxqFoycNRvbHvYP2E7jGSh6N6oPqY +r/W6pUoejvrdgOvpsafjJA9H9zPt85xXyv94OMqvva+e2J5jkDwc1YMdJs04 +9lAneTjaD3uiekTmJ0oejuKdOaP59VCL5OHoej+qJE8wWCQPp2GrYt9bv8mN +ljychr4Xczc0qZUueTian8mlQiofjpc8HMWD1f5LFtUIlzwc9U9OB2Zo39Ml +D0f5RWubMNyolzwc5c8Gpc40oPfNPJyGW3v3ORlC+Zp5ONqPVao93tomVfJw +1C99ctjbZUqU5OFoPS68vvb0HYvk4ag+vlNyuuOMRMnDaRi2r/zrBrl6ycNp +mFuryrLvpyIlD0f55V3tPvb5RsnDaRg91/B3gFOS5OHo/nwrOBTvmyZ5OA3v +2A2bsa5hzP9r6r7DorqaOADbFUusiIjRqKjYscYaG1FANBgL9hLEKLaIsSb2 +BhZQUAGpAtJBeh0YmoBiQcSCGuwFW7JnFRVRvklmfPbzv33ucvfec0+Zs77P +b8XD/Vuv/OQws+tZYA9H87fLzFP6708DezhaP9/vd/CvHwfs4TQYUivv0ft+ +J8TDUX3h3dc/p88x8XC0v0qpf2/w4HRgD0fPN3zBP1Yd4oA9nK5eYg9Hz/dp +4a5lZbHAHk6DFdtv9e+1JgbYw1H9u9z7n9gEd/FwGjzwxtpzbL0g8XC0PlRN +yVUdc4E9nO787OHo+bqYfgmaFy8ejurBnPJZNxJ8xcPp3s8ejurB7n3vbV95 +FtjD/ft8n3g1fpIG7OGo/27qn12QGAPs4XR/zx6O6oG1h7c1+iZCPBzVR81M +3F3cMoA9HO13zLZMNTySAOzhNPhzbM7Lqa/TgD0crU8rF519k+0hHo7qJesW +3vu3xwF7OA3Wm3H3r2HXPIA93L/7vU1uBg3SgD0czfej99aqvJ0oHo7mP/Nf +WlT19AH2cFRPn8HRJnae4uFo/Z0blpDc8TSwh9Og3V7/Nl8axAN7ONoPrPaz +MNKcAfZwVM+/f2VR1fKoeDgNGo8e2uFEQLB4OA1WwtOoK3digT0cXc+TDa0a +PPIQD6fBc7O6Ro/UjwL2cBqs82VejvPcGGAPp8GhoQ8W1r/mCezhqD+4/r34 ++Jsw8XC0/4p+f+L8rmBgD6drf/ZwVP+bLPnJcLyfeDjaX3efPCpxSSCwh9Pg +h4Pb5wx9mA7s4TSY1/Z1l1LfVGAPR/2x1tMDfe1TgT2cBi13tAsZ7Y3AHk73 +eezhaP2tfWzNjrfxwB6O9nutalnFloSKh9O9nz0cja+Of5Q3uOMG7OE0OMek +TWJijyBgD6d7P3s4Da4x9hniMTYL2MPpjrOH02CVxYPJxq0SgT2cBp2z9zvW +mxcP7OFoPjmz+9Xsqz7AHo7qFXur+d5mqcAe7t//n33+04OaE+Lh6Pxvem2N +f+skHk6Dv697qhq/iAb2cDT+N4zZfWR4ArCHo/ntSNat/KHZwB5Od33s4TTY +yGGlZY86gcAeTuGCKM3WtEfxkg+nsMWB4ClPotIlH07pfj/nPw+ncPGjlp77 +zgUCeziFGy7smP+ddYR4OIWDX8Q2nhYUCezhFI7X3HXYmpYh+XC687GHU5hg +Vc+j1DZGPJzCXe/muja0+ZoPp7DIZPzElJJkYA+n0LvoxYkmK2KBPZzufOzh +dK/ZwynUzzxX671NsOTDKQysXXI7/YmT5MMptMuo0+q4czCwh1M4oebW3Tc0 +f7OH052PPZxCwwFOS06NzZZ8OIXTOvqp+Odf8+EU7m/u8+diPU/Jh1OY6mT5 +vsWRQMmH052PPZzCjTs+HPCMTpN8OIWm0x3dAj/HSj6cwqmn+gWfdE4F9nAK +86YeWlrd/auHU7hzVqMjc9dmST6cwgPhOxzcg9MlH05hy6Ipa6Lxaz4cff6T +oKLEAJB8ON31sIdT2NHOwXGknb/kw+mOs4dT2MPPJvPkQDfxcAotG8Wd3W4f +IflwCpt7NLo9zDRR8uF0f88eTuHdosPJp/5IkHw4hQ4v1xvMsHOXfDiFXS0j +lvr5hQB7OIVD9pR/bGV/XPLhqH+96es6b0ua5MMpvLP67OYhBumSD6fwdL3H +DYau8BcPp9Br5KAlcCoW2MMprG9R2MjOO03y4RSWGRnWTPrDU/LhFNbNtI3y +tvIUD6dwuMn8Wlc7+kk+nMLRBzPNjIrSJB9Od3/s4RSa3OpSZ0xOKrCHU3ho +2tKHE8/GSj4cnd/ly8NOXzyAPZzCcptZBg/NQ4A9nMLi5QPj/DBV8uGof1x1 +87vbOV3y4RRWZgUa1tQNAPZw1L8Le5hcsUyWfDjd9bCHU1iRNv/QrfMe4uF0 +x9nDKfz9XOT2QYddgT2cwh9+b7yjweFUYA+ncK2Rdd5CixjxcApTRkY6LvIJ +Ew+nOx97OIUx9fQ7Tw84LflwNL+cyTDaO89VPJzC741TzGKbJkk+nMIGmtj+ +IdYx4uGof8w2CV75PFLy4Wg+CgkqmHTgLLCHU9io/Zpg3zbxkg+ncL1P8zb7 +lxwVD6fwo2Pp+cRID8mHU/gu5YFv85co+XAKXXYHrs8yTpJ8ON31s4dT6BTX +IN46zlM8HH0+PPep3yhGPByNzxs5ZYlWQeLhFF4PjXhX9ns8sIdTODuyqW3j +5cGSD6cwenF15suNXz2cwteTrFLK7iQCeziFWc/Ch3glRko+nMI/N6y9Vbrx +rOTDKZzc0PBJ+NxEyYdTWOXpkPAqP148nMLN74fu+v5Bing4Gg+L1KHKRScl +H053f+zh6PqbXtH4FoSIh6P+bXW3+e1DPpIPp/Dl9xWfhwWHAXs4haifkdQ9 +JAXYwyk0chsRMOXWMcmHU3izQcvd8xvnAHs4hXue1J976H2w5MMpHDex/4zh +sb7AHk5hh43DrPJpf8AeTmGroXYHPyfGiYdTWG/hPYRuyZIPp3B53fyuOV0D +xcMpbLZ3tFNY2wDJh1PoEfUh5I1RtuTDKVzTJzHU8EWs5MMpnHhh4EEPo+PA +Ho763/TLC47ph0s+nK592MPR64N3nRz9z4qHU3imqse09b3cgD0c9f/hW0cV +9E2SfDiaX9PMXBJnZwF7OIV+I6Yca988SPLhqL18551/uSpMPJzCny2mhfXa +myX5cAoziu68m2MVKx5OYfDtAhuL2CzJh1NoMGFLsv2EJPFwuutlD0f9bfSp +axqfCMmHU+j6YXia3Sdv8XC697OH071mD0fjxe6P0p6tQsXDKVwd07d+l7ex +wB5O4d7TVcEdjM4AeziFrbtf+Dhz8knxcNQ/jzT70XyDh+TD0f0em3y4/c4U +yYej+cchyfr8thxgD6fQZ0jo7NC+buLhFNYMuBMxdXcmsIej8fRrn1umK3KA +PZzCHcVjDN0X50o+nEIYMc+0dUoMsIej9dhs5pBJNXHi4RTGWd4/u+c3X2AP +R+tB5YmGW45GSj6cwi1eLsO6DgkSD0ftP/em1nCPv+TD0eeV7m040CAY2MMp +HNPIcsiPL5OAPZzCF3pPXs56ny35cAqfWjft3cspG9jDKYxaei14x7oUYA+n +0GL7zU53PALFwyl0tvHoWdggSfLhqN74YVa1WUyE5MMpPP7k+h/rj8aIh1PY ++fS4p4YdQyQfju53tHOZ0fzTkg+n8A94+zJsSZbkwylceaj0Q/tTUcAejvp7 +wr3P/6zKlnw43fNnD6cwPGGEw9adx8TD6Y6zh1N4NnVBh/BWx8XDKfx119oP +455ESz4czQ/mI9tm7AFgD6cw5PGFdh9+D5J8OIVzP7Rr0CI6XDyc7vzs4RQu +LQyNqD52RvLhFGa/npjRPT4B2MNRfXN+77Ci1ETJh1P49qGa7bjYFdjDKUxy +X9yoZE+C5MPR/IVzN67uGQns4Wh9t9bzCzvmJh6O1rvFy//O3RgC7OF018Me +juqRSwP+bF3vlOTDKbwUalZuXpEJ7OFo/RhUM97xhr94ON3fs4fTvWYPR/PD +9TfuozZnAXs4ha/O6hs/WOEO7OGoHkmIbFvzTziwh6Pxb+V489DtOPFwNJ6S +Pn/uvjpS8uGonvnzu0dbRhyTfDiFhTsLH9et8Jd8OFof39bcXJN9RvLhaD46 +NCtu4ABfyYej9jbXn/rrTAD2cDQ/9vxpYaQCyYej9d57p0HAMH/Jh1MYP7Ha +bkLD45IPp/CB9YjLwUvjJB9OYZdaTey/2e4sHk7hb9P7nv/xfBawh1PYzuDt +R5s5rsAeTuGT9H1GX4LSxMPp2os9nMIBbsfn6y9Nl3w4Wn+tZ3e6EOgvHk7h +1smfO0Q9jBEPR/3bIu7KqFxvYA+n8PxBr7WvkmKAPRzNN3rDy4f1SJV8OIX2 +taKbNn4eKh5O4bOPpXq9Z2QBezjd9bCH071mD0f16GCnc/reseLhFAZ9tBue +2xwlH476l1GzF/XDsoE9nELbFcMHrLIIl3w4eh6dDhtvGpsD7OFofCw6nVr8 +2UU8HI0nm3eDTacHAHs46s+btxcdeRgh+XAKNUZzA7NnxwJ7OGrvBX/MWT09 +B9jDUb3pcXPj0uwkyYdTqNcgdKHRh3RgD6fwynav0S59/YA9HM13s29vMwnP +lnw4hQ2bWj1vssdPPJxCY7sWzYvGx4uHo/q8Z9Kw3cUpkg+ncOiaa6cWzTks +Hk5hWKtDG9x+SZV8OGr/jY/fLloKkg+nsFfSAHc1NVry4Wg+WW7zpO2NJPFw +NP+PbH265H6W5MPR+XtX11l6KxfYw1H9VOzSImxfgHg4et4HBhsMq3AXD0f1 +hXn0mmspYcAejuqbRbGhMxf7Sz6cwk8vHOoOKE8E9nC0H+zkkzCr2F08HPWn +K6c6lznkSD4c1evPns4+cKlQ8uGoPvyxR0J8y1zJh6P98MyL5UstsiQfjuZT +59GmmtNx4uFoPfC54XRg63HJh6P9a/8bxgOCsyUfTuGSWwdOGv/qJR6Onk9e +7s2f68RIPty/+5e109+F5wJ7OGo/P8+7zmbBkg+nsP+7Z91GdYwTD0f1e3Wn +26ZVQeLhqP0MbedutsgB9nA0nu1+v5mbkiAejtpzUXXkgg05wB6O+mPWXwVX +npyVfDhd/2cPp3DU0bFFJ6qSJB9Od5w9HO3Pfr7gfXBEJLCHU5hWL8fBr1uo +5MMp/BxZvmxth0TJh1M4cuIPY5tRvcweTuHAVRfr3EwKkXw4Gv92rTVJeomS +D6f7PPZw1H7mj+5bf8qUfDiFUypntkrKyJB8OIX5v751H7MzC9jD0fi/pmlW +1idB8uFofOT1exT++rR4OIW/dOsbYRKWJ/lwCgPsPdbZ7MsF9nC6z2cPR/NH +evsb09zzgD0ctffGSlPTqBjxcNRePfuFnnuCwB6O6ocKf4+NyxKAPZzCD22C +qqY2TwD2cLS/m/B4S5XNCfFwtB4OOLbQpZG7eDiqR8wiLo32SwP2cAqVzdQq +H98zwB6O6mn7xMoVlUni4Wg9sPHt98OeQGAP93/fj/zn4ah9fJr1jk5PA/Zw +1J5Lch+O6x8nHo7q7UMjArrMjAH2cArXdW5p98imENjDKez4pqOBuyYK2MNR +/3THl5MvJwJ7ON3nsYej591tQbu3JxKBPZzC3ckwvuOEM8AejvY/fSfbxA5M +Eg9H++1VHZd8npgL7OEU5nSYVueUZTawh6Px+6yxr3d3APZw1L86WXiazMkD +9nAK72m+W7Ld5bB4OIVmi01tPRzTxcPR85621eHHJtHAHk5hz9PXIrvaOwN7 +OIVjh//tceTFaWAPR/Viod6uO+PPiIdTmNxqRX6LfvnAHo76/zKP0LhBgcAe +Tnf/7OEUHt19dfUZqv/Zw+mOs4ej9c9dX996bjawh6P+uvVbk5/+igX2cArb +OtSqHtAyFdjD6f6ePZzuNXs4ur6y166r0mLEw1G9MfkF3LSIBfZwCntcqj86 +NroQ2MNR/fjAJMojLg/Yw9F+7ueWl87/Fi4eTnd+9nBUf2RbXs2YHQ/s4RT6 +hj81G0bzIXs4qqde371uuCsO2MMpNCmDa1ZX04E9nMLuiZaX06bEAHs4qu+y +q8sqrWPFwynchsUlgeMR2MPR+YsfnrfomiweTnc97OHoedYZ2kNvIQB7OIWx +rr1qbH9IBvZwCid9Wm/RJN5LPJzCWQ9q/bBGLxfYwymc/l2zFsGzkoA9nO78 +7OFo/xBg8Dnp+wxgD0f7s1HXu4+wChYPp1D7Te2Wl73SxMPRePm9W58p/ifE +wynsF1i/7r71IB5OYUlEwuXMZSeBPRzVBwHmFx+NjRcPpzA1c1KQp2GaeDia +P750Mll5Oko8HI3fak3uWruv+XC662UPR/vvzEt/VtoGAns43XH2cP9+3xXX +dH5SDrCH+7/ve//zcLTfmvPleth7D/FwuuPs4Wh+CjJZ86gkC9jDKaya06P7 +zqoY8XDU/2bYteq0/6uHo3oo1vnG2L/PAHs43fnYw9H6POvzcO3aOGAPR/X7 +z52nnmuZB+zhdO9nD0f1Z1bsp9RG2cAeTmHz/SG9ncakAHs4hdW3Mnd0/lQE +7OF0f88eTuH4id6TrJLTxcPR/PlWv0PzH2KAPZzCyI/GYTfP5gF7ONpv2eTk +LEtPBvZwCk+svLlv/8A48XC0fs6Nf/RtdCawh1OYovmj397BWcAeTqHHjed2 +JVsigD2cwqwNw0NizROBPZzu+tjD0fgZG3c34nG0eDiFTc1rdoVS/2QPR/Op +tt711yPjxMPR/uvzjcMzZ50H9nBUD04p/SHTI1M8HK03E1u3si8AYA+n8NrN +HcGj4pOBPZzCNhu3xkfqXwH2cDTfztqUlFXrArCHo+dXVDbDLSMX2MPR+mLs +c3M51Qvs4aie2OzWOa9BBrCH090Peziab/qvdrNvGwzs4eh8Ga5DJq0rAvZw +Cod9Hl1ivyhYPJzCbxtdWP7BJAfYwyk0NXhThipWPJxCx4KNlWfrxwF7ONo/ +u42bnT/oIrCHo/qj9Uunnt1SgT2cwr51DD4tHhkL7OEU3gjyvLc5+ziwh1P4 +04zzbcuuegN7ONr/du2TdWu7L7CH090Peziq9727r2ra/pB4OGpfj10n6u+J +APZwtD69czNv7hUoHk7himE117aOixcPpzsfezjqPxUP36eMKQb2cLQeBy1S ++fWzgT0cPZ9w21U3V2eIh6P6b5376Ir8fGAPR/P7ucPqaGo8sIf7v+97//Nw +NH8U1Xx52s1VPJzCY3/fi/7YJgnYw9F8UzLGy/x6ArCHo/6p39VlzJvTwB6O +nsedytphc7OAPZzu/OzhFP78bOOsyvGxwB5Od5w9HK1f5V6Ldh2MEg9H9VKX +5U+dp3/Nh1N48oe3eY8HxouHUzjPwbjrbdccYA9H9eAjx18L9l4B9nAKy39d +fuqcVx6wh6P96Z49zxb+dVQ8nMLcl/O6bBqdDezh/u/73v88nO41eziFSZ4R +2/r/FSkejsbbztrBhQtjxMNRf3IKW9usYTSwh9P9PXs4uv9vAy5PwXxgD6fQ +deHQcZPbRAB7ONqfdHl64e60rx5O4alLZnPahKQBeziFs0PS0+wdLgF7OJoP +12cHlLoWA3s4heZ9zVblbYkF9nDU/zs1u1E3KwvYw9H4MOzhHNwmUjwcHff9 +ssQ1Lk48nMLJ962PfD8pF9jDKXw1IHLd3eZxwB6Orl+vYVn8q2zxcAr/qXwx +PbVPmHg4hXsfznkTPSdMPBzdf2jxM/NDqeLhFK4adduzeOhRYA9H9ZPpg29n +DgRgD0fP47BHmnlYMrCHU3jw4MNNv5ZlA3s4hQ26mS5P6X9EPJzCx91+s23d +67h4ONrf9Ow8+7eKJPFwtN7brzxkO6wY2MMp3Of94+C2dH/s4Wh9toBmI6wD +xMMp3Bj9eq+eWYx4ON3zYw+nsE+nDQO3bSgG9nAKO1Xb7KmyTwb2cFQvPO73 +qHfXcGAPR/PVlOp+F5qeA/ZwCid+arLd7WIRsIej9dDcodH5yDRgD6fwTdj1 +no+NI4E9nEL/VPv+L8ZcBfZwCjfVBJUEbvAUD6dwWUmvcFOzLPFwCiO++Vxx +f00RsIej+mZn/vLdkVHAHu7/vu/9z8MpfLRp6u626wqAPZzCzLEv7kxoUQrs +4Wi9vDepJMA2WzwctVf7zC+NU9KAPRzth7ud/LbflCvAHo7mix6/5Jo6ZQB7 +ONof+fU68GXfVWAPp3D+1o/7K8bniIdTuLX+oNlXr6J4OIUL9I4s2LujSDyc +wiG7G59styhHPJzCtfErvo3OKAT2cArdn/a+Muu3r/lwNN+NPtpgh3MysIej +9uzkEXHlSAqwh6P6Ga0HtC7PBfZwdD+XO9qG30gB9nAKLf21r0/ZegB7OBpv +89vOP1onUTycQuMtN65XBF8UD0ftWTnNO94rHdjDKTz8wqWlleFXD0f1QoLT +shp1HtjD0fWexVsmXUqBPZzC20FmXtV0nD0czR/mns3GZN0G9nD0+WNX4l/N +ioA9HNW/tdIyWp7MBvZwCsMM8vZufJAK7OHo9UgXx7012cAeTuHOF79NMr3k +C+zhaL1o71+3Z3KKeDiFBfverhwfHwjs4Wi8/ek8R7MjTzwc7b/0Io2WBl4F +9nC0v5z+uPbufzyBPZzCHWsGpK10zQX2cAq/NAnIMj2eLh6O6iHr714Oul4A +7OEUznwwY+ergljxcDRerw06Gb80Rjwc3e/Mk+22bsoUD0fr/yWXlm4e6cAe +TuGMHvPC69hmAXs4ai+rX5oFrcoQD6dwROiZL02czomHo/119IrfH5ReBvZw +NN/94hw4bl2IeDjdeGAPp1CzqVH5mqO5wB6O6u9JE/q4LssUD0fvn1NvBY5M +FQ9H9Uzc2t52ViXAHk7h8tAFb2f2CAH2cArXLGgdWuh/E9jD6T6PPRyN/7FF +f/xJz5M9HM0/xRudjE1KgT2cwsTr/aO6jMoH9nA03/VcPMe+X6x4OKoXhlzq +nGRaAuzhaP68c2hGaXyMeDiF+huedXM2ugns4ag95nv/1NU+TzwcvX7bd+mn +hGTxcDR/PTW3NF6aJh6O9sOdXA0NNCXi4RRa6MUHf/mQIx6Oxm9KjwP6emni +4RRWGg1ebmSWLR6O6qcFfSqONCsVD6cwaJJLO4PeV4E9HNXXDc4+N2vhLx5O +4aXnZY52heeAPZzCmk92jjD7IrCHo3pj87aKqLdJ4uEUDipp5hg77754OIU/ +9nXtZLa3WDwcPe9h999Y5VwXD6cwvWz7c6eZZcAeTmFt257lqdEXgD0c9e+f +7//ZqX+ZeDiqNwzM/+417o54OIUJA3tNCnOKkXw4hU+XLWqz9NUd8XAKY+x9 +puoXFIqHo/66sLBv2Jx7wB6Ontfh8P16m9PEwyl0vru52cq5xeLhFF5cZK7f +MeAvYA9H/a+51fXut5KBPRztj3cqgws5MeLh6Hl5NbHwPpcl+XAKby6s3djW +M1c8nMLgeov6fp6UL/lw9P4j3bY7fDwu+XAK13vNeXd0+z1gD6fQ7tQbvynG +JcAejuqleo53WkbdEw9H4zOlS/dpNl89HO3v1rw+0ejWQ/FwtB541Phu2FgM +7OEUurVfOmXLhVLxcAqbNB4xxnHZQ/FwChvmeLWb0K9cPBytz1cquvednCYe +ju63wxLHzo3KxMMpbHxqW1EX+zhgD0f7D70DRoGGnpIPR/Oba/upBnoPxcMp +rGjVes+ZdU/Ew9F6UvzO3NK3HNjDKfQtjehy7PZF8XB0vjEPBkUNShUPR/Xy +7DX9Oly5LB5O4ZEh+Xtufzwj+XB0/a/r5H2+4SP5cFTfeKasC1lbLB5OYe8x +O7v8NfUBsIej9/tecVjolCcejvZvIzzsT+67C+zhdPMDeziqZ+ePKnw04brk +w9H+P31q48xz98TDKbzT77sl5+8WiIej+stoXZeyVtni4Wh/rf/axuHpVfFw +Ci8MHvj9zPp/AXs4hef++bnud9tuiIej/fKKfQ59NrwB9nAKVb8F0XqTnwN7 +OOpPRlv0xzuViIej+jBm3T2PWs/Ew1H71gn3nH/3PrCHo/EXlTqpzavr4uGo +nvcx8vVscgvYw+nulz0cPf/lXp+Mhl6WfDjdcfZw1D+dFs7d0uac5MNRvdHx +2br1BZfFwync36tOo29tKoA9HD2PWbbx73q9Fg9H841PGeR+qRAPR/vzb4pX +jZ6eLx6O5teThjFf5pYBeziFXi6Hz3WoviL5cFoMt/ryvpO2QPLhtNh7hGXO +vYCn4uG0eMMj5Ptx5feAPZwWjyWnBfd0fiYeTotux1yS9Pc/kd9L1eKF9lXV ++hvKJR9Oiys6mfgtPnpDPJwWvSvPwAjXbMmH02JkxLFxR5MixMPR9WhSjc48 +0IqH0+LkRXHfu31Q4uG0aBm9xyPA8Z7kw2nxx2u+E8vzz0o+nBbHGq+4b2l3 +X34vVYu/Vk8u6X7qmng4LV7tPy7aNvCueDgtvi4YX1RRnC75cFpc/eCpRVW7 +SmAPp8VHe04OLn/7BNjDadE21nK8b/1P4uG0uL5D7+VGqYckH06Li9o9NNxc ++VA8nBY3Hth2eJzxB/FwWsyf/92ztS3fAns4LTYZd3VmZV2NeDgt+gQ8HFR7 +wEfxcFosNWlYPmnJR/FwWozbWrLn94Ln4uG06Le3YmRkn0fi4bQ43NgoraxI +iYfTYoZPbvPQffUy2MNpsfDTQOu4Bi/Fw2mxtdZ7Y9ZqJb+XqsWqzNXPtsY3 +zGAPp8XOHS8O37u9RH4vVYvPVpnc/9xKI7+XqsXQuuf1c0JeSz4ctd+58idh +f9fJYA+nxfsHY3Z3ia2SfDgt2t+aW3tASIMM9nBa3Pf3jFb3zbTi4bTYPbnU +curFGvFwWmx29Hrt2XZNM9jDabH81aiPi2e/lHw4Lb4M+nGy5/XaGezh6PyP +v0nf9p1hBns4Lda5HD4m01U/gz2cFl22/umUZHJVPJwWe70e3M10c8PR7OG0 +WH2/YNBJV/3R7OG0uHNK4rhbY+plsofTol3X+Mx2VvUyL138999b3f+PeP77 +L3XM19f/A2leaeo= + "], {{{}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwl2kMUIMqSBcDftm3b9mvbtm3btm3btm3btu2JPrOIU5ta1KaUeRM0aFuh +TYD//e9/aUP973//xoAEIjBBCEowghOCkJj6v9CEISzhCE8EIhKJyEQhKtGI +TgxiEovYxCEu8YhPAhKSiMQkISnJSE4KUpKK1KT5t27SkZ4MZCQTmclCVrKR +nRzkJBe5ycN/5CUf+SlAQQpRmCIUpRjFKUFJSlGaMpSlHOWpQEUqUZkqVKUa +1alBTWpRmzrUpR71aUBDGtGYJjSlGc1pQUta0Zo2tKUd7elARzrRmS50pRvd +6UFPetGbPvSlH/0ZwEAGMZghDGUYwxnBSEYxmjGMZRzjmcBEJjGZKUxlGtOZ +wUxmMZs5zGUe81nAQhaxmCUsZRnLWcFKVrGaNaxlHevZwEY2sZktbGUb29nB +Tnaxmz3sZR/7OcBBDnGYIxzlGMc5wUlOcZoznOUc57nARS5xmStc5RrXucFN +bnGbO9zlHvd5wEMe8ZgnPOUZz3nBS17xmje85R3v+cBHPvGZL3zlG9/5wU9+ +8Zs//OXf5g9AQAIRmCAEJRjBCUFIQhGaMIQlHOGJQEQiEZkoRCUa0YlBTGIR +mzjEJR7xSUBCEpGYJCQlGclJQUpSkZo0pCUd6clARjKRmSxkJRvZyUFOcpGb +PPxHXvKRnwIUpBCFKUJRilGcEpSkFKUpQ1nKUZ4KVKQSlalCVapRnRrUpBa1 +qUNd6lGfBjSkEY1pQlOa0ZwWtKQVrfl3eLelHe3pQEc60ZkudKUb3elBT3rR +mz70pR/9GcBABjGYIQxlGMMZwUhGMZoxjGUc45nARCYxmSlMZRrTmcFMZjGb +OcxlHvNZwEIWsZglLGUZy1nBSlaxmjWsZR3r2cBGNrGZLWxlG9vZwU52sZs9 +7GUf+znAQQ5xmCMc5RjHOcFJTnGaM5zlHOe5wEUucZkrXOUa17nBTW5xmzvc +5R73ecBDHvGYJzzlGc95wUte8Zo3vOUd7/nARz7xmS985Rvf+cFPfvGbP/zl +38UfgIAEIjBBCEowghOCkIQiNGEISzjCE4GIRCIyUYhKNKITg5jEIjZxiEs8 +4pOAhCQiMUlISjKSk4KUpCI1aUhLOtKTgYxkIjNZyEo2spODnOQiN3n4j7zk +Iz8FKEghClOEohSjOCUoSSlKU4aylKM8FahIJSpThapUozo1qEktalOHutSj +Pg1oSCMa04SmNKM5LWhJK1rThra0oz0d6EgnOtOFrnSjOz3oSS9604e+9KM/ +AxjIIAYzhKEMYzgjGMkoRjOGsYxjPBOYyCQmM4WpTGM6M5jJLGYzh7nMYz4L +WMgiFrOEpSxjOStYySpWs4a1rGM9G9jIJjazha1sYzs72MkudrOHvexjPwc4 +yCEOc4SjHOM4JzjJKU5zhrOc4zwXuMglLnOFq1zjOje4yS1uc4e73OM+D3jI +Ix7zhKc84zkveMkrXvOGt7zjPR/4yCc+84WvfOM7P/jJL37zh7/8e/QHICCB +CEwQghKM4IQgJKEITRjCEo7wRCAikYhMFKISjejEICaxiE0c4hKP+CQgIYlI +TBKSkozkpCAlqUhNGtKSjvRkICOZyEwWspKN7OQgJ7nITR7+Iy/5yE8BClKI +whShKMUoTglKUorSlKEs5ShPBSpSicpUoSrVqE4NalKL2tShLvWoTwMa0ojG +NKEpzWhOC1rSita0oS3taE8HOtKJznShK93oTg960ove9KEv/ejPAAYyiMEM +YSjDGM4IRjKK0YxhLOMYzwQmMonJTGEq05jODGYyi9nMYS7zmM8CFrKIxSxh +KctYzgpWsorVrGEt61jPBjayic1sYSvb2M4OdrKL3exhL/vYzwEOcojDHOEo +xzjOCU5yitOc4SznOM8FLnKJy1zhKte4zg1ucovb3OEu97jPAx7yiMc84SnP +eM4LXvKK17zhLe94zwc+8onPfOEr3/jOD37yi9/84S//PvwBCEggAhOEoAQj +OCEISShCE4awhCM8EYhIJCIThahEIzoxiEksYhOHuMQjPglISCISk4SkJCM5 +KUhJKlKThrSkIz0ZyEgmMpOFrGQjOznISS5yk4f/yEs+8lOAghSiMEUoSjGK +U4KSlKI0ZShLOcpTgYpUojJVqEo1qlODmtSiNnWoSz3q04CGNKIxTWhKM5rT +gpa0ojVtaEs72tOBjnSiM13oSje604Oe9KI3fehLP/ozgIEMYjBDGMowhjOC +kYxiNGMYyzjGM4GJTGIyU5jKNKYzg5nMYjZzmMs85rOAhSxiMUtYyjKWs4KV +rGI1a1jLOtazgY1sYjNb2Mo2trODnexiN3vYyz72c4CDHOIwRzjKMY5zgpOc +4jRnOMs5znOBi1ziMle4yjWuc4Ob3OI2d7jLPe7zgIc84jFPeMoznvOCl7zi +NW94yzve84GPfOIzX/jKN77zg5/84jd/+Mu/Yl8AAhKIwAQhKMEITghCEorQ +hCEs4QhPBCISichEISrRiE4MYhKL2MQhLvGITwISkojEJCEpyUhOClKSitSk +IS3pSE8GMpKJzGQhK9nITg5ykovc5OE/8pKP/BSgIIUoTBGKUozilKAkpShN +GcpSjvJUoCKVqEwVqlKN6tSgJrWoTR3qUo/6NKAhjWhME5rSjOa0oCWtaE0b +2tKO9nSgI53oTBe60o3u9KAnvehNH/rSj/4MYCCDGMwQhjKM4YxgJKMYzRjG +Mo7xTGAik5jMFKYyjenMYCazmM0c5jKP+SxgIYtYzBKWsozlrGAlq1jNGtay +jvVsYCOb2MwWtrKN7exgJ7vYzR72so/9HOAghzjMEY5yjOOc4CSnOM0ZznKO +81zgIpe4zBWuco3r3OAmt7jNHe5yj/s84CGPeMwTnvKM57zgJa94zRve8o73 +fOAjn/jMF77yje/84Ce/+M0f/vKv0B+AgAQiMEEISjCCE4KQhCI0YQhLOMIT +gYhEIjJRiEo0ohODmMQiNnGISzzik4CEJCIxSUhKMpKTgpSkIjVpSEs60pOB +jGQiM1nISjayk4Oc5CI3efiPvOQjPwUoSCEKU4SiFKM4JShJKUpThrKUozwV +qEglKlOFqlSjOjWoSS1qU4e61KM+DWhIIxrThKY0ozktaEkrWtOGtrSjPR3o +SCc604WudKM7PehJL3rTh770oz8DGMggBjOEoQxjOCMYyShGM4axjGM8E5jI +JCYzhalMYzozmMksZjOHucxjPgtYyCIWs4SlLGM5K1jJKlazhrWsYz0b2Mgm +NrOFrWxjOzvYyS52s4e97GM/BzjIIQ5zhKMc4zgnOMkpTnOGs5zjPBe4yCUu +c4WrXOM6N7jJLW5zh7vc4z4PeMgjHvOEpzzjOS94ySte84a3vOM9H/jIJz7z +ha984zs/+MkvfvOHv/xr8gUgIIEITBCCEozghCAkoQhNGMISjvBEICKRiEwU +ohKN6MQgJrGITRziEo/4JCAhiUhMEpKSjOSkICWpSE0a0pKO9GQgI5nITBay +ko3s5CAnuchNHv4jL/nITwEKUojCFKEoxShOCUpSitKUoSzlKE8FKlKJylSh +KtWoTg1qUova1KEu9ahPAxrSiMY0oSnNaE4LWtKK1rShLe1oTwc60onOdKEr +3ehOD3rSi970oS/96M8ABjKIwQxhKMMYzghGMorRjGEs4xjPBCYyiclMYSrT +mM4MZjKL2cxhLvOYzwIWsojFLGEpy1jOClayitWsYS3rWM8GNrKJzWxhK9vY +zg52sovd7GEv+9jPAQ5yiMMc4SjHOM4JTnKK05zhLOc4zwUuconLXOEq17jO +DW5yi9vc4S73uM8DHvKIxzzhKc94zgte8orXvOEt73jPBz7yic984Svf+M4P +fvKL3/zhL/8a/AEISCACE4SgBCM4IQhJKEIThrCEIzwRiEgkIhOFqEQjOjGI +SSxiE4e4xCM+CUhIIhKThKQkIzkpSEkqUpOGtKQjPRnISCYyk4WsZCM7OchJ +LnKTh//ISz7yU4CCFKIwRShKMYpTgpKUojRlKEs5ylOBilSiMlWoSjWqU4Oa +1KI2dahLPerTgIY0ojFNaEozmtOClrSiNW1oSzva04GOdKIzXehKN7rTg570 +ojd96Es/+jOAgQxiMEMYyjCGM4KRjGI0YxjLOMYzgYlMYjJTmMo0pjODmcxi +NnOYyzzms4CFLGIxS1jKMpazgpWsYjVrWMs61rOBjWxiM1vYyja2s4Od7GI3 +e9jLPvZzgIMc4jBHOMoxjnOCk5ziNGc4yznOc4GLXOIyV7jKNa5zg5vc4jZ3 +uMs97vOAhzziMU94yjOe84KXvOI1b3jLO97zgY984jNf+Mo3vvODn/ziN3/4 +y79wTwACEojABCEowQhOCEISitCEISzhCE8EIhKJyEQhKtGITgxiEovYxCEu +8YhPAhKSiMQkISnJSE4KUpKK1KQhLelITwYykonMZCEr2chODnKSi9zk4T/y +ko/8FKAghShMEYpSjOKUoCSlKE0ZylKO8lSgIpWoTBWqUo3q1KAmtahNHepS +j/o0oCGNaEwTmtKM5rSgJa1oTRva0o72dKAjnehMF7rSje70oCe96E0f+tKP +/gxgIIMYzBCGMozhjGAkoxjNGMYyjvFMYCKTmMwUpjKN6cxgJrOYzRzmMo/5 +LGAhi1jMEpayjOWsYCWrWM0a1rKO9WxgI5vYzBa2so3t7GAnu9jNHvayj/0c +4CCHOMwRjnKM45zgJKc4zRnOco7zXOAil7jMFa5yjevc4Ca3uM0d7nKP+zzg +IY94zBOe8oznvOAlr3jNG97yjvd84COf+MwXvvKN7/zgJ7/4zR/+8i/YF4CA +BCIwQQhKMIITgpCEIjRhCEs4whOBiEQiMlGISjSiE4OYxCI2cYhLPOKTgIQk +IjFJSEoykpOClKQiNWlISzrSk4GMZCIzWchKNrKTg5zkIjd5+I+85CM/BShI +IQpThKIUozglKEkpSlOGspSjPBWoSCUqU4WqVKM6NahJLWpTh7rUoz4NaEgj +GtOEpjSjOS1oSSta04a2tKM9HehIJzrTha50ozs96EkvetOHvvSjPwMYyCAG +M4ShDGM4IxjJKEYzhrGMYzwTmMgkJjOFqUxjOjOYySxmM4e5zGM+C1jIIhaz +hKUsYzkrWMkqVrOGtaxjPRvYyCY2s4WtbGM7O9jJLnazh73sYz8HOMghDnOE +oxzjOCc4ySlOc4aznOM8F7jIJS5zhatc4zo3uMktbnOHu9zjPg94yCMe84Sn +POM5L3jJK17zhre84z0f+MgnPvOFr3zjOz/4yS9+84e//Av1BiAggQhMEIIS +jOCEICShCE0YwhKO8EQgIpGITBSiEo3oxCAmsYhNHOISj/gkICGJSEwSkpKM +5KQgJalITZpQ/59b/j8pdrn2 + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJwN00OCIAgCALDaadu2bdu2bdu2bdu2bdu2bXtzyBOSoGG7im3/FxAQkCZU +QEA60pOBjGQiM1nISjayk4Oc5CI3echLPvJTgIIUojBFKEoxilOCkpSiNGUo +SznKU4GKVKIyVahKNapTg5rUojZ1qEs96tOAhjSiMU1oSjOa04KWtKI1bWhL +O9rTgY50ojNd6Eo3utODnvSiN33oSz/6M4CBDGIwQxjKMIYzgpGMYjRjGMs4 +xjOBiUxiMlOYyjSmM4OZzGI2c5jLPOazgIUsYjFLWMoylrOClaxiNWtYyzrW +s4GNbGIzW9jKNrazg53sYjd72Ms+9nOAgxziMEc4yjGOc4KTnOI0ZzjLOc5z +gYtc4jJXuMo1rnODm9ziNne4yz3u84CHPOIxT3jKM57zgpe84jVveMs73vOB +j3ziM1/4yje+84Of/OI3f/jLPwJCBwT8j/8IRGCCEJRgBCcEIQlFaMIQlnCE +JwIRiURkohCVaEQnBjGJRWziEJd4xCcBCUlEYpKQlGQkJwUpSUVq0pCWdKQn +AxnJRGaykJVsZCcHOclFbvKQl3zkpwAFKURhilCUYhSnBCUpRWnKUJZylKcC +FalEZapQlWpUpwY1qUVt6lCXetSnAQ1pRGOa0JRmNKcFLWlFa9rQlna0pwMd +6URnutCVbnSnBz3pRW/60Jd+9GcAAxnEYIYwlGEMZwQjGcVoxjCWcYxnAhOZ +xGSmMJVpTGcGM5nFbOYwl3nMZwELWcRilrCUZSxnBStZxWrWsJZ1rGcDG9nE +ZrawlW1sZwc72cVu9rCXfeznAAc5xGGOcJRjHOcEJznFac5wlnOc5wIXucRl +rnCVa1znBje5xW3ucJd73OcBD3nEY57wlGc85wUvecVr3vCWd7znAx/5xGe+ +8JVvfOcHP/nFb/7wl38EhPGf/whEYIIQlGAEJwQhCUVowhCWcIQnAhGJRGSi +EJVoRCcGMYlFbOIQl3jEJwEJSURikpCUZCQnBSlJRWrSkJZ0pCcDGclEZrKQ +lWxkJwc5yUVu8pCXfOSnAAUpRGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCV +alSnBjWpRW3qUJd61KcBDWlEY5rQlGY0pwUtaUVr2tCWdrSnAx3pRGe60JVu +dKcHPelFb/rQl370ZwADGcRghjCUYQxnBCMZxWjGMJZxjGcCE5nEZKYwlWlM +ZwYzmcVs5jCXecxnAQtZxGKWsJRlLGcFK1nFatawlnWsZwMb2cRmtrCVbWxn +BzvZxW72sJd97OcABznEYY5wlGMc5wQnOcVpznCWc5znAhe5xGWucJVrXOcG +N7nFbe5wl3vc5wEPecRjnvCUZzznBS95xWve8JZ3vOcDH/nEZ77wlW985wc/ ++cVv/vCXfwSE9Z//CERgghCUYAQnBCEJRWjCEJZwhCcCEYlEZKIQlWhEJwYx +iUVs4hCXeMQnAQlJRGKSkJRkJCcFKUlFatKQlnSkJwMZyURmspCVbGQnBznJ +RW7ykJd85KcABSlEYYpQlGIUpwQlKUVpylCWcpSnAhWpRGWqUJVqVKcGNalF +bepQl3rUpwENaURjmtCUZjSnBS1pRWva0JZ2tKcDHelEZ7rQlW50pwc96UVv ++tCXfvRnAAMZxGCGMJRhDGcEIxnFaMYwlnGMZwITmcRkpjCVaUxnBjOZxWzm +MJd5zGcBC1nEYpawlGUsZwUrWcVq1rCWdaxnAxvZxGa2sJVtbGcHO9nFbvaw +l33s5wAHOcRhjnCUYxznBCc5xWnOcJZznOcCF7nEZa5wlWtc5wY3ucVt7nCX +e9znAQ95xGOe8JRnPOcFL3nFa97wlne85wMf+cRnvvCVb3znBz/5xW/+8Jd/ +BITzn/8IRGCCEJRgBCcEIQlFaMIQlnCEJwIRiURkohCVaEQnBjGJRWziEJd4 +xCcBCUlEYpKQlGQkJwUpSUVq0pCWdKQnAxnJRGaykJVsZCcHOclFbvKQl3zk +pwAFKURhilCUYhSnBCUpRWnKUJZylKcCFalEZapQlWpUpwY1qUVt6lCXetSn +AQ1pRGOa0JRmNKcFLWlFa9rQlna0pwMd6URnutCVbnSnBz3pRW/60Jd+9GcA +AxnEYIYwlGEMZwQjGcVoxjCWcYxnAhOZxGSmMJVpTGcGM5nFbOYwl3nMZwEL +WcRilrCUZSxnBStZxWrWsJZ1rGcDG9nEZrawlW1sZwc72cVu9rCXfeznAAc5 +xGGOcJRjHOcEJznFac5wlnOc5wIXucRlrnCVa1znBje5xW3ucJd73OcBD3nE +Y57wlGc85wUvecVr3vCWd7znAx/5xGe+8JVvfOcHP/nFb/7wl38EhPef/whE +YIIQlGAEJwQhCUVowhCWcIQnAhGJRGSiEJVoRCcGMYlFbOIQl3jEJwEJSURi +kpCUZCQnBSlJRWrSkJZ0pCcDGclEZrKQlWxkJwc5yUVu8pCXfOSnAAUpRGGK +UJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBjWpRW3qUJd61KcBDWlEY5rQ +lGY0pwUtaUVr2tCWdrSnAx3pRGe60JVudKcHPelFb/rQl370ZwADGcRghjCU +YQxnBCMZxWjGMJZxjGcCE5nEZKYwlWlMZwYzmcVs5jCXecxnAQtZxGKWsJRl +LGcFK1nFatawlnWsZwMb2cRmtrCVbWxnBzvZxW72sJd97OcABznEYY5wlGMc +5wQnOcVpznCWc5znAhe5xGWucJVrXOcGN7nFbe5wl3vc5wEPecRjnvCUZzzn +BS95xWve8JZ3vOcDH/nEZ77wlW985wc/+cVv/vCXfwRE8J//CERgghCUYAQn +BCEJRWjCEJZwhCcCEYlEZKIQlWhEJwYxiUVs4hCXeMQnAQlJRGKSkJRkJCcF +KUlFatKQlnSkJwMZyURmspCVbGQnBznJRW7ykJd85KcABSlEYYpQlGIUpwQl +KUVpylCWcpSnAhWpRGWqUJVqVKcGNalFbepQl3rUpwENaURjmtCUZjSnBS1p +RWva0JZ2tKcDHelEZ7rQlW50pwc96UVv+tCXfvRnAAMZxGCGMJRhDGcEIxnF +aMYwlnGMZwITmcRkpjCVaUxnBjOZxWzmMJd5zGcBC1nEYpawlGUsZwUrWcVq +1rCWdaxnAxvZxGa2sJVtbGcHO9nFbvawl33s5wAHOcRhjnCUYxznBCc5xWnO +cJZznOcCF7nEZa5wlWtc5wY3ucVt7nCXe9znAQ95xGOe8JRnPOcFL3nFa97w +lne85wMf+cRnvvCVb3znBz/5xW/+8Jd/BET0n/8IRGCCEJRgBCcEIQlFaMIQ +lnCEJwIRiURkohCVaEQnBjGJRWziEJd4xCcBCUlEYpKQlGQkJwUpSUVq0pCW +dKQnAxnJRGaykJVsZCcHOclFbvKQl3zkpwAFKURhilCUYhSnBCUpRWnKUJZy +lKcCFalEZapQlWpUpwY1qUVt6lCXetSnAQ1pRGOa0JRmNKcFLWlFa9rQlna0 +pwMd6URnutCVbnSnBz3pRW/60Jd+9GcAAxnEYIYwlGEMZwQjGcVoxjCWcYxn +AhOZxGSmMJVpTGcGM5nFbOYwl3nMZwELWcRilrCUZSxnBStZxWrWsJZ1rGcD +G9nEZrawlW1sZwc72cVu9rCXfeznAAc5xGGOcJRjHOcEJznFac5wlnOc5wIX +ucRlrnCVa1znBje5xW3ucJd73OcBD3nEY57wlGc85wUvecVr3vCWd7znAx/5 +xGe+8JVvfOcHP/nFb/7wl38ERPKf/whEYIIQlGAEJwQhCUVowhCWcIQnAhGJ +RGSiEJVoRCcGMYlFbOIQl3jEJwEJSURikpCUZCQnBSlJRWrSkJZ0pCcDGclE +ZrKQlWxkJwc5yUVu8pCXfOSnAAUpRGGKUJRiFKcEJSlFacpQlnKUpwIVqURl +qlCValSnBjWpRW3qUJd61KcBDWlEY5rQlGY0pwUtaUVr2tCWdrSnAx3pRGe6 +0JVudKcHPelFb/rQl370ZwADGcRghjCUYQxnBCMZxWjGMJZxjGcCE5nEZKYw +lWlMZwYzmcVs5jCXecxnAQtZxGKWsJRlLGcFK1nFatawlnWsZwMb2cRmtrCV +bWxnBzvZxW72sJd97OcABznEYY5wlGMc5wQnOcVpznCWc5znAhe5xGWucJVr +XOcGN7nFbe5wl3vc5wEPecRjnvCUZzznBS95xWve8JZ3vOcDH/nEZ77wlW98 +5wc/+cVv/vCXfwRE9p//CERgghCUYAQnBCEJRWjCEJZwhCcCEYlEZKIQlWhE +JwYxiUVs4hCXeMQnAQlJRGKSkJRkJCcFKUlFatKQlnSkJwMZyURmspCVbGQn +BznJRW7ykJd85KcABSlEYYpQlGIUpwQlKUVpylCWcpSnAhWpRGWqUJVqVKcG +NalFbepQl3rUpwENaURjmtCUZjSnBS1pRWva0JZ2tKcDHelEZ7rQlW50pwc9 +6UVv+tCXfvRnAAMZxGCGMJRhDGcEIxnFaMYwlnGMZwITmcRkpjCVaUxnBjOZ +xWzmMJd5zGcBC1nEYpawlGUsZwUrWcVq1rCWdaxnAxvZxGa2sJVtbGcHO9nF +bvawl33s5wAHOcRhjnCUYxznBCc5xWnOcJZznOcCF7nEZa5wlWtc5wY3ucVt +7nCXe9znAQ95xGOe8JRnPOcFL3nFa97wlne85wMf+cRnvvCVb3znBz/5xW/+ +8Jd/BETxn/8IRGCCEJRgBCcEIQlFaMIQlnCEJwIRiURkohCVaEQnBjGJRWzi +EJd4xCcBCUlEYpKQlGQkJwUpSUVq0pCWdKQnAxnJRGaykJVsZCcHOclFbvKQ +l3zkpwAFKURhilCUYhSnBCUpRWnKUJZylKcCFalEZapQlWpUpwY1qUVt6lCX +etSnAQ1pRGOa0JRmNKcFLWlFa9rQlna0pwMd6URnutCVbnSnBz3pRW/60Jd+ +9GcAAxnEYIYwlGEMZwQjGcVoxjCWcYxnAhOZxGSmMJVpTGcGM5nFbOYwl3nM +ZwELWcRilrCUZSxnBStZxWrWsJZ1rGcDG9nEZrawlW1sZwc72cVu9rCXfezn +AAc5xGGOcJRjHOcEJznFac5wlnOc5wIXucRlrnCVa1znBje5xW3ucJd73OcB +D3nEY57wlGc85wUvecVr3vCWd7znAx/5xGe+8JVvfOcHP/nFb/7wl38ERPWf +/whEYIIQlGAEJwQhCUVowhCWcIQnAhGJRGSiEJVoRCcGMYlFbOIQl3jEJwEJ +SURikpCUZCQnBSlJRWrSkJZ0pCcDGclEZrKQlWxkJwc5yUVu8pCXfOSnAAUp +RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBjWpxf8B+PQ+yg== + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Hue[0.1421359549995791, 0.6, 0.6], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + GrayLevel[0], + Dashing[{Small, Small}]], + Line[{5724, 5725}]}, "Charting`Private`Tag#3"]}}], <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{-1.25, -0.85}, {-0.25, 1.15}}, + "Frame" -> {{True, True}, {True, True}}, + "AxesOrigin" -> {-0.8500000000000019, 0}, + "ImageSize" -> {140, 140/GoldenRatio}, "Axes" -> {False, False}, + "LabelStyle" -> Directive[ + GrayLevel[0], FontFamily -> "Times", FontSize -> 10], + "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + GrayLevel[0], + Dashing[{Small, Small}]], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + GrayLevel[0]], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, "Primitives" -> {{{}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJw0mnk0Vt/bhw1JRQMVSUgZEoW+RSVuc5KQJKWIUEkiGYqiRJIiRGVKyFAy +z8M2z/M8z1Q45zw0h3r3b63z/mVd63mc5+zpvj97rUvY4oaBFQsTE9NeDiam +//197M8s/IOFQDIrpuau6W+E/2d55qXp//G1qS29NawEGs9+z3P1Ai+cUJIJ +eLWCQJGTzoI1jfwgHXZU8xobgb7PGNw4rLcduBimy0dWEmiwTvuVzJcd8FXL +OWsdO4EqykxcFbNEoSvm6bVRzIaeWk7Gabsg90/cjoxVBLrgduGH65AkvD5V +1Oe1mkCCJfZmoQrS4P6hI/D0GgKdHmCsMrSSBVO22aPiHATKuK+TY6DxHyib +svz7hRm2r5guVzwAO3L5cuo5CdQ1s0njja48rNggez1iLYEmzJU9pl0OwfQV +LRG7dQQyOsQJz/MVoLbMbADWE8gbyvdEbFSC5K0uQVwbCMS3I+82s5oy+Ds+ +OzaBufKfY/hFXxW40RjPlM1FoFfuI7pf+lThpGhxrg83gXLlxa1fyavDf/c6 +7Yw3EmhrdF+GXYwGbO6ZFd29iUAHJqbZLvMehZ/SrEOLmJ/OvJH2fKUFfb5b +Q5o2E+icRuG/XHFtKByTPR7Ng9dD+LgGZ/lxiDx8jMWBl0CpR2qa71w5AR7B +F/NVtxBIeoDdhplfD8znXOw38eHxn9+50GyrD+oaAeLTmI/fW88mbnASxKLe +DeduJVBWWHXHI0UDWPWz+MVjfgLphv5UY+w9BTN6XTom2/B8crAomIkZQmPi +HOseAQKJiP+I7Nh5Gj4yryj8i9krNeSkjrgRBJ7jv9kqiMezrHu4VuYM3Mzc +J/FWCM/XN80jmsrGYMipPeq4nUB3lnUVqk6fBTkr8zANYQKxs8xsVXc4B3wl +rrq8OwjUcul4SVmQCSzyBLJ9wSz/y2iVYsF5GLqRUFSwk0BMLDEDWZ8uAKot +cfQXIZCqpN3WXfxmECPcvdtUlEBF6GyH9u6L4HWHGJMWIxDaxyH7t+4iWHWs +eMUsTqB2nR+hKbbmcFRqm34H5oGNUU7G3BYg4f0fe/wuvN4ZFxT/FlgAx7B2 +ibMEgXScrphGWV8CUs7CSWs3Hm9NndbBzZbQGnBbaqskgaxlrGwbqi0h43Pg +xCzm7fo/Dp5xs4IQlcTXxVIEGh3cIDiwzxqcX6OTAXsINBW9/YkRYQ3GX7tX +me8lUMjNq1Rt8mU4rEOifdL4+QrsBbLXrsC2eDaXFTL481nibODeq/B3edve +bswcbl8UJ79dhVGj/VMJsgSKmo7slCqxgYrU4xG39xEoVOqR/1W/axC/6tKp +4//h83nsd8ers7bwyPzOGoH9BJKT385XLHkdrhY8LyMxi0//q2tnsoPjG5Nc +Sw8QSEvplmF/rx3ssS2VDpLD+2WrrFhH5g1YX9UzfUmeQIE7zI2GtexhXoCK +PHAQ79fCqt3H8+2hw3nlafZDBHokMVktLekA2S0CnH2Ykazm2JMoB3i560BF +8mECDT8xlzHbeBPu3Ne5465AIL/RMJukxzfhfP8lWd0jBPpZI6dpw+wISv+5 +fRZSJNDDWpfbMXccYbt/UPQ8Zu3TngW63x2BZSrJqEKJQNmN5sjB4RZMKpat +fQEEEnDhU1pD3YLq0N5Ka2UCmXoHzvHaOUEiRbkdVCGQ/foIz9ekE/hpsf+3 +RpVA/7iXU5/bO8P1GMGZAcwcNy/KLH91Br0/B2JS1Ah0d940d9DVBWRPnTD2 +UCdQjfzdP9L/XGDjB8v1JzUIdK9BMvWHjyt8X+FevUOTQItcNX4yG25Dz4Xg +u98wfz0Rpjr0+jbk5yTvrz6K61dU65MlsTsQvr58NkwL7/fFIP5nWXfg7pW+ +t1ePEejloZl3z9XcwKyMcVZBm0AzzM3jKzvdQGXrKq61xwmU1yX8kLByBxFH +odphzFs4skHjtzusbJTzSNMh0OcG1fq1z+7CZxFduQcnCGS36Wavpsg9qL9r +RZzSJRBLRpcgo/AefOh2jxPVI1CaytzJ1ac94Jl0iMlPzCN7t4sFUR5g7/ue +u06fQEu9E+JVGp5gMFZe9/okgXwuB5W5hnjC/sP9nrYGBFJO3lcwMeEJPMHz +8kqn8HmybZtl238ffs+uotYbEqjX1EFm5OF9GFDf/m4M8xg3h8WN7vtQHCl/ +IfM0no+//udydj2A6B+6m7yN8H5wGfia6fYA7utZNxidwfsvt5X9SssDuJR4 +98EuYwKxdR+xb9/pBRrMLw79wSzfOLXyq6sXiJ/7wGg4S6AAh0fxdc1esDqz +IiHyHK4P7h2iRqIPYZZjwPSGCYEueTqYv3R/CM2WC5tVzuPPqb+ygZ0PIa14 +dRP3BQIV8qx3UNzjDUE8wg8nMYdvl/wV7eMNt24cVMgxJRDJ1f4md9QbjGr1 +Fh6ZEciq3lX9oYIPHBS+nHT2IoHiWf2K2MJ8YOudexclzQn0Qd96XOGrDyy1 +v+BdxiwmYBkgpv8IhiVTmpstCNT4oSWiKuURlD6s9H5zCdevrbMTWzh9IXZo +4MhNSwINGZOK26/5grfc169qVgTaL83+cKDeFy4HrHm/2ZpAmgpnA7QlH8Ox +z8IWnzA7UssHr/s/BkmVQ3z5lwl0JmjFOTXyMax9rd/qdwX/vtLNhkZ9P6AW +Lj86f5VAa0ZVrFdn+UHbcQ+lvTb4/Mpbsv7mfQKZcaHf/2Hu6kJu4e5P4MVy +yoe2awRSF5BNZIw9AVejqkuxtrjfKfuZ/zrqD+dSB7c6XSfQTdVgz4yP/nBk +1bc2TTsCxX1bPSzI8xQEzTkeb7lBoLc/YwwU7z0FpoIdyjOYu/NX5K799BTG +uQ//LLQn0FqXtokA/WdQee3kx6cOBOqQj0yvKHgG7yqvWJndxPupdeFXomgA ++Ap4bpN1xP163CAIngeAjXNYB8stAjn0/Gf0aDkATrR89OvE3LLguU75QCBI +76pWeedEoBU+ASObbAOB6/7QLxdnArk8dlijGhsIX/u+pR5zIZB/1Qm3mv5A +6NrHeZnfFdfTaQ3BeO7nkPtkpyCBOcDdeaRf+zm8mjzcVXKbQHV/fiRf8XoO +booG/oF3CPQut89Wt+g5XAi9qmbhhudrTpLL//tzAMrzz3/uBDqU9d1LWDoI +dmi9TGe7SyCVtYfT110NghUxqVd6MP/o/vHwVGwQTP+uFkq6h+tfu8gsNRQE +tQbD3Xc8cB56m9sysSUYkt9/f6rjSaC5ukhBacNg8F+xVkPwPoH0Ukor2gKC +we6CyBKFmf35ivcNDcGgn6OQWfaAQJmvtEq3rQqBfetP2QR7Eai8wZqqVA+B +TVdshK0e4n7AsV+k8n4I/Cy93yvnTSBefk/trSgE+vheBazyIVBO5GbdmqUQ +KLyZptmPuWtjwdaGwy8gsqFm+f0jvN6DeyJEb78AD5GRrLu+BLp2QKqkO/cF +mN/9cU3vMR7voWu2wz9egFr32p3CfgSqGg0POiIXCqLSov0LmNuYbbbNO4UC +u++R55VP8PnWc/++mB0KX0ZPaYX6E8jJ+NbqCz9CofHQtX+Xn+J6/phU2yQf +Bh+DHuQcekYgqd0PAoVdwyBw9tV1jgACPTAt63+YHwY31dNFhjAPih5ap7QY +BoaRtQMfA/H8nXPgOaH4EuR+jAR5PifQ1cy54XSPl7BF7+cxgyA8P2jXKdvy +l/AnYR2zSDD+/5lIU3e2VzDIJJb3HXPTbTQ3pvUKSs4q3qgJIdDzJ4ufI/xf +QUyGodirFwRq2FKolNL6Crw4bIdsQgl0+6t5H/fm12Bl6RVyJAznr2rduKaz +r+Fo8evj614S6DLPgN9Q1GuQ4MlgGcXMCSauqpOvgeNGXX76KwJdcRI0XpII +B6Jm1N7rNd5f1XabOezDoWX7L/HT4QSS5HkebpcTDum314+IReD1FK7qElsO +h+B2sdBfmG/f0Uj9Tz0CnCWVTtRH4nzpf3TjiycRYPzw9IqIqP/lwcU57Y4I +ODxkW3g9GueHTH/x0/yRsE3u4U14QyCb5u0fMy9Fwt9n4RJcMbheJvdes/4Q +CaOfMkbHMZNjLcevf4+EcuX6sKy3BPIY33aoVikK4l6N6frE4v7KaBV08Y0C +n4VfbMZxBNq089en2+1RcOX4hmKJeNzfrrzyaN0WDcfjxG8tYnZ8kNN653I0 +7FlWkmx6h/MDm061a0Y0rDcyGo9KwP3t/Mnj9cvRMP/x+iv7RFyPzM/H54q8 +gQ52b33VJJxn4nN7MrXfQPbFCPZNyQRadW1vRp/9GwjLzyyZwsy2bt9uibA3 +cJu7wSn3PYEKDGQPvC1+AybXxqUef8D1w/plrfrkG1Cs/D1xLoVAv0db+zZw +xICQAFe41EcC9ZeqmK7cFwMszrsM/mIet9M7Lno2BiabYXVrKs7rRSah1z1j +oFr8TGlMGq6P41X7RxJiINHTzsUxHe9/rxn+Oy0x4NfnvVcjg0Cvk3eqKP2M +Adt9kVM8mThv1dW+khR6C7pPsiI+YzYp3MuvevQtyEw2nCrIIlBseULB/Rtv +gVtxYo1/NoE+Pfa6PhP2Fr69+FN2IQev7871Eu6lb6GH5LotnUug+lUvxuW+ +vIX8oxIyzHkE8uS/ELCVOxbC3yh/ascsGPdBRFwhFu7+PhMVl4/7vUF12HnL +WDAzuHHauQCvt+LMUMHTWFB578OpVUig0onTcyq5sbBzRVQFXxHOQxLqefOj +scB2IfvOLObYc4Rc/Zo4+JTdKFtc/L98+OhCw/44qFs3+flZCYHWp6oLfzeN +gw+XF6MvIpwnbYxcjj6Og2el3Gf2leJ+qjJjWJ4ZB/Z8u9etKCPQHk/JVKvh +ODC4qVLVhXlzr6qb7Op42N9g7J5QjvuhudFH0f3xwCNi/9/tCvz+rx4rqprF +wy/3RzPalbh+Wi4LPPKLh/6uqJhtVThvrmlV/5YdD0V7c4xJzKuOCCQ8HouH +qEdN60urCfSR4++ho2vfwf3RyernNQQ6+9V3QerQO7h0aOnupVrc39vaqg5b +vQONoI0HDtQRyGzmS6L983cgPrt7bmU9gXZNjwQ2F7+D1eqqsb2YI9vRTcOZ +dzAbcfZccgOurzuC1Vh4E6Dpuz2XeyPOf+8v/upRS4BUXd/aE034fpcs5dNl +nwDPE6I9hJrx89GvsT+RCeDIlCs3j5mZrGDSakgAo7PNRHkL/r1ov56iXwlw +MGMqLqSVQE+iNU3OiiXCVo5lE+s2fP8QojwFDBNh6dKmjQfb8XnKclVc/SAR +hosk61d3EIgy6vLelpYIpZvV7g9g1rT4pntmOBHe2p07mNKJ5yO0/Gk+ZxI8 +rHGg7nUR6Bbvbnk1hSSw3v74nX43vj9e41b+djUJtG6/ubCjh0BK7hcjG18m +gWR77qZvmN3Cfqg11iTBWsmWhqpe3L+vZ+36+iMJKK/pB2F9+P7E5aKsIpYM +bYPLh672E4j79xbPnNPJkHlg8/zhAQL999dh0MA7GV48k0rkHMTPP39Gmyc7 +GVw+qZkNY/ZNDy9hmkqGs8omPGlDOD//XbeXe/N7UHh1s+n+MO7PCfeeamu8 +B4GFxw9PjeD3dchuS3J6D0zHYxRER/F6erlR0u/ew3hs3sIPzElXQgcGu99D +5VJLUu0Yvt9y1vmlsX+Ad6c/XXw9ju/bRR3zyQc/gO/Hv7y2Eziv7rrF1nj1 +A9iw87QoThJo2dyqYGP4B9C5uMdn/RS+jwyasT1o/AB789UVxzBvtuUb4Pn7 +ATZwn/+WMY3z9FENmXbpFFiwcXz/8BPer2whvzPNU6Crws/C6DOBZKMzJYuC +UyB321u+XV9wfrfTK5ypSoFXTvmtvzF/3rshEn6lgFtz66OGGTz+xfCqgt0f +4YL4Z6XIWfx9g1CJ8xc+Anj++243R6DVXG+KdwZ+BOE+nhRlAtdrpzPOXBUf +gXXfXktuEq+/kLGW6I+PMOWnwT+Jefs6hV1mEqlQM3G+PZsi0LG3oWvQ+VRI +PnLr8SMGgS5G/J1QD0wF/xdPlM/OE+iUwIokRkUq2JFvf+5ewP3q3QHd8p+p +oH+04OMS5iP+6ycHN6fBvjdtVs1fCRTTH+c9K50Gm35/3vbmG4G+rRNcuVY7 +DX6cZOp0+E6gWoliMxXLNOhN5n2i9gPns4Fyf997aVDAKq26+SeBMh7aPRp/ +mQYR5zV/T2NWOfD3mF5mGnhkX0jL+4X7Y8rH5uamNDBf53TZ7zc+f+cL15t/ +TgO1y/6C5//g821uyr6SNR1ES2O79iwSiPGkNbVYIB3Y+Qr9/2F2PHeM1fdg +OnxxaFdrWyLQusW/i5an0qGh/suft8v4PBwXCzG0S4eUncwZt/7ifNS7UGX0 OB0C3Ldc1fxHoJ6R0CfX4tLBoUt6+xYmEj3SURsJQulguPdozxfMpwvECxv7 -00HukemzQmYSrbx1k4/vZzpsGXXSeMpComRH/e8uXBnw9+DTRVNWEoVaEBpf +00HukemzQmYSrbx1k4/vRzpsGXXSeMpComRH/W8uXBnw5+DTJVNWEoVaEBpf pDJg8HlcpswKEp155bjqulYGlMwU2rCw4e8LccqxWmbAG7UO4U7MDh+Ga997 -ZMCDiJne+JUkeh/PVWgdngGWP5gDXdhJdG17/cr9uRmgqct39NgqEjWPSr7b -2JEBEgkyy1tXk4g6ezaYjcoADiat7K+YJ1Mca1dzZAJhbGZbsoZEqSmvYbt4 -JrSkO+8M5CDRwb6v81pqmZC+5lm/OSeJVM0eDXqZZULwpfjn/60lkbWAz89W -t0xwKirSYluHx/uGVUHmZSac2dz5rxuz+g6+uJisTDhkN5uTuJ5ES0+HJUXb -MoG/hsXuzgYSlbjZNBYQmbAktFVUh4tEBfvaPSzWZMGoq+ygADeJqhMllLeJ -Z0F5m1Ywhfn4Ay/2z2pZELf7onbZRhIpc8+1VF3MAh8vF+bgTSQSPfcgOPtu -FlwZfJZnuZlE31dr6uS+zgLtA+9uyPGQSNDz2Pf63CyQelYstoqXRJ51L70Z -nVmw7lPnUB9msxilv+LzWcCAryHvt5DonIO6jsP6bGh/yapzl49EVicznBql -siF7biur3lYSVbIH2B/SzoYw7X0F2/lJtLxqVC7vcjbcjj3mMI+5zyWpXMs7 -G0wWL+6q3EYifVFy7ezbbFA87TryQoBE/oGp3NGl2SD0MSD0siCJRqaJesvh -bGBmTzhxSIhEuayJMkcWs2HCrGQFx3YSRdoPKIpuzYGqvK7CQcy7rB5+FTqY -AwlcxM2PwiT6Wx0pJ2WUA342K3Z77iBRjoQwj/atHLCt4B87uRN/3rzS+3ZQ -Duhu++/lThG8395p3C1IywEZJ229H5h1T3T85mzJAe5m85U1onh+18fN2RM5 -8F3sdvFLMRI5TiWbT3PkQrdH4C0bcRIxu/SpXt+dC3m9CZJHdpGoe8v2ALZj -ufBaFo2vlcD7t+m6curlXHD36341gvmXRpq+jU8umE0Q+um7SfRzpCNfLj4X -VI6wrfKSJFFYQoXTpspc2PliGzKUItEdo6v3WCdygY38z1lsD4m+nEppYmXJ -g0+ax/f8xtyW5Xxhs3Ae1EVbTNbtJVGpwnvxg8p58P737fBwaRL1GkuL2Zrl -wdOTzw2uy+DzIDFtkH4vD24kJ64GWRJN3E5PYI/Kg5OspaUb9pFoqMxB8EZx -Huw/3+Myjpl518r0T4N5wJNN7s36j0QbPHXOOizmwe+1K6e995NI8bgwF+e2 -fOi3Fog8c4BEu/PM2nMV8qEI7TeUkMPPfzsWesskH6K26HAsYO4J8TBWdcsH -T4dL5Y3yJGqv3MAlHJ4PFvV3bkcdJNEfnmsFGwrzQX1nkIz9Ibz/t13S5RrI -BzH3pE8qh0n0b1Nj9Y6FfFjdVRq1UYFEGbMuAhr8BTC7p/f0FOYDh+V1XBUK -oMmH4sw9QiK+o306RSYFkDqystJXkUQSK6X4uNwL4PlBQbdzSiS6vGUx2Tmi -AByfH9gnBSRibdy0+LWoAE7P6HxZwnxum/LKW0MFIK9m+aZFmURkumYFx3IB -8EW4nYlRIZHlOCWVJVgIC9+D1jmq4vOUxqx4HQph+ERylboaifgblQm5i4VQ -+q7MnUcd79cge3mu+4Xw9l/vf58xx3Oe2rwYUwgPjRkz+RokiplP9PxVXgjW -6exvn2iSSDpR1pF1shC01gidvXAUv6/S+2khtiLYfUlug7QWiS45tnfqiBUB -Z9GJGqZjePwZFvJ+R4uA3GR1rx3zLDfPqt4rRdB63f1AnDaJvM7FK8v5FUFm -dfBXp+O4Hv6t6Y97XwQvhN7HHtUhEcfG/a07m4rAxbX8HN8JEkXZRW3NJIvg -bFsf1yzmUz4FmQYbikFh91xtkS6J8jwOv2DZVwwCXqs8n+mR6PCelrzyU8Xw -b0BI/qI+iYTX7doS7FQMY/vlSdmT+LzrfvvgGFYMFU9141kNSHSzZsjeMr8Y -4qetzndhfrkcdMFqoBh84e7GhFMkeiFWbOu8VAw2L0PqXQ1J1CDI9jJMqAR0 -5t7f1z6N59+Ru69WpQT2alcc3GZEIoMLTyTWWJbAhth+isCsXb7Jy8SnBOYX -5t6hMyQq228wUpRYAp2Gq02fG+P5+TYlv7ehBHJStm++dBaPZ9beJ5UogZcr -DzbuP0ei1qygSuUNCO6Y6XmtNMHvE/Jldmwfggt51od7MfPf5f4ZdBoBcN2b -SzqP9//apMFTrgiEbV4kul0g0aHAo69EwhGwVnwwO2FKIrtlH1G2EgRT/JU8 -Qma4ni0u3/kxiqDm1kATA7Mw+bbuL3MpJDXNPyy/SKLyE78zH2wshSdia46E -mON+efPYbW7RUrjuIfzNyoJExr5mPMlypaDXezBZ/hKJuLlYfY9plcI+WX3z -1ZYk2j61UM84Wwqb/C5vGcC85z+uwehrpfBz/F7LByu8XzxX5xndLYVehVCf -e9Z4fyQkm2wOKIWCkBRF/cskSuvPrxh4UwoRROV34Sskehuz8DUpoxTuaQ6+ -/4b5Wf9/nR6VpXAx+ptF1VXcb/JFnS90l4Lq7zVbw2zwfq4PblH9XAoiJ3e0 -XblGohQChqT/lgJ78iHfw7YkunduNlyEswy+sJwEzuskqogyWiUsWAYNJld+ -DmF2ilIREpUpg5Qsj5RUOxL1B18bklEtg4C1YZb3b5Do/vpgUDcsAwfrj/yn -7Emk9ttJ1cy6DE6hqnYRB9yvn7SN33ctgwNbhh7/xEyYWAh88CsDXofvyrU3 -cT84NUkORZTBnzqO368cSWSTyW3Im1oGgzt2pl67RSKfDYnHjMvKoMTtsLWi -E84LZ0wb33SUwZvOkwLrnUlk3kj2MqbK4MGeq52jmEXYuW21fpeBpY/nkwwX -PF+/PB4krCkHzZEw1YeueP9MUFvWCZTDroOpf07fJhHb5S1S7tLlsOZ5dZr4 -HZwXbqXmzamUw9cvQ5f/YKZO2RTaGZZDs+oPwQY3zHFLMt+syyEtnLM7wp1E -rucFeDxul0Pw951P7e6SaG21+2Vu/3JwOqGgrnyPRLe9moVTosrhzDuDBS4P -3I/9K1X00svh0L+rGROYw2Q2VP6uKAd+4/tXsz1JdHWjRXxSdzkspb3c/ug+ -iYxkbMbNv5TDyOq0HuMHJMru7XERXiyHMouaZ7u9SLQ366zF53UVEFs4rLGI -Ob80MipHuAK8N/1cbHpIojcGJyX891fAletrs6K9SfRgk/C/K0crQLta5JqD -D4n02PO3nThXAVJCR3aoPSLRiQeV9w5er4B1rqf6Nvni+v0fKSzpWQGMVpvA -aczxAqMsosEV0C7x4GjeY1xvItQExd5VQNaDV8uP/Uh016zMfm9+BYQOpGWb -PMHjsWP+pdhYAa77a233+ON+L/Y+xXCkAs49Hdn5D/PCYavnN+crQHH6Z3/r -U1xfc7qiwtgqQQjWBb19RiLOPzHtFVsqgfml6LFbATif5dtJ/JKshAnGESbN -QBLFso1Gy0IlVB0zzOV9TqILyq/2ORpUQsLba3ZfMI/uVBwutKqExwsPRAuD -SPS09Ho0x+1KuGb4etA/mESSdxtvWvhXwomU9GDTEBJ95vlrWBpdCdIr67Rl -Xvwvj4WqimZWArfZKDNLKD7/PioHn1dXwvfcX3kdmFkfvdq3or8Sujest48P -I5Fv/pG994hKyLsqJu7ykkTuT2rF/zFVwetyxWGtVyR6V9rH/2hTFbjzn36x -9TWJNB052Xl2VYHpLVudr5g3bFqceq9QBcpNXqwl4STaZ6OWraVXBTvEwgsC -InC+D3Z3+GpRBSs8MhzMI3F/YFXhDXOugk89dbv+iyJRprdErJZfFdTJjI2s -iMbzdbiZizmqCt4//h3ajfnC62qz0vQqeDq+XjfxDYnqbyY99qmqghsK4mx3 -YvD++czpf6qvCk6GKBUdf4vzvIvPJXGiCv4jTjsKxJLoIyOZk4W5GjZrXt9N -YR5yX/dwYlM1/Ip6OFYaR6JPr9WrG3ZVQ9+v8JdB8STS2T7aUnCkGor0M/Us -3+F8fMgxMk2/GqKS6lfKJZBox5UAyRTLavBkGS9mT8Tr41PlnOZaDRYmf271 -YTaojHcr8K8G9awNUu+TcL1IQHINb6pBbO2uCfdknD/WvY0bz6qGVdbwWvc9 -zrdSA2VMddUwU2J0cvsHnCef/PYTHaqGRl67VfOYuzkfLerPVcNHe29UkYLz -2mfujV5sNfC8LsL5xUcSBaeINxTy1YDjjqw9l1Px+yjrCy/sqYHTbg2TB9NI -FDfEw62iWgPynePha9Lx/YuX7fVToxrg2/PXYBBzXE9s9qhNDSx4c635mIH7 -kamb+WGPGhga3lXmkYn7u+rG16+DawDJK7uezML5zmHehDmxBmICz0jvzCZR -kHR0rF1RDXh9sZv+jpmLL/r6eGsNWKv6RFbn4Hou5JJzfqoGtMIjDV/m4vzn -//7O0J8a2P09i8MmD+ftZZRjua4WOE80livk4/W/Ln95fkctkPETt9cW4PGL -hfj6yNdC6/JfmRHMt5vP8Arr1ELGGe7PaYV4fs1YN5RfrIWQNInoB0Uker2H -98ZVp1pwXq1iZFhMosej3LK8frVgbGG8VqwEr7erk0FDVC0oFN6o/IW54URx -i3dmLQhseuRWh/D+XnslXrO2Fv7ZRu0LL8X3l6L5lrVDtTBWlf3FtoxE8rl9 -xwfmaqFCsOmNUjnOo2kvN6eurIN4l8kzGypIZJiZLPGYvw4etS6sG8f8Wcjv -4VWZOrgqsbE6s5JETR/Tduhr1MHxB7vvelfhenkjjEnxXB3sGVDZf6aaRPM1 -OVtlbtTBhv1nZ3fVkEjK2ee6xMM6mPe3f/sXc86zuz92vaqDzqlHZxtr8fvd -5U7d+7EOcpSiN0TVkUiBrTD0cEUdvAzLqblRTyJb5a+JOr11cIfRdE+lAdeH -N1vHLYk6OH9s6sDGRhJlEflKXiz1oPR28esk5mNaioUJvPWwfWFjXE4TiUCc -17Bdqh5YDCVNfJvxfc04n41VtR6mPqhyn2vBv8f6ovHQmXqoYTtXJ9mK1+Pt -1nhn23pIMnXwXML8grPgSf79eniS6yvf0obzybVxd5aweri+4Q35pp1EIWos -Tic/1IPe1dz4mx0k8rZ7ZP+urB5ky5vPq3eSyEVw5BpzTz1s5J/eyNNFosJB -p0sWX+vhh+NS/SfMm/1yT9UxN0BP46YH+d04D5iuPyTH2wAFolKHnvTgfj/z -aX2SVANE3FNjnO/F52PT+05h1Qa413MuYW8frg/85V5vzjTARZmbpkz9JDoS -+oJP9HoDqD5+vLkds+DNp0GpDxpAZPxNY+wAvh993zALLxtgpUKel9MgifwK -fYS6Uxrgc3DL4aNDJPpdYCh1s6IB6r9Oz20ZJtFzGF27qa8BPmgsJ85gdiSe -lhaSDfAsavPFohESOZSWKV9d0QgOv6R4n42SiJdzxG/b1kY4pa/ebDaG+6eb -VniXdCMcSDLxlh0nkSn3RbsQjUbgZXE8wjqB89PuR0xnTRrhzzm/b52Yd7sK -6u50aISBzJjkd5M4P6/wOfXNpxGKOfPNXadwXtsnsLYuohGirVq3aE/j5xWp -usRlNML9kk8t/J9wv8syfOZd2wiXeP/5EJhDH6bp2g43gqY9jxL6jM8vlZFl -/L0RdtXt+RH4BdfbdXlF2muaYM0OjQ8WMzg/GfJfUt3eBF/vnL+0fxbnJz/5 -OJBrguYOx60rv5IoqdPaXVWnCdKknrT1YG54z/JZ26IJgrzf+iYRJKoavzRh -7NoEt4bzwY3E/S5u3Mb2WRMYybf91KFIVHKz+453XBMcDPycIsjA9wGJ0PVx -BU3A/+WfJQOz/YKLaG1rEyyp8G4rn8P1+lZb3tx0E4y83tsRPE+igy6/qrYv -NUHZNw0/q28kSlVWOnZ6YzPE6lxQkf+O75uWf5QCJJrBO/7W71U/SHS+1S22 -GZrh8vKT1H7Mx2t5HTcaNcOxM7HWH36SaOuMYPIF22aQTCsQuPeLROmS4+op -D5ph7er2Tr3f+PwNpAPLq2ZgmH95IvwH3yffDIWeT22G9gImtW+YtW9naRZV -NUPWxi1/K//i+l7y8LjwYDOE2kqnhy6QaJ1wRMyT+WZwrdK8cmURr0/SUY2F -VS1wTtBU6PASPs/5HfvshVrgiItTN8cyXi8Of+uZAy0g2Or/dAizUGbh4FWd -FmCSiFNP/Yf3L3/Kc9KiBcbvFy54MlHIfE3yfdfbLVDV355hwEwh0YiFRPbA -Fkj4b+aqCAuFzI7OsEa8a6F9OAqJDRQ/O1DcQvtwFLrpUazR2dFC+3AUEsmW -FnWdaaF9OAqtkkwJCWRqpX04CnlpqyR84milfTgKfbnnrKXC20r7cBRKLLBz -jtzRSvtwFMqNOC69uKeV9uEo9Mp+r8OFQ620D0ehS3sPHi5Tb6V9OAqV33ns -K67fSvtwFPrzTvVcoEkr7cNRiEzzSlmwbqV9ODweNVvPqzdbaR+OQi1Z/G19 -d1tpH45CZavTI3Uet9I+HIW857Uny0JaaR+OQmca2WMPvWmlfTgKScWw9Wa+ -b6V9OPz+4hb3ZXJbaR+OQlcrDkemlrfSPhyF7j78ICHb3Er7cBT6Kd4pkt3X -SvtwFErgbfZXmGqlfTgKydvkmFUyWmkfDs/3RGK43mIr7cNRqGG+VGmIvY32 -4SicT/mOXt/YRvtwFBL43ZTxT7CN9uEotLD3t0fI7jbah6MQk0fGB0m5NtqH -o5BgPo98lUob7cNRSNVEScD8RBvtw1HIKFD1wj/jNtqHo5CWzJEf0ZZttA9H -oXWlahOq9m20D0ehJAcH0c9ubbQPRyFpkaH8gEdttA9HofpLUVGHgttoHw6v -59XGtqmoNtqHo5DHtnsnQ5LbaB+OQgHr6/k1ctpoH45CyV7Ve3+XtdE+HIUK -rR/7pjS10T4chUZP7RK36mujfTgKOQTnrRKaaqN9OAqt5teTHGC00T4cXu+n -TE9fLbbRPhwej8KY5LlV7bQPR6GtwmwrBTa10z4chVxnPPjGhdppH45CupSl -ZZJkO+3DUWjHgZrJm/LttA9HoTG7/JdKau20D4ffb/HEPU69dtqHo9D019Dg -wXPttA9Hob1/47s/WrfTPhyFbjz11/C62U77cPh5RSbDZ++10z4chVR0RKL3 -+bXTPhyFej/89F4b2k77cBS6vzQeOBPTTvtwFPq45k9xXUo77cNR6LquFsf7 -/Hbah8O/xxhxflbVTvtwFFpf3bzs2NZO+3AUMjTYGWMy1E77cBT6WzVrovGl -nfbhKOT878Ae2R/ttA9HoX9+6zcKMXfQPhyFnr315li/toP24Sg0syd+EzNf -B+3DUehD+R2pHyIdtA9HIfU47pOzMh20D4efV+HqOXGkg/bh8HqV5eUNaXXQ -PhyFnn4e+tVn2EH7cHh9D3xT6L3YQftw+H31Wb16bTtoH45Cn55vaeh37aB9 -OLyeS0e4Rx520D4cheQ07xhNBXbQPhyF8pv6XhARHbQPRyHWWMvmX4kdtA9H -oQteO5dZsztoH45C//UL7uQu66B9OArxlZkq7GjqoH04CrX/m1Hf39dB+3D4 -vHE2KGpNddA+HIX616wUMZ3roH04CnU2vf3htNRB+3AUYutOSAtY3Un7cLje -GPCfer+5k/bhKBSznXWgVriT9uHweBotNT/v6aR9ONwfAjSCVx/upH04CmUb -JCMpzU7ah6PQ/pSwupMGnbQPR6Gzk1yprqadtA+H683XbXYxNp20D4fXx7po -RaNzJ+3DUYjj3Tf73w86aR8O79/EqjSxgE7ah8PzKXCg3Ci8k/bhKGRvrh7r -m9BJ+3AU8vX4cbIos5P24fD779NunEOdtA+H+8O42gaJxk7ah8PvnzDBb9Hb -SftwFArX2k1ETHbSPhyFdA5vedDH6KR9OAp1vUnp5V3qpH04fN71Zsgzq7to -Hw6fz+qm8lebu2gfjkKfD54xGBLuon04ClU89A7fsbeL9uEotOHQmYirh7to -H45CV4LrDTI0u2gfjkK2nkNFiwZdtA9Hodrwp71aZl20D0chZZne2NBrXbQP -R6Ec+YJt0y5dtA+H66mJjIr8wy7ah6PQ8Lzcer/ALtqHo5DEyvqHwxFdtA9H -ofTjU9H7k7poH45CphIPTf2zu2gfjkIXs2JKpsq6aB+OQkfSD5YoN3fRPhyF -WoPVTCL7u2gfDucFj6Lgheku2oej0AvNSCuTb120D0eh59dGmov+ddE+HO7H -Qu5NQpzdtA9HoRp1W7OHW7ppHw7Pn03igxmRbtqHw/upfdcBA9lu2ofD/dFm -6lahYjftw1FooKMLxLS7aR8O17vzc8FBRt20D4frzwrpG0yXumkfjkKat71b -btzopn04vB/4ZjNG3bppH45CYd9O857y7aZ9ODy/VYV/q0O6aR+OQgcWuUyO -xHTTPhyer5wTcpkp3bQPh89r2SU/yYJu2oejUMZrrdPx1d20D4frkz0jZHtH -N+3D4f4jpHc0cqSb9uFwvjhgbMP/tZv24ShUylheeP27m/bhcD8Aub/8bD20 -D4fzRgnDIoqrh/bhKPSmePv+HYI9tA9HIbvDedcSdvfQPhzevxOJ7Hvle2gf -jkLb+CbZctR6aB+OQre/nLME/R7ah6NQ9abl7fXne2gfDp9/jpJDRld7aB8O -nzfC78OEUw/tw+F+4W/ocvNBD+3DUej71TUvWQJ6aB8O54u8cM6Q8B7ah6PQ -+7O/2sUSe2gfjkK/xji+FGT10D4chda8qNHRL+uhfTi8Ppe3LE439dA+HO5H -/2a/3+vvoX04Cr1Llz6w5VMP7cNRyMSntSDjWw/tw+H6eKDQS5epl/bhKHRO -bPDpLGcv7cPhfuAo1POYr5f24Sjk9Nn+goRYL+3DUej8v0LB+n29tA+H++3U -7BZb6KV9OHx+XWaPrdfppX04CqVoxKdmGvfSPhyu789Ytc5a9dI+HP5/dcYG -ppu9tA9HIcVVp1cl3uulfTgKHdvLL3XySS/tw+H+USh5ZyGsl/bhKMRvdYPx -Lq6X9uFwfw9u9j+V3kv7cBTSNpM9wVzSS/twFGoL8pBOq++lfTgKzSa9kb3Y -00v7cBSab3M7yTXZS/tw+H2//PKvYPTSPhyuZw8WxpyXemkfjkJ9g7a6kmv6 -aB8Ozy8p2zrK00f7cBQSN5GxCtvZR/twFAp1O8GhJ9NH+3AUcjG2K2VX7KN9 -OLzfPrg8KDvWR/twFLKYOqHnbtRH+3B4vMJdIgcv9dE+HIWyZOaYftzoo304 -Cv0I9R7LcO+jfTgKZfo6VTo87qN9OFwvvsYmyIb20T4chdzPf/Odf9tH+3B4 -vDs0rbNS+2gfjkIGivcUXYr6aB8OP8/kLqdCXR/tw+H9MiLS9q+rj/bhKPRw -+PTjqvE+2oej0GbBuX3+VB/tw+H+zdvTcGqxj/bhKHTv16eT21b30z4chWTC -WSumNvfTPhzOP8GrBdJ29NM+HM4jIn0X3KT7aR+OQhvVTnodPdJP+3A4z73U -f7LpWD/tw1HoiWe24/jpftqHw3lzlenhdIt+2ofD9WNefMjzRj/tw+Hzl844 -e9K9n/bhKOR4/cXHHY/7aR+OQt+W53u/v+infTjcn75Ndde87ad9OArt89N+ -F57aT/twuF/lLKrbF/XTPhzu94K9aRp1/bQPh+8//Hmf+Lv7aR+OQrcKXD7N -j/fTPhyFhAvmUuqpftqHo9CWZ0yHYhf7aR8Or9f5ez7uqwdoHw7/PpNGiBHP -AO3D4f3Gtvei7M4B2oej0GAK92dOmQHah8P3AaJB4suRAdqHw/Xcdt/O6mMD -tA+H64OPYHus0QDtw+H+pH1l/4NLA7QPh+uz+6ej5vYDtA+H70vBjmtU7g7Q -PhyFNuVP3Bb2G6B9OApNNK4LYQkboH04CumbNxhNxg7QPhyFfuM5rE4boH04 -vJ/6TzYlFQ/QPhze71dq3J/WD9A+HL5f1os2OvQM0D4cXk8e1XyjyQHah8P9 -dsucypG5AdqHw/dtfXbLHcsDtA+H8+OcscBqjkHah6NQ1WT+NQbvIO3DUQiq -l3R6RQZpH45CDz79KimVHaR9OAoZ67qhJKVB2oej0M7sczrBxwdpHw7f9/2u -XLprPEj7cHh+vzmzXLEapH04Ci1VnxE/dXOQ9uHwfTR4sEXJY5D24fD4X3X/ -2e0/SPtwOP+18cTyvhqkfTicL6ev1614N0j7cHh9D3+wmc8YpH04/D4TMQ9H -0SDtw1FoLllwc0vjIO3D4fsB9zxfSd8g7cPhvKA3G5gyPUj7cLif6fS5RH4b -pH04Cvkn+TU+ZRqifTi83kVN/vfWDtE+HN6/AfY5N7YO0T4crscTykfNxYdo -Hw6v915OxVP7h2gfDuen8OgXGipDtA+H14OjVveg7hDtw+H7Co+BtaTJEO3D -UYhz1Zp+wStDtA+H6/l4YQK30xDtw+H6aPZf08oHQ7QPh/v7rILWwrMh2ofD -85X8hocRPkT7cBT64yolN5U4RPtwOH9MJcX1Zw/RPhyFHstNmLWWD9E+HO7/ -V99aVbcM0T4c7lcy6ZlFg0O0D4fz5/feo5lfhmgfDve/7nGh5J9DtA9HodT3 -YYdiWIdpH45C1kylQS83DNM+HK5PvCISgQLDtA9HocrZJ38f7R6mfTgKWUqh -FZ7yw7QPR6F6ZW8NV/Vh2ofD39eML7A/OUz7cBRa5J42v2o6TPtwFFqQWXfI -4tow7cNRSG1iQtHEdZj24fB+3rnHztB7mPbh8PzGpNacCBqmfTgKWVXLaB2N -HqZ9OAoxpzkRyh+GaR+OQk371bIP5w/TPhyFjo5fiNxfPQz5M7wbOJkY6NjS -49i9HcOwOyx29hZmgQdPqnaNDkO4mnTNEOanImLMO4lh4GQUvNVkZiCGnoCh -wN9huBuheS8Vs6L4/iJe9hEgtdrPbmFhIF7vPfLcm0bA7MeFA/cxjy00lHMK -j0BrzJcNM5jru9rOs+8dARVdp68GrAw0somZlUVhBDL+MtUWYuZ35M9cPDoC -OxP8Y0VWMJCLxbjNL8MRCDm1xeMp5j+cvLvmzUeAjSnu3E/MVYZ3Pn+1GwHn -D9JyZmwMJNXZmPTJbQQ+GRdy1WIuHWq+Ou47AsZsRwmZlQxk6q4sNvRiBOrT -22tfYdZwHBvseTsCCqamcSzsDLRDyeFJe+oIfFgz43ENszBXqnRT0QgI5DqZ -dGJm7jtbU1M3As8uMcsrrmIgIQUZ/fLuEfi3/in3O8z+Lxg1RRMjYF+0hVy3 -moG2/DOWymWMwNiVuDoXzDZHxd3Sl0bAYLNM/ChmbzfOvtNso1BRVuh5bA0D -FSY4fWrnGIX9dkfPZ2B+GZ/ySY97FOK3dsjzczDQ5+ag3sYto8BTY7rxIeZ1 -51jzjwmNwiPHGfIrZrZzQ4+qRUfht5Bz/WlOBrpRsqymJjUKVxuZ35VgDj+l -8RXtG4V+16f3xdcyUED5M48jh0bhuCjfhUDM65/nLebBKBS1xR38g/md8BuL -A5qjsOeezCaLdQyUnrkjLV1nFKJ2F1H1mC0vCUzuOTUKG3qONvy3noG6JK4v -JZ0dhfteHe8iMLMNkX9FL47CvLTZA7YNDPRF2mMgxnoULg3OXLDDbJ/xN1Lg -+ih0+jof6sH8vE1R5ZXjKGgcYNmszMVAuj0ilZvujELO2FNGIuaKmSeigZ6j -IP6Mr5GLm4EkNuhZcjwahZeH4xPuYJ7Ype3h83QUVn+S8ZrA7PvN2Ik5ZBTu -BBeZ6mxkoE8cZzTcX4/CLGgdzsa8aVaI/PlmFM5/7dgsuImBHD49s3NIGIWm -l2ZzPphz93tUzaaMgpLGbCOFeXGiYc4qaxRS55wTjTczUNmE3vxIwShsj2J5 -WIb5z7+pqrNlo/Bc+5nZbh4G4h6/cq2jZhRYfvEpBGNe3pA5ptM8Co6x8TyL -mJXmosSrO0dhSk923pIXz0/lyiMwMApGi0VNTZjz3lcK5I2NQk2iVpLcFrze -sRkNMp9H4eDpzofRmFl8ktWTyFFIYr54cRUfA11Z6/NI+McobP04q+CAubdF -MPjVwig8OefC248Zqehac7GMweJK1m+qWxnIPJv457tqDK5nPmt+j3nyXLcp -0/oxGDbbmryJn4EuKo/dd9k8Bnqc77zvYh4++ekyyT8GpXmy5tOYr50tW2+1 -YwxkrYqP6G1jIMF/R10Hdo3BW65jW/IwC9edenNSegw2lnR+2y7AQEX8xT41 -B8bgoc3FlseYLx46v1vxyBj84PmaPI85RXW1X4bqGFhXuPiYCDLQfbmIOPFj -Y9Bzg9WiEvPy3FfXCL0x0NoWoLhHiIFeyHSwcRmNQUHtVr5QzFz+Ytre58dA -0und92XMMe4l6n8sxiBCeF/r5e0MNGp887vt1TFY21z8vhXz+Gk+49EbY3Dv -zrFHh4QZSOGRn90p5zGgxLos3mJeOxIhV+0+Bhc7Lipx7MC8Vzz1oNcYtHl8 -5buFOWQnU0/y4zFQlXL9MYg59sTyh22BY5DZy9qmsZOB5rb8ln4WOgYi3gEf -PmKeb60w/RcxBi9k+X15RRhoOlr+oH3sGKwcfnfJE/PoM4nC0aQxcPHbB18w -t3vfmNZPG4PPciVbDUQZKPT4SF5pzhicnTj2swDzH29dWZniMagP6GrbKcZA -1flButEVY6BwxDzFH3O79v316+rH4MPnr74/MLO6UzfdW8dA4IWrpak4roc3 -MlxmuscgQGWFcg3m9WLR24yHxoCJDOCX2cVAzp5+F6smxsDhNf+vl5hllY6p -7psZg3HNhHZmCQYSW04tjWKMwalv+z7aYH6mFNy/5tcYVEaXPO7AzHSh5pnz -0hgc0NG2OrKbge6Fbh8cYx2Hd7+7lOMxu7E7lumsGQfeePNt6yQZaPZ9kGLu -hnHwPUn8csZ8wuyUnjDvOPxZcu0YwZw+6PvDT2AcbJJXpGpJ4X5SslL6+85x -GDAK9EvH/MPjzd/zu8dBh3Wb9dY9DKR5R+JMlcw4FKcmqHhhDvp0S22P/Djs -Pf+fwFfMt8dOl4QojkP0KvTbcC8DOZlHVy+ojcOGbO3OYszjyiImFtrjcN+8 -O1VMmoHWHMq9U6s/DvNrLZ4EYJad2C2y98w4WBYQ1r8xR+edNAq+MA5d1rdV -zWUYKI17ef3vS+OguZFNsB5z924W/fM245CLAv/sk8Xj2SqzudR+HHbZbusK -x7xu8KzpTpdxeLUlMW3FPlxvtE7u9rk7Dmuq/vO/jrmD/7PLZ69xcHNAl7sx -q1CUhrbfOHwVOK4G/zGQodLh4PeB43ChvlswEfNjy5emnGHj0Oxs8XfDflyf -Iwfe2UaOA+wku25jTlvZZdMYOw5pLbfTxzGLRZxOkkweB2F3tqfHDzDQgMJe -c7+0cQja9fxKFmbBOOnnn3PGgbVrm7qAHM4DjrsPahaPw637iUI+mHkkKcPY -inGY2rN/gcTMv1t3+l/dOBj1o+4z8gx0OYN31qR1HGp8jmeUYlaf5rfI7R6H -Q//1PJU4yEBE7W5t7qFxSB6xuBqE+aXSpre2E+PA70+qL2D24Uy8Uv1lHPwP -3tlueYiBOPuyI4QY47A0ybbYiDlKcq2i689xsHv+vOfAYXyebO9qtS6Ow4ii -QGYU5rTprmJx1gnQn0l8xq7AQDKVU+H3Vk9AWeh+G3vM3VzuY53rJ2CfWqlG -H2bn4stPd/NMQCx1XFj1CAOdCnWJ8tg2AZsiehaTMe88cWdj544J8Na61LtR -kYFEbynNiktMwM/vZKY75l0eQSJu0hNwOeZOwBTmsAr1kqYDE9B7YuU1XSWc -F1x35godmYBjf59r5mLuzFlc66A6AQXvBHZsB3xeb0eVlWlNgOSppCVfzB7h -3a1cehMQ8W9/3xxm38Gbh81PT8DaD6VZ55RxvxxUXko1mQAPY53ACszft63b -smw+AYwVvdekVBgoniXx8fErE2CefunoC8yRHQP6L+0moP0CtWMZs3yes+3k -rQlQW+O2bK3KQH8LNAak3SYgK2dlfwvmhNrNEXfuT4DopaDsg2oMpJaWmVL5 -aAJC1ws+j8EssfPrunXPJoC9KMl2jToDbc5+UmIUMgGuVw5oOWKWGTQtjHo9 -AV82le0cxCw0J7ti+s0EnCvT+aeugeu3dFuYVMIENFzv7U/BXJ+5yulmygQc -2WqZw6PJQJkeca9zMycgpZp67oH5k5M9+2L+BAg6ul3/jFnp/MECKJ2AACH2 -YyePMpDZQE36g+oJYGoMEinAXH9v5mtl4wQ4uAoy7dTC/Tbrms3KjgkYF0ke -eIKZr4VH4mjfBBi2Hcj9jrmIOVf80cgEVN0tC7pwDNcTS16r6qkJkNt9wq4a -s/Ycy+iKrxOQ0N17TFobnzcf9TDV+QnY4mUp+hLz0uS7xx6/J+CxNIOJ+Tiu -b2nfswuXJ+DvgNvgVcyUw++tv1ZMwjVf9rx2zBzB7rmyHJMwuD84WEEHj9fi -uN81rkk4MSZ4Iw7zCLd0UBzvJJQ8TdZeewLnl/y5pkGBSZA+LCfmjNlV98KR -TSKT8Ga6jHkE81CqwqD27kngCj4xdFSXgZoijT54ykzCA+jLS8P8psjlfbbc -JHybtQzh02Mgr5ArvV+OTILlS8aNB5gfP5+XFVCbhC519+OzmAtZR3L0jk2C -5hy7uKE+rhdCv6zu601CbmQwSzHmTwusShmnJ0FCW2hY9CS+H4Q2K46bTMLr -n8n5zzC/bBay5LKYBI5YuRe/ML+9WpoKVybBXa/c/qIBA53ZcFvout0kEAsn -dOowc+pzZ7y6NQmmiX3i+04x0IPXhtZVdyahxdCKNRzzN41VCgzPSVBmnhtm -NcR54+mPvVsfTUJ6inuBLWabgElltaeTsOPcqtAuzM36kTeuBU9C8MoQB6XT -DLSy4FN+0KtJWJEpdCIBc+DLJ4L50ZPgZPZ+1wYjnJei9COG4ydhmkN+xW3M -NZeWZVk/TMKZvPKRMcwdl84Pi2VMQq2lbqH2Gdw/mfa9OZY3CYe4+kMzMefd -03S+VjIJycVWN7cZM5A0h7mZf+Uk8NvMnfDGvCJH69yH+knw57krQWJe7VRp -1dA6Ccvlq9jOnMX7d2e455fuSbhxI2QUYfa9H5y0cmgSRvm3F+06x0C3Dtwa -2TExCSdr34c9xxxazyqs9GUSym/JO/7F/DV91XVjahL+E67QvWSC72eqx8od -fkxCXJPu7kbMVrlPhPwWJmHznX62A+dxv/sv1CuGeQp8xKzHIjHbor1ELvsU -/GqfK1p5gYFKjvKbNK2dgised1/ewPzwjXDj2MYp6JNcfasX8xUvdvjBNwXa -vSF6Kqa4PgYGZbBvn4LCh9slkzE/1/EV5RObAinZDys3muHzJfIxVEJqCiKH -5MfdMJM2dSsO7ZuCdX4VxZOYq85E2x09OAUecnqvTlzE53vNj3ZDpSlgjPff -ysFc9yhAxlx9CswDrPWFzBnobNF/PrbaU9ChMC/pi9npzrt2Z/0pUP98l30O -s6bbh02eRlOQHbJ64qwFA703XXPc9/wUiKm8KCnHfOz9w1sBFlMQRmx/LXmJ -gV43jwS8uDIFq15/cArBzCIwGv7abgpuax48uYR5oO1YWNStKZiZr5CytsTr -s37MM+bOFJhE661qwfxo5OqZWM8paDw+MCFvhc/XvkS+OJ8pUPxtjd5gvjhq -Vh3rPwUf4+Zfr7ZmoNOJhuffBk2B0Ml7zjcxz8to90e/nILApdUGA5iPrFoB -EVFTwJz8Yo/6ZQbKj9V4HBY3BTeNhFenYPa82J77PHkKJlhSJjdfwf3A63qd -X9oUGKYeLL2H+eXB/qIHOVNQZVIZ/ul/HDIQeLtoCuRW6bvoX8X3ueD96jfK -pyAxa8AgH/PfLYldl2qngM/88t4dNgxkPPdb7UzzFPit/bb6CWa/1i9Pj3VO -wUL+valvmAsNFDMO90+BrfWasvPXGOj4Ynbq7tEpGOIOjajCPHSIx5tvegp0 -kbDrXlt8f7i4XZb96xSgaymnwjAbp4RmfJubApkth6SZruP8r6mwauTXFMRU -Vq65iln/cKtM3dIUcDvoT7dhbvXglcxgnQYvgcGyw3b493+2/3i1ehq+112O -jMUcdLkywHP9NFg5f3PlvMFAyV4pv6w2T0P3Dg9DJ8yqU3pS2vzTcLRljcww -5pD116X2CE9Dnlsox1F73B/sqn+uE58GiV07PqViTjYW8KOkpuF1Z0r5FgcG -cuT4b6p53zRw3D8UdR+zeGrtypSD0+C+p+r2DGaW09HEY6VpIPv0T5+6ie83 -v1xeWqlPg5nPoEwRZl23jSuVtaehdd8VTlFHnF9S9h3g058GlZFvn55iXtQI -FZk7PQ0ZTzwqfmJ2n1purzGZhp0HOaLNbuHn8+6BSPNpCJkMvVOL+YTe2GWH -y9PA9nyHkawTrkfEwHH1/6PhvuNyfL84gNsjK4RsklFWUijpUsjMJtl7j6wo -OyMSkk2hkoo0SBI6qTS1l/Z+5n3z3PcTycjv/F7P+f75efmq577u6zrXOb7v -17NHwhynhIy7j7m5WZm8x0EJk8rNOrV0xP21xX+q5KiErbj9SbYLc7lH/fzX -JyUsxXpRQh7mVh/dup89J2Hm30ofTTmC/710+JUFbhL2/MH2Y08xP6q6HN3H -Q8L6zapf3uUo9veHHO/X3pKwK/WnjI9ivrYoYOSLBxLW9LhD5yrMh3XKdx/y -kbB9tnfks51w/0wrXm0eIGGVjXqfXmIWF6z61RQsYYuehjzu64z92q1B0+Ne -Slj8YvPj5zC/XSWfdC5Kwkz+fbLjMWvlHSqcHiNh/s8XjV9+DOttX5cBrRIk -rOeKss6AucPkrI5xKRLm2mqHYvhxnE+GDvA5mSlhP8PqP3lgHhxtWGyWL2E7 -1pz2acTcIz4iUl0sYcXtO57YeEIF5j+cTYMrJWxu5J0VaZi/109etkkiYe83 -DjExOYn7dV6kTm9OwkZ3Ce3ijXnc4cAD6YKEPXxnrmx9CvvBvKw9pxskrMv2 -xMS9mD++5VsZ/5Ww0zqLfQsxe2z8MKG6hZQJsWUnpp5WwZiGv82vt5OyjXt2 -2Adh3uC+Z4tlZynL7f3dpNsZFYwekrpO0V3Kpiee1j6GWRqY/e1mbyl7faAj -V4N5ToOVjuVAKRs28G7SPBest3lf0ur0pexu2hC/1y7///eQJT3cDaWs/dHQ -kwPO4v2rdvhmZCRlzvqTV7pi7rqBX5FnKmXKrERTFeaiiAsLHSdL2eoTi7va -n8N5demvvJ5WUpZuUM59xKx/s3XdaxspsyzYkWx4Hs/byy2nlsyTslCX7343 -MNuXFfp+WyRlg8aeOfUHc+2Y3vPd7KTseknHVVsu4PsOkZwaskbKWly8OyED -c8jivxPebZSygyb63Sa64jz7p/eBRdulrLYylH+E2eVE41jJHilbdmVySruL -OM94rtjndFDKEs2SnuzHfFymHtPBScomShafLsbcQffingcnpSzQs3zVtEuY -g7INDM9JWW+2c2Iw5s5dr2x8c0nK3JTfu/VwU0HcK8ee065J2e87Z76ewNy5 -Zs709JtStmd6p1QJ5mEVnxTL7ktZuequ/4LLuP8L/NqVPZKyBd76Z6Iwu0se -e230l7LY2WGrB7vj/u/j5C95JmXjfkye5IZZvVs2eEeYlPn6JnVXY/6U+Exb -8VrKui9Y8m3VFRXU/TvmsOOdlJ37XZ6acOX/v6/XVGmslH0P2Pl09FUVrOlj -fnJTopRtXfrjzG3MJ5c/NChPk7LCZi5r/mEOH97Myi5byma96GS2/Rr2P137 -xmcUSNlb+3s62ZhdLjwLmV4qZYZthqrMPFQwT76jxdsqKXvwMizNF3PoZZ0P -I6VS1nGdRUCH69i/hW4p8+Kk7ESHZJdDmG3P627sKErZ1zdL1pZh7jJWNtu5 -QcrWba4ws/HE+eDDtRuSP1KWpb2rRyjmGcapUxa1kDHrDz9UvW7g/XVy8czo -tjL2aofL59OY+5+tCRncScb0e3YOlGNO7TbpoGs3GbsVd+/s4pvYz3zTuqHs -JWNt9g1d9w6z3eeeneb3l7EjfcPN9W/h88zrWRmiJ2OyJIueVzBbt0rQ6jxC -xuwPJQvfMXseLb68a7SMpQ5amr72Np7XgTobk4xlbHJ6RWASZqd1I90HT5Kx -YKdd54zu4LzkUdzOeYqM9R/WsO4e5r9/SgqyrGXsao7L5BZ3cb4tl9cPnSVj -/0527rUL85D1MRucbGXMYeR9MRfzlcfd+6UtlrGqwqEZFvfwvgrx0+u7QsYW -nwsP8sc8yG3EoR1rZCzeaMr5zvexXx+2ukvkRhkzKUtefwTz+20/xWbbZcz/ -0lKLSszz9d/0n7NHxnpNqOw1+4EKAvUXX71+QMYuVu9Sh2N2HnJ2WuERGWu8 -2pDRxwv7s/SWFn1PyNjOyWefncVcGHfFcY2LjJVIO1/gMAfdLBa8XWVs3s37 -G5Z543yR5Bdc6i5jH6YOmxKD2SDX17+3p4yN4cN1hz/EejD4esnSOzL26N6U -+muYV3UeYXvVS8a0bVIyf2L+oxjU+MlHxs6IS59veKSCdr9Myn8/lTHxYeWF -VMxZ+/v/HhssY5vm7t44/rEKGo7dmL8xXMbyGhqmeGG+Ybqw0DNSxmY8Odu7 -tQ/ul9CONz++k7HIhV2+78G8lD9z9musjA3/ez+rAHOydPuT3okydjdoWDDz -VcEFN+fv1mky1n75S9dAzLte7zu8M0vGnFtYburqh/2oRfMhHvkypgxJsXTG -7CWV/nlVLGNrVi3rU4P54MHS5gUVMpbRtur73CfYP3jcMfpRK2MsYnd2BOaU -2mxXHYWMha3/GdzfXwWX209vM+6bjA3udO7iBczBV54+m1svY55vu2z+9v9c -H35wc6OMtdz6gK14iusTOWD9sSYZO9RteN+PmPtZ++/1aClndTEvfxgEqCDy -519vv3ZytnyXZY4n5vfGVVxEJzlL6pX64jfm01ParkzoJmeTEpZd2hyogi+r -RtRm95KzIIeqzemYjRP/XCrrJ2d9+u+ZOiEI78dnFnOkg+XscsrPvo8w7x79 -eMi3YXL25/C5hrbP8Dxvr9D5PlLO9uhp5zpgHrvrZb9GIzkrz3gQUoR5n0W2 -2W9TOVtwbLib9XPcn1/UO3+by1ns8FdbnmM2NM4JaWRyZpxnaaUTjPOssX6r -H9PlzO90ar8TmOOjfXaoZsuZzujlP+swOz1uUS6bL2fni6py57/A/RbetK5i -iZz9OL8n9A3mbq9sv+aukLNtxo1ug0Jwv68PcE1cI2dfys9tvYTZfne84ZuN -cjb7sra1iNnpjH2B/zY5i57o1X9VqAq2Wg2+5LlbzkbWDm+Mx7xrRo7Vif1y -5uXxKm9UGPbbA/T/bXGUs05TWNgtzIeXZcC8Y3J2Up56uQlzw86LLuNOy9m3 -W8u3bQvH+lPY3kbnvJytt662zsJc2FGr/fdLcpb9dc8As5cqWHxwamruVTmz -ftDY6IM5f/fmi2E35OzVzPP5Wq/w9y0ZbX35rpzp12uHH8Q8xHnxj03ecnbr -sZd7KWbrUZf9zH3lrK3tiO0zIlSwIOTqrC4Bcna08dW0EMwwRae26rmcyf3Z -wF6vcb275h56GSZnKxen/TqFedcKl8bTr+UsrWl5gQzzB4siB9toObN4Xh2+ -KFIFlZVXi3qBnL2w23slGrPphpXGlfFyNqDVr+1D3uCf54pOT5Pl7FrY+enu -mOtmdQndmS5nzdZ0HfQds23q1qxROXK2v7337zVRKpi2OqyUK5Cz6tcjChMx -m/71zXlWImdLNka8HPsW7zP/72FbK+UsofPUq3cx589e5zioTs5M36XtaB6t -gryC24O/yOXs6Ta7GTsxh5suCb/yVc566dQMysWsM2euvpVazi7G7v0z+R3O -Y6Kpk9ggZ427fxU+wbygfWqIzx8529n7wqtO71Wgtykmfn5zBSv91PWaI+aw -rJTIxtYKZnvAe2cF5h4D/C74ailYzAADm1kfVNCne3Pj2V0UbGxaxOBwzHYH -77/huyvY4yNT//aOwfugaWhPD10F66r/+YsL5vf7Vs4z6q9gLll2EUrMy099 -XZExWMHUx2uuLQWsR1V3zXcOU7DNBvt2fcC82kZb1XKkguXn/7IZFoufZ1WH -ow/GKpiNywW9a5iXpk3NNjJRsDdjujU1YDaw2PYrfpKCjSjxLlr/UQW6i03F -pVMU7J6rwesUzKNDNrypsVIwLZPXHsZx2C9+9Z3lYKNgxyqn7n6A+U5o6JNf -cxSMc/88s1U89udulqkuCxRsjdmKIXswd+rRJqrdUgXLqKtpysd8ve79bvcV -CsY89xVbJuC8f7W3tNMaBQu3/P06APOJxzlDr2xQMD3lhevan/DvP384XGur -gt24022PE+awySbKczsVrNX0h7OqMZ8vn73v714FO6wy0J+biM8/4PbLgwcV -TOL1+t8rzLnnMl9JjyiY3Wyrkn5J2J/cD95vf1zBkr9/jjyPuZ20RpF8WsHM -fFd4fsW8Rhg5YMJ5BXs2v3aPXTLWdzZZy+eSgvX9vW92LOb+K5JftL+qYO4B -v/UNUnDehkttHTwV7O8S12aemBf/Me2Zd1vB9jbrXvoLc6Ln2S+mDxSsIvjh -m02pKrjXzsD21iMFW2hveOMz5p01pQdEPwX72Dpyr2maCljQslm2gQpm/NJq -zkPMQZWzP/sHK5jf2vShbT+rQKHt8Pd3mILpdLBv7oC5d9zJ4gWvFezCm9rS -L5jnnRi10eetgjVscoiySldB9OexN1QfFGy79p8bzzBXTWa7p8QpWNF7133d -M7C/suvKuSYq2Jwd3ecexxwUsrprVqqCvevxaFgd5k7ZhcU9MhVsVJxhi/mZ -2H8sNJtpn6tg3nsjyyIx+8VNXHa/UME697V+OzAL9+/7Sy2LShTsVFL6zYuY -swrqFvaoVDDVQXsHAfPEFy0sFtQq2IZBdXNXZuP7L7sdf0GmYDmfHYbHY/7Z -1l7yjlOwaU5/WozKwfkg65/vV5WCRQy9WH4Tc0KZ1c8B3xVsaE736L+Y/Yry -a+c1Ktjtk49ubc1Vwbn9B7Yd/atgbUeO3J+JefXyjJM+zZXsaGHkvEl5OD9Y -PDZIbq1k8rPWI3wwXyt7uJVrr2SrjDJaauWrYMD30yadOyvZ51L7igOYV07/ -e310NyWbcqkuugSzo0fqiTk9lSzEdP/t6QVYD/0e/djcR8kGVv/Z/wJz+Zzx -v48PUDKPqxdtexaqIGelhZunnpI1n6xjcArzzOf7ff2HKdkB6aNWMszPe7tZ -RxoqWc2NkZULv2C/dNFiR8IYJVs69c27t//PlaxHlrGSfeKs7+gVYf/YZe6M -oglKNuFexoHLmNXtevysMFeygBkr59djvpm4dFStpZLpinUGa4rx/pr1sbLO -WskuPdzfOhHznJtddepslOzXnL+VY0pUMOrNn4SqOUq2q+Hi+zuYLRLMxJL5 -Slbqp3O3WSn2Q/mn7ucuVjLbhY8P7sBs3fHC++TlShbzZ+SCHMxnPVravVup -ZGOD3hhOLsPnvx66/flaJfNZNq3NE8zLZ1qp7m5Usm4tMqs6lqvg7rd93Nmt -SnY2ZOWHw5gHZ4urdu9UsvqVkrvlmPeZn5myeK+SbWl74NDMChUMd8m5ZnpA -yQpe/V0Qhnlm24eLejoq2cz1l0b2rsTnG/fgTL2TkkV17NHWBfN2hzMDsk4o -mcHbx9UKzI+ntBsedEbJ7m8ZFbOkSgUt+0rvnTqvZB26Rd17j7nwRv7hJZeU -7HjMtMNDq/G+s7j8Vv+KkvE7MxdexfztFWxSeyjZ2l6rRjVgXuJjeBBuKllm -vKTt+hqczyLPSi7eVbKpDgdqkjEPD3N5v8BLycL7NcWMq8XztaRC3f2xkuml -XLp/H/Of/Ysu5/sp2Y3DPRxb1uH7kHicuRmgZK30fBbtxux/e1n+wudK5pgx -anQ+5q6H7M5rhSqZ1DmqnaUEP5/zfI+PL5VsxfDptU8xm74U6w9HKllKbiZ0 -karg1Og/4cOjlcz89KoHRzGv7zAkseCDkj0fJXWswnzccaDR2Y9K1q/owOI5 -MhVc9Q/6OuqTkl053zT6FeZrJU7N8pKVrGmcW/t+chVw22ZtPvpZyfaV96g7 -h/nV03TdPllKVunmE8tjjmsW1+9trpItmjjaa7lCBY3Ksr3LCpUsribqCGDe -qizq9K1YycZ7TF8yQqmCY9f2/jxfrmRPLLLGXMc8yXHp6D7VStZDvkrrF+aN -c6c/f16nZBduSes2cti/Jv9wMJcrWYPVwY9pmIXX+icSOSXb/rXJy4TH/l9+ -IWOBSsmK7rsd9cbsNbNgU4FayebO7Lm0zVcV9E1Im7yyQcneq33G7sNssGHQ -spJfSjb68egOXzCPNroSYt+kZA/nvZVM/Yb1fFGaTX5zjnVpnB4XhHnzjxv9 -5rfm2Gn/LO9uKpwn1zwek9COY8Ki1U7HMG8rDDo2sSPHNjZJl9ZiPhK/o0Vg -F47lPjtoZCvg/Hb93sce3Tk23e5fh0jM++PFN6d7cux1y8vSAaIKjORjamW9 -OTYsrGe8K+b5/m1nzO/PsTurfR+qMDd7PqEobBDH2rUf42yvxnkg5vAjbX2O -Ob1+uywOs0Pkydt7hnNMsWHGuJH1uD+cOnxIMuTYqs7ZHW9iHtaioOvAMRz7 -HL1a9gez2aq7Nw6O49iUbbL4Ld9VUODb0eqTCcdCuh96lIF5RXteV2cSxwbF -/nOe+EMFGdnq3usnc+z67svLH2MetbjEOsiSYy169zJu34D1Vr3G85sVxw5+ -8u10ALOZ8YS242dwrHb/GHkxZpOHAx4fnMWxZQOiE6b9xHmjJHtV+FyOJabO -eByM2fWxlhk3n2MTj2Qf69GI+zn67AT9xRwLHLLG7iTm6e1+LrZfxrHeWTJj -KeZNp/SuXF7BMbfjhzov/KWCzgYxNdGrOPZ7RDNFFOa4IReWSddybHf+5U+D -f6tgvJ9plfZGjpWd6eXjhvmMyvX8xC0cmz/G77gas+POSVartnMMisesWP1H -BW/Ot+h+fBfHjFyjx3/C/PezT+O9vRzzGW/TZcxf7EerstUR+znWrTJbcRvz -OOf5zdIPceys+5rEf5i/bsntX32EY98nyX22N2H9vtRvbr0zx7bWHTqRjblt -dd2Flic5Vni9mb35P6zXLsrMLmc4NsvS3cQPc+ZtuX7vcxx5OAF+bvA6N9CV -Iw8nwFoWy+u5ceThBPD27bpuyBWOPJwAWb1sCwd5cOThBMieNnZZ3xsceTgB -9tUeKux2myMPJ0BwVvHqtvc48nACqH/2r/35gCMPJ8Cybb93SR9y5OEESGBG -6hwfjjycALaxTkffPeHIwwmwyOrWr8cBHHk4ARJNpx49+4wjDyfAnpGTVBtf -cOThBOiSZr6BhXHk4QR496V1qu4rjjycAM1M7Ay+vebIwwlg+IU7ERfFkYcT -4FTpuUTPdxx5OAGcD5U1Xx/DkYcToL0qYozhR448nACp71LmCvEceTgB5m4o -tnudyJGHE8DK1X+RYwpHHk6Az4J8oslnjjycAOEuW9t/y+DIwwngZVac8DSb -Iw8nwAqTVjtW5XHk4QToGepX37GQIw8ngH+ro1vfFXHk4QR4GTL1w9ZSjjyc -AFo2Hxo6V3Dk4QT44vJCJ6KKIw8nQK1FdrfltRx5OAFydslU9RKOPJwAW4a/ -euEh58jDCfA+QJhpwHHk4QS4NWNzTMxXjjycAO7OaV0WCRx5OAFWV0nNK9Uc -eTgB9CY4W+7+wZGHw+ddPq33958ceTgBdlq3SnX+zZGHw/3S9+SCv3858nAC -uA09EHi8GU8eToCKJJ/cny148nAC9HbPyNzfmicPJ4DBwOgH0rY8eTgBDi4a -NmGlFk8eToDOvfK9Uzry5OHw/V68mG3ahScPh++nskXWw648eTgBMs60udtK -hycPJ4BKtWzEtp48eTgBnn15dSpRlycPJ0B5uxovvb48eTgBmhyfnjzWnycP -J8Biy49Dsgfy5OEEmPz4h9sQPZ48nAAnWmm9OqDPk4cT4HRjzP2YYTx5OAHu -mRRNbWvAk4fD8+PZ78m8kTx5OFzfnrbxV0fz5OEEmM6P8U4fy5OHE6DtzgtG -7Y158nACNLTTPW5lwpOHEyByb+BJxwk8eTgBkrb/mRA4iScPh/uZ/+JXYM6T -hxMgr3/LhOZTePJwAjyxnnrbgPHk4QT4nbms93wrnjycAGWb2s/bN40nD4fr -lTho9JUZPHk4AXZwG94FzOTJw+F+Vnt+j5nNk4cTIErfuThnLk8eToABDWXb -a2x58nACSDucf6RawJOHw/c7bsKJX4t48nACdNoQ1az5Up48nACr4nNGtFrO -k4cT4FLwvPqWK3jycAI8fshvaLaSJw8nwN7ZJxwaV/Hk4QRId4sf8G0NTx5O -gO97L+6qWseThxMgzujmsqwNPHk4XH/z0JJ3m3jycAIUDvH947eFJw+H6xlp -+ubiNp48nADHlcZaO3fw5OEEcFJsrJ+5iycPJwDX8dohvT08eTgBPpSevtS4 -lycPh3+u08I43YEnDydAiU/pbu8DPHk4AXx9iiftPMSTh8P12Jx4c7wjTx5O -AMfdO081HuHJwwnQct/1hndOPHk4XN+lbf44H+PJw2E9mXDz8oQTPHk4ASy3 -tvH/epInDyeAzpYRM/1O8+Th8DwoPjksdeHJwwlwpurZoBbnePJwArTZ5bcs -+DxPHk6AA6XHOi9x5cnD4fPENpvz4yJPHk6AEUVNbW678eThBNjWb9p0Y3ee -PJwAg6PuN0u7wpOHEyBEO9d8/TWePJwArh/fCoIHTx4Oz5N3P/3Tnjx5OAGC -QlOytG7y5OEEuGh+7cf1Wzx5OKxXbyff7HGHJw8nQFqld+Ctuzx5OPzvvc8Z -d7vPk4fD5+kJRpcf8OThBOgQ3M+3mTdPHg7308/d5w885MnD4f2Yc6qo8hFP -Hg7r2ayh3nN9ePJwAsz0H5350pcnD4f1wmHH3p5PePJweL/tDnJy9OfJwwng -eSfmW85TnjycAD1GO2eODOTJwwnQ1/9FrzNBPHk4ARojDJNynvHk4bB/WBBf -OiiYJw8ngMR/tt2uFzx5OKx/wzxMX4bw5OHw/J7e4fg9lCcPJ0Ddo0Bd03Ce -PJwAb8IG9d3/kicPh59noP/xoFc8eTj8ebfbW5RH8OThsH600lvRJZInD4f9 -x624TIs3PHk43N9R4LM1iicPh/dhv6+f3d/y5OGw3kToLQyN5snDCbDrlbFh -xjuePJwANz3U9vL3PHk43A+tJ5c3i+HJwwlwv0vFax3gycMJsOHb6wr9WJ48 -nADVBrdXjvvIk4cT4NrF5UPN43jycHh/986wZPE8eTisB0Z5/lMTePJweJ9t -m2pv+YknD4fn64HCblIiTx5OgPX1zx6PSeLJw+H+LrM3HpzMk4cT4Gr7rA7a -KTx5OAGeHpKM/I1Z4+EEWLrB8Xp1Kk8eDn/+SvvJiWk8eTjcX6Y7Rjz9zJOH -E6Bjp9N2Luk8eTgBZs8+mrQygycPJ8DQ+6OOjM3kycMJMO3XkS3NsnjycAII -IabX0zFrPJwAV2ZZNd7O5snDCaDwdfRancOTh8P9UhfuNCCXJw8nAH8u81op -Zo2HE2CYVXDZ7TyePBzulxFDN9rm8+ThcH/d7NWvWQFPHg7vJ6MNWqGYNR4O -+1exYuTKQp48nADtBh841fwLTx4O//7CHy39MWs8nAA/ts6Nml7Ek4fDfuTO -wgeVmDUeDu+L7XXPjxbz5OEEaB4ql3Us4cnDCfD3+pRF3pg1Hk6AUW4fawxK -efJwAozbuPzRS8waDyeA/sQyl4llPHk4AVo5TbwShVnj4QTop7T5YFrOk4fD -8/2E7xqKWePh8P1qd3bTr+DJw2G/+9VN/zZmjYcTIH/JzMqWlTx5OFyPZ8Pe -78Gs8XACWL/q+CYXs8bDYf98rizTtIonD4f9vNuJ1jcxazwc9qeXs5d/xazx -cAJo+8XETa/mycPh+ZhhOOsOZo2HE+Ac+1VXh1nj4QTY+kbngVENTx5OgM2j -1m89glnj4QSIPxJvE41Z4+FwfdKHTm7ErPFweP6j91qb1PLk4bA+GF5YtQuz -xsMJMH/j0vMPMWs8HN63LeJi0jFrPJwAAVpRbRoxazycAN3zB64eVMeThxOg -vk/Nh2mYNR5OgNFqpeEmzBoPJ0D/XH3fk5g1Hk4A3WtH9W5j1ng4/Dwrc54F -YdZ4OAF6pfY3f4tZ4+EESM6yyUzArPFwOI/Fm+34jFnj4fC829e0ycKs8XAC -HAoyCsjErPFweJ+O6TI7DbPGwwnQ4tNuZRxmjYfD+eXFxCuRmDUeToAX7mtH -P8Ws8XAC1OzISr2OWePhBLB/eXyzE2aNhxPAaNKSxtWYNR5OgAnjp1+0wKzx -cFg/raZq62LWeDgBjm6b5PEN11Pj4QSY2HVA23jMGg8nwMhuNQc9MWs8HN73 -T53y12DWeDg83/vzDIdi1ng47PfPSA7I8H1rPBzuf1u/4ADMGg8nwKPIpoKN -mDUeDvvX9ryqN2aNh8P683dj42fcbxoPJ4Bp5LJvxzBrPJwAyo/R2cMwazwc -nsf+Fx+l437WeDh8vnERdg6YNR4O++F808bOmDUeDt/vglZng/C8aDwc9ktL -e9UzzBoPh/Wgzba5OXj+NB5OgAuNStf1mDUeToAw40eBCjy/Gg+H9/cw52AH -zBoPJ0DpO0dPEc+/xsMJMHDuNXsHzBoPh/XnUUwzBdYPjYfDebjh34V1mDUe -Dvu51guUWVh/NB4Oz+e3gFGWmDUeDvvfBW3nBGD90ng4AcZO3GndEbPGw+H7 -GpWhswfrncbDCdBt5LjYFKyPGg+H6xNwfZoeZo2HE2CW+1dvR6yvGg+H+89i -ZkYi1l+NhxMg+uaD3G6YNR4Of55cGbwS67fGw2F/0s7M/iHWd42HE2CBxbnC -Mqz/Gg8ngM2szGG9MWs8HL6/Xf1sFuL9ofFw2H9v3GtyFu8XjYfD+2Vzsioc -7x+Nh8P7ZfgY51K8rzQeDn9euV9mC8waD4f3nfkYlT7ebxoPh/3arpxia7z/ -NB4O51e921dX4/2o8XACNLt2SusA3p8aDyfArw23bM/i/arxcFjf0suXe+D9 -q/FwApid3zT0Ht7XGg+H/ROMjPLG+1zj4fC+mTC180O87zUeDvuZ4c+G3cd+ -QOPhBHBYcrCZJ/YLGg+H69vs6f3z2E9oPJwAD3/Pqz+E/YbGw2H9unWw43rs -RzQeDtc3cGi1DfYrGg+H/c6NfYcMsJ/ReDh8frY2ri32OxoPJ4BP33+pVdgP -aTwc3gdD1l95g/2SxsPh/F50rd0l7Kc0Hk4ANs978nLstzQeDvvJHneHDsR+ -TOPhsL6t8PhUg/2bxsNhP5l8u8+T1zx5ONwfvu+HrMd+T+PhcJ582b2yF/aD -Gg8nwJElIfPTsH/UeDjs//c82uscxpOHE+DG0V+WQ7Hf1Hg4AXafzI1Jw/5U -4+Hw8+kyfg/2rxoPJ0DX/YtTOjznycPh+bAZstgf+1+NhxPAWJp0wRz7Y42H -w/c10W5rGvbPGg+H/Wuo7Jsd9tcaD4f98sqbepV+PHk4AQ6WHPi7CftzjYfD -9d3hfarmMU8eDv88Z3TgWuzvNR4O1+eEpWM+zgMaD4eff4dCNtOLJw/3//vR -9t9rnCc0Hk4AuwaXqIH3ePJwAnS5Fdj9PM4jGg8nwKRdJV0kOK9oPBz2d8fM -gq1xntF4OJyPN1ZJ7+O8o/FwAvitFD9+xXlI4+H+/+9H7maWOC9pPJwA5qcy -bS/hPKXxcHj/TSz7m3mZJw8nQGLvwlndcB7TeDjsl45XjF6I85rGw2F/Ydc7 -+NIFnjycAIFWgXExOP9pPJwAm46EOXzD+VDj4QToWbj8dd8zPHk4AfbUxF6b -doonD4f9fbzOv604f2o8nABTZzi1uIDzqcbD4f1a3OPeY5xfNR5OgLMPu8VF -4nyr8XB4Hyy+ezz5ME8eDuex9VlJ+Qd58nA4H5fU+pbv58nDCbDxTLNONft4 -8nBY36Pnt67BeVzj4QRwufvbrRzndY2HE2Bh6XjvfJznNR5OgI+C/uRknPc1 -Hk6ATPHPpsgtPHk4nB8CFD0fb+LJwwngcbH/kvMbePJwAqx7/6nX1nU8eTjs -9/vqbLZew5OHw3lirPnEPqt48nBYb0x3evArePJwWP/6FO59v5wnD4f1/mxE -9oWlPHk43D+JE6LmLebJw2G/qvIc3HkhTx4O74uEpo5ptjx5OAEGfQhzOjuX -Jw8nQGiHyq0TZ/Pk4XA97ifkSmx48nACTO90B65P58nD4fv56GEwyZonD4f7 -JUupXcx48nC4v7rUHDoyhScPJ8DrTi+Xak/mycPhee57K/TJJJ48HNa36Snn -TCbw5OGwvi1zz4XxPHk4AbSK+z2wGceTh8PzNyC6OHkMTx7u//PYk+s2o3jy -cHi/VvUFMODJw2H9Tdi40WQ4Tx5OgAajd85P9HnycHh+p21vq63Hk4fD+ljx -ou2RgTx5OAGcgrOci/rx5OEECOrbc/3EPjx5OPx9WxIiPXrx5OH+f5/qnazT -4cnDCXB31dHXpt148nB4HnZ2Xn2mC08eDj9f3zH7kzvy5OEEEL0H12tp8eTh -8L74Or52VluePByuX1HYVJdWPHk4Aaoaatu9ac6Th8P1ed3fQtrEkYcTIMM2 -qKjbH448HN63GQWVZo0ceTjsf3r/WLj6B0ceDte7ys7AWc2Rh8N5PtR2xw0V -Rx4O75daA+1AniMPJ8D4CNY/SsGRh8PzNrLmWryUIw+H/dnYHdtSaznycALI -Tgz3+1zFkYcT4F/oIevUco48HNY3s8Dp8SUceTjc/9v7BL35wpGHw9+/S39f -QD5HHg7P+7xBtz1zOPJwWF/rt/d3yuTIw2E/Nmplq1WfOfJwAnx/tHDOpBSO -PBzW66Kgr9qJHHk4vM9mJqhq4zjycLg+U/4tiACOPBz2v6roDqfec+ThsL87 -sWLIjLcceTis31Kz220iOfJwAqToxm2Kf8mRhxMgu3bp5WOhHHk4nOfb2nUc -G8yRh8P9OGKMpCyQIw+H81DxVN2L/hx5OKxPD374jPblyMMJsPzLG5eMhxx5 -OKzHHP925wOOPJwAfwa3nNniLkceDuehiI0Gt25y5OEEcM88un7IdY48nABW -N14qg69w5OEEaNvtZOo4N448nAAWS+b8Cb/AkYfD/bfE9fTosxx5OJzP2j5d -/uQURx4Of7+97smexznycDivjV304+xRjjwc7vfNCTHcIY48nACn8yQFC/dz -5OGwPiweYxm2hyMPh/sxrGu91k6OPJwAz6Ddz/VbOfJw2J/Yus9+uZEjDydA -D8Oesqa1HHk4PI9DB+farOLIw+H93t1Ay82OIw+H5y35mXvyEo48nABvB+uv -bLGQIw8nwJam7gcmzuPIw+H8aPMrd9ssjjwc9m9Su1M3pnPk4fB5S0MORk/l -yMPh/KPvElZqwZGHw3k4Y5npr0kceTjcf/UhzbubcuTh8P7/0L3H8HEceTgB -vNZ02DdhNEceDs9z3wFdrAw48nD4923z622GcuThsN9Z9W7grMEceTjs3z+u -uja9P0ceToC9naZNs+jNkYfDel7XZD62B0ceDuffNgMc+3flyMPh/WZlVN+m -E0ceToDIc4kRynYceThc74IDb9JaceThBLhsl/z7aTOOPBz25zNXnTnxR0ke -ToD85h9nLvipJA+H9bS5x/x+9UrycPjnVetu1X5TkocT4P3alL6BSiV5OAEG -222t2ipVkofDfiE9u25QjZI8HM4j8oARBeVK8nA4j/48H3ShWEkeDu/vvVrb -jQuU5OHw/CrzNhVlK8nDCXDd5ILXsXQleTi8D6bEdu2doiQPh/2M/rD48AQl -ebj/z2Orw2bEKsnD4bz5rW1R3jsleTicL35lWqx7oyQPJ0DI+NUltS+V5OEE -mP18WcSWECV5OAFinmxNqgpSkofDenHXXMfeX0keDvuRCSfvpz1WkocTIHdL -hb2Zl5I8HPbzNp0W+95RkocTYIlxlEubG0rycALUxF5XbrmqJA+H5//TPPfY -S0rycHj/L/Le3PO8kjwc9vORkw5tO60kDyfAPtf86FfHlOThsB7eGznpt6OS -PBzeD63qlVMOKMnD4fMPk+cf26MkDydApV/i94jtSvJw2B9tnz1XvklJHg77 -kaCxBbrrlOTh8PNLJt2etlJJHu7//79l6OUdy5Tk4QR4lREV4bZQSR5OgO2/ -IroFzFWSh8P7bWjFkxgbJXk4Ac7Y/d2ZbaUkD4f1kC/YXGGhJA8nwJg7Jp6y -iUrycAL0WVkpcsZK8nB4/m/4X+BGK8nDCeDcsNBWOkJJHk4A19thNmVDlOTh -cL4tvnEgY4CSPJwAw93TsqJ7K8nD4f2XZbDBV0dJHu7/87zLkPNdlOTh8H24 -BvXapKUkDydAmqHjFIvWSvJweB8mwE3tZv95OAGMtq3rX/lLQR5OAP8rYwuf -fVeQh8P16tMxzkGlIA+H73NVTtk4pYI8HK5Huw2GX+sU5OGwPsy8G+BfqSAP -h+/vhf2yFSUK8nACmLCL49sUKMjD4f1/oJ11aJaCPBzO40axp5ekKcjDCTD5 -y+1v4icFeTi87ywPX70SqyAPh/sndMaaIe8U5OEEkKvUqyJeK8jDYT2Zvsdt -apiCPBzW522PZUnPFOThsB7cOuE4x19BHk4AW7cf45MfKcjDYb1xaRpkdV9B -Hk4AG0u3Ka9vKsjDCXC/uccl/WsK8nBYb+60bX3tkoI8HM7XixSh9WcV5OGw -nnYY77bspII8nADho8pvhB9VkIfD5w+Uf253UEEeDufDZstMV+1RkIcTYIfN -4PTAbQrycPi8/RfdFDYoyMPh/PVB5ma6WkEeDs+TwIcdWq4gD4f3Zd/tbUIX -KsjD4Xw7Yf3l2jkK8nACOH6pZD1mKMjDCfDc6McQK6YgDyfAy04hE7ebKcjD -Yf0t7XXMbbyCPJwA84Knfw0YrSAPJ4Awaub12OEK8nDYH0WM35w3WEEeTgDO -YtC2mr4K8nDY/+3Uv8/3UJCHw3nVzf6v2EVBHg7r761iD3V7BXk4PL9jE5Z+ -a6kgD4f9+wuT2ZK/cvJweH56ztv7pUFOHg773YGjEj4JcvJwAgyY+HNOiFJO -Hg7rxcn8Fp51cvJwWO+yFEqHCjl5OKzHsevbzC2Sk4fDfvPRhsWDcuXk4QQ4 -6dw7S/VZTh5OgHYDIk+9T5STh8PPr7ttw9lYOXk4nLei1xyeES0nDydAUu/0 -dy0i5OThcH7TUZi8eyEnDyfArfnKyr0BcvJwAjwwax/b30dOHk4AZdeTOUn3 -5eThsL+sPq+756acPBzOQ65rPTtdlZOHw88XOnNqkKucPBzuj2IXvaln5OTh -sD/euMQsx1lOHg73x9Gmc+sOycnDYT0altZatkdOHg7fx+IW73dtk5OHE2B9 -3NcAxXo5eTisD33KUjavlJOHE6Csuq9e8RI5eTg8n+d/h82xlZOHw+ddkuEY -aSMnD4f7+4LsYP+pcvJwAvyyehx4ykxOHg77kVu2PcqM5eThBIg/MSfadJSc -PBx+XoV459JQOXk4AdZEPwn5MkBOHk6Ai/HQpKcrJw+H5yvL/+L2rnLycDg/ -PY2eF6QlJw+H/XqLzXPrWsrJwwlwwqf9+X5/ZeThBJBY9Pg1/4eMPBz+fA/x -2fFvMvJweF+s6nLXXyYjD4fz4/qSjylVMvJw2G/uTh4hL5aRh8P7fumc9JZ5 -MvJwAvg2FoT2TpeRh8P+b44kyzBRRh5OgK4zRaOJICMPJ0BesyNZllEy8nA4 -jz0UQ63CZeThsD9bU5fJnsnIw2H9uJFtZOYnIw8nwNBXk3NHe8nIwwkAc5+9 -6X9LRh4On7/j0/J2V2Xk4XD/Jb6d9e2CjDycAMccDvzIOiUjD4fnb9g62Yuj -MvJwOL+O7jrwwn4ZeTgBYn/0fWC/U0YeToQhg3usGbFJRh5OhDrnm1vEVTLy -cCLktjJ5/WapjDycCJvqr807aisjDydC90ibUSY2MvJwIiR++7lUaSkjDyfC -sLOzk7wnysjDidDBo+zsXCMZeTgRyhxXX64fISMPJ0Lrkn3ldwfLyMOJsNg/ -/sSkPjLycCLsbdNmZ243GXk4EWydpH47OsjIw4nw+FOfUb9bysjDibC0xbKW -F/9IycOJ0PyuraH2dyl5OBH2T4vxucFLycOJ0Ed3085uEil5OBH8XH6dcy+X -kocTYdvcGWLzQil5OPx599q8OpApJQ8nQrbYMrk8SUoeTgTPaa2NbWKl5OFE -qCnJEwKjpOThRKg4xNq1DZeShxMhwljHcX2QlDycCJMC9C1e+0jJw4lwOW/6 -qtb3peThRHg1dm7eQk8peTgRmJ924B03KXk4ERTXHQqLXKTk4USYXTRrU69j -UvJw+Px5TvMWHpSShxMhYK3kxrldUvJwInQrOGgesUlKHk6E3QO0rCpWScnD -ifDR70Zg66VS8nAiDFrzd//weVLycCKsz5zwcPp0KXk4EUq6GI9ZYyElDyeC -WVKN3n4TKXk4EcaYTDtxepSUPJwIc8fMsbysLyUPJ0LqiO9br/eTkocTYbXp -tJ+eOlLycCI0tZmgvtZRSh5OhJz67BUXW0nJw4nw/U9/w+N/JOThRNiQNGT7 -rnoJeTgRUgK/dV/OScjDiXDG32OMRa2EPBzu/0O6b/uXSsjDifDuzIOIX7kS -8nAiGItGerlpEvJwIiT4iy0C4iXk4UQIM/9r5/hOQh5OhIPaDnpWryTk4USI -m7NnU9vnEvJwIrjl9e6X4ishDyeCsNHb9sJ9CXk4EdYc1Wu09JSQhxPh2LaC -gepLEvJwIixaLo/zPSMhDyeCjdXVGlsnCXk4ESybfrnUO0jIw4nwnG33vb1d -Qh5OhBd3uk4zWS8hDyfC6GOmW9PtJOThRPg3vUenjQsk5OFE0DL7Pkm0kZCH -E8HBtZ/yhKWEPJwI0Qvy9VtPkJCHE6Hjk91S19ES8nB4Xt2NTdoMlZCHE+Fi -e+eOp/tJyMNhvdC9t/97dwl5OBHavZFt3tpBQh5OhHM1CdKcFhLycCLk+7n/ -Nv9VRx5OhII2vj4PhTrycCK0GbGz5K+sjjycCMXGts9WVNaRhxOh59bILqGF -deThRBjeS6dT88w68nAiHH9d6Dc/sY48nAhi+uH8Ox/qyMOJMLbzVr/SiDry -cCL0mjWkS//gOvJwIhgdHtnH3q+OPJwIfaP/ffK4X0ceDtdzp75OwvU68nAi -mGgNbqO+WEceToSVy0579z9dRx5OhFksq2TakTrycCLY/fSJ27K3jjwcng/V -pSVnt9SRh8P9UzbQw2t1HXk4ETKjmpzCl9SRhxPhfEpCt7g5deThRBj4pPvq -DKs68nAizBvrYVcwqY48HNaHgOo2xWPryMOJYOEbuatoWB15ODxP3d655vWv -Iw8nQuRc39VpOnXk4URIbq3HfehQRx4O75P16onBLerIw4kQJMuxut1YSx5O -hC73Tnc4rqolD4f3RxXcXiOtJQ+H99dTuzrz8lrycFg/5nZr7J5fSx5OhN5h -H3JlabXk4fDnTxh55G1cLXk4EdTJY+vOv60lDyeCsthv4PywWvJwIlTu3TSi -W0AteTgR9vSe3zzHu5Y8HNaX1jODr9ysJQ8nwocGk5EzLteSh8Pft6TF6Z9n -asnDibBvgHdwwNFa8nC4n1s2vFy8r5Y8nAhXV7S+1billjwcrvfgj0u9VteS +ZMCDiJne+JUkeh/PVWgdngGW35kDXdhJdG17/cr9uRmgqct39NgqEjWPSr7b +2JEBEgkyf7euJhF19mwwG5UBHExa2XOYJ1Mca1dzZAJhbGZbsoZEqSmvYbt4 +JrSkO+8M5CDRwb65BS21TEhf86zfnJNEqmaPBr3MMiH4Uvzz/9aSyFrA50er +WyY4FRVpsa3D433DqiDzMhPObO78141ZfQdfXExWJhyym81JXE+i5afDkqJt +mcBfw2J3ZwOJStxsGguITFgW2iqqw0Wign3tHhZrsmDUVXZQgJtE1YkSytvE +s6C8TSuYwnz8gRf7Z7UsiNt9UbtsI4mUuedbqi5mgY+XC3PwJhKJnnsQnH03 +C64MPsuz3Eyib6s1dXJfZ4H2gXc35HhIJOh57Ft9bhZIPSsWW8VLIs+6l96M +zixY96lzqA+zWYzSH/GFLGDAXMj7LSQ656Cu47A+G9pfsurc5SOR1ckMp0ap +bMie38qqt5VElewB9oe0syFMe1/Bdn4S/V01Kpd3ORtuxx5zWMDc55JUruWd +DSZLF3dVbiORvii5dvZtNiiedh15IUAi/8BU7ujSbBD6GBB6WZBEI9NEveVw +NjCzJ5w4JESiXNZEmSNL2TBhVrKCYzuJIu0HFEW35kBVXlfhIOZdVg/nhA7m +QAIXcfOjMIn+VEfKSRnlgJ/Nit2eO0iUIyHMo30rB2wr+MdO7sSfN6/0vh2U +A7rb/nu5UwTvt3cadwvSckDGSVvvO2bdEx2/OFtygLvZfGWNKJ7f9XHz9kQO +fBO7XfxSjESOU8nm0xy50O0ReMtGnETMLn2q13fnQl5vguSRXSTq3rI9gO1Y +LryWReNrJfD+bbqunHo5F9z9ul+NYP6pkaZv45MLZhOEfvpuEv0Y6ciXi88F +lSNsq7wkSRSWUOG0qTIXdr7YhgylSHTH6Oo91olcYCP/cxbbQ6Ivp1KaWFny +4JPm8T2/MLdlOV/YLJwHddEWk3V7SVSq8F78oHIevP91OzxcmkS9xtJitmZ5 +8PTkc4PrMvg8SEwbpN/LgxvJiatBlkQTt9MT2KPy4CRraemGfSQaKnMQvFGc +B/vP97iMY2betTL902Ae8GSTe7P+I9EGT52zDkt58Gvtymnv/SRSPC7Mxbkt +H/qtBSLPHCDR7jyz9lyFfChC+w0l5PDz346F3jLJh6gtOhyLmHtCPIxV3fLB +0+FSeaM8idorN3AJh+eDRf2d21EHSfSb51rBhsJ8UN8ZJGN/CO//bZd0uQby +Qcw96ZPKYRL929RYvWMxH1Z3lUZtVCBRxqyLgAZ/Aczu6T09hfnAYXkdV4UC +aPKhOHOPkIjvaJ9OkUkBpI6srPRVJJHESik+LvcCeH5Q0O2cEokub1lKdo4o +AMfnB/ZJAYlYGzctzRUVwOkZnS/LmM9tU155a6gA5NUs37Qok4hM16zg+FsA +fBFuZ2JUSGQ5TkllCRbC4regdY6q+DylMSteh0IYPpFcpa5GIv5GZULuYiGU +vitz51HH+zXIXp7rfiG8/df732fM8ZynNi/FFMJDY8ZMvgaJYhYSPX+WF4J1 +OvvbJ5okkk6UdWSdLAStNUJnLxzF76v0flqIrQh2X5LbIK1FokuO7Z06YkXA +WXSihukYHn+Ghbzf0SIgN1nda8c8y82zqvdKEbRedz8Qp00ir3PxynJ+RZBZ +HTzndBzXwz81/XHvi+CF0PvYozok4ti4v3VnUxG4uJaf4ztBoii7qK2ZZBGc +bevjmsV8yqcg02BDMSjsnq8t0iVRnsfhFyz7ikHAa5XnMz0SHd7Tkld+qhj+ +DQjJX9QnkfC6XVuCnYphbL88KXsSn3fdrx8cw4qh4qluPKsBiW7WDNlb5hdD +/LTV+S7ML/8GXbAaKAZfuLsx4RSJXogV2zovF4PNy5B6V0MSNQiyvQwTKgGd ++ff3tU/j+Xfk7qtVKYG92hUHtxmRyODCE4k1liWwIbafIjBrl2/yMvEpgYXF ++XfoDInK9huMFCWWQKfhatPnxnh+vk7J720ogZyU7ZsvncXjmbX3SSVK4OXK +g437z5GoNSuoUnkDgjtmel4rTfD7hHyZHduH4EKe9eFezPx3uX8EnUYAXPfm +k87j/b82afCUKwJhmxeJbhdIdCjw6CuRcASsFR/MTpiSyO6vjyhbCYIp/koe +ITNcz5b+3vk+iqDm1kATA7Mw+bbuD3MpJDUtPCy/SKLyE78yH2wshSdia46E +mON+efPYbW7RUrjuIfzVyoJExr5mPMlypaDXezBZ/hKJuLlYfY9plcI+WX3z +1ZYk2j61WM84Wwqb/C5vGcC85z+uwehrpfBj/F7LByu8XzxX5xndLYVehVCf +e9Z4fyQkm2wOKIWCkBRF/cskSuvPrxh4UwoRROU34SskehuzOJeUUQr3NAff +f8X8rP+/To/KUrgY/dWi6iruN/mizhe6S0H115qtYTZ4P9cHt6h+LgWRkzva +rlwjUQoBQ9J/SoE9+ZDvYVsS3Ts3Gy7CWQZfWE4C53USVUQZrRIWLIMGkys/ +hjA7RakIicqUQUqWR0qqHYn6g68NyaiWQcDaMMv7N0h0f30wqBuWgYP1R/5T +9iRS++WkamZdBqdQVbuIA+7XT9rG77uWwYEtQ49/YCZMLAQ++JUBr8M35dqb +uB+cmiSHIsrgdx3Hr1eOJLLJ5DbkTS2DwR07U6/dIpHPhsRjxmVlUOJ22FrR +CeeFM6aNbzrK4E3nSYH1ziQybyR7GVNl8GDP1c5RzCLs3LZav8rA0sfzSYYL +nq+fHg8S1pSD5kiY6kNXvH8mqC3rBMph18HU36dvk4jt8hYpd+lyWPO8Ok38 +Ds4Lt1Lz5lXKYe7L0OXfmKlTNoV2huXQrPpdsMENc9yyzFfrckgL5+yOcCeR +63kBHo/b5RD8bedTu7skWlvtfpnbvxycTiioK98j0W2vZuGUqHI4885gkcsD +92P/ShW99HI49O9qxgTmMJkNlb8qyoHf+P7VbE8SXd1oEZ/UXQ7LaS+3P7pP +IiMZm3HzL+Uwsjqtx/gBibJ7e1yEl8qhzKLm2W4vEu3NOmvxeV0FxBYOayxh +zi+NjMoRrgDvTT+Wmh6S6I3BSQn//RVw5frarGhvEj3YJPzvytEK0K4Wuebg +QyI99vxtJ85VgJTQkR1qj0h04kHlvYPXK2Cd66m+Tb64fv9HCkt6VgCj1SZw +GnO8wCiLaHAFtEs8OJr3GNebCDVBsXcVkPXg1d/HfiS6a1Zmvze/AkIH0rJN +nuDx2DH/VGysANf9tbZ7/HG/F3ufYjhSAeeejuz8h3nxsNXzmwsVoDj9o7/1 +Ka6vOV1RYWyVIATrgt4+IxHn75j2ii2VwPxS9NitAJzP8u0kfkpWwgTjCJNm +IIli2UajZaESqo4Z5vI+J9EF5Vf7HA0qIeHtNbsvmEd3Kg4XWlXC48UHooVB +JHpaej2a43YlXDN8PegfTCLJu403Lfwr4URKerBpCIk+8/wxLI2uBOmVddoy +L/6Xx0JVRTMrgdtslJklFJ9/H5WDz6sr4Vvuz7wOzKyPXu1b0V8J3RvW28eH +kcg3/8jee0Ql5F0VE3d5SSL3J7Xi/5iq4HW54rDWKxK9K+3jf7SpCtz5T7/Y ++ppEmo6c7Dy7qsD0lq3OHOYNm5am3itUgXKTF2tJOIn22ahla+lVwQ6x8IKA +CJzvg90d5iyqYIVHhoN5JO4PrCq8Yc5V8Kmnbtd/USTK9JaI1fKrgjqZsZEV +0Xi+DjdzMUdVwfvHv0K7MV94XW1Wml4FT8fX6ya+IVH9zaTHPlVVcENBnO1O +DN4/nzn9T/VVwckQpaLjb3Ged/G5JE5UwX/EaUeBWBJ9ZCRzsjBXw2bN67sp +zEPu6x5ObKqGn1EPx0rjSPTptXp1w65q6PsZ/jIonkQ620dbCo5UQ5F+pp7l +O5yPDzlGpulXQ1RS/Uq5BBLtuBIgmWJZDZ4s48XsiXh9fKqc01yrwcLk960+ +zAaV8W4F/tWgnrVB6n0SrhcJSK7hTTWIrd014Z6M88e6t3HjWdWwyhpe677H ++VZqoIyprhpmSoxObv+A8+STX36iQ9XQyGu3agFzN+ejJf35avho740qUnBe ++8y90YutBp7XRTi/+Eii4BTxhkK+GnDckbXncip+H2V94cU9NXDarWHyYBqJ +4oZ4uFVUa0C+czx8TTq+f/GyvX5qVAN8e/4YDGKO64nNHrWpgUVvrjUfM3A/ +MnUzP+xRA0PDu8o8MnF/V934+nVwDSB5ZdeTWTjfOSyYMCfWQEzgGemd2SQK +ko6OtSuqAa8vdtPfMHPxRV8fb60Ba1WfyOocXM+FXHLOT9WAVnik4ctcnP/8 +398Z+l0Du79lcdjk4bz9F+VYrqsFzhON5Qr5eP2vy19e2FELZPzE7bUFePxi +Ib4+8rXQ+vePzAjm281neIV1aiHjDPfntEI8v2asG8ov1kJImkT0gyISvd7D +e+OqUy04r1YxMiwm0eNRbllev1owtjBeK1aC19vVyaAhqhYUCm9U/sTccKK4 +xTuzFgQ2PXKrQ3h/r70Sr1lbC/9so/aFl+L7S9FCy9qhWhiryv5iW0Yi+dy+ +4wPztVAh2PRGqRzn0bSXm1NX1kG8y+SZDRUkMsxMlnjMXwePWhfXjWP+LOT3 +8KpMHVyV2FidWUmipo9pO/Q16uD4g913vatwvbwRxqR4rg72DKjsP1NNooWa +nK0yN+pgw/6zs7tqSCTl7HNd4mEdLPjbv/2DOefZ3e+7XtVB59Sjs421+P3u +cqfu/VgHOUrRG6LqSKTAVhh6uKIOXobl1NyoJ5Gt8lyiTm8d3GE03VNpwPXh +zdZxS6IOzh+bOrCxkURZRL6SF0s9KL1dmpvEfExLsTCBtx62L26My2kiEYjz +GrZL1QOLoaSJbzO+rxnns7Gq1sPUB1Xucy3491hfNB46Uw81bOfqJFvxerzd +Gu9sWw9Jpg6ey5hfcBY8yb9fD09yfeVb2nA+uTbuzhJWD9c3vCHftJMoRI3F +6eSHetC7mht/s4NE3naP7N+V1YNsefN59U4SuQiOXGPuqYeN/NMbebpIVDjo +dMlirh6+Oy7Xf8K82S/3VB1zA/Q0bnqQ343zgOn6Q3K8DVAgKnXoSQ/u9zOf +1idJNUDEPTXG+V58Pja97xRWbYB7PecS9vbh+sBf7vXmTANclLlpytRPoiOh +L/hErzeA6uPHm9sxC958GpT6oAFExt80xg7g+9G3DbPwsgFWKuR5OQ2SyK/Q +R6g7pQE+B7ccPjpEol8FhlI3Kxqgfm56fsswiZ7D6NpNfQ3wQeNv4gxmR+Jp +aSHZAM+iNl8sGiGRQ2mZ8tUVjeDwU4r32SiJeDlH/LZtbYRT+urNZmO4f7pp +hXdJN8KBJBNv2XESmXJftAvRaAReFscjrBM4P+1+xHTWpBF+n/P72ol5t6ug +7k6HRhjIjEl+N4nz8wqfU199GqGYM9/cdQrntX0Ca+siGiHaqnWL9jR+XpGq +S1xGI9wv+dTC/wn3uyzDZ961jXCJ958PgTn0YZqu7XAjaNrzKKHP+PxSGVnG +3xphV92e74FfcL1dl1ekvaYJ1uzQ+GAxg/OTIf8l1e1NMHfn/KX9szg/+cnH +gVwTNHc4bl05R6KkTmt3VZ0mSJN60taDueE9y2dtiyYI8n7rm0SQqGr80oSx +axPcGs4HNxL3u7hxG9tnTWAk3/ZDhyJRyc3uO95xTXAw8HOKIAPfByRC18cV +NAH/l3+WDMz2iy6ita1NsKzCu618HtfrW21589NNMPJ6b0fwAokOuvys2r7c +BGVfNfysvpIoVVnp2OmNzRCrc0FF/hu+b1r+VgqQaAbv+Fu/Vn0n0flWt9hm +aIbLf5+k9mM+XsvruNGoGY6dibX+8INEW2cEky/YNoNkWoHAvZ8kSpccV095 +0AxrV7d36v3C528gHVheNQPD/MsT4d/4PvlmKPR8ajO0FzCpfcWsfTtLs6iq +GbI2bvlT+QfX95KHx4UHmyHUVjo9dJFE64QjYp4sNINrleaVK0t4fZKOaiyu +aoFzgqZCh5fxec7v2Gcv1AJHXJy6Of7i9eLwt5450AKCrf5PhzALZRYOXtVp +ASaJOPXUf3j/8qc8Jy1aYPx+4aInE4XM1yTfd73dAlX97RkGzBQSjVhMZA9s +gYT/Zq6KsFDI7OgMa8S7FtqHo5DYQPGzA8UttA9HoZsexRqdHS20D0chkWxp +UdeZFtqHo9AqyZSQQKZW2oejkJe2SsInjlbah6PQl3vOWiq8rbQPR6HEAjvn +yB2ttA9HodyI49JLe1ppH45Cr+z3Olw41Er7cBS6tPfg4TL1VtqHo1D5nce+ +4vqttA9Hod/vVM8FmrTSPhyFyDSvlEXrVtqHw+NRs/W8erOV9uEo1JLF39Z3 +t5X24ShUtjo9UudxK+3DUch7QXuyLKSV9uEodKaRPfbQm1bah6OQVAxbb+b7 +VtqHw+8vbnFfJreV9uEodLXicGRqeSvtw1Ho7sMPErLNrbQPR6Ef4p0i2X2t +tA9HoQTeZn+FqVbah6OQvE2OWSWjlfbh8HxPJIbrLbXSPhyFGhZKlYbY22gf +jsL5lO/o9Y1ttA9HIYFfTRn/BNtoH45Ci3t/eYTsbqN9OAoxeWR8kJRro304 +Cgnm88hXqbTRPhyFVE2UBMxPtNE+HIWMAlUv/DNuo304CmnJHPkebdlG+3AU +WleqNqFq30b7cBRKcnAQ/ezWRvtwFJIWGcoPeNRG+3AUqr8UFXUouI324fB6 +Xm1sm4pqo304Cnlsu3cyJLmN9uEoFLC+nl8jp4324SiU7FW991dZG+3DUajQ ++rFvSlMb7cNRaPTULnGrvjbah6OQQ3DeKqGpNtqHo9Bqfj3JAUYb7cPh9X7K +9PTVUhvtw+HxKIxJnlvVTvtwFNoqzLZSYFM77cNRyHXGg29cqJ324SikS1la +Jkm20z4chXYcqJm8Kd9O+3AUGrPLf6mk1k77cPj9lk7c49Rrp304Ck3PhQYP +nmunfTgK7f0T3/3Rup324Sh046m/htfNdtqHw88rMhk+e6+d9uEopKIjEr3P +r5324SjU++GH99rQdtqHo9D95fHAmZh22oej0Mc1v4vrUtppH45C13W1ON7n +t9M+HP49xojzs6p22oej0Prq5r+Obe20D0chQ4OdMSZD7bQPR6E/VbMmGl/a +aR+OQs7/DuyR/d5O+3AU+ue3fqMQcwftw1Ho2VtvjvVrO2gfjkIze+I3MfN1 +0D4chT6U35H6LtJB+3AUUo/jPjkr00H7cPh5Fa6eE0c6aB8Or1dZXt6QVgft +w1Ho6eehn32GHbQPh9f3wFeF3osdtA+H31ef1avXtoP24Sj06fmWhn7XDtqH +w+u5fIR75GEH7cNRSE7zjtFUYAftw1Eov6nvBRHRQftwFGKNtWz+mdhB+3AU +uuC18y9rdgftw1Hov37BndxlHbQPRyG+MlOFHU0dtA9HofZ/M+r7+zpoHw6f +N84GRa2pDtqHo1D/mpUipvMdtA9Hoc6mt9+dljtoH45CbN0JaQGrO2kfDtcb +A/5T7zd30j4chWK2sw7UCnfSPhweT6Ol5uc9nbQPh/tDgEbw6sOdtA9HoWyD +ZCSl2Un7cBTanxJWd9Kgk/bhKHR2kivV1bST9uFwvZnbZhdj00n7cHh9rItW +NDp30j4chTjefbX/9aCT9uHw/k2sShML6KR9ODyfAgfKjcI7aR+OQvbm6rG+ +CZ20D0chX4/vJ4syO2kfDr//Pu3GedRJ+3C4P4yrbZBo7KR9OPz+CRP8Fr2d +tA9HoXCt3UTEZCftw1FI5/CWB32MTtqHo1DXm5Re3uVO2ofD511vhjyzuov2 +4fD5rG4qf7W5i/bhKPT54BmDIeEu2oejUMVD7/Ade7toH45CGw6dibh6uIv2 +4Sh0JbjeIEOzi/bhKGTrOVS0ZNBF+3AUqg1/2qtl1kX7cBRSlumNDb3WRftw +FMqRL9g27dJF+3C4nprIqMg/7KJ9OAoNL8it9wvson04CkmsrH84HNFF+3AU +Sj8+Fb0/qYv24ShkKvHQ1D+7i/bhKHQxK6ZkqqyL9uEodCT9YIlycxftw1Go +NVjNJLK/i/bhcF7wKApenO6ifTgKvdCMtDL52kX7cBR6fm2kuehfF+3D4X4s +5N4kxNlN+3AUqlG3NXu4pZv24fD82SQ+mBHppn04vJ/adx0wkO2mfTjcH22m +bhUqdtM+HIUGOrpATLub9uFwvTs/Hxxk1E37cLj+rJC+wXSpm/bhKKR527vl +xo1u2ofD+4FvNmPUrZv24SgU9vU07ynfbtqHw/NbVfinOqSb9uEodGCJy+RI +TDftw+H5yjkhl5nSTftw+LyWXfKTLOimfTgKZbzWOh1f3U37cLg+2TNCtnd0 +0z4c7j9CekcjR7ppHw7niwPGNvxz3bQPR6FSxt/F17+6aR8O9wOQ+8PP1kP7 +cDhvlDAsorh6aB+OQm+Kt+/fIdhD+3AUsjucdy1hdw/tw+H9O5HIvle+h/bh +KLSNb5ItR62H9uEodPvLOUvQ76F9OApVb/q7vf58D+3D4fPPUXLI6GoP7cPh +80b4fZhw6qF9ONwv/A1dbj7ooX04Cn27uuYlS0AP7cPhfJEXzhkS3kP7cBR6 +f/Znu1hiD+3DUejnGMeXgqwe2oej0JoXNTr6ZT20D4fX5/KWpemmHtqHw/3o +3+y3e/09tA9HoXfp0ge2fOqhfTgKmfi0FmR87aF9OFwfDxR66TL10j4chc6J +DT6d5eylfTjcDxyFeh7z9dI+HIWcPttfkBDrpX04Cp3/VyhYv6+X9uFwv52a +3WILvbQPh8+vy+yx9Tq9tA9HoRSN+NRM417ah8P1/Rmr1lmrXtqHw/+vztjA +dLOX9uEopLjq9KrEe720D0ehY3v5pU4+6aV9ONw/CiXvLIb10j4chfitbjDe +xfXSPhzu78HN/qfSe2kfjkLaZrInmEt6aR+OQm1BHtJp9b20D0eh2aQ3shd7 +emkfjkILbW4nuSZ7aR8Ov++Xn/4VjF7ah8P17MHimPNyL+3DUahv0FZXck0f +7cPh+SVlW0d5+mgfjkLiJjJWYTv7aB+OQqFuJzj0ZPpoH45CLsZ2peyKfbQP +h/fbB5cHZcf6aB+OQhZTJ/TcjfpoHw6PV7hL5OClPtqHo1CWzDzT9xt9tA9H +oe+h3mMZ7n20D0ehTF+nSofHfbQPh+vFXGyCbGgf7cNRyP38V9+Ft320D4fH +u0PTOiu1j/bhKGSgeE/RpaiP9uHw80zucirU9dE+HN4vIyJt/7r6aB+OQg+H +Tz+uGu+jfTgKbRac3+dP9dE+HO7fvD0Np5b6aB+OQvd+fjq5bXU/7cNRSCac +tWJqcz/tw+H8E7xaIG1HP+3D4Twi0nfBTbqf9uEotFHtpNfRI/20D4fz3Ev9 +J5uO9dM+HIWeeGY7jp/up304nDdXmR5Ot+infThcPxbEhzxv9NM+HD5/6Yyz +J937aR+OQo7XX3zc8bif9uEo9PXvQu+3F/20D4f709ep7pq3/bQPR6F9ftrv +wlP7aR8O96ucJXX7on7ah8P9XrA3TaOun/bh8P2HP+8Tf3c/7cNR6FaBy6eF +8X7ah6OQcMF8Sj3VT/twFNryjOlQ7FI/7cPh9Tp/z8d99QDtw+HfZ9IIMeIZ +oH04vN/Y9l6U3TlA+3AUGkzh/swpM0D7cPg+QDRIfDkyQPtwuJ7b7ttZfWyA +9uFwffARbI81GqB9ONyftK/sf3BpgPbhcH12/3TU3H6A9uHwfSnYcY3K3QHa +h6PQpvyJ28J+A7QPR6GJxnUhLGEDtA9HIX3zBqPJ2AHah6PQLzyH1WkDtA+H +91P/yaak4gHah8P7/UqN+9P6AdqHw/fLetFGh54B2ofD68mjmm80OUD7cLjf +bplXOTI/QPtw+L6tz2654+8A7cPh/DhvLLCaY5D24ShUNZl/jcE7SPtwFILq +ZZ1ekUHah6PQg08/S0plB2kfjkLGum4oSWmQ9uEotDP7nE7w8UHah8P3fb8r +l+4aD9I+HJ7fr84sV6wGaR+OQsvVZ8RP3RykfTh8Hw0ebFHyGKR9ODz+V92/ +d/sP0j4czn9tPLG8rwZpHw7ny+nrdSveDdI+HF7fwx9sFjIGaR8Ov89EzMNR +NEj7cBSaTxbc3NI4SPtw+H7AvcBX0jdI+3A4L+jNBqZMD9I+HO5nOn0ukV8H +aR+OQv5Jfo1PmYZoHw6vd1GT/721Q7QPh/dvgH3Oja1DtA+H6/GE8lFz8SHa +h8PrvZdT8dT+IdqHw/kpPPqFhsoQ7cPh9eCo1T2oO0T7cPi+wmNgLWkyRPtw +FOJctaZf8MoQ7cPhej5emMDtNET7cLg+mv3XtPLBEO3D4f4+q6C1+GyI9uHw +fCW/4WGED9E+HIV+u0rJTSUO0T4czh9TSXH92UO0D0ehx3ITZq3lQ7QPh/v/ +1bdW1S1DtA+H+5VMembR4BDtw+H8+a33aOaXIdqHw/2ve1wo+ccQ7cNRKPV9 +2KEY1mHah6OQNVNp0MsNw7QPh+sTr4hEoMAw7cNRqHL2yZ9Hu4dpH45CllJo +haf8MO3DUahe2VvDVX2Y9uHw9zXjC+xPDtM+HIWWuKfNr5oO0z4chRZl1h2y +uDZM+3AUUpuYUDRxHaZ9OLyfd+6xM/Qepn04PL8xqTUngoZpH45CVtUyWkej +h2kfjkLMaU6E8odh2oejUNN+tezD+cO0D0eho+MXIvdXD0P+DO8GTiYGOrb8 +OHZvxzDsDoudvYVZ4MGTql2jwxCuJl0zhPmpiBjzTmIYOBkFbzWZGYihJ2Ao +8GcY7kZo3kvFrCi+v4iXfQRIrfazW1gYiNd7jzz3phEw+37hwH3MY4sN5ZzC +I9Aa82XDDOb6rrbz7HtHQEXXac6AlYFGNjGzsiiMQMYfptpCzPyO/JlLR0dg +Z4J/rMgKBnKxGLf5aTgCIae2eDzF/JuTd9eC+QiwMcWd+4G5yvDO5zm7EXD+ +IC1nxsZAUp2NSZ/cRuCTcSFXLebSoear474jYMx2lJBZyUCm7spiQy9GoD69 +vfYVZg3HscGetyOgYGoax8LOQDuUHJ60p47AhzUzHtcwC3OlSjcVjYBArpNJ +J2bmvrM1NXUj8OwSs7ziKgYSUpDRL+8egX/rn3K/w+z/glFTNDEC9kVbyHWr +GWjLP2OpXMYIjF2Jq3PBbHNU3C19eQQMNsvEj2L2duPsO802ChVlhZ7H1jBQ +YYLTp3aOUdhvd/R8BuaX8Smf9LhHIX5rhzw/BwN9bg7qbdwyCjw1phsfYl53 +jjX/mNAoPHKcIecws50belQtOgq/hJzrT3My0I2Sv2pqUqNwtZH5XQnm8FMa +c2jfKPS7Pr0vvpaBAsqfeRw5NArHRfkuBGJe/zxvKQ9Goagt7uBvzO+E31gc +0ByFPfdkNlmsY6D0zB1p6TqjELW7iKrHbHlJYHLPqVHY0HO04b/1DNQlcX05 +6ewo3PfqeBeBmW2I/CN6cRQWpM0esG1goC/SHgMx1qNwaXDmgh1m+4w/kQLX +R6HT1/lQD+bnbYoqrxxHQeMAy2ZlLgbS7RGp3HRnFHLGnjISMVfMPBEN9BwF +8Wd8jVzcDCSxQc+S49EovDwcn3AH88QubQ+fp6Ow+pOM1wRm36/GTswho3An +uMhUZyMDfeI4o+H+ehRmQetwNuZNs0LkjzejcH6uY7PgJgZy+PTMziFhFJpe +ms37YM7d71E1mzIKShqzjRTmpYmGeausUUidd0403sxAZRN6CyMFo7A9iuVh +Gebf/6aqzpaNwnPtZ2a7eRiIe/zKtY6aUWD5yacQjPnvhswxneZRcIyN51nC +rDQfJV7dOQpTerILlrx4fipXHoGBUTBaKmpqwpz3vlIgb2wUahK1kuS24PWO +zWiQ+TwKB093PozGzOKTrJ5EjkIS88WLq/gY6Mpan0fC30dh68dZBQfMvS2C +wa8WR+HJORfefsxIRdeai2UMllayflXdykDm2cQ/31VjcD3zWfN7zJPnuk2Z +1o/BsNnW5E38DHRReey+y+Yx0ON8530X8/DJT5dJ/jEozZM1n8Z87WzZeqsd +YyBrVXxEbxsDCf476jqwawzech3bkodZuO7Um5PSY7CxpPPrdgEGKuIv9qk5 +MAYPbS62PMZ88dD53YpHxuA7z1zyAuYU1dV+GapjYF3h4mMiyED35SLixI+N +Qc8NVotKzH/n51wj9MZAa1uA4h4hBnoh08HGZTQGBbVb+UIxc/mLaXufHwNJ +p3ff/mKOcS9R/20xBhHC+1ovb2egUeOb32yvjsHa5uL3rZjHT/MZj94Yg3t3 +jj06JMxACo/87E45jwEl1mXxFvPakQi5avcxuNhxUYljB+a94qkHvcagzWOO +7xbmkJ1MPcmPx0BVyvX7IObYE38/bAscg8xe1jaNnQw0v+WX9LPQMRDxDvjw +EfNCa4Xpv4gxeCHL78srwkDT0fIH7WPHYOXwu0uemEefSRSOJo2Bi98++IK5 +3fvGtH7aGHyWK9lqIMpAocdH8kpzxuDsxLEfBZh/e+vKyhSPQX1AV9tOMQaq +zg/Sja4YA4Uj5in+mNu1769fVz8GHz7P+X7HzOpO3XRvHQOBF66WpuK4Ht7I +cJnpHoMAlRXKNZjXi0VvMx4aAyYygF9mFwM5e/pdrJoYA4fX/D9fYpZVOqa6 +b2YMxjUT2pklGEjsb2ppFGMMTn3d99EG8zOl4P41P8egMrrkcQdmpgs1z5yX +x+CAjrbVkd0MdC90++AY6zi8+9WlHI/Zjd2xTGfNOPDGm29bJ8lAs++DFHM3 +jIPvSeKnM+YTZqf0hHnH4feya8cI5vRB3+9+AuNgk7wiVUsK95OSldLfdo7D +gFGgXzrm7x5v/pzfPQ46rNust+5hIM07EmeqZMahODVBxQtz0Kdbanvkx2Hv ++f8E5jDfHjtdEqI4DtGr0C/DvQzkZB5dvag2DhuytTuLMY8ri5hYaI/DffPu +VDFpBlpzKPdOrf44LKy1eBKAWXZit8jeM+NgWUBY/8IcnXfSKPjCOHRZ31Y1 +l2GgNO6/639dGgfNjWyC9Zi7d7Pon7cZh1wU+HufLB7PVpnNpfbjsMt2W1c4 +5nWDZ013uozDqy2JaSv24XqjdXK3z91xWFP1n/91zB38n10+e42DmwO63I1Z +haI0tP3GYU7guBr8x0CGSoeD3weOw4X6bsFEzI8tX5pyho1Ds7PFnw37cX2O +HHhnGzkOsJPsuo05bWWXTWPsOKS13E4fxywWcTpJMnkchN3Znh4/wEADCnvN +/dLGIWjX8ytZmAXjpJ9/zhkH1q5t6gJyOA847j6oWTwOt+4nCvlg5pGkDGMr +xmFqz/5FEjP/bt3pf3XjYNSPus/IM9DlDN5Zk9ZxqPE5nlGKWX2a3yK3exwO +/dfzVOIgAxG1u7W5h8YhecTiahDml0qb3tpOjAO/P6m+iNmHM/FK9Zdx8D94 +Z7vlIQbi7MuOEGKMw/Ik21Ij5ijJtYquP8bB7vnzngOH8XmyvavVujQOI4oC +mVGY06a7isVZJ0B/JvEZuwIDyVROhd9bPQFloftt7DF3c7mPda6fgH1qpRp9 +mJ2LLz/dzTMBsdRxYdUjDHQq1CXKY9sEbIroWUrGvPPEnY2dOybAW+tS70ZF +BhK9pTQrLjEBP76Rme6Yd3kEibhJT8DlmDsBU5jDKtRLmg5MQO+Jldd0lXBe +cN2ZK3RkAo79ea6Zi7kzZ2mtg+oEFLwT2LEd8Hm9HVVWpjUBkqeSln0xe4R3 +t3LpTUDEv/1985h9B28eNj89AWs/lGadU8b9clB5OdVkAjyMdQIrMH/btm7L +X/MJYKzovSalwkDxLImPj1+ZAPP0S0dfYI7sGNB/aTcB7ReoHX8xy+c5207e +mgC1NW5/rVUZ6E+BxoC02wRk5azsb8GcULs54s79CRC9FJR9UI2B1NIyUyof +TUDoesHnMZglds6tW/dsAtiLkmzXqDPQ5uwnJUYhE+B65YCWI2aZQdPCqNcT +8GVT2c5BzELzsium30zAuTKdf+oauH5Lt4VJJUxAw/Xe/hTM9ZmrnG6mTMCR +rZY5PJoMlOkR9zo3cwJSqqnnHpg/OdmzL+VPgKCj2/XPmJXOHyyA0gkIEGI/ +dvIoA5kN1KQ/qJ4ApsYgkQLM9fdm5iobJ8DBVZBppxbut1nXbFZ2TMC4SPLA +E8x8LTwSR/smwLDtQO43zEXMueKPRiag6m5Z0IVjuJ5Y8lpVT02A3O4TdtWY +tedZRlfMTUBCd+8xaW183nzUw1QXJmCLl6XoS8zLk+8ee/yagMfSDCbm47i+ +pX3LLvw7AX8G3AavYqYcfm39uWISrvmy57Vj5gh2z5XlmITB/cHBCjp4vBbH +/a5xTcKJMcEbcZhHuKWD4ngnoeRpsvbaEzi/5M83DQpMgvRhOTFnzK66F45s +EpmEN9NlzCOYh1IVBrV3TwJX8Imho7oM1BRp9MFTZhIeQF9eGuY3RS7vs+Um +4eusZQifHgN5hVzp/XJkEixfMm48wPz4+YKsgNokdKm7H5/FXMg6kqN3bBI0 +59nFDfVxvRD6aXVfbxJyI4NZijF/WmRVyjg9CRLaQsOiJ/H9ILRZcdxkEl7/ +SM5/hvlls5All8UkcMTKvfiJ+e3V0lS4MgnueuX2Fw0Y6MyG20LX7SaBWDyh +U4eZU58749WtSTBN7BPfd4qBHrw2tK66Mwkthlas4Zi/aqxSYHhOgjLz/DCr +Ic4bT7/v3fpoEtJT3AtsMdsETCqrPZ2EHedWhXZhbtaPvHEteBKCV4Y4KJ1m +oJUFn/KDXk3CikyhEwmYA18+EcyPngQns/e7NhjhvBSlHzEcPwnTHPIrbmOu +ufRXlvXDJJzJKx8Zw9xx6fywWMYk1FrqFmqfwf2Tad+bY3mTcIirPzQTc949 +TedrJZOQXGx1c5sxA0lzmJv5V04Cv838CW/MK3K0zn2onwR/nrsSJObVTpVW +Da2T8Ld8FduZs3j/7gz3/NI9CTduhIwizL73g5NWDk3CKP/2ol3nGOjWgVsj +OyYm4WTt+7DnmEPrWYWVvkxC+S15xz+Y59JXXTemJuE/4QrdSyb4fqZ6rNzh ++yTENenubsRslftEyG9xEjbf6Wc7cB73u/9CvWKYp8BHzHosErMt2kvksk/B +z/b5opUXGKjkKL9J09opuOJx9+UNzA/fCDeObZyCPsnVt3oxX/Fih+98U6Dd +G6KnYorrY2BQBvv2KSh8uF0yGfNzHV9RPrEpkJL9sHKjGT5fIh9DJaSmIHJI +ftwNM2lTt+LQvilY51dRPIm56ky03dGDU+Ahp/fqxEV8vtd8bzdUmgLGeP+t +HMx1jwJkzNWnwDzAWl/InIHOFv3nY6s9BR0KC5K+mJ3uvGt31p8C9c932ecx +a7p92ORpNAXZIasnzlow0HvTNcd9z0+BmMqLknLMx94/vBVgMQVhxPbXkpcY +6HXzSMCLK1Ow6vUHpxDMLAKj4a/tpuC25sGTy5gH2o6FRd2agpmFCilrS7w+ +68c8Y+5MgUm03qoWzI9Grp6J9ZyCxuMDE/JW+HztS+SL85kCxV/W6A3mi6Nm +1bH+U/AxbuH1amsGOp1oeP5t0BQInbznfBPzgox2f/TLKQhcXm0wgPnIqhUQ +ETUFzMkv9qhfZqD8WI3HYXFTcNNIeHUKZs+L7bnPk6dggiVlcvMV3A+8rtf5 +pU2BYerB0nuYXx7sL3qQMwVVJpXhn/7HIQOBt4umQG6Vvov+VXyfC96vfqN8 +ChKzBgzyMf/Zkth1qXYK+Mwv791hw0DG87/UzjRPgd/ar6ufYPZr/fL0WOcU +LObfm/qKudBAMeNw/xTYWq8pO3+NgY4vZafuHp2CIe7QiCrMQ4d4vPmmp0AX +CbvutcX3h4vbZdnnpgBdSzkVhtk4JTTj6/wUyGw5JM10Hed/TYVVIz+nIKay +cs1VzPqHW2XqlqeA20F/ug1zqwevZAbrNHgJDJYdtsO//6P9+6vV0/Ct7nJk +LOagy5UBnuunwcr5qyvnDQZK9kr5abV5Grp3eBg6YVad0pPS5p+Goy1rZIYx +h6y/LrVHeBry3EI5jtrj/mBX/WOd+DRI7NrxKRVzsrGAHyU1Da87U8q3ODCQ +I8d/U837poHj/qGo+5jFU2tXphycBvc9VbdnMLOcjiYeK00D2ad/+tRNfL/5 +6fLSSn0azHwGZYow67ptXKmsPQ2t+65wijri/JKy7wCf/jSojHz99BTzkkao +yPzpach44lHxA7P71N/2GpNp2HmQI9rsFn4+7x6INJ+GkMnQO7WYT+iNXXa4 +PA1sz3cYyTrhekQMHFf/vxruOy7H94sDuL1HCNkko6ykUNKlkJlNsvceWVF2 +KBKSTaGSijRIEjqpNLWX9n7mffPc9xPJyO/8Xs/5/vl5+arnvu7rOtc5vu/X +s1fCHKaGjL+PublpmbznIQmTyk07t3TA/bXVf5rkmIStvP1JthtzuUf9gten +JCzFanFCHuZWH916nDsvYWbfSh9NPYr/vXTElYVuEvb8wY7jTzE/qroc3ddD +wvrPrl/R9Rj294cd7tfekrAr9aeNjmG+tjhg1IsHEtb0uGOXKsxHtMv3HPaR +sP02d+RzHHH/TC9eYxYgYZWNup9eYhYXrv7VFCxhi5+GPO7nhP3arcEz4l5K +WPwSsxPnMb9dLZ98PkrCjP99suUxd8g7XDgjRsL8ny+esOI41tt+zgNbJUhY +r5VlXQBzxylZneJSJMy11U7FiBM4nwwb6HMqU8J+htV/8sA8JNqg2DRfwnau +PePTiLlnfESkuljCitt3OrnppArMfjiZBFdK2LzIOyvTMH+vn7J8s0TC3m8a +amx8Cvfr/EjtPpyEjeka2tUb8/gjgQfTBQl7+M5M2fo09oN5WXvPNEhY1x2J +ifswf3zLtzL6K2FntJf4FmL22PRhYnULKRNiy05OO6OCsQ1/m19vJ2Wb9u60 +C8K80X3vVosuUpbb57tx97MqGDM0db2ih5TNSDyjdRyzNDD7280+Uvb6YCeu +BvPcBktti0FSNnzQ3aT5zlhv876k1elJ2d20oX6vnf//7yFLe7obSFn7Y6Gn +Bp7D+1dt/83QUMqc9KascsXcbSO/Ms9EypRZiSYqzEURLoscpkjZmpNLutmd +x3l12a+8XpZSlq5fzn3ErHezdd1raymzKNiZbHABz9vLraeXzpeyUOfvfjcw +25UV+n5bLGWDx509/Qdz7dg+C9xspex6SafVW13wfYdITg9dK2UtLt6dmIE5 +ZMnfie82SdkhY73uk1xxnv3T5+DiHVJWWxnKP8LsfLJxnGSvlC2/MiWl3UWc +ZzxX7nc8JGWJpklPDmA+IVOP7egoZZMkS84UY+6oc3Hvg1NSFuhZvnr6JcxB +2foG56WsD9s1KRhzl25XNr25JGVuyu/de7qpIO6VQ6/p16Ts952zX09i7lIz +d0b6TSnbO6NzqgTz8IpPiuX3paxcddd/4WXc/wV+7coeSdlCb72zUZjdJY+9 +NvlLWeycsDVD3HH/93X0lzyTsvE/pkx2w6zeIxuyM0zKfH2Teqgxf0p8pqV4 +LWU9Fi79tvqKCur+Hbff+U7Kzv8uT0248v/f13uaNFbKvgfsejrmqgrW9jU7 +tTlRyrYt+3H2NuZTKx7ql6dJWWEz57X/MIePaGZpmy1ls190Nt1xDfufbv3i +Mwqk7K3dPe1szM4uz0JmlEqZQZthKlMPFcyX72zxtkrKHrwMS/PFHHpZ+8Mo +qZR1Wm8e0PE69m+hW8u8OCk72THZ+TBmmws6mzqJUvb1zdJ1ZZi7jpPNcWqQ +svVbKkytPXE++HDthuSPlGVp7e4ZinmmUerUxS1kzOrDD1XvG3h/nVoyK7qt +jL3a6fz5DOYB52pChnSWMb1eXQLlmFO7Tz7k2l3GbsXdO7fkJvYz3zrcUPaW +sTb7h61/h9n2c6/OCwbI2NF+4WZ6t/B55veqDNGVMVmSea8rmK1aJXToMlLG +7A4nC98xex4rvrx7jIylDl6Wvu42ntdB2puSjGRsSnpFYBJmx/Wj3IdMlrFg +x93nDe/gvORR3M5pqowNGN6w/h7mv39KCrKsZOxqjvOUFndxvi2X1w+bLWP/ +TnXpvRvz0A0xGx1tZMx+1H0xF/OVxz36py2RsarCYRnm9/C+CvHT7bdSxpac +Dw/yxzzYbeThnWtlLN5w6oUu97FfH76ma+QmGTMuS95wFPP77T/FZjtkzP/S +MvNKzAv03gyYu1fGek+s7D3ngQoC9ZZcvX5Qxi5W71aHY3Yaem564VEZa7za +kNHXC/uz9Jbm/U7K2K4p556dw1wYd8VhrbOMlUi7uHCYg24WC96uMjb/5v2N +y71xvkjyCy51l7EP04ZPjcGsn+vr38dTxsby4TojHmI9GHK9ZNkdGXt0b2r9 +Ncyru4y0ueolY1rWKZk/Mf9RDG785CNjZ8Vlzzc+UkG7X8blv5/KmPiw0iUV +c9aBAb/HBcvY5nl7Nk14rIKG4zcWbAqXsbyGhqlemG+YLCr0jJSxmU/O9Wnt +g/sltNPNj+9kLHJR1+97MS/jz577GitjI/7ezyrAnCzd8aRPoozdDRoezHxV +4OLm9N0qTcbar3jpGoh59+v9R3ZlyZhTC4vN3fywHzVvPtQjX8aUISkWTpi9 +pNI/r4plbO3q5X1rMB86VNq8oELGMtpWfZ/3BPsHjzuGP2pljEXsyY7AnFKb +7aqtkLGwDT+DB/ir4HL7GW3Gf5OxIZ3PX3TBHHzl6bN59TLm+bbrlm//z/Xh +h7Y0yljLbQ/Yyqe4PpEDNxxvkrHD3Uf0+4i5v5X/Po+WclYX8/KHfoAKIn/+ +9fZrJ2crdlvkeGJ+b1TFRXSWs6TeqS9+Yz4zte2qhO5yNjlh+aUtgSr4snpk +bXZvOQuyr9qSjtko8c+lsv5y1nfA3mkTg/B+fGY+VzpEzi6n/Oz3CPOeMY+H +fhsuZ3+OnG9o+wzP844K7e+j5GyvrlauPeZxu1/2bzSUs/KMByFFmPebZ5v+ +NpGzhcdHuFk9x/35Rb3rt5mcxY54tfU5ZgOjnJBGJmdGeRaW2sE4zxrptfox +Q878zqT2P4k5Ptpnp2qOnGmPWfGzDrPj4xblsgVydqGoKnfBC9xv4U3rK5bK +2Y8Le0PfYO7+yuZr7ko5227U6DY4BPf7hgDXxLVy9qX8/LZLmO32xBu82SRn +cy5rWYmYHc/aFfhvl7PoSV4DVoeqYJvlkEuee+RsVO2IxnjMu2fmWJ48IGde +Hq/yRodhvz1Q799WBznrPJWF3cJ8ZHkGzD8uZ6fkqZebMDfsuug8/oycfbu1 +Yvv2cKw/he2ttS/I2QaraqsszIWdOrT/fknOsr/uHWj6UgVLDk1Lzb0qZ1YP +Ght9MOfv2XIx7IacvZp1Ib/DK/x9S8dYXb4rZ3r1WuGHMA91WvJjs7ec3Xrs +5V6K2Wr0ZT8zXzlrazNyx8wIFSwMuTq7a4CcHWt8NT0EM0zVrq16Lmdyfzao +92tc7265h1+GydmqJWm/TmPevdK58cxrOUtrWlEgw/zBvMjeJlrOzJ9Xhy+O +VEFl5dWi3iBnL2z3XYnGbLJxlVFlvJwNbPVrx9A3+Oe5ouPTZDm7FnZhhjvm +utldQ3ely1mztd0Gf8dsk7ota3SOnB1o7/17bZQKpq8JK+UK5Kz69cjCRMwm +f31znpXI2dJNES/HvcX7zP972LZKOUvoMu3qXcz5c9Y7DK6TM5N3aTubR6sg +r+D2kC9yOXu63XbmLszhJkvDr3yVs97aNYNzMWvPnadnqZazi7H7/kx5h/OY +aOIoNshZ455fhU8wL2yfGuLzR8529XF51fm9CnQ3x8QvaK5gpZ+6XXPAHJaV +EtnYWsFsDnrvqsDcc6Cfi28HBYsZqG89+4MK+vZobjSnq4KNS4sYEo7Z9tD9 +N3wPBXt8dNrfPjF4HzQN6+Who2Dd9D5/ccb8fv+q+YYDFMw5yzZCiXnF6a8r +M4YomPpEzbVlgPWo6q7ZruEKtkV//+4PmNdYa6lajlKw/Pxf1sNj8fOs7njs +wTgFs3Z20b2GeVnatGxDYwV7M7Z7UwNmffPtv+InK9jIEu+iDR9VoLPERFw2 +VcHuueq/TsE8JmTjmxpLBetg/NrDKA77xa++s+2tFex45bQ9DzDfCQ198muu +gnHun2e1isf+3M0i1Xmhgq01XTl0L+bOPdtEtVumYBl1NU35mK/Xvd/jvlLB +mOf+YosEnPev9pF2Xqtg4Ra/XwdgPvk4Z9iVjQqmq3S5rvUJ//7zhyM6bFOw +G3e673XEHDbFWHl+l4K1mvFwdjXmC+Vz9v/dp2BHVPp68xLx+QfefnnokIJJ +vF7/e4U593zmK+lRBbOdY1nSPwn7k/vBB+xOKFjy98+RFzC3k9Yoks8omKnv +Ss+vmNcKowZOvKBgzxbU7rVNxvrOpnTwuaRg/X7vnxOLecDK5BftryqYe8Bv +Pf0UnLfhUlt7TwX7u9S1mSfmJX9MeuXdVrB9zXqU/sKc6Hnui8kDBasIfvhm +c6oK7rXTt7n1SMEW2Rnc+Ix5V03pQdFPwT62jtxnkqYCFrR8tk2gghm9tJz7 +EHNQ5ZzP/sEK5rcufVjbzypQaNn//R2mYNod7ZrbY+4Td6p44WsFc3lTW/oF +8/yTozf5vFWwhs32UZbpKoj+PO6G6oOC7dD6c+MZ5qopbM/UOAUreu+6v0cG +9le23TjXRAWbu7PHvBOYg0LWdMtKVbB3PR8Nr8PcObuwuGemgo2OM2ixIBP7 +j0Wms+xyFcx7X2RZJGa/uEnL7xcqWJd+Vm8HZeH+fX+pZVGJgp1OSr95EXNW +Qd2inpUKpjpkZy9gnvSihfnCWgXbOLhu3qpsfP9lt+NdZAqW89l+RDzmn23t +JO84BZvu+KfF6BycD7L++X5VKVjEsIvlNzEnlFn+HPhdwYbl9Ij+i9mvKL92 +fqOC3T716Na2XBWcP3Bw+7G/CtZ21KgDmZjXrMg45dNcyY4VRs6fnIfzg/lj +/eTWSiY/ZzXSB/O1sofbuPZKttowo2WHfBUM/H7GuEsXJftcaldxEPOqGX+v +j+muZFMv1UWXYHbwSD05t5eShZgcuD2jAOuh36MfW/oq2aDqPwdeYC6fO+H3 +iYFK5nH1ok2vQhXkrDJ389RVsuZTtPVPY571/ICv/3AlOyh91EqG+XkfN6tI +AyWruTGqctEX7Jcumu9MGKtky6a9eff2/7mS9cwyUrJPnNUd3SLsH7vOm1k0 +Uckm3ss4eBmzul3PnxVmShYwc9WCesw3E5eNrrVQMh2xTn9tMd5fsz9W1lkp +2aWHB1onYp57s5t2nbWS/Zr7t3JsiQpGv/mTUDVXyXY3XHx/B7N5gqlYskDJ +Sv207zYrxX4o//T93CVKZrPo8aGdmK06ubxPXqFkMX9GLczBfM6jpe27VUo2 +LuiNwZQyfP7roTuer1Myn+XT2zzBvGKWperuJiXr3iKzqlO5Cu5+28+d26Zk +50JWfTiCeUi2uHrPLiWrXyW5W455v9nZqUv2KdnWtgcPz6pQwQjnnGsmB5Ws +4NXfhWGYZ7V9uLiXg5LN2nBpVJ9KfL7xD87WOypZVKeebZ0x77A/OzDrpJLp +v31crcD8eGq7EUFnlez+1tExS6tU0LKf9N7pC0rWsXvUvfeYC2/kH1l6SclO +xEw/Mqwa7zvzy2/1rigZvytz0VXM317BZrWHkq3rvXp0A+alPgaH4KaSZcZL +2m6owfks8pzk4l0lm2Z/sCYZ84gw5/cLvZQsvH9TzPhaPF9LK9Q9HiuZbsql ++/cx/zmw+HK+n5LdONLToWUdvg+Jx9mbAUrWStdn8R7M/reX5y96rmQOGaPH +5GPudtj2QodQJZM6RbWzkODnc1rg8fGlkq0cMaP2KWaTl2L9kUglS8nNhK5S +FZwe8yd8RLSSmZ1Z/eAY5g0dhyYWfFCy56OlDlWYTzgMMjz3Ucn6Fx1cMlem +gqv+QV9Hf1KyKxeaxrzCfK3EsVlespI1jXdr31+uAm777C3HPivZ/vKedecx +v3qartM3S8kq3XxiecxxzeL6v81VssWTxnitUKigUVm2b3mhksXVRB0FzNuU +RZ2/FSvZBI8ZS0cqVXD82r6fF8qV7Il51tjrmCc7LBvTt1rJespXd/iFedO8 +Gc+f1ymZyy1p3SYO+9fkH/ZmciVrsDz0MQ2z8FrvZCKnZDu+NnkZ89j/y10y +FqqUrOi+2zFvzF6zCjYXqJVs3qxey9p8VUG/hLQpqxqU7L3aZ9x+zPobBy8v ++aVkYx6P6fgF8xjDKyF2TUr2cP5bybRvWM8Xp1nnN+dY18YZcUGYt/y40X9B +a46d8c/y7q7CeXLt47EJ7TgmLF7jeBzz9sKg45M6cWxTk3RZLeaj8TtbBHbl +WO6zQ4Y2As5v1+997NmDYzNs/3WMxHwgXnxzphfHXre8LB0oqsBQPrZW1odj +w8N6xbtiXuDfduaCARy7s8b3oQpzs+cTi8IGc6xd+7FOdmqcB2KOPNLS45jj +67fL4zDbR566vXcExxQbZ44fVY/7w7HjhyQDjq3ukt3pJubhLQq6DRrLsc/R +a2R/MJuuvnvj0HiOTd0ui9/6XQUFvp0sPxlzLKTH4UcZmFe253W0J3NscOw/ +p0k/VJCRre6zYQrHru+5vOIx5tFLSqyCLDjWok9vo/YNWG/Vaz2/WXLs0Cff +zgcxmxpNbDthJsdqD4yVF2M2fjjw8aHZHFs+MDph+k+cN0qyV4fP41hi6szH +wZhdH3cw5RZwbNLR7OM9G3E/R5+bqLeEY4FD19qewjyj3c8ldss51idLZiTF +vPm07pXLKznmduJwl0W/VNBFP6YmejXHfo9spojCHDfUZbl0Hcf25F/+NOS3 +Cib4mVRpbeJY2dnePm6Yz6pcL0zayrEFY/1OqDE77JpsuXoHx6B47Mo1f1Tw +5kKLHid2c8zQNXrCJ8x/P/s03tvHMZ8J1l3H/sV+tCpbHXGAY90rsxW3MY93 +WtAs/TDHzrmvTfyH+evW3AHVRzn2fbLcZ0cT1u9L/efVO3FsW93hk9mY21bX +ubQ8xbHC683szP5hvXZWZnY9y7HZFu7Gfpgzb8v1+pznyMMJ8HOj1/lBrhx5 +OAHWsVhe140jDyeAt2+39UOvcOThBMjqbVM42IMjDydA9vRxy/vd4MjDCbC/ +9nBh99sceTgBgrOK17S9x5GHE0D9c0DtzwcceTgBlm//vVv6kCMPJ0ACM1Tn ++HDk4QSwiXU89u4JRx5OgMWWt349DuDIwwmQaDLt2LlnHHk4AfaOmqza9IIj +DydA1zSzjSyMIw8nwLsvrVN1XnHk4QRoZmyr/+01Rx5OAIMv3Mm4KI48nACn +S88ner7jyMMJ4HS4rPmGGI48nADtVRFjDT5y5OEESH2XMk+I58jDCTBvY7Ht +60SOPJwAlq7+ix1SOPJwAnwW5JOMP3Pk4QQId97W/lsGRx5OAC/T4oSn2Rx5 +OAFWGrfauTqPIw8nQK9Qv/pOhRx5OAH8Wx3b9q6IIw8nwMuQaR+2lXLk4QTo +YP2hoUsFRx5OgC/OL7QjqjjycALUmmd3X1HLkYcTIGe3TFUv4cjDCbB1xKsX +HnKOPJwA7wOEWfocRx5OgFszt8TEfOXIwwng7pTWdbHAkYcTYE2V1KxSzZGH +E0B3opPFnh8ceTh83hXT+3z/yZGHE2CXVatUp98ceTjcL/1OLfz7lyMPJ4Db +sIOBJ5rx5OEEqEjyyf3ZgicPJ0Af94zMA6158nAC6A+KfiBty5OHE+DQ4uET +V3XgycMJ0KV3vndKJ548HL7fixezTbry5OHw/VS2yHrYjScPJ0DG2TZ3W2nz +5OEEUKmWj9zeiycPJ8CzL69OJ+rw5OEEKG9X46XbjycPJ0CTw9NTxwfw5OEE +WGLxcWj2IJ48nABTHv9wG6rLk4cT4GSrDq8O6vHk4QQ40xhzP2Y4Tx5OgHvG +RdPa6vPk4fD8ePZ/Mn8UTx4O17eXTfzVMTx5OAFm8GO908fx5OEEaLvLxbC9 +EU8eToCGdjonLI158nACRO4LPOUwkScPJ0DSjj8TAyfz5OFwP/Nf/ArMePJw +AuQNaJnQfCpPHk6AJ1bTbusznjycAL8zl/dZYMmThxOgbHP7+fun8+ThcL0S +B4+5MpMnDyfATm7ju4BZPHk43M9qz+8xc3jycAJE6TkV58zjycMJMLChbEeN +DU8eTgBpxwuPVAt58nD4fsdPPPlrMU8eToDOG6OaNV/Gk4cTYHV8zshWK3jy +cAJcCp5f33IlTx5OgMcP+Y3NVvHk4QTYN+ekfeNqnjycAOlu8QO/reXJwwnw +fd/F3VXrefJwAsQZ3lyetZEnD4frbxZa8m4zTx5OgMKhvn/8tvLk4XA9I03e +XNzOk4cT4ITSqMOunTx5OAEcFZvqZ+3mycMJwHW6dlh3L08eToAPpWcuNe7j +ycPhn2u3MEq358nDCVDiU7rH+yBPHk4AX5/iybsO8+ThcD22JN6c4MCThxPA +Yc+u041HefJwArTcf73hnSNPHg7Xd1mbP07HefJwWE8m3rw88SRPHk4Ai21t +/L+e4snDCaC9deQsvzM8eTg8D4pP9sucefJwApyteja4xXmePJwAbXb7LQ++ +wJOHE+Bg6fEuS1158nD4PLHN5v64yJOHE2BkUVOb2248eTgBtvefPsPInScP +J8CQqPvN0q7w5OEECNHKNdtwjScPJ4Drx7eC4MGTh8Pz5N1f74wnTx5OgKDQ +lKwON3nycAJcNLv24/otnjwc1qu3U272vMOThxMgrdI78NZdnjwc/vfe5426 +3+fJw+Hz9ALDyw948nACdAzu79vMmycPh/vp554LBx/y5OHwfsw5XVT5iCcP +h/Vs9jDveT48eTgBZvmPyXzpy5OHw3phv3Nfryc8eTi83/YEOTr48+ThBPC8 +E/Mt5ylPHk6AnmOcMkcF8uThBOjn/6L32SCePJwAjREGSTnPePJw2D8sjC8d +HMyThxNA4j/HdvcLnjwc1r/hHiYvQ3jycHh+z+x0+B7Kk4cToO5RoI5JOE8e +ToA3YYP7HXjJk4fDzzPI/0TQK548HP682+3NyyN48nBYP1rpruwayZOHw/7j +Vlym+RuePBzu7yjw2RbFk4fD+7D/18/ub3nycFhvInQXhUbz5OEE2P3KyCDj +HU8eToCbHmo7+XuePBzuh9ZTypvF8OThBLjfteK1NvDk4QTY+O11hV4sTx5O +gGr926vGf+TJwwlw7eKKYWZxPHk4vL/7ZFiweJ48HNYDwzz/aQk8eTi8z7ZP +s7P4xJOHw/P1QGE7OZEnDyfAhvpnj8cm8eThcH+X2RkNSebJwwlwtX1WR60U +njycAE8PS0b9xqzxcAIs2+hwvTqVJw+HP3+V3ZTENJ48HO4vk50jn37mycMJ +0KnzGVvndJ48nABz5hxLWpXBk4cTYNj90UfHZfLk4QSY/uvo1mZZPHk4AYQQ +k+vpmDUeToArsy0bb2fz5OEEUPg6eK3J4cnD4X6pC3ccmMuThxOAP595rRSz +xsMJMNwyuOx2Hk8eDvfLyGGbbPJ58nC4v2727t+sgCcPh/eT4cYOoZg1Hg77 +V7Fi1KpCnjycAO2GHDzd/AtPHg7//qIfLf0xazycAD+2zYuaUcSTh8N+5M6i +B5WYNR4O74sddc+PFfPk4QRoHiqXdSrhycMJ8Pf61MXemDUeToDRbh9r9Et5 +8nACjN+04tFLzBoPJ4DepDLnSWU8eTgBWjlOuhKFWePhBOivtP5gUs6Th8Pz +/YTvFopZ4+Hw/Wp1cdOr4MnDYb/71U3vNmaNhxMgf+msypaVPHk4XI9nw9/v +xazxcAJYver0JhezxsNh/3y+LNOkiicPh/2828nWNzFrPBz2p5ezV3zFrPFw +Amj5xcTNqObJw+H5mGkw+w5mjYcT4Dz7VVeHWePhBNj2RvuBYQ1PHk6ALaM3 +bDuKWePhBIg/Gm8djVnj4XB90odNacSs8XB4/qP3WRnX8uThsD4YuKzejVnj +4QRYsGnZhYeYNR4O79sWcTHpmDUeToCADlFtGjFrPJwAPfIHrRlcx5OHE6C+ +b82H6Zg1Hk6AMWqlwWbMGg8nwIBcPd9TmDUeTgCda8d0b2PWeDj8PKtyngVh +1ng4AXqnDjB7i1nj4QRIzrLOTMCs8XA4j8Wb7vyMWePh8Lzb1bTJwqzxcAIc +DjIMyMSs8XB4n47tOicNs8bDCdDi0x5lHGaNh8P55cWkK5GYNR5OgBfu68Y8 +xazxcALU7MxKvY5Z4+EEsHt5YosjZo2HE8Bw8tLGNZg1Hk6AiRNmXDTHrPFw +WD8tp2npYNZ4OAGObZ/s8Q3XU+PhBJjUbWDbeMwaDyfAqO41hzwxazwc3vdP +HfPXYtZ4ODzfB/IMhmHWeDjs989KDsrwfWs8HO5/G7/gAMwaDyfAo8imgk2Y +NR4O+9f2vKoPZo2Hw/rzd1PjZ9xvGg8ngEnk8m/HMWs8nADKj9HZwzFrPBye +xwEXH6XjftZ4OHy+8RG29pg1Hg774XyTxi6YNR4O3+/CVueC8LxoPBz2S8t6 +1zPMGg+H9aDN9nk5eP40Hk4Al0al6wbMGg8nQJjRo0AFnl+Nh8P7e7hTsD1m +jYcToPSdg6eI51/j4QQYNO+anT1mjYfD+vMoppkC64fGw+E83PDPZT1mjYfD +fq71QmUW1h+Nh8Pz+S1gtAVmjYfD/ndh27kBWL80Hk6AcZN2WXXCrPFw+L5G +Z2jvxXqn8XACdB81PjYF66PGw+H6BFyfrotZ4+EEmO3+1dsB66vGw+H+M5+V +kYj1V+PhBIi++SC3O2aNh8OfJ1cGr8L6rfFw2J+0M7V7iPVd4+EEWGh+vrAM +67/GwwlgPTtzeB/MGg+H7293f+tFeH9oPBz235v2GZ/D+0Xj4fB+2ZKsCsf7 +R+Ph8H4ZMdapFO8rjYfDn1ful9kCs8bD4X1nNlalh/ebxsNhv7Y7p9gK7z+N +h8P5Vff21TV4P2o8nADNrp3ucBDvT42HE+DXxls25/B+1Xg4rG/p5Ss88P7V +eDgBTC9sHnYP72uNh8P+CUZFeeN9rvFweN9MnNblId73Gg+H/cyIZ8PvYz+g +8XAC2C891MwT+wWNh8P1bfb0/gXsJzQeToCHv+fXH8Z+Q+PhsH7dOtRpA/Yj +Gg+H6xs4rNoa+xWNh8N+58b+w/rYz2g8HD4/WxfXFvsdjYcTwKffv9Qq7Ic0 +Hg7vg6EbrrzBfknj4XB+L7rW7hL2UxoPJwCb7z1lBfZbGg+H/WTPu8MGYT+m +8XBY31Z6fKrB/k3j4bCfTL7d98lrnjwc7g/f90M3YL+n8XA4T77sUdkb+0GN +hxPg6NKQBWnYP2o8HPb/ex/tcwrjycMJcOPYL4th2G9qPJwAe07lxqRhf6rx +cPj5dBi/F/tXjYcToNuBJSkdn/Pk4fB8WA9d4o/9r8bDCWAkTXIxw/5Y4+Hw +fU2y3ZaG/bPGw2H/Gir7Zov9tcbDYb+86qZupR9PHk6AQyUH/27G/lzj4XB9 +d3qfrnnMk4fDP88ZE7gO+3uNh8P1OWnhkI/zgMbD4effqZDN8uLJw/3/frT5 +9xrnCY2HE8C2wTlq0D2ePJwAXW8F9riA84jGwwkweXdJVwnOKxoPh/3dcdNg +K5xnNB4O5+NNVdL7OO9oPJwAfqvEj19xHtJ4uP//+5G7qQXOSxoPJ4DZ6Uyb +SzhPaTwc3n+Tyv5mXubJwwmQ2KdwdnecxzQeDvulExVjFuG8pvFw2F/Y9gm+ +5MKThxMg0DIwLgbnP42HE2Dz0TD7bzgfajycAL0KV7zud5YnDyfA3prYa9NP +8+ThsL+P1/63DedPjYcTYNpMxxYuOJ9qPBzer8U97z3G+VXj4QQ497B7XCTO +txoPh/fBkrsnko/w5OFwHtuQlZR/iCcPh/NxSa1v+QGePJwAm84261yznycP +h/U9ekHrGpzHNR5OAOe7v93KcV7XeDgBFpVO8M7HeV7j4QT4KOhNScZ5X+Ph +BMgU/2yO3MqTh8P5IUDR6/FmnjycAB4XByy9sJEnDyfA+vefem9bz5OHw36/ +n/YWq7U8eTicJ8aZTeq7micPh/XGZJcHv5InD4f1r2/hvvcrePJwWO/PRWS7 +LOPJw+H+SZwYNX8JTx4O+1WV55Aui3jycHhfJDR1SrPhycMJMPhDmOO5eTx5 +OAFCO1ZumzSHJw+H63E/IVdizZOHE2BG5ztwfQZPHg7fz0cP/clWPHk43C9Z +Sq1ixpOHw/3Vtebw0ak8eTgBXnd+uUxrCk8eDs9zv1uhTybz5OGwvs1IOW88 +kScPh/VtuXsuTODJwwnQobj/A+vxPHk4PH8Do4uTx/Lk4f4/jz25bj2aJw+H +92tVPwB9njwc1t+ETZuMR/Dk4QRoMHzn9ESPJw+H53f6jrZaujx5OKyPFS/a +Hh3Ek4cTwDE4y6moP08eToCgfr02TOrLk4fD37c1IdKjN08e7v/3qe6pOm2e +PJwAd1cfe23SnScPh+dhV5c1Z7vy5OHw8/UbeyC5E08eTgDRe0h9hw48eTi8 +L75OqJ3dlicPh+tXFDbNuRVPHk6Aqobadm+a8+ThcH1eDzCXNnHk4QTIsAkq +6v6HIw+H921GQaVpI0ceDvufPj8WrfnBkYfD9a6y1XdSc+ThcJ4Ptdl5Q8WR +h8P7pVZfK5DnyMMJMCGCDYhScOTh8LyNqrkWL+XIw2F/Nm7n9tRajjycALKT +I/w+V3Hk4QT4F3rYKrWcIw+H9c00cEZ8CUceDvf/jr5Bb75w5OHw9+/W2x+Q +z5GHw/M+f/BtzxyOPBzW1/odAxwzOfJw2I+NXtVq9WeOPJwA3x8tmjs5hSMP +h/W6KOirViJHHg7vs1kJqto4jjwcrs/UfwsjgCMPh/2vKrrj6fcceTjs706u +HDrzLUceDuu31PR2m0iOPJwAKTpxm+NfcuThBMiuXXb5eChHHg7n+ba2ncYF +c+ThcD+OHCspC+TIw+E8VDxN56I/Rx4O69ODHz5jfDnycAKs+PLGOeMhRx4O +6zHHv931gCMPJ8CfIS1ntbjLkYfDeShik/6tmxx5OAHcM49tGHqdIw8ngOWN +l8rgKxx5OAHadj+VOt6NIw8ngPnSuX/CXTjycLj/lrqeGXOOIw+H81nbpyue +nObIw+Hvt9M51esERx4O57Vxi3+cO8aRh8P9viUhhjvMkYcT4EyepGDRAY48 +HNaHJWMtwvZy5OFwP4Z1q++wiyMPJ8AzaPdzwzaOPBz2Jzbuc15u4sjDCdDT +oJesaR1HHg7P47AhudarOfJweL/30O/gZsuRh8PzlvzMPXkpRx5OgLdD9Fa1 +WMSRhxNga1OPg5Pmc+ThcH60/pW7fTZHHg77N6nt6RszOPJw+LylIYeip3Hk +4XD+0XMOKzXnyMPhPJyx3OTXZI48HO6/+pDmPUw48nB4/3/o0XPEeI48nABe +azvunziGIw+H57nfwK6W+hx5OPz7Nvn11sM48nDY76x+N2j2EI48HPbvH1df +mzGAIw8nwL7O06eb9+HIw2E9r2syG9eTIw+H82+bgQ4DunHk4fB+szSsb9OZ +Iw8nQOT5xAhlO448HK53wcE3aa048nACXLZN/v20GUceDvvzWavPnvyjJA8n +QH7zj7MW/lSSh8N62txjQf96JXk4/POq9bdqvynJwwnwfl1Kv0ClkjycAENs +t1VtkyrJw2G/kJ5dN7hGSR4O5xF5wMiCciV5OJxHf14IcilWkofD+3tfhx1G +BUrycHh+lXmbi7KV5OEEuG7s4nU8XUkeDu+DqbHd+qQoycNhP6M3PD48QUke +7v/z2JqwmbFK8nA4b35rW5T3TkkeDueLX5nm698oycMJEDJhTUntSyV5OAHm +PF8esTVESR5OgJgn25KqgpTk4bBe3DXTtvNXkofDfmTiqftpj5Xk4QTI3Vph +Z+qlJA+H/bx15yW+d5Tk4QRYahTl3OaGkjycADWx15VbryrJw+H5/zTfPfaS +kjwc3v+Lvbf0uqAkD4f9fOTkw9vPKMnDCbDfNT/61XEleTish/dGTf7toCQP +h/dDq3rl1INK8nD4/MPl+cf3KsnDCVDpl/g9YoeSPBz2RzvmzJNvVpKHw34k +aFyBznoleTj8/JLJt6evUpKH+///bxl2eedyJXk4AV5lREW4LVKShxNgx6+I +7gHzlOTh8H4bVvEkxlpJHk6As7Z/d2VbKsnDYT3kC7ZUmCvJwwkw9o6xp2yS +kjycAH1XVYqckZI8HJ7/G/4u3BgleTgBnBoW2UhHKsnDCeB6O8y6bKiSPBzO +t8U3DmYMVJKHE2CEe1pWdB8leTi8/7L0N/pqK8nD/X+edx56oauSPBy+D9eg +3ps7KMnDCZBm4DDVvLWSPBzehwlwU6vZfx5OAMPt6wdU/lKQhxPA/8q4wmff +FeThcL36doqzVynIw+H7XJ1TNl6pIA+H69Fuo8HXOgV5OKwPs+4G+FcqyMPh ++3tht3xliYI8nADG7OKENgUK8nB4/x9sZxWapSAPh/O4YeyZpWkK8nACTPly ++5v4SUEeDu87iyNXr8QqyMPh/gmduXboOwV5OAHkKvXqiNcK8nBYT2bsdZsW +piAPh/V5+2NZ0jMFeTisB7dOOsz1V5CHE8DG7ceE5EcK8nBYb5ybBlveV5CH +E8Dawm3q65sK8nAC3G/ucUnvmoI8HNabO21bX7ukIA+H8/ViRWj9OQV5OKyn +HSe4LT+lIA8nQPjo8hvhxxTk4fD5A+Wf2x1SkIfD+bDZcpPVexXk4QTYaT0k +PXC7gjwcPu+AxTeFjQrycDh/fZC5maxRkIfD8yTwYYdXKMjD4X3Zb0eb0EUK +8nA4307ccLl2roI8nAAOXypZz5kK8nACPDf8MdSSKcjDCfCyc8ikHaYK8nBY +f0t7H3eboCAPJ8D84BlfA8YoyMMJIIyedT12hII8HPZHERO25A1RkIcTgDMf +vL2mn4I8HPZ/u/Tu8z0V5OFwXnWz+yt2VZCHw/p7q9hD3V5BHg7P77iEZd9a +KsjDYf/+wniO5K+cPByen17z931pkJOHw3530OiET4KcPJwAAyf9nBuilJOH +w3pxKr+FZ52cPBzWuyyF0r5CTh4O63HshjbziuTk4bDffLRxyeBcOXk4AU45 +9clSfZaThxOg3cDI0+8T5eTh8PPrbN94LlZOHg7nrei1R2ZGy8nDCZDUJ/1d +iwg5eTic37QVxu9eyMnDCXBrgbJyX4CcPJwAD0zbxw7wkZOHE0DZ7VRO0n05 +eTjsL6sv6Oy9KScPh/OQ6zrPzlfl5OHw84XOmhbkKicPh/uj2Fl32lk5eTjs +jzctNc1xkpOHw/1xrOn8+sNy8nBYj4antZbtlZOHw/expMX73dvl5OEE2BD3 +NUCxQU4eDutD37KULavk5OEEKKvup1u8VE4eDs/nhd9hc23k5OHweZdmOERa +y8nD4f52kR0aME1OHk6AX5aPA0+bysnDYT9yy6ZnmZGcPJwA8SfnRpuMlpOH +w8+rEO9cGiYnDyfA2ugnIV8GysnDCXAxHpp0deTk4fB8Zflf3NFNTh4O56en +0fODOsjJw2G/3mLLvLqWcvJwApz0aX+h/18ZeTgBJOY9fy34ISMPhz/fQ3x2 +4puMPBzeF6u73vWXycjD4fy4oeRjSpWMPBz2m3uSR8qLZeTh8L5fNje9ZZ6M +PJwAvo0FoX3SZeThsP+bK8kySJSRhxOg2yzRcBLIyMMJkNfsaJZFlIw8HM5j +D8VQy3AZeTjsz9bWZbJnMvJwWD9uZBua+snIwwkw7NWU3DFeMvJwAsC8Z28G +3JKRh8Pn7/S0vN1VGXk43H+Jb2d/c5GRhxPguP3BH1mnZeTh8PwNXy97cUxG +Hg7n1zHdBrkckJGHEyD2R78Hdrtk5OFEGDqk59qRm2Xk4USoc7q5VVwtIw8n +Qm4r49dvlsnIw4mwuf7a/GM2MvJwIvSItB5tbC0jDydC4refy5QWMvJwIgw/ +NyfJe5KMPJwIHT3Kzs0zlJGHE6HMYc3l+pEy8nAitC7ZX353iIw8nAhL/ONP +Tu4rIw8nwr42bXbldpeRhxPBxlHqt7OjjDycCI8/9R39u6WMPJwIy1osb3nx +j5Q8nAjN79oYaH2XkocT4cD0GJ8bvJQ8nAh9dTbv6i6RkocTwc/513n3cil5 +OBG2z5spNi+UkofDn3evzauDmVLycCJkiy2Ty5Ok5OFE8Jze2sg6VkoeToSa +kjwhMEpKHk6EisOsXdtwKXk4ESKMtB02BEnJw4kwOUDP/LWPlDycCJfzZqxu +fV9KHk6EV+Pm5S3ylJKHE4H5aQXecZOShxNBcd2+sMhZSh5OhDlFszf3Pi4l +D4fPn+c4f9EhKXk4EQLWSW6c3y0lDydC94JDZhGbpeThRNgzsINlxWopeTgR +PvrdCGy9TEoeToTBa/8eGDFfSh5OhA2ZEx/OmCElDydCSVejsWvNpeThRDBN +qtE9YCwlDyfCWOPpJ8+MlpKHE2He2LkWl/Wk5OFESB35fdv1/lLycCKsMZn+ +01NbSh5OhKY2E9XXOknJw4mQU5+98mIrKXk4Eb7/GWBw4o+EPJwIG5OG7thd +LyEPJ0JK4LceKzgJeTgRzvp7jDWvlZCHw/1/WOftgFIJeTgR3p19EPErV0Ie +TgQj0VA3N01CHk6EBH+xRUC8hDycCGFmf20d3knIw4lwSMte1/KVhDycCHFz +925u+1xCHk4Et7w+/VN8JeThRBA2edu43JeQhxNh7THdRgtPCXk4EY5vLxik +viQhDyfC4hXyON+zEvJwIlhbXq2xcZSQhxPBoumXc729hDycCM/ZDt/bOyTk +4UR4cafbdOMNEvJwIow5brIt3VZCHk6EfzN6dt60UEIeToQOpt8ni9YS8nAi +2Lv2V560kJCHEyF6Yb5e64kS8nAidHqyR+o6RkIeDs+ru5Fxm2ES8nAiXGzv +1OlMfwl5OKwXOvcOfO8hIQ8nQrs3si3bOkrIw4lwviZBmtNCQh5OhHw/999m +v+rIw4lQ0MbX56FQRx5OhDYjd5X8ldWRhxOh2Mjm2crKOvJwIvTaFtk1tLCO +PJwII3prd26eWUceToQTrwv9FiTWkYcTQUw/kn/nQx15OBHGddnmVxpRRx5O +hN6zh3YdEFxHHk4EwyOj+tr51ZGHE6Ff9L9PHvfryMPheu7S0064XkceTgTj +DkPaqC/WkYcTYdXyM94DztSRhxNhNssqmX60jjycCLY/feK27qsjD4fnQ3Vp +6bmtdeThcP+UDfLwWlNHHk6EzKgmx/CldeThRLiQktA9bm4deTgRBj3psSbD +so48nAjzx3nYFkyuIw+H9SGguk3xuDrycCKY+0buLhpeRx4Oz1P3d655A+rI +w4kQOc93TZp2HXk4EZJb63IfOtaRh8P7ZIN6UnCLOvJwIgTJcixvN9aShxOh +670zHU+oasnD4f1RBbfXSmvJw+H99dS2zqy8ljwc1o953Rt75NeShxOhT9iH +XFlaLXk4/PkTRx19G1dLHk4EdfK4ugtva8nDiaAs9hu0IKyWPJwIlfs2j+we +UEseToS9fRY0z/GuJQ+H9aX1rOArN2vJw4nwocF41MzLteTh8PctbXHm59la +8nAi7B/oHRxwrJY8HO7nlg0vl+yvJQ8nwtWVrW81bq0lD4frPeTjMq81teTh +RFioPaLebGkteTisZ96TjuTPqSUPJ8LX2h9Fu6fVkofD+p+5R69pYi15uP+v +v/8K9zG15OFEWN0QcrCXXi15OKwH5d5OXn1rycOJcPPTRfuB3WrJw+F5v+S2 +wqttLXk4/HwVH8f2aqohD4fPv3Lx78v1NeThRPi2bX3MX0UNeTg8Dxt6Oe2u +qiEPh/fvuSeG+YU15OFEiPo7pdYso4Y8HN6HXPc7Xgk15OFEmL5l87xf0TXk +4UQ4dWh3y2XhNeThsF6P2PsxKOC/74fD/X49xPW393/fD4fnKdVx5Zyb/30/ +HN6XP/pPvOH23/fDYT2dp9AtOvPf98OJ4Kw9eUi/o/99P5wIaZEbJtjt/e/7 +4fD+fx23+sbm/74fDvuVN7FeKXb/fT8cnie3jz9/L/zv++FEOD3S8KCB9X/f +DydC6YfjHZeb//f9cNgPPe+ddNzov++HE2G9+/GAhyP/+344Ee5PGRr6YeB/ +3w8nwtHCq5VftP/7fjist0lWFqoO/30/nAjlMUmpLZv/9/1wIrz+9Oxij4Zq +8nD4Pk1POg3iq8nDiSDLzfMdUVNNHk6ErNB5rUYXVZOHE8HH5ozP6Mxq8nD4 +fu72PKn/qZo8nAg7lS/v6b6rJg+H/UPPVr96h1eTh8P7b8Pbx+0DqsnD4Xrd +dPVo8KomD4f9458Rn6s8q8nDiXBsz8oVyRerycOJ0Gp2yfjnp6rJw4kw8fzR +jW6Hq8nD4fsO+SnduquaPBzWQ3Fs8tQN1eThRPAY+K1V9xXV5OGwfgd096me +V00eTgTf0KOPQi2rycOJgLdBS8dJ1eThRNg6/3aGxZhq8nC4P94Mbd18aDV5 +OBHerrofBDrV5OFEGNZBFePUpZo8nAjdtg+abdSqmjycCLvrRsyWNFaRhxPB +LLtz3O1vVeThRBi4rDhqel0VeTjsP50ejOOLq8jD4fs026J/I6uKPBz2r0fn +B5kkVpGHE2FluwMv895VkYcTYVeMepZ9eBV5OBEe7vy9p11AFXk4EWLKX+l5 +e1WRh8Pn/2tzcKxnFXk47Pcj/q1871pFHk6Ek+1blVqfrCIPh/Vx4IOm9INV +5OGwPzXpkLB4RxV5OKyX0Q/G5aytIg8nwr3pj2YsXFpFHk4Eb6+dbVJmV5GH +EyE4ZdkxZlFFHu7/922s98sJVeThRHgvdnXS1a8iD4f1fl6I1rWBVeTh8P4y +77D1Z48q8nDYT1vddVrXvoo8HK7v5JxlH5sqycOJ8NNuUsPg+krycCIcXjh/ +60l5JXk43L/jHvgWlFeSh8P6cfHVy9F5leTh/t+/Gdw7nVJJHg7P1/z7dpkx +leTh8HlX+TX0i6gkDydCrJHSYWtQJXk4EQZoDSh5/rCSPBzOB6nyEd9uVJKH +w/Urabtx3KVK8nAijNo+wW3PqUrycCL8mDY24OmhSvJw2C9iSSzbUUkeDvvb +uNdp3dZVkocTQR5dXWy1tJI8HL7PsEG8/exK8nD492fPa+M1tZI8HM4z/+YY +JBhVkocToa1Rm7XyEZXk4UQ4M8jet+OASvJwIhTVn2wy6F5JHg77qexRR2a1 +rSQPJ8Jo7wbtuJ8V5OFEaNmJO/+tuoI8HJ4vo8VWvdMryMPh89kvXG76poI8 +nAjtl/ZLXO5TQR5OBKn3d689lyvIw+H6rxtVffJIBXk47E8aej68tL6CPJwI +Sw37FV2bU0EeToRqqxcPPCZUkIcT4aBi7tfLAyrIw4kw5ODporNtK8jDYf2L +r956UCgnD4f91JE+XmtLysnD4foW552f/qmcPBzup265I/VCy8nD4cvRqvD4 +d7ecPBzu77dZn/Kdy8nDYT2occ96uqecPBzW132tow+uKCcPJ0L4ItPLptPK +ycOJ8Mnf2Oa3fjl5OBGcYrTaR/UoJw+H9dawPmn/3zLycCLwtd1v6ErLyMPh +edUPOZSVVUYeDn9frPYhx+gy8nAiNHK+9wc8KSMPh/ONa5z6/ZUy8nAi/Oqg +dLU9WkYeDt/nU58N/IYy8nB4/811dD01t4w8nAidY7q36WhcRh4O779n/SWe +A8rIw4mw/MXS8b3alpGHE+HvTmfhpqqUPBzWr7tnDbsWl5KHE+FJ78k/z8eX +kofDfvnP/SU/gkvJw2F99HsxZ/PtUvJwIuyIOytNO11KHg7X+9ikiYY7S8nD +YX+z7i/zWFJKHg7r6X2trtyUUvJwImz5+v7F9GGl5OHwvk5bM/xOl1LycCKo +NtqdkzSUkIfD+TnCIHN8VQl5OFzPp7bajqkl5OFwXtN2WPv+VQl5ODz/pQav +f3uVkIcT4XGD/vBJLiXk4bBeXpr4bt/+EvJwON8Iuqd9V5aQh8N+qjzrRI5l +CXk47FfWj4cmgxLycLifG2YsHqFdQh4O++EX/Szm/y0mD4fzn16+x15JMXk4 +ERYEPl52ObOYPBzWL8sPN/2jisnD4X5Pc1zz3qeYPBz2I61N3mS6FZOHw/vQ +d21YxaFi8nBYrzcdXMqtKSYPh/V3cIvg7zOLycNhf6p8/un32GLycNiPdxsU +3NS7mDwczpvm/XY3NSsmDyfCutP2XX7Li8jD4X7a88+nPqeIPBzWC/2345Xv +isjDiVB79lFK+ZMi8nAiLGpKOJJ5pYg8nAj19fOtPjgUkYfDefTx7imB64vI +w+F9OX7bXo/ZReThRPgs86w9Mr6IPBze98PsXqzsW0QeDuffE+PzJrcsIg8n +gl6m/9Ze3BfycCKkr128T8j7Qh5OBIeyyl8pH76Qh8P+/0p3ncdPv5CHE6Fq +XmTOoWtfyMNhvQx+MWnmsS/k4UQo6cQt1d74hTycCLeeORhXzflCHg7vv7db +JM+NvpCHE+HK0QqHw/2+kIcT4Zm1rtqs1RfycNifgdOOf1wheTgR7JJm137M +LyQPh/f1s6ZDzjGF5OFwvcYNGWYZUEgeToTmwbNaN10rJA+H9XVIf923xwrJ +w2F/vmD1pYMbC8nD4edPypttMLeQPJwIK7R276s0KiQPh+c9pEe7W/0KycPh +es0sHDq7VSF5OJwPn2VnNnIF5OFEePlvfN9n+QXk4USAJLNudjEF5OFEMGlu +Fd8moIA8HN4n5uEWr64VkIcTYU1ka891xwrIw+E8vycrrd3GAvJwWM8mR/4K +n1NAHg7ne9n+MauMCsjD4ftZkXqweb8C8nA4b5x7WhTQsoA8HK53cKO9DZdP +Hk6E8TvSZ4l5+eTh8H54z/be/pBPHg735+RzKtOn+eTh8Hx2r6gsvZpPHk4E +Y9NQm9NH88nD4X11ZIv1kA355OFE0Dpzvjhudj55OJxP+z/rs2V8Pnk4fD8T +LvRo3TefPBz2D3xxoX+LfPJwIrh8vuBgrcwjDydCUtKRf5LcPPJwOJ9tDXN2 +fZ9HHg7vk4JFWiP988jD4fprXYxKvpJHHg7vE6XX5Z0OeeThcN4x5e51WJ9H +Hg7rQ51M/XxWHnk4fJ9d+j+zMcwjDyfChCHD0r/p5JGHw371x9u9ns3zyMPh ++vJPvUwUueThRPDvmLmrKCeXPJwIVtqj5Sff5ZKHE+FuYMbIoU9yycPhvLW5 +3CzFPZc8nAjbftwztD+SSx5OhERr234663LJw4nQ9/K5Th+tc8nDYX+ww6/n +7nG55OHw/tFaOruXTi55OBGM1llHxjXLJQ+H/cn32Qfs5Tnk4fD3rbe4PCgn +hzwcrq+Bbq+s6BzycHgftG2n5+yXQx5OhE3yfkkm7jnk4bAf0/XtpjycQx4O ++zGXhp6+a3PIw+F8c+G6ZJV1Dnk4PF8Lmm71HJdDHk6E1K+1E3N655CHwz/f +37rUo1kOeTgR+v+cfHexPJs8HO5H7sAp7Zxs8nBY3zq/9ymKziYPJ0JvL/Oe +Pn7Z5OFwvx8x4na5Z5OHw+eb+MN00pFs8nDYf6/91a3NumzycFivd6W5Flpn +k4fD/drUNfT5uGzycNiPNLv0wFknmzwc3kfQbeOa5tnk4XC+6fK4h6kiizwc +9u97ZyTp5GaRh8OfP8rA4/e7LPJwIkx1vuNc9SSLPBzOy7H8y9QrWeThRLi9 +K8jkjUMWeTic11ZtGhSwPos8HM7DsrTz92dnkYfD5+nw2un6+CzycCKMcWrX +y71vFnk4vL8KP+92a5lFHg77oQdzdPsUZZKHE+Gq/cOJw55nkofD3+e+gJme +zCQPJ0LEtDnbly/MJA+H/bPOmyLHIZnk4bA+6f/6+FSdQR4O68GKkHmlnzLI +w/3/3/eCfPvezSAPJ0LosT/ixl0Z5OHwvDcKO16aZ5CHw/01S2t8p64Z5OFw +v+bpHNtflU4eDvuFF+12l75KJw+H5zNdZ9JSl3TycLjft8a0z1uZTh5OBPfx +z7XWj0onDyeCzqd0x/q/n8nD4fsoWXzoZtZn8nAihAQ8HsX8PpOHE8FxxMbs ++iOfycNh/Tl47Mbr2Z/Jw2G/0qmDz9l+n8nD4Xxldkzf7msaeTjcH4u2rDH/ +mEYeToQj7UJ3jrqZRh4O77PCY2eHb08jDyfCA3PdvDFmaeThRFjbf76nZec0 +8nAi/Nb6wm2sTCUPh/99nEz72qtU8nDYf06tnpLikkoeDt/vrF03tFalkofD +/R29w2brmFTycCIUfmnrldQslTwcrkdgRPikvBTycFgf5wz6+DoghTwc9lNL +p/y1PJ5CHk4EN4Np90oXpJCHw/t3XVDyBd0U8nDYT7aYBFN/JJOHw/rW6WtY +q9Rk8nAipLzQySn2TiYPh/sz3No29kAyeTjs/4ttjryemUweDp+Hzd0Y3SeZ +PBz2Qy8eLsrkk8jDYf1v/v1Y/cck8nBYb90ttAxuJ5GHw37/QMR8+11J5OHw +fbf9siXJIok8HL5fs0PXxvVIIg+H/Q53t2WQNJE8HK73Kv+fE94nkocTIfmk +xdMsj0TycNgP2y+bcXprInk4fP6sIR2nmSWSh8N6rT96Qo+uieTh8D5eOuP7 +z5pP5OHwfZS5uAlRn8jD4flxWDP675VP5OFwPRY4afff/Ik8HJ7Xc9UnFkz+ +RB5OhJHutY9udv5EHg7nsfsDor5WJ5CHw/m6s2OztVEJ5OHwPss3jKi4kkAe +ToRmdeldjm5OIA/3/38/Hzl/qGkCeTj8vCN3BtZ2SSAPJ0JTl3Yb3tXGk4cT +weNURmhAdDx5OBFmpBXkBXnEk4cTwbbUvVPctnjycDjPeIXcVJnHk4fD87Bz +/nOTHvHk4bAfck25el0eRx4O5y/P0pOtYuPIw+H7F6+9uXo7jjycCG1srI4b +7o0jDyfCiD9P2ymmx5GHE6GHc+Kjd33jyMOJUPav6Yy/8JE8HNaz4FZ8QPJH +8nDYf86t1ot/9JE8HJ4f38adDQ4fycPh7/vTsmnGgo/k4fDPb3UfGjzsI3k4 +EbIGXes35m8seTicP03NDZLzYsnDYb/LmXieDI4lD4frNUK8bXM+ljwc9tNT +LU9MXhNLHg77/W0LXCyMY8nDiRBv+rhxfadY8nD4PrcZTTRLAfJwIrzaqFrP +OQJ5OBFMFeG/CwyAPJwIl5btvPWrJIY8HO7P1IS3tldiyMNhv7l03I+vFjHk +4US4VpH9JVb1gTycCGOX3FSl+34gD4fnz2Ngeq/lH8jDiTDRo6jpQdsP5OFE +GLBT1Wtd9HvycDgfb18csHbve/JwItwcHGr1YPB78nAi3Lh09kTvvHfk4bAf +6tpWnuP6jjycCBdDZw7ImPKOPBw+b69Ooe1V0eThsD69E41dnkSTh8Of/2+N +9Qy7aPJwIsxf5HR8Rpdo8nA4j8ydtdc1/i15OBHCy6++7uz4ljycCE8dlV8L +x74lD4f31ajkCEltFHk4EYbmb/QzexBFHg7XY3Gybd7iKPJw2I8GVOyPaBdF +Hg7rhdlGtxJ4Qx4O9+evUuu5R9+Qh8P98yB6ZvNxb8jDiSBJNxvZXBpJHk6E +hgPHPOY+iiQPJ0Jg4c4h5baR5OFECGusOvVeK5I8nAhf31+3kaS8Jg+H+2V8 ++wlrzr0mD4f1oiAqf+DU1+ThRPheIZaObYggDyeCeJxTuYdHkIfD/mMQRJvu +iSAPJ8Kf7J21JiMiyMOJoO+Yo+Nc/Yo8HM5Ho5NaDnr4ijwczj8X/i3uvOoV +eTic174PCLfp9Yo8HJ6PQeGZlTkvycOJcH60ydqkay/Jw+H9a9CzQwubl+Th +sB/cE+x6vcNL8nAinKudddEhOZw8nAhvro6AcJdw8nAiXM86XTl9Zjh5OBFU +1U4+Y1qFk4fD55tiFrU/Pow8HM4/vwpLOpwLIw8nwjrbHQn/rMLIw2F90/5t +sLBlGHk4fJ81pjfUrqHk4bCftH4Z2axDKHk4nIfuHpmc5B5CHg77B97Lc7ZW +CHk4EXYZzLJ0ufmCPBzOoy294s72eUEeTgTPVpm3zR8Fk4fD+eO+7rSoYcHk +4bB/Ga60+hH8nDycCGd7eg77afycPBzuz1937eHDM/JwInwO8wqxmfWMPBz+ +/XMr9zzJDiIPJ8Jhu6DJn9YEkYfDflfq8SRcFkgeDvtvxeTpu48EkocToXHd +jyB1i0DycCKkpc23n389gDwc3ncXhHFHBweQh8P7tFnm+SNhT8nDibBhaLvO +cyyfkocTobXv7x3qHH/ycCKw9bOmOGz1Jw+H91NO2sjcn0/Iw+H9cij0S8cr +T8jD4fkcu6l+iO4T8nB4P5c8HtE7yo88HNbv2GOD+QV+5OHwz7XmXfOX+JKH +E8HmVNZwq9O+5OFEGJ3c3ytBx5c8nAjWy3yfjn3lQx4O61e6STPnBT7k4bDe +pXTbGqt4TB5OhJWHim8oXR+ThxPhAPOxaT38MXk4Eb5ZZz8f6PaIPBz2dy8W +1vVKfUgeDs+XofeXHx0fkofD/vzn9X5xC73Jw+F+ON9mzolbXuThcL+vLGmm +X/aAPBzeH6nNZGnDH5CHw3qXdSpwx8H75OFwvatPCC1i75GHw88vnXP0Ydd7 +5OFEmDt5i9pi413ycHj+n57Vk0XcIQ+H9fHBnQzvDnfIw4nQkdVEb950mzwc +9pPhwpup72+Rh8P6vizw3jidW+ThRJgy2MZ0qsNN8nDYL3a6dmRXwQ3ycPjz +z181ejf5Bnk4nD/4OrsJ3p7k4bBeTn5ZXNDSkzwc1tNrD4KC9lwnD4f9dYvy +2NBCD/JwIpxeuGQwP8ODPJwIBof5+2k7rpGHU8PHsm9Pp8y/Sh5ODZ1+HHIx +Mr5CHk4NJ57GbYsa7E4eTg1vCt+cye92mTycGm7H5+l4tnUjD6eGNTuXnP7R +/BJ5ODWc0TPW6tj8Ink4NZhtWzXhSytX8nBqMPe8tWZbZxfycGpIb4rqGNP3 +Ank4NQTohHyWjj5PHk4Nuc9cJ3HTz5GHU0PN3Fuq3PXO5OHUkCd3CAw4c5Y8 +nBoqLhn2t396hjwc/v4JJwz2+J4mD6cGd/mC3FYtTpGHU0NIT/2f73afIA+n +hg4r44/6VTiRh1PD+cbtc9PXOpKHU8O2wf2d50mOkodTQ8bSlLmGjg7k4dSw +fsF2xZVeR8jDqSF18PCPDjGHyMOpoYX/3Tl/7Q+Sh1PDa6sKHeOxB8jDqeFe +x6cfVnP7ycOpYWXXTZ9/Xd9LHg5/nq27/m6b3eTh1DBx08TCnIE7ycOpIXqM +VZeVbbaTh1PDvJfvLIa02koeTg1PW03QWq6zmTycGh6M7L2p7/SN5OHU4D98 +6F3D9uvJw6lBJybBnHu7mjycGhSbnRIi764kD6eG+a9sIir8l5OHU8Mn04BW +b6qWkIdTw2m3TrpX5i8iD6cGdglKtqbPIw+nBskgy1PqDbPIw6khfPjaxG3L +ppOHUwP32MQpeREjD6eGoWaBkbrvJpOHU4O9p2svfbkReTg1XDK2C1wWNYo8 +nBrsEhqGfTUYSh5ODR4NC91c7XTIw6lhwKluWr+adyQPpwZpWMG4VdYNFhoP +p4ZW4tc5qzvmW/wPgJt4bg== + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJxMmnk4VVG7wGVIKFIRqWRMJaRCWs0oRBkyRIlkSiElFRmTzPM8z/M8WywK +IWMhGjVJsvc+pNJ813fPufd8/dPze/Y+e83v+y7PT8zKSf8CKwsLy3YeFpb/ +/H8vZInYN1YCsTD+XfwgNP6QjUDKBjWRAtKdLTr7FcIT2QkE0utMdmzrbJGP +P6pxkYNAVvG9HVrbO1v4aWf/gKUEepbk3rBbobPlyzG3Gl5OAv01jJeBmEcz +Qy9OYibahz2ini9rqf+ZI161jEBOSpPf6mW/wiQDOOHHRSBn6Rc7fy0fBh4l +TyJOcRNoQ2NIe1zsAjzL8fnoZh4CNelv0GDZPA8OnmX9t4j5/Y/T1UsGWFrE +64XrepcTSP/Y9M4xmWeAfeWOSykrCDSqZbJt00A1mLI7JnmZl0DD558khNoM +wu52i+cH+AhEO3n78JUv07Bo3fUo/pUE2tQmHhkl+gSGuIZpvsPMusbZ3Fz5 +I3Dqy2Wp5WfOj55US33AKgJNr3jk+DqGADtvj1w2WU2gVpbb4yUds0Dg6Wep +rWsIdOla6tb+yAn4XZ7t5S/Mfvfbbqql9oKJwHUx/QIEMtWwcRrJnwTNb3Zo +pwsSKCBu8v2k9AJMVdVkdVlLIK89iVELY5+hV/S5xsNCBLpsfpY/3vMjtJy9 +7rxGGI+n5bfl3SVtUE09fPMU5jCH3GV1UTVAOi3vVf06AsV6WkiErngCl31v +ib0nQqDHopNt1lvrwcyJ0eNm63H/bBNNby3SYF/BLNv2DQQy+nGnNVaRBsuW +sDf/xdwS6qk/FkDBiNMiV4Y24vWW59lT6l0Ir1QrbskSJRDvamM9C3MSGi7X +mnTdRKCZbYrBPpmPodIFy3h1MQIF35S9JM7xBAi3uuuuFcfri/an9VZ+gL8E +Izg+YW6LzUyM/jsEXzrlwyYJAhUM9msITkxD1N3qGiJJoF/BdR1P3tJgptjY +1rNSBDr7Mca8MrQH+N0k3shLE6jWSWt9u/cEvPCEPXHJZgL9qNETvJGcC4/K +rj/5BPM/4QWx7TGjYMudnZy5MgT6qc4t/+/QG8DzSqvVbQuBjjsaPU+VygGk +ktW1Y1sJdH845DuaeA+Hwm/IrttGoBUvwk7/+TEFq6Yj3n3GvEratqb32AiM +OVSQ1CJLIK1403M+sAm4JSG98O14/HvUH7a5TUOTL2PLLOXwepwLe8D+6C1U +PU4iRXkC3eReH9n/dQquz+W4zq5AoFN+e29oVk+Av3/Wy41h7mazW9RvewEn +jXZ9yN9BIInNa5sMFarhg3LtlBuKeD12DhQ48b2BucvOG2jvJNDGj+Efx15+ +gHctb3Jv2IX30x1yqoy1B9g3RbaTmLn5J341BFZC7dWF7m27CTRxK66CnZyA +2x3b5KOUCHT3r/6d5bzdkK/z6dR5ZQJViGormGbXgbkNVOpuFQLtrzzruEz3 +PXzitvQU5x4CyV262DDZPwZrBzcsn8D8+giZYOv9ECTI7H5QpEogNpU3Xb9n +nsGbPsdveuwl0HZhjYz+d+XA/Nn5HbqAQAOJvRHftUfh/p23pkX3EejA2tbQ +2c8TcFNIVPoc5thhSbF9h15B1g+FRg/2E+jqi9uzh/6Mw/f72lfEHiCQAn/G +tepvlaArbrzD5iCBSrmGOa2vjsECirqlcgh/r3y2JkyhGQYd49zJfZhAD3mG +ohxuTsJLmRtnnmMOqu54uvZ1OTjxc3dm6RECGSw6pHWsnYA7DHRMvNQIdCJY +9k52VC9YXWLNp6eOz/+ihL7W2CD8yu7RJa5BoLyl235uqRoGT89Eey5g/lR0 +Y3+d6whorCva1XUUf0+ds8G1BIJkvvuf448R6NxWlkkl4xHgaTeRZa9JoC3R +esvZyefQop1muleLQOuKHvXkjFbBQ+uW8a/QJpBhEop++qYXSLqKdr/C3LpA +sGSbNsClfUpeFcfx/njV2nb7RTecltRV8tXB6z3TLlNAG4C9nhcIA10CsUs9 +nlBsTAYlYx45UifwfI0/C/QQHoNh8jFm3zG3yfJeMTbLAc6Bxat6ThLo9Ou0 +mZPUONR/c78nSY9AfPVrPwwF98Ndqs+8HfUJZLFsr5AGzxgUjJ5T3m+Az4+M +9MQH2xT44/Myis+QQI2DR7uq3jyDz9U25b3BLHrjm1u0TgtoSVU+U30Kz8/Q +X9HhkjaY/k13zR0jAjkYvmUf2fQM+pyweWRkTKC6Qb8LRXx98HyBp6+MCYH4 +n/Op22c9hupLYvf8xKzx82/g1DIEN58uoT0yJVDqLas31qVDgKv6QX7qaZxf +Np9bEfKxC37meX7WyQyft79eRdcyHoIB63mBQ+YE8lxmXCrAmgkqWrj6V53B +8zFotPkZ930YJSjm/x7z2njZ5R5KfvCqk8reurMEuqK1b51sYCsw6j4xf9cC +5z+B9P0JSglQRcy20PQcfr+SS+3l6Vaw7ubtc9ss8XiqVvvcc+2Cvx/Hrv2D ++XDxwrdzy6rgq22lAwNWBOo0U9CIC+mHbf4ddzLO43y0Ktr/qdxjmP3yObhi +TaD+gEDTh2sawB2lL1+OXMDfUz0mmSxZA23DuYsFbAh0Y4/dW//7nUBzWszq +I+amT0ZZten34bZDe4QbbQkUNeMwJD7VA1cknRwKssP7Z5VMk65jFqTmbe+a +2xNoZfjF53NCnXBY22u/nAOBXD/GE4Js9bA6J+7rP8zL27Su8I1Vwtg/pSXD +F3E+uJx2MPjWXeBu1Hk+25FAjifevRVuG4Kny1+su3YJ//5Rcg4r5zAEyxaG +NS4z8+FGS557Qk54/+1X283blQVZmsQPzmD++6i+aj5pGL5dpfq92Rmvj9rM +bfOQVtBxUa8s1IVApFF5akZJKszrsLtgcYVAyd/7KnQiBmHgBu/1O1xxfm+x +iJIDUcDBLf4J61UCcQkMei5xQkBnsCxo5CqzfXmZrkN513B9YrvVMSh1GPL7 +vFy87kYg3z9s1g1X6uGXiYVyzesE8llItct93QNHFZfbirgTyC5VbgJZtYH6 +YImNBGYFyyHTPfMZMPG96mjrDQL1ZcSrd+wpBrf26YdE3MT72/dUwUU0BM/E +2R+xusVs/wDl/XOnB4EW9M/1O46NQPFjCZUcngT682iPQox+P2TPLLd7ilku ++4+M8pvHcOpHl2jhbQLN3+w8wH9xDHbrvxq76UWg9G/Rh2KbnsCi4q+hx70J +5GYzZGdreR+GsK9Q3+hDoMigmwmxJ5rB5TOSvynM0peWXBkLbwEn6/ZWt/sS +SEn1o82C7xOoyGfgEO1HIG3JZ3ajsh1wjZ2D2AV/Av32bl+ty9kOv7f5jCvd +wfFq+NLSIbeHcEI4MXxZAI53NP4LHRrZsPlKhcYzzMvHuQQps4cg9dHDP8V3 +CfR18LBI8JXH0EvydY1nIIFU4tme8BmkQUvPbxdP3CNQ/q+duwZq6+CRsRUS +YkH4/LusH1SLLYJS8lLP5jF3TPudcloPIWcgiOwIxu33uOmKiA3DT5MGx+JC +CJTpR2Rpx1XBvj0X/9mG4u+rT3UMsPXCsijfuj1hBOLZrHPCoqsfRnxOvMQT +juev21dnW1s3vKJWKfkSc/0zjYoRyQZgmNr9vCwCf/+btZ3K3WGo9O11lHck +3j+qlj6Keq1Q6MR3Tf0oAnloLrJ+ONIPf+bzLpGMxustEnZ2H0c9eMEi3fAV +M9/GhvTDRQi2mu5zehhDIGHJQNoFnyGYWWUonRiL81/aNUl9m0fQj8fxpUMc +gaaGXPr5xFvgBWu/GBCP41efmfwqzyF4tCVJmzcBx3vRQdLFpB5sEaxincRc +Iqlw2qm8DfI49TRWJhIoeyC/O1L1PiAeTjr7JREoMaX9sOveODC4aXHzqWQC +eXs6dmmz5cDKG3yvpVMIJPaVn+WhTh+Mfiwdt4j5edMxwc6tg9Bt236d3lS8 +/56oy4hPdUAT/1PsKWkEOih9yagwvBuqvnRsvpSO18P3XJvaRCNcr+R/5UAG +Xl9bo3fOshHwb1jyFv5MHJ/73rtHXW8Bkx+rJt9irjHeuizyQBW8f7A3viaL +QB/Cv6160FMBchLf6AZkEyhheXXOXd1uGDC/yGGSg/ebeZU0tK0AdtorW7bk +Emhwr834DeF6oJ2z+eovzGXbrJVHFUrh9j/7t/XnEejo99z9uzZDyGdk9DYt +H7c/KfCFzbQXzpVdSnQuwON1DNbqOdkNn3DeOXm4ENefR+0Xa/cPw9pzKZxr +igj0VI7t4q2CIRjfWN36AfOAu2f1z4IScGPVo2v1xQTaOqPy0PDrADS7+Fb2 +XgmuF337Wc8F5oB9HT/enS4l0BqjhCbJnAYouoE/WbaMQDu4VNPc/uVBVjcZ +/b+Ye98V1O/1bIfvBw5wDZXj988FSpm1tYKuzcZtmRU4vwsn/ps90AYLvC9f +d63E8Yctq/ScXS0Imrgjp16Fz3O+W+fJ7XXQUTH1g2A1gcZVeadTRR9B3eCa +lOlqZjxReP/IoKkGx9Olvp8lXjSBVfvecYfUEmjZjXtyItGdcCH2Z/uZOgJR +SSSu/e+ApyT/Dfl6vB9rvPcbfqwHjUe3KCxpwPmviFNrVq4KJGcc/PgY8wgn +x1DUiyro+cM4LacRt79pZ7vk0kpooe90yq0Jn68bNyy2ThWDQ8UBy481M/sj +wZ72QBgS6M6dcx4nznRAjjO1Nz9jjtqitjdXMxN+rO3b0dJCoG+fAtc8VImH +Pbzvp8NaCTRbFdM1kVwISmx/pZ9DOD5QL2aUT+WBsLZVxoptBHrkwOVuVVkK +nIW38rK3E+jBc9qUxHQK1L9yqHMUs7fVneeKt7vgrkcmHvn38f3pTcGWhcIH +UFDSeeeNB/g8RifLI49iuOhxd0arg0A6E7f33pprgs9G0zLXdxIoQ2HiZfzL +Cgjl6kxIzA+mpWYe5dfCtLv9fG1dBCp6xudYeKMA+Ey+74p8iOdr/zNuriUD +8Pye357nu3G9IbHbRlquAapHrd69uwfvz/2mwnGr68Hmz1tnl/YSyFj8wpqD ++7ohl9rh7HHM3ouT1+K35MPPKaanix4RyKUjbdvLkT7Y/9WZ36MP51fBfNPe +5GJYrhvYrdNPIIGYLduWbxuEkfnpXqIDOD69y4ud0asCriz1SnMDzPk3Mh0g +7g/i+84a9eUOe+KgStWHnJghXM/mHFl7vCwNruP5Y2YzjOvBj2UrL7I3wN/n +16xWeYz3f7CHcPvtGPgKbuvlekKgC8cvpxpr3IdtAkd8nmO2+HfunfvGeph1 ++bRK6QiBzO4I/tMdSQX+D12o26O4HtGo5w/pLIE2m+7lnRzD9YVffvoXlm54 +7EbGGfGnuF4/eIb9UT6uRx7Xr1nA7OvZH//TBtcj2wYfdY7j+qFVXX3vtzZI ++U35xk/geFtPCNRW14DhF3/22D/D92/NE4NNdaWwerfAnOpzXM+PflSeSX4A +Y8NkC5a/IBAhQ6iw7KyH1z8esXiFeYtOwsmYhwPQ9KCZYMVLPL5+3ls7r1XC +vYlX+n1e4fx4aZH395FsuGH+nr/BawJF3P7SRXG3ABbtzL1SkwQq7lg0DnqW +CN9mN8x/wxxbZRF2M+AB7Pg9WNj9hkCQZp+zI6MP5p36eC7pLY6fPrQb+Sw1 +MLDs71rHdzi/vqmUOjuXDB04BQf3vcfxWzkhf+xYJjh+bnsA3wd8fwwJODr9 +tR7KNarte4P5TYD4mRbxLrhylflC1RSBllqXBlfk9sB5B9di/4+4HuBFCfa9 +sWD0QZCV0TSev+Xtx9Iy62D9+ixhmU/4vrv9qZjtYBtMvNY49AOzZkuS3Cxv +J7w1MHT30QzO7+ueG301rQBnNk/vT/2Mz6eWLff1jkp4wPvf18uzBDofFJiY +F9EAxCYESw8SBOoiA5w9XnVANkU561Ukng/jAfmh5Eb4IUhd5D3mxio0yeMd +Ax6+M39cS+F8sda8wcyvGhSBq/fu0nB9rjkYMaeUBUNigw+azhFo3/jEh2+h +UeAymfV96zzej4Fx29tKa+DJo01lvzHLjctJthx9BBUzhi8MfMH3Z0m3qFnx +MrDmx/T6jAU8f54FWV+uJoBveiwjLl9xfago9CVhpASMF60NPvIN39+uLYU6 ++wJAE5v8YYHvOF696pAa2VkDUsw1fkxhltUS7J27ch961Z6paFgkkNROgRxF +gyhgyXvNNugHjrdQilU+PwUcsQ3ZaP4Tn/+Q6u8VMnVQqi17dPsvvJ7w8JnF +rDrIKdwc8g9zXV6V6A3jCvDJ5fGR4d84vpwd7BOsyIWPej/9zPpDoIvdU9d3 +r2yHpRJLqq7+xd9/0lURUBkJwz2E7DX+EcjcZu3LXYoPoMuo/CYhFhIppZSu +mae6oKHc0aefMD+Qrgs0SOuASnfPhjUvIdGylyorJx9XQaHJa+qhrCSq8zVf +8WB1FPipEvr7LBuJ7Dl+neosbocvInOqFdhJNDRUf1P2QRxonWl2YOUg0fJR +tt2NYW0w48gTsRHMVfdTbK9ZPoS+KTPjuUtJFK3k+8dMIxNYf10ScZ2T/P94 +oqErfFRzGYnEak+OjUrUwS35Cn/XcZFo/89BthD5B5CH5VjtLOaLp7me6GzL +BISJhWMrN/P3g5VuEhE8JHqtdd5bD8fXSu6wZ5bLSZR/i6dBWyQTRp/Pjdy5 +gkTcqt1jduxt8BqExzh4STQpuDbnw81KYCww8m8Ms2/BKe4gow645/LnugI+ +Ep2U6gJr5XOhyEPWyzdXkijkumuUVGYN/CO6Tuo4P4ks/3xs17G6Dyfdd7zY +sIpEGq7JSq8utsH7w8eiKczJLzMvESZBMGfrOa321STq8E+RPJhZAgP8ri+J +XkOioo2DRm/v5QO7F2EN1gIkWhWggQQv1UCt3XlOSoLM8cmGtUgvW0uiz1t6 +M/CVCvJ+HHk5gdkrtyA9bX85oB2YjSkWYr7/OIHtuKcw5vHLTveD80Dt3Dq2 +E+tIdKPZctm+8joYr6XYtEmERIdXsXy+ABrAjWxNl3nMeeU6TRqt9dDs9zmZ +jvW4vSd7nRtL78F9p9xfx24g0Xjsnimv+8FQtCw8znYjiYJftgsNGnXCJZz5 +OntESWTCEffzU1w9fGfRys6zidmfzobR5hf/xfn8xJUyMRJll/w03LCzCQY5 +sG/1FicRx1C2QsClNuj4QOSNngSJvi/fVXTkRB3QXb8zQUKSRG2ljmZzVDVU +uKZ14ut/WJvXInBDO1w1YLn0oRTz+wvSN1oSpEl0fQ3PFIdmOhzzirjqsJlE +MRoWXJ+KG2DDeP42IEOiNQeGYoyuVMKkHejtii0kathgxl+WXQk8gsYSX2MO +8hNR2vAIQot3xMnKrczvHwIcy/y2kUhbXIYr62IRkIhdjwxlSeSnnZWh5ZsC +OcidbtLbSbSX/ZRKj10G/KihvX0R86lif/HImCbYk271vkeORFd45F/YmTbC +4sUbycnyJFL7unKud+c9GKoXqX9JAe8Htg61eatS4FRUwHVgB4n2lb2unXeM +gHpsbW0rFUkU23Ji9efgWrjL/On1t5jPR8XYjUdVQsFaUq5mJ7O/iyuWTt3Z +RSJ8wVaN+9sGn9lsSDXejc9/7zpHnZtZEKJdhluUcH8/2HhEvMuAaULHeX5h +luOJY9/Jkg29Xc7f71MmkeDk55v+x0uAVe/NG2kqJKoeWBP05XQ0UJOIUnDe +g+ODiNKSr2ZtUNqj8OMhVRIN123vEdSLAFyjbWmr9+L9Mj0UcUq7Fn7ePn7q +A+bD7kpmVyRzQH8AtbwekOif4OIa8fexoPz10o7Affh8pOvpaskkgUiVjbdO +7yfRX6EE1mbDYOgauVtR9gCJtgvVHamTiYKnZo5/+oOZZ7ecI+doDFA+Yp0x +eBD3f99zDo+SAiiccss48xCJuvLCZ6M3NsNfC1G8rodJ9F7mvJbAwQrwSqeo +U+0IibKkrDgtY+tAW167h6AaiVqrR2/52cWDrH/jO6cx162vrNFrLIH+JrSZ +RnUSlXU5R+iNl0ObSs6sYA0SPaYIVq/XNfAYt6jpmaMkMuvhfXxIKgRsPa+0 +Uv4YiVJstsf1cWWD5VDnIYsmc33INRduP8Z8wZHGc+pNNhi65LE7RwvPT4jt +0g2vakB1V/TsNW0SaZlcd14wyIWxosXZR4+TKOfIp5/aNnfBdff7p4V1cHxf +xc/jJdYMTYcn+D9jPh8fdVWdJRrs3TrXDXVJ9E02rMjFOB5s8FvmHXaCRF+5 +hu4+T8gF/56LKp87SaKNX09MTa1JBW92KZM79Ei0VTf3y3hqG3wQqpvLpo/X +YwOXRcz6GJg7dcF8VJ/Z/8ADnqvzDUjEJu5zwEAvFDgkxPS6GzKfH58r9tE6 +RSIR39UbmqoroJzWA5X1Rni/i0qcOOeWBFdmP6MIzAWaatdOGzTD+V9zeciY +RG6bvrKWPsiDI4ZcZyNNcL6xn/AM2RgA6ko3CZw3JdHozeokYiwHJixV6dt1 +Gsef77l8q1hDwU2LE35LzUj0W5+v6n0drkcabFTHMTcPc+tr9+TAA/y35wrN +SXQt3FM0hyiGYg6xBbfOkKiwdszM0rsQsD0osdA5i/cXq3LSD5dY8EGkQ1DU +gkQr0749UShuhg+vPu+nYX6zKnj24m0ICvvn/e+fY443WJobxFgy+ZKX2JcL +ViRaP6zTl3e9AJ4YVylSPk+ig/y39nn7VkHFHSctuaxJ5HpI/7aJbgZcE2Qr +9Byzv8UrmRsRtfDb29uDJRdIlDnzj12zMhKM740LuG1DolrDqaNyHyFsiind +d9IWszUr+fMuhClEx4KYHYlUXQ/t+/uuDtzWeFH8BXPMbd3smMOJ8Fz6F6tO +e2b/Di9yr4t3IJEncdT6pU8NlNQTH7a7iPt7U/uO42gK5CzaE6jqSKL5WOss +9qwW+IlV78DySzhezn6QeOZcBR+Z2X17iVlstDUwLCgRltZ4lZZfxvu9p9Tz +3dZ8GL4i3trHiURL7ePNznVFARebMhEDZ7yfpys3d66rhQao87GkC7M/u4Ve +3vuG2WylrydxshyudVk42H2FROwG1iMf6wrgjx6exURXfF6afR58eV0CXohL +lF+8SqIZeE/hpUMybL2larPvGokyxt4GKGxrhRkjehv43Eg0dnbc8I59JvTd +bj8yiVled1deumg5tA7wDq66jusBW8EX9vMQaryOP+zvjs/XTkNn/c3+UEal +/MepG7iekAw5PmnZBLkjuyo23ySRix3HvfBVpWD200vbH5i/KJnln76SBQYO +f9346BaJHN/d2j+v1QArkpePpXjg/abnSCXaN8HoBYnQy564XrK9brRHKhJe +09mrdvA2iRY3PL9mEeoPjPP0f/F7kSgwSXPpIZtouOeffdU7zL4/rWNFlhQA +ERMf+1pvEjX17T7ywSsO/KlI2HTXB++XdXYWXJ2t8DVXxVMTXxJpahf5HnGq +Ae1WD8O2+pFI9uXPj6Wr4mF28yv135jFC/ZRH16mwTtrvv3u92fOv92lFTXp +d0hkaB4Q3lUaDrS6JC+6BOD5FrguNvAzHciKAvEjd0nUF/b5l0VMCuB1N5hY +E4jj5bfEwFxBCGlDDhFTmM/K7B7ZX1kGH2/xPdpwj/n9Gt/Ev/eCSCTQkXo2 +dTEXxj2vqDULxvEr93fji02ZwH1Xt+P2EDz/l72m58cq4enQ1xL/MOsl/FS/ +kF4M9019ezYUSqKjFuzQa6YOiB7gjcoKw/mJnU9p+lc9XJIgpXk1HJ9XYOPY +5pcB3tEAi0YEiRoff+VnGyuFnZqG9WsjSTQLLFt1SzNgftbFy58wLwZvX7Fc +qxre++Ur1RzF7O9Fw6QXIdF4/DI5h1qDq6BOaWX02RgS6bMnlZ4oqYPyS3u0 +FGLx+SL4S1oGiuAqi8klrHG4Plvr1fK3AMGF+u8NTzA7x+8wFxKLgGMr+Zxz +40kkZaeetC6oCDbYS2++nsBsL+n+vlfHEpnsIXIqdl0SPl+bl9ff/V0Mzl51 +PD6LWYtd8JJvYS042O/H1ppMIqHzizJ9LlVAXDq5KTwF75chche+HUF2ryoX +y1QSGa/VXLu5uwp+fNojszMN16vDFzOc5vJBj8Kb1+zpJDrd7DQTJ1sCi+8t +xo1htl4sk5FzqoGhb/l0CzLw+Th440+5egJ02ruZ42Ymzgd87kMXH9VAvZj9 +UDsL13Ncr4SuvaqAO4lTrhuyScR7SVZyhWEhENC4tJXCfDGm6XV5bwr8nub/ +pi2HRHfUD33/eTIMTnxPTojKxesZUHioFEZDeLL6hHUenk8pY0PX+1UwrbB3 +qVI+vj/IcwX7RcRBb9a3LZwFJBI1tEvJNk8GVmY/rk5g3mWY+jZcMxeq1ayU +LS4k0TvX02pDWcVAeoXMO48inK/rqtlWXc+Ay2wOJOkWkyj1rV/sqGcznGk1 +0ttUgs+PkNmvrxYVsG/t5WXzmFVXPNuemlwBypzvoAelJEoHYk+uHMuFkT0p +brFluJ6fgE4iEc3QVbxmu205iXpqniUf+RsDT9169F6lAuevbdYiYw4FUHnk +bTJ3JYm6uxyfXpvMBcLbf+q/wJwwWiPY/KYC/rrDz11WhevJbLEVxw9WwJev +ZNq9qkkEeMJuzkjGAqR80F2vhkTTesk/c1QKYGaEsbxELa5fFCYrJ843Qb9P +l6cWMJcv/cXecSYf2BwOSO2qI9ElfeQ0ei0CHEtONUyox/GY/LXuuFsu3LpQ +w+PQwNxfy3X67u9tJFF8X0QObI4FZO67GyuaSBTF4bejwaIRDv39qfC6ifl+ +lfGq6YpmEql0ec7v+pQFYyq2pPtCEnH6rddu3ZYL3bgOGRm2kMjHRKs6Wz4Z +mFiZrJBuZf5+b7NTx3fMr4bnE8qeVsINa+7e6kEk2uLunzRblQz+OaYpJreR +KFHC0v0KTyx401n7ybEdx7tx9T7pB0Hgwcb+jP338X53cJBUCK4CudffG698 +QKKFrB9ifeGZ8O7QL963D/5zHxMrMGiqgvZbVndVd+D74ZMR5+Lsaqjtu9Xz +Tieu9wxVL+VtKQHbnx/aZdzF7N/KXaafZR6SSKamuS+MaIHzIc5ZPzEbt6Ul +XboRAkc+3DXt6yaR0XtB8ac6ebBuf/rKtB4SWTVZ1s1klMOE+LqHTr34fhhb +rm/xPhLcpPXfPvQI7we3M9uvZWdAc80Pu1f3kehZT+u/U/kBYH/W79n3mOPX ++OYMCVfCTb9W59T14/jrZNLTfLUYshpuMwscINGfNnVDS4lk+KHk8KrTgzgf +LS7w5ZTlwYccp3u2DZGIjHu7ZHZfFSw86+L9Z+i/6pH6QOXBYTyfme7KFlO5 +8NLKDDLjMYkGjAv9td2q4An7+twrT5jv77g/YK42gvN/yI7vIRcy4WqRqdWC +o/i+cPonm5pnHvzq+qf3I+aayYzM5r0V8GnfGt/GMXzeinr6Pq6pAk1SsnuC +n5IofIfJLpPaEphy+wjNfBzXd0ve6rYb1cHbT0/ny02QaKe0gk7w03p4TuHK +WZZnOJ/qhC66/iqCh+/dE3iMeTDHlYCtoVDybUZf9nMSLZHMeHgkIBQs3dvg +d+0FiUJFDIQGTxeB6ehB1aMvSVShJ6ieEVMLemen5oRekcjuQr6PD28lLFH/ +WzCDuUuR/0CQXiIISxM4B1+TyD31h9ZQYwVw+S67NmySRE92uf6xVWuEBifV +BizekCju+suVZ/5lgN2FZnd2vMX1kb8475lPmWAtqytge4fb31yT2WV+F/w4 +HfRlBHOCl4CluUk+fF6dWZT3Hudbw9MdORUZsGV5o6X7B7x/XY7eaPLwB+kX +hoS0pnD+Wz4ikm1ZCX1aPw6KfCTRo8mcd8n8SfD82n8BBOa8UoWLKTMVUMNZ +cD+aJlHL06kyXp54INOz/WvEJxyfvRd1L6yLhtzi6iVWM7ieWfeaY/fhdDh7 +0/z8rs8kOrRj429VsTIw8MR13dJZXP8bKj908qiBFbLBw08xJzr/fj19MQFE +3ckKLCTwfnvzMeynaB28+qrxwC2SuR+MlIe/HadwPs5KfZ9dXQhVIqZLN9JI +FOAdl7tCNhiIfPpnTaMx3/9zaO36+3MkUn/wmPfn7nD4OknuSfQ883n7F/Wg +C19wfW4lxhoVkwmzj585pLxAol/+UsfPmWWBO7lXF5d9xfXa9umtkUsaoO3f +4PJnmNWqv+r6i0dBTeNsm5Jv+P5iIVbW7wHhtoqmDbe/k8j8Vvc7RclyuILr +8ciJRXz+FJbe24/vmzTLT8FiP/B9+WGj0pnFJvi4ieXIF8xjeZNmLVQeqFkt +9LPjJ4lOvMjdKbClHsY5ylfG/cL1J495ifNCIHDv1LCz+43rfanfnNczquHp +jWdFVf8wxwOuXxvj+Uui49rfMgZqquDGoZDQl5jltl89FXK3ErJsyVEr/4fj +4e0gWpxREXjr0/zLm4VCpsmT38+/TgWdzx5X6S+h0KxRZNmf8VCYv3PGXpKV +QiGi4XqBihWQ7sNRKGhjCntidT6k+3AUukUaeNrMl0K6D0eh6TiHPXxLIgDd +h6NQpv9G8VMwG9B9OAqd6LAJnWnPBXQfjvr//tN9OCbTfTgKNXB+/PLWvZTh +w1FodP2XcCmDKIYPR6G7eyXd3UzzAd2Ho1D5oblj/d+LId2Ho1BlVPYaWZcc +SPfhKJTUPaSSv7IW0n04Cl1xmU8X9WuGdB+OQifrl7kQI6UMH45CdmkaB0QS +Sxg+HIUEhYtjeFekALoPx+wv3YdjMt2HYzLdh6PQQ9qPXyr2dxk+HPM53Yej +0O+JsC+/GrIg3Yej0NWjrpkWV6og3YfD4+PjkwrnrmH4cBTSVPwtITebAeg+ +HPN7dB+OQlF5/q9m+iMA3Yej0JdLM3d3ONRBug9HIXdKZHlVcR3Dh6OQl315 +R2lOA6T7cBQKW2f459NYI8OHoxCb23lbmfPlgO7DUWh/WbC31dlcQPfhKCTT +N3f44LN4QPfhmP2h+3BMpvtwFBre+PqpklYSw4fDfEfM1HewFNB9OAq1rrvW +r5qdCug+HIWU1bcFb3UvAXQfjkI9Z7seCX3MAHQfjkIDy/6MuivnMXw4CrmG +0ebvS9UwfDgKRTyxcchTLmL4cBQK1tQai87MhXQfjkJanFo8RH0Kw4ej0Gsp +herGFQ2Q7sPh88LDoyK+oobhw1Go/UzZtvvn4xg+HIUe/GyvS9NKYfhwzPHS +fTgK/Xr/UDl1TRXDh6PQ3Nond7+SVYDuw1Ho55UOore6meHDUYjm1xxi5VAE +6T4chZZd+M36laMU0H04CrlNizx9u6QC0H04Cg0dOyAqL58F6D4chS4/VNwZ +E1fK8OEo5C1mGaHaXwfpPhyFer1Snt+TzAd0H45CXdPbdDWb6xg+HIUEAEdy +GpHE8OEotCVbW3PEPR/SfTgKxRypUuDMKWb4cBRKrznw6q9rFaD7cJglCmyo +M1WQ7sNRKLJq9++v1eUMHw6Pp4bt/svfkZDuw1Hoq1zHrKxNKcOHo5Cv6mZB +D4EYhg9Hod2rhXaETVcxfDjm/NJ9OApFN6iwmrZmQLoPRyHZ9OEgtKeW4cMx +36f7cBSaWeow5nAqm+HDUejgnuuRxlG5DB+OQiqcr6JKaFEMH45C5yZ4eGR/ ++DN8OLzftgwZOD6sBHQfjkLjUbHbJS0qGD4chawDw07nTaUwfDgKdS89Obek +thTSfTi837iM/2Svb4R0H45Cedw1vUnOBQwfjkIGOcXVktuqGT4chc6Mrf63 +VrwO0n04CvUJHFe7rZHF8OEopF2V/Yi9K5fhw1Go3reqa3FFHaD7cMzx0304 +JtN9OAp9lB+Xc3pcBuk+HIWuWanOv3oZAug+HIWq1ocnXeDIBHQfjkLHOGcK +ragahg9HIYcSdOSxbgqg+3AUOhr8Z24gOxTQfTgKJcRef/BbMx/SfTi8PlYi +5ZXyjQwfDp8HretDHn8rGD4cbn+2aYuOfQTDh6NQmnDvXNnbIED34SjkItSu +OZBVw/DhKBR39snL+7HhkO7DUajfJmk357s8hg9HIauMR0bvAvMh3YfD7c85 +cVfLJEK6D8ecD7oPx2S6D4e/PwTOqARmMnw4HI+KVO8+UM1k+HA431X+S9oH +IiDdh6PQhpolS0N9YgHdh6PQVB+lKj31fz4czpcuV5ylr1UyfDgK2aYqLtUp +jAV0H45C/Ivm504eLId0H47ZH7oPh+N5ek7Nraoshg+H5+fhQJooaz3Dh8P7 +Vbhl1KQsjeHDUejwrVDNAf90hg9HoaLmuCfNltUMHw7n/2Z+O+FbdQwfjtke +3YejUOHWxNzinExI9+Fw/uGXPHfrfgqg+3AU4vvg4OxhG8nw4ShUttzaIkUq +EtB9OArV+Iz9PjZWzfDhKJS48z21vbeY4cNR6Obsk8gh9UpI9+EoZKh6P68q +LhfQfTgKDVZLalU3xDF8OArtGr6AOtvrIN2Ho9CpJu3wVbj+pftwFFK74GOr +EJ0F6D4cczx0Hw7vj7QSNf2n2YDuw1Ho4ou7kxyHshg+HIUCfIp8b5hXQLoP +h/tPVKZ+qYgAdB8Ox0t5aq1BZxqk+3A4nspLpHzbEsfw4fD6SQcnXV4VBug+ +HD4/HIpqd7YFAboPh9fD98LIo1VlDB8Or2ehxlxWZArDh8PjvT9n7sWWB+g+ +HLP/dB8Ox/ehdUcX+RMYPhyOj9tpYe1ydZDuw1FI93h4rF9mDKT7cBTac/Vq +p29KLqD7cMzv0X04fP77vOyevMxl+HDM53QfDvfflBU1vwtl+HC4/qoLaICP +IiDdh6PQ5LJZFstrQQwfjkL5XodTVilVM3w4vN87hFUvGNQzfDgKFSuYxn9S +CwV0Hw6vx5cy6aUncwHdh6OQKI+qiYdIBMOHY/aH7sPheLLnziMRv1qGD4fX +f6Kz5pdLJKD7cBT617XmnZlMPsOHo9DzI+dd12jWQLoPR6HPur4gXCWL4cPh +8e0PM7cPKGH4cDhfDnLrQ8sEQPfhmO3TfThc/65MGdfoSWT4cBTKeebw6a5b +JcOHo9Cfaf4FFadsQPfhcPxxu/Xeb009w4ejkN4BER+PG/EMH45CL5aMJDex +FDB8OFyvcB4ZmU3LAXQfjkKwpKLNfmU2pPtwuD6ZStTxL6yEdB+OQk2lPpur +jtQyfDhc30nz3pJBxQwfDu9P9VgvLZF4hg9HIe7gcjme/RmA7sNRaGsxjwzx +Ix3QfTjcXly960BRKsOHw+cJWsMug1BA9+GY80H34Si07qWhpvHpOoYPh9f3 +qkShqGwDpPtwOF7udt8VwNnI8OH+Ey9c83sXChk+HPN7dB8Ox/eMI8aW+ysB +3YfD9cA4aty+KYPhw+H7ya+orVvbIwHdh6PQYiXiXdaUBeg+HD5f6dISE6qV +DB8Ox68uW9p5uWKGD4fPr8/ipuk9RZDuw1HoZV7EjqnkSoYPRyGFwg3wh08R +pPtwFCJ0+yxOKIUDug9HoTpECz6clsXw4Shkvnli9fftWYDuw+F68Kv/gn1o +OqT7cBS6MX5RVMw7keHD/Vc98r8+HK7/89cZt8lEMnw4Cn16q+ex90c+w4ej +EKe2Bf95IhvQfTgK7dPZGnXteQWk+3DM79F9OBz/ZbKhgXYKpPtwFNppsiOB +j7cI0n04vN4ycGjxUj2k+3AUMku/OjqXWM/w4Sj0bjrhbEFWEsOHw/lyL/eJ +gKB7DB8O129RPD8O8sUCug+H61VZ6RzzoHCGD4frOaQYK6tUAOg+HK4HXZzD +L7SUMHw4CjUqcPeP2eUwfDgcf+u4Cpfi80n34ZjjoftwuP553GZ/600ZoPtw +uB6XElrj/S+T4cMx36f7cPi5oD2rg1wRw4ej0N9P5Ww7NkUwfDgKqe8e32Rp +mM/w4fB986go2/auWED34SjUedLlVsPBGIYPR6G9PtY/u7LLGD4csz26D4fX +zx+XqTszId2Hw/ttk+sRm4P1DB+OQlyvlU74Vd8FdB8Oz1/ZaaHrAhkMH45C +YxHzIcqmyYDuwzG/T/fhmEz34fD9FN27XN8cDeg+HIXii+5fdWLPBXQfDt/H +9vUe+fg3C9B9OByfzLZtA9/zGT4czjenDs9/kw1k+HAUyn63gjuwKgjQfThc +vw397eOva2T4cLi+6B/sTOWqgnQfjkLVxGgdbWUGw4fD44u/BHVKsxk+HLO/ +dB+OQmsEl1ZKKwcBug+H6+W44wIcN0sA3YfD9++8TZyn3+QyfDjm7+k+HK5X +0itHhu4EMHw4HG+CApdNeJcCug+H80WQ07cTy8sA3YejUHKMKMekQQag+3A4 +vzgfX3eczGH4cDgeupSxn2tNhnQfDsePZa75SlvqGT4chW7vvrJEgv8OoPtw +uH0Vl70e2qUMHw7PN5dd26ZftQwfDtfj8cEZ1t8rGD4chRbc526boEhI9+Eo +ZP/2vMTSwEKGD8ccH92Hw/MvmuagfqaW4cPh+4emb0Ld0kiGD0ehII2mZ06x +xQwfDu/f23N7phpKGT4czl/vyTyom8Hw4XA9rrOY3plVBek+HK7HFvxedTyt +ZvhwFDqkaGN71D6G4cNRqCBHufW9TCjDh8PnsfmPzrL2IoYPRyFJe25r8k4J +pPtwOP4EObKfnquGdB+OOR66D4fr3xBHaHioEtJ9OByPo/z4WnH+p/twzPfp +Ptx/1SP/68Ph/JqrmSoSkMPw4XB/GzTuy/FEMXw4PJ6s16+XPchj+HC4XvLe +nxRoXg3pPhyuvy7l7H/skg/oPtx//X3kf304Cl1J8T7QsO//fDgKbbTmRU8X +CwHdh6PQ97fbz7Q+KGP4cPj8byXXsVzPg3Qfjvk9ug/HZLoPh/OlpsWZAu5U +hg/HfN44s3blchYaan0cfs1DrxJujc/+fBVzTZH9WQd7fD88Iv/wJeaA4IKY +tPlMsJzWlKWxhPb/v/dM0bhdjjnP86DQm8Z6SB57bCrEynxu8fXMbh/Mswpz +LBwd9XAo89PKGcy0vLurct/lgkO612b12Whoq6WwzrfKbFD1k6W7GfPBmAX2 +4V2VUCI/JFuSnYYual9c92+wAsQYCHmFYo4SKOV5djwRcLDknP6Gea6ItfAV +Zz50K5FXsuCgofY3bmrr5mrgR5Nm/m7MDsOsux7wRkITjqOEwlIaejmWt1n3 +YCzsrXzcnYjZ9vcM9+6lUWDv2bM5rJw0ZHQZ5F9rTwQl3DNeFzmZ49lQf81s +BLOobHzf5rM4P5xforxvGQ3db8weXD5eDf/xha7Kwxx8I+WRhlc5dIZCJC8X +DfH2KzScESyCb+xyeq5j1q5R35Ugnwn0BRRyJzHfztAeUngaAx+0N3trctNQ +25NvBV2HIuCuy0fNq7iZ7eeue6IswkNDN9mSJeZgFhB8eHa1P+axuS2OAg21 +8K7rDDmLObfpi+Df1Dq4KOrWe2o5DfW5lGkXpTVA+74lea2YhbtTRwN/FcBn +7qE+m1fQ0NWUIKn7TfegtpTwmQjMjqb9+YmVmRAO56j8wCx0qEe1O78Qbr+t +sMaKl4aO7bScVioogmlbIdWL2cbqkHtjaDZc+fToo518NDQkeL/Q5UEc9PF7 +kpeC2fscy2ZpuTIwL2/hy7ES93f9wwHa5iB4/sXMmcuYz0+GkVWnA+BIoNue +p5h3e15QWLWkGKjvZhU4yE9DunLGrYdP5sG6N6G0Asz2vwYODgQXws1hwn38 +q/D+PKfF5rOxCSao5ubfXMWcL66PCn7vMIsEGXd/WB4DbkbDs8dX09AfKZvH +e4aT4OcDx1RrMXvt+s5lLFAIzWefCGxcw/x9f4LFXABmt5KBDPkTVXC/+uc+ +CvPTVB8VsJgLy+fcCkwEaKhxhd3lPc0hcFMaq3+7APP3kVphFlsFacigTuzT +WHEsZP0uvDcac1y++qfFimLgmp0r+BuzopZ5fnZoHvhwYse89VoaCk3Qnk3Z +nAmNfsP+fsxV8t0ZUu/C4cOCY4VKQszvq5wa8U/HrLSXI+vLjghYuOTcuWXC ++LxFnbm5H9eP68o+73XBrFGiHpGqngqDT19f+wxz+gLfwG2tFPB7KduXw+to +qLNzqfbc8XJwqTpsoBjzirtV+1bszgOvLNYVrRGhIVDy7U/vqjR4YnneHU/M +O+0Ss1J3x8C2hh2WU5jDAjiMuaVSwY4LLeDEehqKv6Xcw6kbB7P4NYUa1jP7 +u7p15MumDTR0xuPe64A11dDf4dzgvQ3M518FZ4vmMRvflrTWGq2BNg+uB5ht +pKFLBdbbtLZkg6dObFYdmOdjuqa92krhsfXh+7aL0lBxqfYVxc5I2NS9TjgO +82aJX6cHz+fAbdfyFv5ibl44oMuP81+KmOKQ7SYaCimXyLwrUA5XDLQUD2E2 +YO8eajMoh7dvat7dI4bnz71ix6HqDEBJj1plYW56tSX7+vVqeO7Juf084jSk +TO5QDM2rhsNes8JXxZn9Pyzr/vUFZgcHpXsLsiGwepxtWF2ChlL6Uj2jpZKh +5J3wkjLM1VudttCiMkDsDpHAtZJ4PcR2OAhG1MClr/LOe2NeNaE26/cvG14P +UjzwCTP3z8sRy+cq4LRS6zp9KRracc/5t5hpLDB9p/mtSYrZfm/46LCENI43 +eypl1xnHw73AsjQE86/S6coi81RQMj0b+BXz4W1f/6bLRIMNse7WZzfTEJvf +sWx5qgyGH2I/+BCzDbFfK/BHBmAhw0UUZGhIj3jRlHMqGLgkiXxPwDxUthI6 +X82AbzXyHy/ZQkMfTcx9EzSjgMEXxTIHzDdPpvyYYsmAHemt955sYfZv93Gt +C2ArDQWJ7uJe8MgFeYujB3MxBxvpJj3PT4Rrcy3X827D72uW7bU90ggD9Yjv +bphz2Md73T0K4I8/7k9eYy437j3roZ8NHYrYy4/J4vkZ9e0xTkqDz40igiox +63FePyrypBAeZ1tvs247DW3Id22/qJUGWsrzD/lhVsn8rTPWGwvlzHdumMX8 +wVXU1PpZKUhfhhYN5WjISUp4ZsXONLiyVmukRY7Zfx/LsXJpeRpa0souumlb +EZxfYRUcjjn/77fEU18zgXUTYbOIueXGy5Im5So4anPjsKUCDYn9nnuVvrYE +aqzm2NiLmYX256zZkzRYjyJ+KO5gfl/Gcf1oMmYno8N/j12phYlCBRXsivh8 +B+zSGM/JgdydO0MuYb7hEGhEGVXCWy7IdgzzC1JvsWAsE85u0D5yYCcN9V83 +c+aWLoFnesc2Fuxkfn/Azernyl009Piu+o0uhSp4QIIcvYFZO323orhzNqgY +vFH5FrP5+FpFX/k8IObBEaq9G++XK3Hxk6y5IEom0q4Gc4Ywf4icwz3INrpe +bYMSDcVwanVPejfCqz4FogGYx23dFwa8s+CH7bt+kUrM9o2eoTFjZZw/y0+s +tTNvgg8DtKvaMGeVOwfnbsoCe3Y+Dd2igusDa32u5a2RoOi1lX0U5mhVbnfK +oAKKhJBqv1SY3wtRubnJeg+OF+svS5TPFsE/7zl+92G2mXG870ulg8uRkU93 +q+J6gRDeIBlTDV/v21Cdhnnd9jDeg58r4cmZgjDOvXh9GkRUavkCYXvcLgdn +zB4potvUurKA4pE29QnMPZW0Kv3tOSCb0hY7DGjoAhdM1+BLgWtSnv4uAsz+ +3Dl2fnz1PhrKXGG/8cOKGPBtgaz2wFwyunROaEUhtM28Gf4Bs9DPKGEZkRo4 +rrP0ou5+XH8QWxL1TJOh5s9IjXrMsOhphMJoLWzK2yC+6QANdT80IGN3VMBt +BoV/AjHbXTl+LvlXI0z5t2tiDnMpP/eI0a9suKKkreb0QVzPbRJYKROQArxM +jkc8wPxX8JIN6EuCNPbxi7KHaIi0ErrzOSMCWlaePxqL+XLqDq8A+STw+Awl +/hfziPuVTfec08AR7lt/bQ7TkIzuppVL8ytgTd3SZ4OY/YPfdA0IZUOp81G1 +KkeY44/j2xiZifkN91n3Xb3lkBMWOnKr0dC+XAG50OPV0N1u9zFXNeb7n9a0 +S7zAvNdo+E9sfyU83X78n5o6Hm92/B5WzQLw6NL4s1LMgXFtBx955UOwzrpO +UIOGUr9Pr5SxjgelXVSkF+Y/Ic4RD2tj4EbXW5emMXekVmu7K+SDcFFOTb2j +zPZY+qIkm/6LXdw3skgcoyEdrlxi649o+Fay6HkwZpGzcS9SUhug4fDu+oVj +zPc7PdujzmgyWWmrzuUuzO9thdx2rWyE+WPjmvJaNHRIJXLjkEUmEPKzlkrA +vPB1dfr8mkhwT57GskSbhlDxt5Ao3kLw8/mtF/aYb3Qo/e23TgQXAzkbHmMu +q7J4bv02HbzYFR299zieP+Ii50hnFNR5s9EpB/OxtolBLpN82BpapLVCB58P +FprxI7c6KK+qJO2GeQqYT+rL1sGMqfYlrzG/Nrgck/q2HPJH67w8qktDaySG +nkcczgO+ByYaKjAXdIOFDtZ8+OWzdYzwCRoyPX77pHxWMLROoDn5YraMfmRW +TKTBUTUP7c+YeT5P2p06VAo15jg3G55kzkd9ajRrC+aLGvETfTWJYIuW6Csp +PebzpG9FjWGYj2i+Ed6WWwt5spViv//Xc48T953P6dPQ3aY4heBVmZD4pXO8 +B7P93T1nn6xsgmcLJjYrGtBQcrxHz3R9Hhg0vMCWjFkvj+9r19licHDJ3Cs2 +QzzeFx9yJUziQGWpR5MjZpmVFhqnk8ug+OllcaOYyyJ7BV+5VcLopTEu+09h +FmBPNPTOBuzVojr5p5j9uWZRLLPSCO+3dWzBAZfC4RSPMvsNzLX5C7oLIWXQ +uOH+6zeYnUs09tqnVMNua91mLWPm7/fwP4urxmzyaPd84toaWNRy4cp6Exq6 +5r3mRLt4DBBxmNO5Y/Jf8UzQcwuJ+WFK0x2N6nDw9/4yDmNTXO9Ymlk9X58N +nZxiJpEp8/1JkU1Q5jQNVajuDticVQD0uovjIzFz2awFThzh8P5VZdefp5nv +7xR7oHvejIaG3T/JjujfBTn9ulv7MG+xMp4UPlgNBW4+49htznw/QNrmTSrm +bY6RW6Y1M+D3x3Nw6Rmc346uE7gpUwHtvDwTnM4w35/YxnV1HPOPo/uRrmUs +1BqPOXHoLI4XTyWHr7vlwWZ/nDUxK5w8WPhmvgLK7ihZutqChiQW2xe22FTA +1JfKb29hdg4TWulvWgJ5gx60vMe881T9y77TOcBL6USizjl8XxTweix2OQXQ +3j67Wof5Vs34Y5EzCcAy3OakqCU+f7+VeQMiisCTvfPbAjF7C2sIJ1TehWrT +npxzlsz+1sZwvTO1wvWhT9JT3d5cKH0otvU+5hmuT7yzZXEwntiUtO08DclV +BEmjmGi4LKnkWgxm/bMfr7G/qIQ3NFT0/mDW5ohbOV7WCGfmH8jaWOPz/MnS +/m98HDRLP7FsEPPtsz6dml1FsE/7+TvlCzTEoRP/3G64HO5btEEZmJ9Nm12e +7MuAZTnzSVw2+P5pzHfPgb0Uiurddrtiw+xvxB8u/eeYOS9e6Ja9mwGXFMVu +V7PF9WzakMuBlEJwxUiMqxSzS6GX8E/eSviOtfS9gB2Oh5ftTLnG0oBhuUrb +bcynXqd5moSXwk6zjuSPdv8Vz5advH7SnoaW2aiqljcmwYKa5/qN9v+p969d +le3MAcKWtnLiDrh+0jNb+PMtHAat+MIVjLlxvPnN07FG+Kvx9ocvmLsVuc4L +fI8Cjjbc7eYXcf4RvXxGFNcDL1fFpXRiLk1y/dv9PhPqIjF3OUdm++hiqUE8 +5p8h7Fbm0hVQQWiPPMslHG92dUh8lSuGmR0d3PaY/y78YRGOyYarXE5ODWM2 +mU6GH30zoN+GF+2ql/F9IL6T9ZZzI1zosU3NxvzaoTlYf1kAuOD2xX25Ew0N +DOuLaGzOh2PiXobXMG/pTHV5yxsOjg5yK7zCHCtttme9YzBsuBXHc9SZhq4v +f3EkqDoSbJER/1ju/J/7dfbTVVQOTBopvS/kQkPux4f4WFMLAY/PnjQfzEcH +vq9vFU4HHts7b8xg5vUI2vfxVyYgJ06eMriC42PY3pFts6XAIuCFAsT8ke3C +twfHM8CQot1yKVd8fhVu7UuYLwCHXn/5GIo5pjQuWU08EVQFez34hlnXYbFk +uCkPSKjwpFtcxfXU/siALPEcEPM+7mY35hW7HruYSWcCjkhxox3X8P3GTk0x +aVUFcNtXtiMJ8/LVqSV7P2WCj5/2rGBzw/VmkfHWzMg8YBLXOX0Rc9LaaVl7 +wwzQc1ivYwRz2fc3AsMny4Eq9SJ933V8P323tXxvYxooTra7lYf5Ue9Q5k23 +LLD+2IIRnzsNLf4Z4i8wTAOhC16K7piVebTUQxdLwN8MHt43mPuyLxzqfREH +nHTiP2neoCFN9c7guuIMMPlDvLMK8yfVsgv7eHKAXl5ZhshNHP8nvY3EDULB +A31VD3/MHq9ND6mlZYJd/zqNCcxlpl05xtFlILdYb6fRLTw/1e7+asr5QNDk +JS/CfMDGT9xwPhXcZbef2exBQ/KjMqqSQflgsWKhMwLzARH2F4kv8oD9Ge/M +H5i3WIRY9Mhmgmdcyz2tPHH8e2zg2xKeAbTr4k0eYQ7y97H5GZ8OoJXErl23 +acjzl1TMG+ccsJ2vnC8V873f8/6X1fJAWrPqZw4vXI/3Pt7r2p8G+Oy6ui5j +Vu4SGI5XTgHea/SznmJ+XfLNRpAIBHNtLz0PeuP7Y6X4crV9+cDqkr1pIeZ9 +GraV1bj+fCL8ddcqHxpafYUjynUqG6h1ea+8hflMVsrY7dJ8UHtl+ew7zAHT +X417gouBtGjCw+O+eD+rmF58diwPJDySyK7F/M75j7/++hzA5V5+e6MfDUnv +f57uppcDbkruPX0Xs9DfKpEyz2zweahrNw3znMn7/VZXs4C5pz6/qT/eXyuD +L1idiQT9W17NtmPebZh5hScmGuwfs+/eegffvwndyJe5haDc92t2NOZw2w7/ +53EZYJO8j9dvzNU9I+m+fpkg8vlyswsBON9rbRjr00wHrIEJSgOYm+S+2UxX +ZQDXXZKrlO/i/DYmkGKA49v7yXIiHXPpgXTVAd1icCp0b8+yQBr6tjS/TXl7 +Eeja8zDHBXOA6snP3mZhQHlK3/sZZrbn2U5WDuWgIOqV2ZF7eH3OLapKx2YA +4QMOyiWYQ1exDf9QrARBn7+uEgiiIcci5ev1asXgV7wP6Yn5xZiJpKtgOrik +tqJ3CjOve0EkOVQEXtESck8E09Dzj/yeFTsLwIlUSZ8GzDcLp0Y2/80AbZoV +5mIhuJ6s+zox8j0f7Pi2VyUIMzVf/kkxPBtkZT1c/QXzqeyWiWLif5q673gu +v/dx4A2lrUiS0i4a2vuIpKIhLaJBSUVSqbQXDUrZe6+XPV72uLmMKGmKSklF +lDTctxJCv+v9Oaef75/X48X9uu9zn3Od63g/31dORGbjlh87bjfB6geFY1XF +EeTqn+oHdzEeJeUZJ785ivyKMBfNvIP1k5N+o88nEdm/teWKB8YuK1vzzVQT +ycseNrv+Yjz+8tZ7slVRRCtu8JKDjk1g1f7E9vE5b5Jp4D38GcZvhs4j2kfj +yLS+k5uWOOHnxhLnjseGE9+kxNIQjH/8ONpwvyqIDDIiEQOdcX/5cna03NlA +cmHgfZsTGA9rUE3s3R5Ovqdv2f0W4/zzJY3OrZ7EaN+7Jatd8Lx0qe+SgENi +8nToIdkEjDdIt+tvWedNNHJamuRcm2DczvoXuY3BJNnM5uFljOe/Xu9UPyuR +TBoxJLIB44evNdWXqfkS9wJv281uTZCkvie6b4eI9D0y2Sgb4/N2K5ZEhrmT +UwripZPcmyBDpmOAWV48+XyPjLiN8dRptet2vvYnBifu878wnt8kIYx7KSYP +xm19tNsD78/h6NO3M6LJskfvIu9hvP/IoDljWiJI7JlDV2d7NsEEt6YZYpVQ +MmbKbyNvjF2qL87WUwgmd8pslvXywvPf6Gi3gV7B5O/FIXKHMLaSzvMfs8WX +HJ3uIzz3+q9eb24bNE9EPryc/Jh4N8Hk+jlng+/4kc1XxVHhGFsNWPlY3zmO +FM5WvTbEpwmuudbPPTsigsx/e9/4FMZd+rG6jieiSLj9VvIe474hhmZdb9yJ +3ML3ctq+TaD7pWyi3qggYldzqFmM8azUkcabPiWStju/H4/yw/qkbdH51Kdx +xHyZbbQtxipBm0V6ErHkzach179ibHvrC1EviSDr3Xz2bPNvghOl72y954hI +jvoU1VyMG1W2m+bv8CAq38QjpwbgfCY9NCtWuZNAb9WfjhhLjpM7VPvVjwxd +XfKkFeOgA7GnPGz8yBVha8yeQHxfSuKwbdKhRAh4f/0BxvVB14/P3BBPTNZZ +7J0XhPdfG39d8VEkKf/9W9UP4/3nxmzVbAwlq8Js5fsEY3689eH0jSEBJE1X +6tdhjF0GfX9X4xBJpnb6PH2Bcdqw03PEeV7EK2pKrFpIEyScWDLoQm4g6a+X +dCMS4/0Fy7cGrwomZ3stNxkWis8DuVL7L0eRxviS5WcxfhQ8J7PhdhTZtWPb +qFqM78WGuJT+CSKPJT/8WheG86ep30Wlb8FELcXiWQrGl8lDrR7ng0iicWvs +mHCc//3TLmkP8SfjB1+1u46xyTUv8VBxJHHJlNr3A2OJDWkP+u0Skd77fdW2 +i3C+rjHZ+assnpyQnqqQj7HfIqIPNyJIXW5Si3IEnl9Va6/s3BtH9A4tL3PB +OPFSISgt9yP35B7E/cH4+R3X8fpzA8jiu9vs90U2weHYHYdft0aTqKMf9j3C +uEbnqn72pkAyasxh9YVReL5Qt+x8fyaQ3CppVQjEeOHusY+qJMJJx8mrvyWj +sd6QFq3xmhtFDk8Y+vwoxmsd5ewGbfAh1Y994ysxth3xuWba7Fiy8dzUmxox +OF5BDy0kQkQkb2qyaQzGWyoUnRvfhZC55ctXDI/F89gXm623AoNJ6OUHoy9g +fCjebL5lSRQZPlOvtQ5jD5e/p0KPism1yg/PdeKaoOxM7uk9NbGk5drhhHSM +5w+cKPNtcwQ5MLft5rh4XM+Oe8tWjg8kr6qv7rfH2GJPsWGFZiTRvjVUQ8C4 +/ZF8xtvVYSRrkd+YHQlY3xIXlb7TEsn0j1PbCjEeOs27T/6yYOLnlFw+I7EJ +XposObDeO5IMVlVLdMfY1OWhoWNFJLnY8OBWF8YF32dxYYUu5Ie73oEDYqy/ +nHfJ6He6EmONGo2nGKeOWmsboBxEnn0/rLgkqQmMxu3vOITvQ8O3rS0YY23t +y55bJ0aR5DXXKgYkYz6/dLUiEKLJpJ9DxccxnrW//YOZTjhxD/JzqMJYZ56V +1NSP0URyg9LBVSlNYPnqmdyV5Hhyui15ZTzG1/23LRkkH04awtXGyqViPn55 +fHNUbSwx3FzafgljpY7XR1PHRZHSLr0XnzEm/H17O8kgQmJqxJvSmuDpArOl +NsFhJE7f8nYWxp0mP6DdNZQoSrQfnJjeBEuXuNsuNwwijonXNB0wfv58g+1c +v0jSY9ewcb8w9jua1Pa2KZYc6+//Z1cGjt8miYR3HXGkJlXpZTHG4t6m0xyL +E8mWvSlJszKxnrj9K1JWO5jcHaJ+xwvjdWF7VxVvFZEF2aVmPbPwPLMy4Pjl +xFgiOqC/yhzjefYinR4Xwojc8NpxzzG+bfR+7+MhkcQuz7JjWTbWW38qXjiM +DydtFu0vwzBueTs8zPhUBDGXv548mGsCrVTrwuE6IaSqaJijNcZ91pkVjlwT +TDZY+Zu/w1gmZ1ej9A8XkquovForB8f72bh5UplhZFZpyngxxvuLlC4H5oeS +oFPqnfK5WP8dcQ29WBFGhk16+MoG46mHA3cPO+pNbJ7qpzRivHeOs9arnyGk ++Xyt41ZoAilND1PLOXFkn/KRQzkYTz/eq8R6ZQipqGhfPSUP898AC6c9HxLI +apvrExwxtkuTMjjrlkDSVaS7fmPc6nYyy1Uzmii98a80zsfz7MQyB33/COJ9 +Qzm1BOMeAbt9552NJAPmpzrNLcDnTZZqf2vtR869V7fwxfio7+/3pVsDyVeH +h2skCjE/O2ww2zgsiOxasn3iYYzTQvIT82+Ek8d1tV0VGF/8u+LXuPYoouZy +5PXyuzh/RxGJCt9QIl7+JzUC4+NGJ10V8wPIhMbrzkOLcH3dOnsh44s/cfWU +PnwG43HDM7fIjY0mEpoBWjUYS+q4boqYHU5ONilPWlfcBEXj766U3uRK6v1S +/yZjvCDZX7fnIRHR117xZvQ9XI/lj1/JuEWS+78epl3D+KBJtuv6vFCyJGS7 +y3eMidnEx6IVkSRa5+Nh/ftN8OTngZppW8KJwp8j2nkYFywxGjVweBBxiPgz +SbmkCT5rhi6Ibo4hnVtu9HDB+Fi/xuQ788OJZQ+ZqnaMTwRI9HpaH0TexQak +mzzA5y1Y5a1lE0F0Daa5PsRYNNthYVadiOT3SbNcUIr7Q21dzrsLmM+SVqwN +wLiXu3ypMMCZhO5+NFnyIdaPhtkDLmu7k+EDDXoexdgrbmfnF9cAcj39Y9Ur +jOf7Hc++fsWD/DY5mrHiUROkuM97HmEZTg4O7XCNxthZpTP64/5YUsndOCLz +GM9fXlW/q/MiyVozmXXnMd534tIm77fOJFs2cEodxnPeWh0UFgeTGQXTeuk8 +wfmkdTfv+KNw4m+Z9jYNY42kD0Y9rsSQIQoamWOfNsEX7RtFI0eJyKV7j9zs +MC5wmlGUOj+KNB03OMpjHDl+r8WdET5kz7i6dYbP8DwQGz58So+bpOzh0amF +GBvnDf5aKxNEVp7p6DWjrAnm3t6puD4phKRMtqt2w3jvxarUZ5oBZHKZTFYn +xoOXS46RsY4iHhcD3fc/b4KOlsv9/8tXktOnH3uCsQr3V3JH/xBy+mXa+sXl +uB/09hQZKnqSBlsNpWCMHT4nT6hXCyM7Zj/uPaAC57dPxuNpu+PJwyqDd1YY +r9qxLJvUxhBV+7qsNxg7Vpup6j0JJ/ELjnlovsB6Q++P7LudQWRsTcexOIx9 +96gPljscTZzu2G0Y8RLn+4vQnH4LgknPZcOVL2Gs2CdaGKHvQaw+BUp8xrhH +hOSbP0phpNZ1+nvdV03woaHE6l56JNmqnp6difFf39IbLTgfi75qeE6obAL/ +nOCsJVPCyULvx1a3MDaQTKk073QmEasMdX5iHH/V+fGjYn8yUqhT3vW6CeQO +7Sleq+tE7AOO9SnGuN1U0rUV97/2tZ3vVd40gU3eSSdJtRBy6Lcd54lxReKC +PtqPI0hV6HCvHlX4/WOOSy7v7UU26AYdN8N47cKcpt5LEklux/SNZRh72dV6 +K6p6k1lR6dOWvcX6Qq/c/+YmEQnetrJvGMbmxMxx77kQIt3ryYdB1U2guaBk +vNhOTGzjDXNOYpwdlO2irx1BfhrWe1Vj/OukZ7bp0ABiKml1Ys07fN/nY2zG +8qHkRXLnxkSMQ2cunfCuPZKsMbafLv8e13tX0rcJvTxIxiBZSRuMJa/eeqej +4kmUM4NqvmA8iPN7MO5wCPExnZG75QPuf4WXpPNWepGB0hneHMaJvTn/se8d +yfnclScn12C9d7S9z7z+weSb+RPdOxifV1a4OfFcNNktt2PGb4z7T7i5/mqk +F3lSWC9pXNsE1gOvDPLL8ybqR61q72N8uF42fsSFWCIe3ZU75yOeJ4XMkUmp +jmRCib2PD8buVo5Nfo+iiOtJWevedU2gf0BGeu3+CCIxIXiTBcZth6Jjd7hE +E+vHM2ZWYLxoxPxmhXli8ulsRr/l9Vg/1Q5f+XpFMNk+VfOjCGP1jhGHE5PD +ScnzJyD1CfePAK9Hnx1EZOnlHb6nMTa278vrrhCRmBmfrD9g7GHZcv/0LH8y +utJq89rPuN6nbClQ3C8it691zUzG2Fqz7f2u6Ymka87N/qMbmmCE5HWNh9MT +yJFq2bqrGE+9/3Bf6rkw8v5mcN43jAe1bTcZdjqIbFo000/vSxO86vtaNXrP +HVJQm3EKMO4aVrRr4Ek/Ms9Jc4tSYxNwPbScbUq9SRh5quKMcZY56eV9xZnI +NuwY0I6x0YJnQ3TVfch19091e79ivkmQH2DzKIT8XnE8vxRjT/u4nruORJKD +37v85n/D/b42aPkzrE8rfW6e9sd42ez2eg+/ULJuzYitfb/j/BbfTfK+5EG4 +5uBZRzD+sL/txi7VIDIzaObAVxhPCs+3sXAKJgHrM+vVf2D9c3/ZECe3aCLV +plkQhbFK3IXG/qeiyOXwp/7STbjenaZusRzkTPhNO8+cw9go38Rli2Qk2dv1 +aetHjG+vWnT950Iv8jz6+OwNPJ6fQ11l9i53JJr6fwemYaxa/mie6HUMSe19 +65Oi0ASzdVRX11R4kSmJIwpvYGzro/LVMCaaeO4MCWjC+NPUlSpDLYNJv/4q +Zw2am6By7fN9zUYR5Exq5rYCjD9Vl+q91xWRL3tWzZn+swmW1AoPSUko2THk +2SA3jE8umXZgU14weZi183MHxj1VnHMUiyOI6oHPhaa/muBAy4DjFvGYz2RO +BD7GOBce1kZqh5BxeX/PLmrB9dipJ6sRKybOFrf0gjBWdPtdM5l3I73k5eb2 +/437u7HTaK41hBwvChlshfGOnX/ejr8bQj4eU2l4jfHZhEVtVi8DyTbFrLsr +W3E/rvp03md7MCl+sCooFuPR7crVCztDyKJTz87JtjXB69IEmx2LnUnkxF36 +FzF+KL3WaZNGHJF/+nnuJ4xvGKhFGZeIyM3zJ4botmP9Msd2b7BsJPmj1ONL +BsYrXRO/gZ4nsai4VTT+TxPc3DBS6UBGFHl7RS74Jsay3PAUbrYf0VEJPd+M +cbmxKcw+4EPgtcr2nR2YH7YVR2zOiCazb2TNK8J4TeKhiJhNcSR43moplU58 +/+njVqw/5kyk3z/74oHxyoM+r3+/iSG2DruK/2J8eMhO+6XjPMivxQ3BB7vw +fX37Kal4K57srztx4RnGDZVD3DJHhZCXzj0Mlv5tgjPa+tJuNq5Ea7nD/FCM +lVuPSrl+DSTUw/HQePz9AjXZUEI9HA/7rh2cva4jhlAPx8PHgYMHyk4PYx6O +h/7mh/1ELh6EejgeHmmabHs43IdQD8eDkYPm8JxCEaEejgeFh0Kb9uhIQj0c +D8k99ft8+ShiHo4Hrn9j+tISZ+bheDBrXj9h65YAQj0cD9b+blLTfMKYh+Ph +ti/3xCf8DvNwPPjd2L6vKs6bUA/Hw2vldrWlDkGEejgeBiUUPN3sGUKoh+Mh +vCXp8vkLwYR6OB6E92P8YsL8mYfD651JvHqzOJh5OB5Mbly/YTkgklAPx8Op +GIOWs4VxhHo4HuKdW3THcmGEejgest4McH06PoxQD8eD866axS9mRBPq4XgY +Mzx16dtFcczD8dBRFaSuPSOGUA/Hw48lzXYlJ6MJ9XA81FxQl88aFkKoh+Mh +d5Vhk/IWb+bhePis995GxzScUA/Hw7p7i4rn5XsR6uF4KNVUy8lUDCPUw/Hg +uMZJb5KUA6EeDp9X/Zr6kInBhHo4How/LbM5ddOfUA+H49ev6E2jeSShHo6H +Pu4e5itXuxHq4XiIKTCLLp0TRKiH4yG25MzQSTXRhHo4HjqVRKcvrgtnHo6H +Z1o1luVaoYR6OB5upJRP1X/sS6iH46HZVOrJQutY5uF48JZtvLCnXkSoh+MB +HjR96SQ+hHo4/Hn1/L9LMyII9XA8BJdt76wLjiXUw/Ewa8Lo761FwczD4Xxq +T30kbx9KqIfjob59qPbzcx6EejgeArzixsluSiDUw/FgWjJE4+MNB0I9HA+t +icfUWtPjCPVwPMjdSTsnZxJNqIfjYf/trcd0G8MI9XA8nHf2Cb18PpxQD8eD +140vtZH9w5mH4+Gh2+mdw/tGMQ+H82nR+jU68tGEejgeJkn96bfvbzShHg7H +96F7hUt5IKEejof7ZxTFs0eHEOrheHjx8oHNzdhYQj0cDzZnBtiWuIcQ6uF4 +WFvXr8eXc6HMw+F8OJFjYoznKerheJjx7XOTTh835uF42DjrUvHVIj9CPRwP +1b7KwsVdgYR6OB6SXo69KDM4ink4HpY9cpG1GSom1MPxoPP9ygznj5GEejge +fgsrtNdpBxDq4Xisr+OnzWq5SaiH46FC6ZlJTFsIoR6Oh0M97EMnzIxiHg5/ +/8aZsWt0wwn1cDxsf2Xb3oLnL+rheHDvU1YyBPM39XA8fA9Pr7fC90s9HA8R +vdL9ffqICfVwPAyMFG6Y7Ykh1MPhejjiZedRJWIejod20T615TURhHo4HvK3 +Fu246B9OqIfjIXroAcU03oVQD8dD7+H1p8d5+RLq4Xio/eD44dchP0I9HA9L +/rxxNg6NYR4O38fLkPFbCkMI9XA8jHX6sdv6SSChHo6HC/MO7tiU6U6oh8P5 +PO3iiZYUX+bheMi5Yff1snwYoR6Oh4uNq12PdEUT6uF4WNH6Uuaqqi/zcDx8 +cnubV6wexDwczie7bQZWezwI9XA8zIwxHnswJpx5OB6O/cxp+z0gnnk4vN63 +tpU/65wJ9XCYT21Llwb/CmEejofNZ2w7NBWdCPVwPAzxqfFc8t6dUA/Hw5NP +OmPcNwYxD8fD9coQz1BRCKEeDsfP0KB2/Ht/Qj0cDxO2m5+sEIcQ6uF4OJcn +NdvFPJRQD4fr69lgJeuP8YR6OB7Ea080zz3tTaiH4yF776UrgSf+eTgedm9q +yalbH02oh8Pv+2qW+svXg3k4HtQWK95/vTyUUA/Hw9VJA3QlIIhQD4f5sTDo +wL2J/oR6ONwP5GV6Fai4MA+H+1fjx7xhwX6EejhcDz3c/zy+FUyoh+Nhw+Zp +sh4hwYR6ONxvDTde1ZYSEerhcP6ZL87MvhZAqIfD9dDqsrAez8/Uw2H+JDqu +tYIHoR4O81H7ct/ghGBCPRwP791OJJ3yCyLUw/EwUnHPi7PysYR6OB7aYvpf +c18bR6iH42HngN0/njfEMQ+H+2dAea3l8RDm4XC/1fJKc14VxjwcrrdO6+f9 +9sUQ6uF4qBR9+znlUiihHg7vX7LXynqtREI9HA/vRvCnvp7yI9TD4XpcIbro +5JRIqIfjodw067LxdT/m4TB/x98Sbd0QxDwcDz+l1r1SfxtLqIfjwcpr4qL3 +kjGEejge/CefDP9SF0Koh+Mh5Xz929NloczD4fWPlyd63gwj1MNhvQNhDs2W +YkI9HA+qKaZBJEdEqIfD/eHA0AcyeiLm4XgILJ44zrpXLPNwPMg0u0XMMvEi +1MPx8OdFhVT9mQhCPRwPTd9H9Ap6EM48HK7HuMgm0VpfQj0c7i/K65aaGUQQ +6uEwHwUvajgrFUWoh8P9/srDJ4/GRBHq4fD7suVM3X8HEerheLjz6/ztYRrR +hHo4Hpxe2irMTgsl1MPxwA9criyrGs08HA+extn3p3NRhHo4vJ8h6cuCZ7gR +6uEwPyVstNt7xYl5OB7e6s49+awynHk4HrZtM+8XuT6BUA/Hg1TKxqrPvu6E +eji8/3MqdU+f+RLq4XB+GRxoCNkUS6iH4yHDstq0I92PUA+H+c1krtyi7zGE +ejgcv8vp/R9WBxHq4TA/lMXP6vPFl3k4rKemXN9efFBEqIfj4Vt5nP+j7bHM +w/GgXvRljHpyHKEeDvcTpUmuQmYo83A8yEpfsnwjhfnsfx4O14OH2YG2/DBC +PRy+X6971uNuBBPq4XiQHzf85qIiL0I9HA9Hi9SKh+pEEurhcP6uk24tmS4i +1MPh/rg27fT4llBCPRwPmTlFRYaKQczDYX63aVx9dWg883A8XJ45sNnRyZV5 +OPy8PKP5vIUn83D4fdrGc6LCo5mH42FNY9DLt5pBhHo4zB/xx2cHDvEj1MPh ++j+S/OzahjuEejjMP2fSxSW5wYR6OKyPQlNKh5QFE+rheOi3TDNMy8uNeTic +X4ZPVaXnRhHq4XB/MGsz+l6dSKiHw/324MncNSHRhHo4rBd2V/mk8QmEejge +Dof/+nVLIYpQD8fD8y+X1lgGiJmH42Gir4VCn0NRhHo4zPfRP/uvPuhBqIfD +/DBvoL78Rk9CPRzmj/WSdQUJMYR6OKxvd32Y5TrZm1APx8O8FKlLwydGMg/H +wwO5Y6NX7IlkHg7fX9uGSd+l45mHw/Vhpec2vW8EoR6OB9faFapVV2MI9XA8 +PL01ZPOBVg/m4XB8dVrHLhqaSKiH4+GgoDBlvFcAoR6OB02n4t8rpoUT6uFw +fzEbEWsYFE6oh8P9KGjJufPbwgn1cJi/uWRJmxH+hHo4Hr4+3hG/MjyCeTjc +3947r7ZdEkmoh+PhQFmgcXxrKPNwWJ90HPJv3hlFqIfD8UvWULP9Hkqoh+Nh +vd6k+kOhvszD8aDSeeHVU5kkQj0c5pMxTyVuLIki1MNhPZ/8VVHqnDvzcLhf +H/VzikiJJdTD8TC3sE/VvekhhHo4PI88HKncJZFAqIfjIdRicplFVCShHo6H +xMNT7r1SiSbUw+F5aVVEyoKMUEI9HNZPkdu5FcMSCPVwWF+EK8eopwcS6uGw +njGWvnKzJpFQD4frdeOgz41/ggj1cDw0xB8gvw77MQ/Hw9l22z8S328xD4f5 +5U/6d4/ekczD8XB6YKH9L31P5uFwfuzp/W6tczihHg7H97Zt1pr7PoR6OFz/ +/cH8zKpwQj0c1tuDa6+lJ4US6uHwfHgle3Lo7QhCPRy+75xdp+OURIR6OB4W +1VnorNwYSKiH4//7/0H67h8TTKiH42Hq99P5MljfUw+H91MvV+/QEEOoh+Mh +76LSxwHNCYR6OB6I7Ik/5i88CPVwOD/GHbvfVy6cUA+H+/HFoVL79X0I9XD4 ++aHRy+b9SGQeDp/fYHGneVcEoR6Ohx7hKZeMF8QyD8fDq8e3VQKckwj1cHi+ +OjMmY8PQKEI9HObvoT10TXaHEerheFjoHWz/oV3EPBzOv4qqE3/OhxHq4XhY +Vdqe+eRCPKEejoeW6UeOOavHMA/Hg6+k52KLxZGEejgeJIYHZm+1jCXUw+H8 +LCo7TrA+ph4O89HR7aMv/U0k1MNhvSk+NPb30DBCPRzOb6OfO4eIogn1cDxM +Mb1W5agXQaiH+6/+bJ0ZEORKqIfD/SO8IdLG3o15OHz/hb02nJFPINTD4fxR +3iy+5uDNPBzO70MSwe6LEgn1cFg/aIzlVfuEMg+H++MPy04Pj3BCPRy+rysa +Zqs5R+bheNjbXnApKC2eeThc71cmfZbyi2YeDtdfdvwCMx1/5uF4mD2n/mRf +5wjm4Xi4tGWgQnpZFPNwPGhlPl8w5HoY83D4fQscJEw4d+bhsF6VcRg0wNuD +eTisRzenaFus+ufhMP+0Hd5+QiGeeTj8/VLX6/u++jIPh/XQ5FIzk4PhzMPh +/HEePmNPiCfzcFif2qqpHc+JZh4Oz2P61yw+uIUxD8fDHC29D8rfEpiH4yHo +lJvFOd1Q5uFwfw9qMB6aF8w8HOb3EjvlBDyvUw/Hg+TKZLmOmgTm4XD/cr+s +cfm2G/NwPBgt3rT1x8gw5uF4uFJza8oA1X8ejgf9d8Ep9qrezMPx8OuYYpDm +80Dm4XA8OvOqZ70MYh4O88flyCsnMyKZh+PhzQ6DnbVSgczDYT3oVpI/5nEM +83A4n+aOf7hCJ455ONw/jcfptX4XMw+H9f3PrQcy34YzD4fff9CyXDJezDwc +D+nhLxylpiYwD4f7h9UCiRU5iczD4fn542PDMbJBzMPh/ntF6UzQumjm4XjY +OvlBr7uNIczD8bClaMr2et9I5uGw/jUUNs38Hsw8HA+lPYWKGebhzMPh91t8 +32ttEMs8HA+6YcLosX6OzMPheJzcUjXGwYV5OB6K7ms/6VzuxjwcD6aqGhnj +XYOYh+PhlrKadUDPQObheFBU77lz/exw5uF4SLAtMd7nG8Q8HA99lL4UnR7r +xTwcD8WFLmYnFJOYh8PxPrRPvmKfiHk4HmZovCntPSiMeTh8/sGHpku5ipiH +w3zzYmpllWEg83BYD5cW97GelMg8HI7nyOW7HVcnMQ/Hw5jOttUn7scyD8eD +T59dO7+tCmEeDtdH1IqthpJxzMPh/BwVFrdrZhzzcHi+FxIk+R2xzMNhvt4w +/sw83WDm4Xhws9DroWefwDwc5qvkS/f8xycyD4fzY4rbEiWjBObheFi3X313 +5Hl35uEwf39fNDjEW8Q8HO4f5OJqdVEc83C4HxaoZ+tfETEPx8OXBSd9jQIj +mIfjoXrAFveUolDm4XgoW7/w7GiZUObheLCtkDzq3xjGPBwPdi9zj52dE888 +HA9H6qxXJLRGMg+H5webyMqaiFDm4Xiourdq7CL/cObhsP5SnPRbZ0AE83C4 +P+orTV3aFMI8HM4P+dC3icXRzMPxMFSIFg9dHM48HA9LRNfF6x5EMg/Hw/Q1 +p2+/loplHo6H4cnrHg36G8E8HObfv9eOh8kmMg/HQ93x5bVZA+KYh+NhT+63 +ZV9NRMzDYT1ytKRkzwYX5uEwv26vMpo+0ot5OB66wg5dX4HnA+rheIgqqFkz +C9839XCYfzoKL3jt9mAejof9Nx5plhyIYR4O97/L0UOGyYUyD8dDz5deHy2e +xzAPx4O0+ibX9cE+zMPh/hvh73i2KYZ5OFwPjo0RZzrDmYfD+lzx97BR//ne +/3k4HnJDb2me+PbPw+H8f/s25NWoOObheBixSPNdubMX83CYLz5s2cXnhDMP +h/v7+cldmfujmYfj4e8yrYfOx3yZh8P9MOHDvJtyCczD4Xodo32lc1Yk83D4 +/Wnea1P+RDIPx8OQydNsF8tFMw+H82ncx4RFmK+ph8Pz1Grr4b76YczD4fm3 +zmTetKhY5uFwPAws++nh/k89HA/DMl1snKbFMg/HQ5zykwz9zGDm4XjIuX7p ++YJGP+bh8HxxvmDDWMkQ5uFwPlkUfDzpGMw8HA8h+40Gaw3xYB6Oh499Wq31 ++jowD4fnRaexxXpDkpmHw/Ho0fquZp8j83A8WF18VzsM8yv1cFhfJFyPuqQe +xTwc1v8e/fx+lEYyD8eDhZmtzzG7eObh8DxvFNm0Z6eIeTh83h9mnno9E5iH +w3yh6XJEzj+MeTg879xemZ22Q8w8HA/mF5efHbwzmHk4XN9XM3xUC9yYh8Pz +5vRn+8rEIczD8TBf182x59Qg5uGwXjpslt72yYV5ODxvlSxQSD4Zxjwc//// +fwPq4XhIi/DZ0ZHwz8PxoLf9iEbjsEjm4XC/eJtxYaa/D/NwmI/vSNxeauHD +PBwPZ1rFARqFsczD8dA/oPTi/FlRzMPhes7zXHrUNYJ5OJy/Jjpre7dFMA+H +891gsWVqXALzcHge29DToc+6JObhcL0uPzTsflUI83C4X2rssIteGsY8HF6v +0tV9ZqSYeTisX90TzS6t/ufhcPzUfDQHnUxgHg73xxM5BpMKRMzD4Xx+46X9 +DvMX9XA86KyR8jRLEDEPh+/3Ze3AHD8R83CYTxJ1ri10EDEPx0N+gv3h+3j+ +pB6Oh8FGWzRUa2KYh8PzQHrt8ycD/ZiH42HHuY8nu7xcmYfDenPcSIfO40HM +w/Gg4ZRsdXlyBPNwPKQ2Lg006whjHo6Hn/P7yepFRTAPh/vn/uVdXblezMPh +9+vcUxsmdmYejgfICTO6fj6GeTicv4ZzljikhjMPh+f3m1J2FstjmIfDeuGP +S49n6/55OB5unulveXxfFPNweP4fJPVmVU4o83BYj8VPTjwzQcQ8HA+vp4hl +f/wMYR6Oh4fro543VMUxD8fDs9WtRRInRczD8XB5xue4RRdEzMPx0HF458eS +a/88HD5vXHldpRDGPByOt7bM8J9rApiHw/zQLnfj3oQI5uF4cO+aRy7u8GMe +DuvZKXE7XNfGMA+H5yX30PCuJhHzcFgvXylxyHwpZh6OhwX2V6ou7w1gHg7P +0xf0QncPTGAejocPi8/uXqYSyDwczs+fC46OWRnFPBwPHtZitdxF/zwc5r9v +7/i71cHMw2F90JZYdWt0NPNw//19Y9fNln2xzMNhPfJLUnneq3jm4Xjwav4i +/XBFCvNweP/CozCNKfHMw/Ewrk+JzYgjfszD4fu5daLqcLI/83BYz+zavVf3 +YxTzcJhv1b7WWTWGMw+H6+/ogpw1gcHMw+F6enU72f9dPPNwuD9MlUtXux7D +PBzOpyd7qm8uSWYeDus99y1OJ7CeoR4Of37mdykzX2/m4Xh4PLMgfeXBUObh +cH4cdtjyozaEeTgetsuVGAw38GQejoe+B8o0NbWDmYfD89c4rX2/8PxNPRzu +d4n9tJZtjmQejofe38hiv77BzMPh900pqz+mFMQ8HOaDlI6WHzdCmIfjIdxa +1ceqM5Z5OMx3a5LVzJ2imIfD+XSnqLpIM4h5OB46H47Xm+6fyDwcDxf1Dq05 +HRzNPBwPxvd65g2+Esk8HJ4/gm/uTvaJZB4O87/U/T5Wy6OYh8P8u1t++tvb +EczD4fON0DsRMz2UeTisx0JcT7R4hjEPx8PynbZD1h6MZx4O9z+Frwur+7gx +D8fD53tlJY0HYpmHw/NW9JbNjpVBzMPx8L7APTFMypt5OB5ObjhlUPguhHk4 +HkzcMpV3icOZh+PhWum5GJlncczD4XlA18mEk/RjHo6HCxZ7XB0Uo5iHw3p1 +0Zme3qII5uHwPOFxbNyW+mjm4XgQGX4vUrcKYh4O5+t7helJgph5OB64oRek +R1eJmYfD6+8pTNAeFMU8HA+798qcP1MUzTwcDw6qA1QfS6QwD4frffSyRr2C +aObheBilWjJo1qdw5uF4iL82REXvvoh5OFwfM7WsKjLCmIfDeuN2umLswkjm +4bAeGKHbMmaBmHk4PO+6lydH3Y1mHg7vZ/fPfZ9+/PNwuL8uLcu/UhzCPBzW +g7MMjHOrg5iHw/VgF7gooDWOeTjMd6KUKeU+EczD4fe1yyRsDYlgHo4HZYm2 +aQ0lYczD8eDyuOTsRPlk5uFwfAz7eUtnxTAPh/nghNvhQ3J+zMPh+WlnqjHf +lsw8HO4v/IxYrdBg5uFw/xzb795YfN/Uw/FwaemyAm/cr6mHw/UoI/v+0nQx +83B4XmrWs5+3I5B5OJyP5uXWdysjmIfD+rhS0kjfOI55OB58bw/+PLYkmHk4 +HkrkZY60FQYwD4f7S+OoZTqtoczD8RBmGvJXfWc883B4fy5D9PqoJjEPx0Ol +9hrjU+MimYf7r17ZaLVtYgJHPRzmb8dtPrK/gznq4XD//H3dKqhPEkc9HA8R +Pe7W/lZxZx4O6wu/mUEFM5yZh8PzVUafd/PnZ3PUw+H7jd7VtH50Ekc9XHe9 +RD0cvt/6+1f2V4o56uF4aLj4atY0y0SOejisfw/6NYlTPJmH4+HGd11vdYkw +5uFwf2jfUCgoFnLUw3Vfn3o4fL+Os7vCdiQzD4f1YEG13ouUAObhun+eejis +B6fMfHfxUAJHPdx/77fOd0BdFkc9HM7fU7Py76UmctTDdf8+9XBYDxxxuNBv +SAzzcFgfDVbydHTN4aiHw/OO5hkd+dspHPVwPGwWFzTqfMviqIfD/emQUcL3 +fC/m4bBe0h3qd/1iEkc9HA8SW6veLn7uxVEP999575SrXN8sjno4zPeqV3u0 +vE5lHg7zn9beoe3K/hz1cFhPh4Oqkqk383C4/xpGpaQrBnPUw/FgejVoeFff +ZI56ODwPHA7UVuDDOerhsJ7//VW7fZgT83A8TFJdONo9RMQ8HA8tXH3ckzdi +jno4vJ+6k9J9a72Yh+OhSG9i/DLZOI56OB56de0ouGOYyFEPx8PCyA+7+zz3 +5qiHw/ng8sPY7XsU83B4/or/7V5yRcRRD9c9/tTDYf2vtGejvEYg83B4vp6y +jqTuCeWoh+Oh9eZFg4U12Rz1cDzcHfFtQnlAJkc9HM7HHvU3ZppnctTD8bD2 +0sgIVT/gqIfr/j7q4XD/7elseelnMkc9HJ73pHusF5dFMg/X/fPUw+H6UjxX +3feNK0c9HA8GSsNTU6eGcdTDdf889XA8WE7yX+ClnsdRD9f9OfVwPLRrf1g3 +STqVox6Ohzv51+0kdiRz1MNhPgm3+br9mT9HPRzWK+brd/ppZnLUw/3332c/ +b/zw1515OLz+92lnk3/aMw/Hw4lj9cKAL/Ec9XC4/k+q2dxeksJRD4f57Xbe +q+KF+Rz1cN33Rz0cD/2sDq2d2iuUox5OgF1x/Nms2mTWH06AoTdEG+risll/ +OKH738/5n4cTwLh2mPe1olCOejgBTj64tHOcbgzzcALM/yIesCkslqMeTgAN +vsrqbFYO6w/XfT3q4QRIWS/hVW6SyDycAFd+GbpI6v/rDydAqZLG6oyydI56 +OAH8Sr+4DzQTc9TDdV+PerjumHo4AWRzi3r81hex/nAChPYse51dZ8/6wwlg +mtNL2u2OiKMeToCVf19Vfcf8TT1c9/WohxNAfo79Hh/1fNYfToBNioFC8ud/ +/eEEuC7lf964vzfrDydApv3a30Nvh7L+cN3Xox5OAOtLrTe847NYfzgBZm+x +cw3tFLP+cALo+KiIPO5kctTDCXBX59a+jin/PJwAl/X63TY8ksf6wwlwI/qS +lacom/WHE2BY6QbLePjXHw6/vy6sNDWEY/3huu+HejgBFE2t7JaZBrH+cN2f +Uw8nwNRA/VyPua7Mwwmwtl9SwkXzGNYfTgApr36vF89OZf3hun+fejgBqkod +0n3OpbD+cAJYNR6X22rqyfrDCTBxbcy+wMAIjno4ARbYVrdJm7ux/nA4v77P +dNlxJov1hxPgzeGE0wvksll/OAGCJT72XWgWxDycAL7L5u3hfMQc9XAC9NG+ +38/UL4v1hxOgUkH+75pz3qw/nAC9c03i/NZ7Mw8nwBKlnT2eKQay/nACqN7M +1VQozWL94bqfj3o4AZReTeilVpDJUQ8nwK1N+2pWJ4hZfzi8vmNXzdguL456 +OAGq9fXkarQiOOrhBHh6cG5SIGSy/nA4P565BlaNz2b94QRoyQuV/9s7hKMe +Duf3/alKT9ams/5w3fdDPZwADVk7b70q8WIervtz6uEEOFEUe3GegwtHPZwA +y08MuNTXIZOjHk6AIwq6d3drJzIPJ0DGslg7I/8o5uG6r0c9nACJErLjt4QE +s/5wmF/CcxSu7nBhHk6ARZMyNMWD0lh/OAH68uJZEbqJzMPh/NiuJDr0OZb1 +h8N8FBF2b82NBI56OAH6jbIUBQxPZv3hBDjuLzX8+h4n5uEEaLMrL0mN9WL9 +4QT4lfEhQKoRWH84ARxtQo/nTUpj/eG67596OAHsk/om6yZ5Mw+H38999u/T +L5F5OFyfLwoqU9eHMQ8nQEVkzK/KE8kc9XACbI8dZDLgoIj1hxMg3rgjt9H6 +n4cT4Nua9RmVb1I56uEEyPsUvcA3NZb1hxPg/Mkjr8qtE1h/OAHWScrXRRum +sv5wArR7W6V8LU5mHk6A078XXln0IYN5OFwPRsKtFiMP1h+u+/moh8P7H/SE +D7gXwTwczu/1VVKvb/mz/nACNC5q6FwsiuKohxMAZHPSpkRkcNTDCaDgujRk +wytn1h9OgJd9h9nsHFDAUQ8ngG1dH8Nbv0WsP5wAK1bP2rpEHMBRDyfAaOvF +64vxfEA9nADSC01vdqYmMQ8ngMTud8BNTmf94QQ42Lt4YsHEUObhBBh8VdU+ +akQI6w8ngFdca8R3hXzWH04AyxmpkfJfxKw/nACrH8y96aXgxlEPh/Nvy+Nd +zrLRrD9c9/hQD4fxzSp7u6AE5uEECG+fuun4NFeOejic/0vOknsz01h/OMyv +WZqOqdvzOOrhBAhcusF5lFQY6w+H4xWwo6TRIop5OAE2a2+KmnY1j/WHEyCn +9M0vg/Vi5uEEEL2+p68tzmP94QSQW3km3XxlGvNw3fdLPRzON1Wf57x/DOsP +J4BL65Is0z9+zMN1/zz1cN0x9XC4XkzPlStLRzIPJ8DhxJl9JvwUc9TDCXA1 +uF00WiGcox5OAJkpD9q2rfNgHg7n5+3Bq7ROerH+cPi8zuscRl3OYP3hMP9Y +pemWXCjgqIcTwH9B5PbIma7Mwwnwd86bGB2bXI56OFxPB2a8mm1WwFEPJ8Cl +p2rynsaFrD+cANzSHbNlMhI56uFwP9bctmDN3yTm4QRIWvs+wfZoAEc9HO4H +Le6SZ5xiWX84Ac74Oi6euCCMeTgcf8OXzfK2Qaw/HH5f+VXJuXIijno4AdT6 +rV2wqjGNox5OgC/96xr1fuez/nAC1OsOmj7NPp+jHk6AuH3PRZeOZXDUwwmg +ffHl2DdeoczDCXBH30v5ft801h8O643leh2aiTGsP5wAbnUV5447JTIPJ8D4 +4BX18ooRrD8cPq/qnUqFncGsP5wA57ifjVF78lh/OAEO3SpvHeUTx1EPh/M9 +5V1nk0U+6w/X/f6phxMgOmWp1dnLzszDdX9OPZwACZm7RkdLuzEPJ8CBK0da +V9TFs/5wmB+0lo3IseU46uEEiPj4YGTriTDWH04Aw9aRfYfGRzMP13196uEE +2Hc/MqbDOZz1hxMg/9vqnCnJKRz1cFjflFxdXJqZyvrDCfCzRthuZ+zCUQ8n +QJqncb8y2xTWHw7zFxhaH1aO5aiHw/1dt39glLMr83C43xkf/FFoHcFRD9d9 +P9TDYT3yaM55GQkf1h9OgEeRmtVaDbkc9XC4f8z7q2H3Ioh5uO7fpx6uO6Ye +DvNDxXdPcjqPox5OgK8JspM+mHly1MNhPZISO+JvUzRHPRyu//V2L2+9TmIe +DtdTWmfnlMOxrD8c1jPnx9WeWerM+sMJcP/y/Y+9G4JYfzjcH3/+fWmZH876 +w2E+uqWXNHdOAOsPh+OtJatzYBvHUQ+H+VF54+5YgWP94XC/97ssF7I4iPWH +EyB5dYfpSkk31h9OgA+6Sx+L9iWx/nACTOgx0HzIxTvMwwlwdMvMklUleRz1 +cAKMlPvZpm/gwlEPJ0Bd9jWFrrAs5uG6x4t6OAHmuLrtlN2XzfrD4f6ru33s +g9Ag5uEEOLuuc3RcTSLzcDi/tZOekEI/jno4AUpu+h75mpbIUQ+H+ab/kurF +UzNZfzgBzHvEDxrwOZJ5OAE+tZX3n741j6Mervt+qIfrjqmHw3p0vn2RrJ+Y +eTgBwtpMlxRKAesPh/NLYfCXPlH5HPVwApiYLZljoR3N+sPh+xjrMOmUegFH +PRyuD6PgzKedjszD4XrS/zV/9pYQjno4nM+nL5berolh/eEE4BUMQ/O3iznq +4XC8d50zOLylgKMeDutNr5fW+/LTWH84Afr3jdyt0JrNUQ8nwJOLvqqOMwM5 +6uEw321/fUEpOp/1hxNActD6zwNtA5mHE2CS6VCpUo1k5uGwPldOW2zzNIP1 +hxNgoeVzHyMDB+bhBIiSvnXSdW8m6w+H42/98afRPo71hxNgWtocT0EnnvWH +w3xyUL9uxIs05uEw/y+TCS57n8f6w+H1p3f02veqkKMeDuunp45Do66FMA+H +7/vGfLnFDZ7Mw2F9oRVv+TwjiqMeDusbI3HkNuMg1h9OgD9frHrPqU7lqIfD +8+BY/xS9p57Mw+F8euIzvtKqgPWHw3r9U/32G4/us/5wWB+umpqSPKyQ9YfD +8/C2h9X7tPNYfzjMp3dUZ/PBSczD4X7g/8L+xlk31h8Oz6+zXkyaI8pn/eEE +2PPqhsekA77Mw+H7uVv4cnOvRNYf7r/zy5Etv6ILOerhcPwCvavuaIpYfzgB +Zv36NJkoJjEPh/V7x9jXs9vDmIfD8ZM3MTytXcBRD4fr2fTEy8KMFObhcDyN +OmJ3nSzgqIfD+Zj39t6TugTWH657/lMPJwBxUi91b09j/eG6P6ceDs9nmx/4 +3Vway1EPJ0CWRIFV4ORI1h9OgM7Y6v1HRqey/nACLFu9XH0w1svUwwkw1+Jh +r5dpEaw/HK5/Uxk+rX8q6w/X/X3Uw+H4adW+1/2Ty/rDCbChZZt0Wk4O6w8n +QPGBn55ql/M46uFw/T/nB1fOSGH94XB93FWpjf4WzDycAHsnz4xRirrL+sMJ +EGLudUz/WiFHPVz391MPh/kje9SLTZ53OerhcLytW2bPjktkHg7HS1klsqgO +OOrhsH5oCPKy3p/CUQ8nQOvwsHYdqRSOejg83638eKZd3515ONwP5zjvduzn +yTwc1iOaMY9UA7M46uEEEPR12v0Dwjnq4bCeNk9tMWtJYx4O9wP9AJXltqEc +9XD/5+8j//NwOD7+g6fHZ2dx1MPheO4prFkxK4l5OKy3by0NmbAtkaMeToBj +44eZ1urf56iHE0Dxu6KcJx/HUQ+H89MTGtc9TuWoh+v+Purh8H1P3jXyp3sq +Rz2cADbpnIbiynCOejg8/8xcpy+em8Y8HJ63LRT3dK4u5KiHE6Bg9KZePmvz +OerhcP1+GhDgN4XjqIfD+TVW21vJ4C5HPZwA7/hxey46OjAPJ4Cm8WwTL7ts +5uHwfW86a7VqYDxHPZwAysHPYyea3+GohxNAfckPr9tfgjnq4bBevN//yhuN +cObhBEiXNiseqlLMUQ+H83+/V2TSvFCOerju56ceTgAnm2eHw7H+px6u+3Pq +4XD/85SV1TXM56iHw/l6dozSxrdijno4AUZY9eiYMyyTox6u+/eph+uOqYfD ++6v85mKRlcg8HNYb675wL7XFHPVwAkx91EdVHH+fox4O68cPSnFeSXc56uHw +PLd52KOSo9HMw3Vfn3o4rD/y1z7L2Z7MUQ8nQEB0veZizIfUw2E99a2qQv5K +Ekc9nABKldzz9c+yOerhBJiSuvZx1oZEjno4rO/yOypbdMXMwwlwAZ6WhWoA +Rz0cXv9pTYn2xHTm4brvh3o4fJ+9Fk7tv5vjqIcTQOwy7a/J8nSOejgB1vw5 +rj0w2Zd5OAH0PvRYbtm/kKMeToAt4wYPFemlcdTDdV+fejg8P4TIdaYtyuGo +h8PzGamYsnS9iHk4AZqH9Bz22DeLeThcLycmz9gQ5M48nAAqoX16XzvOMQ8n +QFlMyuPc/R4c9XBYH4RoPaxVT2YeToDM3DVh3vJZzMNh/ugaq3QoOI55OFy/ +HXzhEdN//eG675d6ODx/5z4632ISylEP1/059XD//b0radDOtAKOerj/8/fe +/3k4PG8ZdFVE/fZiHq77c+rhMD+FKVnWluVx1MMJ0G4wdcrl9kTm4XD+bTWV +Hnv9n4fDekh854X6j3COerju61EPh/uzXueS5iNJHPVwWL9vHq9TNOwuRz1c +989TD4f1Z574T2a/fI56OAGkrkdMt1fL4KiHE6DjVe6l8X9KOerhun+fejgB +NFb7rVmfns08HObPn7KjpZYnctTDCRDbNinqZcJdjno4PG/pFxTsz07nqIcT +wP3Qy2vX5yYxD4f7p2Fy7Zj4XI56OAEy+HMqV+fncdTDCeD14rNp2ZkYjno4 +AfJOLokQa6Vy1MN13x/1cLh+1JOqYj7GMw8nwCCtv1cicX5SD4f5tFmi4tuy +JObh8PzV+cJhm14JRz0c1oMbypfneuUyD4f7zWoZafN7HEc9nADPX14SkeR0 +jno4AYZbn02OlX3CUQ+H+VbvVFpejwcc9XD4/kort7rmFHLUw+H+Msn/5UGs +F6iHw3ritOv4u31zOOrhup+HejjMN7MOu5qPEHHUw+H1clwWrDlWylEPJ8Di +TtUycyMR83ACjOn34GCrUgFHPZwAs+W+V4IgZh5OALt71i0JfZI46uHw/Oy6 +YnvxvIcc9XBYf8g02itPzuSohxNgZi+5P8bLxBz1cAK8CPN+dzrfjaMeToCN +W0tGVD7z46iHw/PvxBl5ry4GcNTDdT8P9XBY7/tNsRg06hbzcDi+Xlfc+9jG +cNTD4f70y1VLyjeUeTgBzBb/fX52RTLzcN3Xox4O509Dze8Mtacc9XC4H4cZ +CcV98jnq4fD9RJtYvDycwzwc1n/HPFUbios56uEwvxc5CE6ZyRz1cP/n773/ +83CYP0r/dtVPdmEeTgDnH+/i24ancdTDYb4pU/PVqkjhqIfD+Sk70VHtezBH +PRy+jzctPaMM8zjq4bqvTz2cAJs/Weu1aIg56uG6P6ceDveval+jKzfjmIfD +emnCwfo7W/71hxPAY/nPux/nJjMPJ8AOq0kTX7sUcNTDYT1Ya3fg3tUnHPVw +AlQfOOhT5HuXox4Oz6e2tp92v3ViHk6AwsYdE06p5nPUw/2fv/f+z8N1x9TD +CZDmHXNh1ttY5uFwvV3uKbq/O5F5OJxP9lFHBkvGc9TDdf8+9XD4/GNCHm+A +Yo56OAFcdi9csW54DEc9HJ5PJtQ/qNr0z8MJ4PNI02B4RBZHPZwA2yOys8yt +HnHUw2E+PJ4fUu7ylKMeTgCtmZoWd8+IOerhcP6PHfyid14eRz0crg/5qXdE +w2OZh8PPA7r2uCQlMQ8nwLr3urcXrSnkqIcT4Ouc2GNVUkkc9XB4//0lK5O/ +5jMPJ0BTy5ctmTOimIcT4GqNwfd4gyjm4fD5I59+0rqVyTycABbktffThU4c +9XBYP83+MGbbXI6jHg7fh4NXllZUOkc9nAA3b9acOlCZz1EPJ0DfybMPZsy6 +zTycAB8nHzWRmebGPByeb5THbz/akMY8HO735odumSx+ylEPJ8A1v1XzR+Dz +UQ+H+7M2N3ipbgjzcAJYx3+72l8zkXm47vdHPZwAM8aenHvh5FOOejgBxnbo +27abp3PUw2G98FGldvrEaI56OMxXGzpUHgwq4qiHE2D1n4EXXR+WctTD4X6o +ZdWvJDaLox5OgO9RFcofJ8Vy1MMJEJRpPuuL2jOOejgBTv0NKws96c08nAD7 +y6ZFz9bMYx5OgJghnQ3vLUs56uGwvrlcfNAmNo6jHu7//L33fx5OgNpTOjYj +jt3jqIcTIFf9y5uVQ8s56uFwv3y3pizEJJ95OByvUbldAzKyOOrh8Dw82WOM +yoYnHPVwmC+m7i2cbZ/DUQ+H56PAaTe6rj3jqIcTYOfZtusNGgXMwwlwts+8 +7c+eAfNwAuzqf3vX1UulzMMJsMBmgMdIowLm4QQ4kmw2Jj7nPkc9nACe9dOf +6B391x8O852qU99Ld9I56uFwPMd6xTy5ncFRD4f1M+jOkaku5KiHw+d5rGgS +/SKDox5OgLVBzd98TLw46uFwve0csdOpVyrzcAJMOvOiokH0kHk4HM+WTX7J +vtkc9XACOHxxHLZe/p+Hw3ohxX7/X6GEox4O7zcBXilNKOeohxPgdZimbwd+ +Tj0c5g8t78Fqea856uHw+9UPwdvBpRz1cFj/9sjKGeaRz1EPJ0CU3N2r1h8y +OerhMF7maHf1bz5HPZwAl78cXTP7UQBHPRzuF6OCeiunZzAPJ8C9az8PaSSH +ctTD4Xo7f8eAv3SXeTg8f/WPVdgX+oyjHg7Pl1s+9rRp8uaohxPgkuWcrEMu +hRz1cAJ0DQzJm+2WzTwc1kO64xrnVdzjqIcTYNuHrZe/3hMzD4fr9fk8j+R9 +iczD4fNu8xh59lQu83C4/z9yHObqlc1RDyfA1qk7onuZ5HHUw+F4rd87OMwi +h3k4AZZGhncNtC9iHg7P1/FmJz6UP+aoh8N8t/dO6IpjEczDda8H6uEE4E/1 +q7Z0KuSoh8P6e83KGS77c5mHw583kDCDZZnMw2E9k3Rkuun6Mo56OAEORu76 +uW1qBEc9nACWu2Qi7we95KiH6/4+6uFw/auXnjuP75N6OMw/T63tJymVc9TD +CZBaMStuAinmqIfDfKdsbGCuImYeDuuFBY/Gp80u46iHw/z55tbW8uRE5uEE +kD35afIdhZcc9XA4Hjv9Nk40v8s8HMY/Z+77k5LOPBzmr3qttZP2ZTEPh+fh +sS7ycnwZ83ACaPdPFnW1FjAPh+s3Y+oN2f5ZzMMJ0KIw/6CCZj7zcFg/7ZrR +cHtwOfNwAoStcRwpN/0ZRz0c1td9Ez5rDg1iHk6AR58r7UzvF3HUwwnw94+p +Hbf9IUc9HNYbpy80xP1MYx5OgHllg+3EO94zDyfAqpkuYzWvPmUeDt/34vff +1xdUMA8nQHblxc/22yo56uEE6GmiXJ0Z/4CjHg7n9+b358fOqmQeDusNOa0f +01a8YR5OgJS509ZE2Sey/nAC1O83Gr7v6xvm4QRINPfXkb13n3k4nK+778+M +MnjHUQ+H78sh+nr/01nMwwlwp+r04EOGT5mHE+ChkZasYshbjno4nH9S6yum +vErnqIfD8/FlQe5BQSLzcPi+fAdq+xXlsf5wArzc3XOAiXch83ACiCSMZnau +KWb94fDnb0++aNXmxvrDCXDc1+CX08V3HPVwApj6fA/cMKmMox4O6yUJuzfD +4t4xD4frM2PClE36/zwcnu8sv7n3e1XDPBzuB15/A05aP+WohxPAddS+DWce +lDMPJ8DAAUvV7PbXMA8ngGSB78iVKtXMw+H+/KRhysx1WczD4fOO3mM3vl8l +83ACDPC5UDrBPImjHg7PH/1vKITKe7P+cJjfXEbpyPWvYR5OgAZpGdvwY3XM +w+F+8vSX1tqAao56OAECymMmOL9+yDwcXk/tw7y4eZnMw2G9vN1SZfSTx8zD +CXB7QbHt67Zw1h8O7/9br7udL/xZfzisb7wzjkUceco8nADT1S5PeKvzgaMe +Dn8+4InVbvu7zMPh+W2pl7nHtSqOerju/EA9HNazO8n92pUVrD8cnv+zdQbk +Fr1jHk6ANyrj9pRU3WMeDusvhWMTKqXzmYfD87XsN32r+mfMwwnwYP7cRdv6 +vOWohxOgqGlz73EXXjAPh+dls2tWM05+56iHE0BQ2RXff91njno4nE8KZ2Q1 +7MuYh8P6MPHYO68en5iHw/HtFe29s+o9Rz0crr+4zDXDv1YwD4f1vL9CgPfA +Vxz1cN3PSz0cvv+Dvn8UFj5m/eG6P6ceDuen/W7DM8OLWH84rDcUPx07fu8x +83ACXJ/Wq98Y/QaOejh8H3omyb+mfWMeDvONfyVX2NXAPByez4c8tVDdUsw8 +HOZXD/nELsNKjno4AXwdHYpGdzxh/eGaIXp91++xzfdYf7hmmL50bcG7kHrm +4ZrhhVfEohXV7zjq4ZrBOT1LpHznE/NwzeDq7Jgme72O/XupzfBgVHuH7Mlq +1h+uGczGKgUaO71gHq4Z/FrCuaUu+aw/XDPExjivcEqLYR4O74fPVAj/0Mw8 +XDOsM0pa5NoqMA/XDGvjbb1C7N6x/nDNsOp5wOrq4gTWH64Z1CeZvV9r+p79 +e6nNcKBjXdkUn+fMwzXDs1kr4k1Cq5iHa4Zv9zRKG55ms/5wzXD4Q712+8gW +jnq4Zqi19Zhf/bOOox6uGUzEazUC+vxhHq4Zjo+eflAh8xbrD9cMRiNr5E+3 +1DAP1wzWNy44rJjUyjxcMxTvHPfpyLCfHPVwzTBwxbNtLb155uGawT+kZl7P +OW3MwzVDuZJk9Zo9bczDNUPS2TLbE/c+Mw/XDIFXG5bFzqhlHq4ZlkxSyKos +FZiHa4Yc/0KpyGsSOdTDNcP9P3N1k/o2Mg/XDDLNftZ5hwX276U2Q3vu4U9n +kyVzqIdrhvGKD5dcvVjG/r3UZvhkofS+U5pn/15qM0T2LpEtiPjG+sPh+BVV +10X96JVDPVwzvL+ZaDNB3M76wzWD+SvDnnMi+uZQD9cM135slX6v2cw8XDNM +SS9fq/PwL/NwzTDYqaLndtNBOdTDNUP1V9JmvL2R9YdrhsawVeu8K3rmUA+H +1/84JPvCOPkc6uGaodfjaLVcF9kc6uGawfHsefs0pWfMwzXDtG/zJ88+LalK +PVwzdLy/N8/DRVaVerhmuLwhdcUrNYlc6uGawXRicu7I9RK5/w8qDbPw + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Hue[0.1421359549995791, 0.6, 0.6], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + GrayLevel[0], + Dashing[{Small, Small}]], + Line[{{-1.3, 0.}, {0.1, 0.}}]}, "Charting`Private`Tag#3"]}}, + "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + ListPlot, "GroupHighlight" -> False|>|>]]& )[<| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{-1.25, -0.85}, {-0.25, 1.15}}, + "Frame" -> {{True, True}, {True, True}}, + "AxesOrigin" -> {-0.8500000000000019, 0}, + "ImageSize" -> {140, 140/GoldenRatio}, "Axes" -> {False, False}, + "LabelStyle" -> Directive[ + GrayLevel[0], FontFamily -> "Times", FontSize -> 10], + "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + GrayLevel[0], + Dashing[{Small, Small}]], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + GrayLevel[0]], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, "Primitives" -> {{{}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJw0mnk0Vt/bhw1JRQMVSUgZEoW+RSVuc5KQJKWIUEkiGYqiRJIiRGVKyFAy +z8M2z/M8z1Q45zw0h3r3b63z/mVd63mc5+zpvj97rUvY4oaBFQsTE9NeDiam +//197M8s/IOFQDIrpuau6W+E/2d55qXp//G1qS29NawEGs9+z3P1Ai+cUJIJ +eLWCQJGTzoI1jfwgHXZU8xobgb7PGNw4rLcduBimy0dWEmiwTvuVzJcd8FXL +OWsdO4EqykxcFbNEoSvm6bVRzIaeWk7Gabsg90/cjoxVBLrgduGH65AkvD5V +1Oe1mkCCJfZmoQrS4P6hI/D0GgKdHmCsMrSSBVO22aPiHATKuK+TY6DxHyib +svz7hRm2r5guVzwAO3L5cuo5CdQ1s0njja48rNggez1iLYEmzJU9pl0OwfQV +LRG7dQQyOsQJz/MVoLbMbADWE8gbyvdEbFSC5K0uQVwbCMS3I+82s5oy+Ds+ +OzaBufKfY/hFXxW40RjPlM1FoFfuI7pf+lThpGhxrg83gXLlxa1fyavDf/c6 +7Yw3EmhrdF+GXYwGbO6ZFd29iUAHJqbZLvMehZ/SrEOLmJ/OvJH2fKUFfb5b +Q5o2E+icRuG/XHFtKByTPR7Ng9dD+LgGZ/lxiDx8jMWBl0CpR2qa71w5AR7B +F/NVtxBIeoDdhplfD8znXOw38eHxn9+50GyrD+oaAeLTmI/fW88mbnASxKLe +DeduJVBWWHXHI0UDWPWz+MVjfgLphv5UY+w9BTN6XTom2/B8crAomIkZQmPi +HOseAQKJiP+I7Nh5Gj4yryj8i9krNeSkjrgRBJ7jv9kqiMezrHu4VuYM3Mzc +J/FWCM/XN80jmsrGYMipPeq4nUB3lnUVqk6fBTkr8zANYQKxs8xsVXc4B3wl +rrq8OwjUcul4SVmQCSzyBLJ9wSz/y2iVYsF5GLqRUFSwk0BMLDEDWZ8uAKot +cfQXIZCqpN3WXfxmECPcvdtUlEBF6GyH9u6L4HWHGJMWIxDaxyH7t+4iWHWs +eMUsTqB2nR+hKbbmcFRqm34H5oGNUU7G3BYg4f0fe/wuvN4ZFxT/FlgAx7B2 +ibMEgXScrphGWV8CUs7CSWs3Hm9NndbBzZbQGnBbaqskgaxlrGwbqi0h43Pg +xCzm7fo/Dp5xs4IQlcTXxVIEGh3cIDiwzxqcX6OTAXsINBW9/YkRYQ3GX7tX +me8lUMjNq1Rt8mU4rEOifdL4+QrsBbLXrsC2eDaXFTL481nibODeq/B3edve +bswcbl8UJ79dhVGj/VMJsgSKmo7slCqxgYrU4xG39xEoVOqR/1W/axC/6tKp +4//h83nsd8ers7bwyPzOGoH9BJKT385XLHkdrhY8LyMxi0//q2tnsoPjG5Nc +Sw8QSEvplmF/rx3ssS2VDpLD+2WrrFhH5g1YX9UzfUmeQIE7zI2GtexhXoCK +PHAQ79fCqt3H8+2hw3nlafZDBHokMVktLekA2S0CnH2Ykazm2JMoB3i560BF +8mECDT8xlzHbeBPu3Ne5465AIL/RMJukxzfhfP8lWd0jBPpZI6dpw+wISv+5 +fRZSJNDDWpfbMXccYbt/UPQ8Zu3TngW63x2BZSrJqEKJQNmN5sjB4RZMKpat +fQEEEnDhU1pD3YLq0N5Ka2UCmXoHzvHaOUEiRbkdVCGQ/foIz9ekE/hpsf+3 +RpVA/7iXU5/bO8P1GMGZAcwcNy/KLH91Br0/B2JS1Ah0d940d9DVBWRPnTD2 +UCdQjfzdP9L/XGDjB8v1JzUIdK9BMvWHjyt8X+FevUOTQItcNX4yG25Dz4Xg +u98wfz0Rpjr0+jbk5yTvrz6K61dU65MlsTsQvr58NkwL7/fFIP5nWXfg7pW+ +t1ePEejloZl3z9XcwKyMcVZBm0AzzM3jKzvdQGXrKq61xwmU1yX8kLByBxFH +odphzFs4skHjtzusbJTzSNMh0OcG1fq1z+7CZxFduQcnCGS36Wavpsg9qL9r +RZzSJRBLRpcgo/AefOh2jxPVI1CaytzJ1ac94Jl0iMlPzCN7t4sFUR5g7/ue +u06fQEu9E+JVGp5gMFZe9/okgXwuB5W5hnjC/sP9nrYGBFJO3lcwMeEJPMHz +8kqn8HmybZtl238ffs+uotYbEqjX1EFm5OF9GFDf/m4M8xg3h8WN7vtQHCl/ +IfM0no+//udydj2A6B+6m7yN8H5wGfia6fYA7utZNxidwfsvt5X9SssDuJR4 +98EuYwKxdR+xb9/pBRrMLw79wSzfOLXyq6sXiJ/7wGg4S6AAh0fxdc1esDqz +IiHyHK4P7h2iRqIPYZZjwPSGCYEueTqYv3R/CM2WC5tVzuPPqb+ygZ0PIa14 +dRP3BQIV8qx3UNzjDUE8wg8nMYdvl/wV7eMNt24cVMgxJRDJ1f4md9QbjGr1 +Fh6ZEciq3lX9oYIPHBS+nHT2IoHiWf2K2MJ8YOudexclzQn0Qd96XOGrDyy1 +v+BdxiwmYBkgpv8IhiVTmpstCNT4oSWiKuURlD6s9H5zCdevrbMTWzh9IXZo +4MhNSwINGZOK26/5grfc169qVgTaL83+cKDeFy4HrHm/2ZpAmgpnA7QlH8Ox +z8IWnzA7UssHr/s/BkmVQ3z5lwl0JmjFOTXyMax9rd/qdwX/vtLNhkZ9P6AW +Lj86f5VAa0ZVrFdn+UHbcQ+lvTb4/Mpbsv7mfQKZcaHf/2Hu6kJu4e5P4MVy +yoe2awRSF5BNZIw9AVejqkuxtrjfKfuZ/zrqD+dSB7c6XSfQTdVgz4yP/nBk +1bc2TTsCxX1bPSzI8xQEzTkeb7lBoLc/YwwU7z0FpoIdyjOYu/NX5K799BTG +uQ//LLQn0FqXtokA/WdQee3kx6cOBOqQj0yvKHgG7yqvWJndxPupdeFXomgA ++Ap4bpN1xP163CAIngeAjXNYB8stAjn0/Gf0aDkATrR89OvE3LLguU75QCBI +76pWeedEoBU+ASObbAOB6/7QLxdnArk8dlijGhsIX/u+pR5zIZB/1Qm3mv5A +6NrHeZnfFdfTaQ3BeO7nkPtkpyCBOcDdeaRf+zm8mjzcVXKbQHV/fiRf8XoO +booG/oF3CPQut89Wt+g5XAi9qmbhhudrTpLL//tzAMrzz3/uBDqU9d1LWDoI +dmi9TGe7SyCVtYfT110NghUxqVd6MP/o/vHwVGwQTP+uFkq6h+tfu8gsNRQE +tQbD3Xc8cB56m9sysSUYkt9/f6rjSaC5ukhBacNg8F+xVkPwPoH0Ukor2gKC +we6CyBKFmf35ivcNDcGgn6OQWfaAQJmvtEq3rQqBfetP2QR7Eai8wZqqVA+B +TVdshK0e4n7AsV+k8n4I/Cy93yvnTSBefk/trSgE+vheBazyIVBO5GbdmqUQ +KLyZptmPuWtjwdaGwy8gsqFm+f0jvN6DeyJEb78AD5GRrLu+BLp2QKqkO/cF +mN/9cU3vMR7voWu2wz9egFr32p3CfgSqGg0POiIXCqLSov0LmNuYbbbNO4UC +u++R55VP8PnWc/++mB0KX0ZPaYX6E8jJ+NbqCz9CofHQtX+Xn+J6/phU2yQf +Bh+DHuQcekYgqd0PAoVdwyBw9tV1jgACPTAt63+YHwY31dNFhjAPih5ap7QY +BoaRtQMfA/H8nXPgOaH4EuR+jAR5PifQ1cy54XSPl7BF7+cxgyA8P2jXKdvy +l/AnYR2zSDD+/5lIU3e2VzDIJJb3HXPTbTQ3pvUKSs4q3qgJIdDzJ4ufI/xf +QUyGodirFwRq2FKolNL6Crw4bIdsQgl0+6t5H/fm12Bl6RVyJAznr2rduKaz +r+Fo8evj614S6DLPgN9Q1GuQ4MlgGcXMCSauqpOvgeNGXX76KwJdcRI0XpII +B6Jm1N7rNd5f1XabOezDoWX7L/HT4QSS5HkebpcTDum314+IReD1FK7qElsO +h+B2sdBfmG/f0Uj9Tz0CnCWVTtRH4nzpf3TjiycRYPzw9IqIqP/lwcU57Y4I +ODxkW3g9GueHTH/x0/yRsE3u4U14QyCb5u0fMy9Fwt9n4RJcMbheJvdes/4Q +CaOfMkbHMZNjLcevf4+EcuX6sKy3BPIY33aoVikK4l6N6frE4v7KaBV08Y0C +n4VfbMZxBNq089en2+1RcOX4hmKJeNzfrrzyaN0WDcfjxG8tYnZ8kNN653I0 +7FlWkmx6h/MDm061a0Y0rDcyGo9KwP3t/Mnj9cvRMP/x+iv7RFyPzM/H54q8 +gQ52b33VJJxn4nN7MrXfQPbFCPZNyQRadW1vRp/9GwjLzyyZwsy2bt9uibA3 +cJu7wSn3PYEKDGQPvC1+AybXxqUef8D1w/plrfrkG1Cs/D1xLoVAv0db+zZw +xICQAFe41EcC9ZeqmK7cFwMszrsM/mIet9M7Lno2BiabYXVrKs7rRSah1z1j +oFr8TGlMGq6P41X7RxJiINHTzsUxHe9/rxn+Oy0x4NfnvVcjg0Cvk3eqKP2M +Adt9kVM8mThv1dW+khR6C7pPsiI+YzYp3MuvevQtyEw2nCrIIlBseULB/Rtv +gVtxYo1/NoE+Pfa6PhP2Fr69+FN2IQev7871Eu6lb6GH5LotnUug+lUvxuW+ +vIX8oxIyzHkE8uS/ELCVOxbC3yh/ascsGPdBRFwhFu7+PhMVl4/7vUF12HnL +WDAzuHHauQCvt+LMUMHTWFB578OpVUig0onTcyq5sbBzRVQFXxHOQxLqefOj +scB2IfvOLObYc4Rc/Zo4+JTdKFtc/L98+OhCw/44qFs3+flZCYHWp6oLfzeN +gw+XF6MvIpwnbYxcjj6Og2el3Gf2leJ+qjJjWJ4ZB/Z8u9etKCPQHk/JVKvh +ODC4qVLVhXlzr6qb7Op42N9g7J5QjvuhudFH0f3xwCNi/9/tCvz+rx4rqprF +wy/3RzPalbh+Wi4LPPKLh/6uqJhtVThvrmlV/5YdD0V7c4xJzKuOCCQ8HouH +qEdN60urCfSR4++ho2vfwf3RyernNQQ6+9V3QerQO7h0aOnupVrc39vaqg5b +vQONoI0HDtQRyGzmS6L983cgPrt7bmU9gXZNjwQ2F7+D1eqqsb2YI9vRTcOZ +dzAbcfZccgOurzuC1Vh4E6Dpuz2XeyPOf+8v/upRS4BUXd/aE034fpcs5dNl +nwDPE6I9hJrx89GvsT+RCeDIlCs3j5mZrGDSakgAo7PNRHkL/r1ov56iXwlw +MGMqLqSVQE+iNU3OiiXCVo5lE+s2fP8QojwFDBNh6dKmjQfb8XnKclVc/SAR +hosk61d3EIgy6vLelpYIpZvV7g9g1rT4pntmOBHe2p07mNKJ5yO0/Gk+ZxI8 +rHGg7nUR6Bbvbnk1hSSw3v74nX43vj9e41b+djUJtG6/ubCjh0BK7hcjG18m +gWR77qZvmN3Cfqg11iTBWsmWhqpe3L+vZ+36+iMJKK/pB2F9+P7E5aKsIpYM +bYPLh672E4j79xbPnNPJkHlg8/zhAQL999dh0MA7GV48k0rkHMTPP39Gmyc7 +GVw+qZkNY/ZNDy9hmkqGs8omPGlDOD//XbeXe/N7UHh1s+n+MO7PCfeeamu8 +B4GFxw9PjeD3dchuS3J6D0zHYxRER/F6erlR0u/ew3hs3sIPzElXQgcGu99D +5VJLUu0Yvt9y1vmlsX+Ad6c/XXw9ju/bRR3zyQc/gO/Hv7y2Eziv7rrF1nj1 +A9iw87QoThJo2dyqYGP4B9C5uMdn/RS+jwyasT1o/AB789UVxzBvtuUb4Pn7 +ATZwn/+WMY3z9FENmXbpFFiwcXz/8BPer2whvzPNU6Crws/C6DOBZKMzJYuC +UyB321u+XV9wfrfTK5ypSoFXTvmtvzF/3rshEn6lgFtz66OGGTz+xfCqgt0f +4YL4Z6XIWfx9g1CJ8xc+Anj++243R6DVXG+KdwZ+BOE+nhRlAtdrpzPOXBUf +gXXfXktuEq+/kLGW6I+PMOWnwT+Jefs6hV1mEqlQM3G+PZsi0LG3oWvQ+VRI +PnLr8SMGgS5G/J1QD0wF/xdPlM/OE+iUwIokRkUq2JFvf+5ewP3q3QHd8p+p +oH+04OMS5iP+6ycHN6fBvjdtVs1fCRTTH+c9K50Gm35/3vbmG4G+rRNcuVY7 +DX6cZOp0+E6gWoliMxXLNOhN5n2i9gPns4Fyf997aVDAKq26+SeBMh7aPRp/ +mQYR5zV/T2NWOfD3mF5mGnhkX0jL+4X7Y8rH5uamNDBf53TZ7zc+f+cL15t/ +TgO1y/6C5//g821uyr6SNR1ES2O79iwSiPGkNbVYIB3Y+Qr9/2F2PHeM1fdg +OnxxaFdrWyLQusW/i5an0qGh/suft8v4PBwXCzG0S4eUncwZt/7ifNS7UGX0 +OB0C3Ldc1fxHoJ6R0CfX4tLBoUt6+xYmEj3SURsJQulguPdozxfMpwvECxv7 +00HukemzQmYSrbx1k4/vRzpsGXXSeMpComRH/W8uXBnw5+DTJVNWEoVaEBpf +pDJg8HlcpswKEp155bjqulYGlMwU2rCw4e8LccqxWmbAG7UO4U7MDh+Ga997 +ZMCDiJne+JUkeh/PVWgdngGW35kDXdhJdG17/cr9uRmgqct39NgqEjWPSr7b +2JEBEgkyf7euJhF19mwwG5UBHExa2XOYJ1Mca1dzZAJhbGZbsoZEqSmvYbt4 +JrSkO+8M5CDRwb65BS21TEhf86zfnJNEqmaPBr3MMiH4Uvzz/9aSyFrA50er +WyY4FRVpsa3D433DqiDzMhPObO78141ZfQdfXExWJhyym81JXE+i5afDkqJt +mcBfw2J3ZwOJStxsGguITFgW2iqqw0Wign3tHhZrsmDUVXZQgJtE1YkSytvE +s6C8TSuYwnz8gRf7Z7UsiNt9UbtsI4mUuedbqi5mgY+XC3PwJhKJnnsQnH03 +C64MPsuz3Eyib6s1dXJfZ4H2gXc35HhIJOh57Ft9bhZIPSsWW8VLIs+6l96M +zixY96lzqA+zWYzSH/GFLGDAXMj7LSQ656Cu47A+G9pfsurc5SOR1ckMp0ap +bMie38qqt5VElewB9oe0syFMe1/Bdn4S/V01Kpd3ORtuxx5zWMDc55JUruWd +DSZLF3dVbiORvii5dvZtNiiedh15IUAi/8BU7ujSbBD6GBB6WZBEI9NEveVw +NjCzJ5w4JESiXNZEmSNL2TBhVrKCYzuJIu0HFEW35kBVXlfhIOZdVg/nhA7m +QAIXcfOjMIn+VEfKSRnlgJ/Nit2eO0iUIyHMo30rB2wr+MdO7sSfN6/0vh2U +A7rb/nu5UwTvt3cadwvSckDGSVvvO2bdEx2/OFtygLvZfGWNKJ7f9XHz9kQO +fBO7XfxSjESOU8nm0xy50O0ReMtGnETMLn2q13fnQl5vguSRXSTq3rI9gO1Y +LryWReNrJfD+bbqunHo5F9z9ul+NYP6pkaZv45MLZhOEfvpuEv0Y6ciXi88F +lSNsq7wkSRSWUOG0qTIXdr7YhgylSHTH6Oo91olcYCP/cxbbQ6Ivp1KaWFny +4JPm8T2/MLdlOV/YLJwHddEWk3V7SVSq8F78oHIevP91OzxcmkS9xtJitmZ5 +8PTkc4PrMvg8SEwbpN/LgxvJiatBlkQTt9MT2KPy4CRraemGfSQaKnMQvFGc +B/vP97iMY2betTL902Ae8GSTe7P+I9EGT52zDkt58Gvtymnv/SRSPC7Mxbkt +H/qtBSLPHCDR7jyz9lyFfChC+w0l5PDz346F3jLJh6gtOhyLmHtCPIxV3fLB +0+FSeaM8idorN3AJh+eDRf2d21EHSfSb51rBhsJ8UN8ZJGN/CO//bZd0uQby +Qcw96ZPKYRL929RYvWMxH1Z3lUZtVCBRxqyLgAZ/Aczu6T09hfnAYXkdV4UC +aPKhOHOPkIjvaJ9OkUkBpI6srPRVJJHESik+LvcCeH5Q0O2cEokub1lKdo4o +AMfnB/ZJAYlYGzctzRUVwOkZnS/LmM9tU155a6gA5NUs37Qok4hM16zg+FsA +fBFuZ2JUSGQ5TkllCRbC4regdY6q+DylMSteh0IYPpFcpa5GIv5GZULuYiGU +vitz51HH+zXIXp7rfiG8/df732fM8ZynNi/FFMJDY8ZMvgaJYhYSPX+WF4J1 +OvvbJ5okkk6UdWSdLAStNUJnLxzF76v0flqIrQh2X5LbIK1FokuO7Z06YkXA +WXSihukYHn+Ghbzf0SIgN1nda8c8y82zqvdKEbRedz8Qp00ir3PxynJ+RZBZ +HTzndBzXwz81/XHvi+CF0PvYozok4ti4v3VnUxG4uJaf4ztBoii7qK2ZZBGc +bevjmsV8yqcg02BDMSjsnq8t0iVRnsfhFyz7ikHAa5XnMz0SHd7Tkld+qhj+ +DQjJX9QnkfC6XVuCnYphbL88KXsSn3fdrx8cw4qh4qluPKsBiW7WDNlb5hdD +/LTV+S7ML/8GXbAaKAZfuLsx4RSJXogV2zovF4PNy5B6V0MSNQiyvQwTKgGd ++ff3tU/j+Xfk7qtVKYG92hUHtxmRyODCE4k1liWwIbafIjBrl2/yMvEpgYXF ++XfoDInK9huMFCWWQKfhatPnxnh+vk7J720ogZyU7ZsvncXjmbX3SSVK4OXK +g437z5GoNSuoUnkDgjtmel4rTfD7hHyZHduH4EKe9eFezPx3uX8EnUYAXPfm +k87j/b82afCUKwJhmxeJbhdIdCjw6CuRcASsFR/MTpiSyO6vjyhbCYIp/koe +ITNcz5b+3vk+iqDm1kATA7Mw+bbuD3MpJDUtPCy/SKLyE78yH2wshSdia46E +mON+efPYbW7RUrjuIfzVyoJExr5mPMlypaDXezBZ/hKJuLlYfY9plcI+WX3z +1ZYk2j61WM84Wwqb/C5vGcC85z+uwehrpfBj/F7LByu8XzxX5xndLYVehVCf +e9Z4fyQkm2wOKIWCkBRF/cskSuvPrxh4UwoRROU34SskehuzOJeUUQr3NAff +f8X8rP+/To/KUrgY/dWi6iruN/mizhe6S0H115qtYTZ4P9cHt6h+LgWRkzva +rlwjUQoBQ9J/SoE9+ZDvYVsS3Ts3Gy7CWQZfWE4C53USVUQZrRIWLIMGkys/ +hjA7RakIicqUQUqWR0qqHYn6g68NyaiWQcDaMMv7N0h0f30wqBuWgYP1R/5T +9iRS++WkamZdBqdQVbuIA+7XT9rG77uWwYEtQ49/YCZMLAQ++JUBr8M35dqb +uB+cmiSHIsrgdx3Hr1eOJLLJ5DbkTS2DwR07U6/dIpHPhsRjxmVlUOJ22FrR +CeeFM6aNbzrK4E3nSYH1ziQybyR7GVNl8GDP1c5RzCLs3LZav8rA0sfzSYYL +nq+fHg8S1pSD5kiY6kNXvH8mqC3rBMph18HU36dvk4jt8hYpd+lyWPO8Ok38 +Ds4Lt1Lz5lXKYe7L0OXfmKlTNoV2huXQrPpdsMENc9yyzFfrckgL5+yOcCeR +63kBHo/b5RD8bedTu7skWlvtfpnbvxycTiioK98j0W2vZuGUqHI4885gkcsD +92P/ShW99HI49O9qxgTmMJkNlb8qyoHf+P7VbE8SXd1oEZ/UXQ7LaS+3P7pP +IiMZm3HzL+Uwsjqtx/gBibJ7e1yEl8qhzKLm2W4vEu3NOmvxeV0FxBYOayxh +zi+NjMoRrgDvTT+Wmh6S6I3BSQn//RVw5frarGhvEj3YJPzvytEK0K4Wuebg +QyI99vxtJ85VgJTQkR1qj0h04kHlvYPXK2Cd66m+Tb64fv9HCkt6VgCj1SZw +GnO8wCiLaHAFtEs8OJr3GNebCDVBsXcVkPXg1d/HfiS6a1Zmvze/AkIH0rJN +nuDx2DH/VGysANf9tbZ7/HG/F3ufYjhSAeeejuz8h3nxsNXzmwsVoDj9o7/1 +Ka6vOV1RYWyVIATrgt4+IxHn75j2ii2VwPxS9NitAJzP8u0kfkpWwgTjCJNm +IIli2UajZaESqo4Z5vI+J9EF5Vf7HA0qIeHtNbsvmEd3Kg4XWlXC48UHooVB +JHpaej2a43YlXDN8PegfTCLJu403Lfwr4URKerBpCIk+8/wxLI2uBOmVddoy +L/6Xx0JVRTMrgdtslJklFJ9/H5WDz6sr4Vvuz7wOzKyPXu1b0V8J3RvW28eH +kcg3/8jee0Ql5F0VE3d5SSL3J7Xi/5iq4HW54rDWKxK9K+3jf7SpCtz5T7/Y ++ppEmo6c7Dy7qsD0lq3OHOYNm5am3itUgXKTF2tJOIn22ahla+lVwQ6x8IKA +CJzvg90d5iyqYIVHhoN5JO4PrCq8Yc5V8Kmnbtd/USTK9JaI1fKrgjqZsZEV +0Xi+DjdzMUdVwfvHv0K7MV94XW1Wml4FT8fX6ya+IVH9zaTHPlVVcENBnO1O +DN4/nzn9T/VVwckQpaLjb3Ged/G5JE5UwX/EaUeBWBJ9ZCRzsjBXw2bN67sp +zEPu6x5ObKqGn1EPx0rjSPTptXp1w65q6PsZ/jIonkQ620dbCo5UQ5F+pp7l +O5yPDzlGpulXQ1RS/Uq5BBLtuBIgmWJZDZ4s48XsiXh9fKqc01yrwcLk960+ +zAaV8W4F/tWgnrVB6n0SrhcJSK7hTTWIrd014Z6M88e6t3HjWdWwyhpe677H ++VZqoIyprhpmSoxObv+A8+STX36iQ9XQyGu3agFzN+ejJf35avho740qUnBe ++8y90YutBp7XRTi/+Eii4BTxhkK+GnDckbXncip+H2V94cU9NXDarWHyYBqJ +4oZ4uFVUa0C+czx8TTq+f/GyvX5qVAN8e/4YDGKO64nNHrWpgUVvrjUfM3A/ +MnUzP+xRA0PDu8o8MnF/V934+nVwDSB5ZdeTWTjfOSyYMCfWQEzgGemd2SQK +ko6OtSuqAa8vdtPfMHPxRV8fb60Ba1WfyOocXM+FXHLOT9WAVnik4ctcnP/8 +398Z+l0Du79lcdjk4bz9F+VYrqsFzhON5Qr5eP2vy19e2FELZPzE7bUFePxi +Ib4+8rXQ+vePzAjm281neIV1aiHjDPfntEI8v2asG8ov1kJImkT0gyISvd7D +e+OqUy04r1YxMiwm0eNRbllev1owtjBeK1aC19vVyaAhqhYUCm9U/sTccKK4 +xTuzFgQ2PXKrQ3h/r70Sr1lbC/9so/aFl+L7S9FCy9qhWhiryv5iW0Yi+dy+ +4wPztVAh2PRGqRzn0bSXm1NX1kG8y+SZDRUkMsxMlnjMXwePWhfXjWP+LOT3 +8KpMHVyV2FidWUmipo9pO/Q16uD4g913vatwvbwRxqR4rg72DKjsP1NNooWa +nK0yN+pgw/6zs7tqSCTl7HNd4mEdLPjbv/2DOefZ3e+7XtVB59Sjs421+P3u +cqfu/VgHOUrRG6LqSKTAVhh6uKIOXobl1NyoJ5Gt8lyiTm8d3GE03VNpwPXh +zdZxS6IOzh+bOrCxkURZRL6SF0s9KL1dmpvEfExLsTCBtx62L26My2kiEYjz +GrZL1QOLoaSJbzO+rxnns7Gq1sPUB1Xucy3491hfNB46Uw81bOfqJFvxerzd +Gu9sWw9Jpg6ey5hfcBY8yb9fD09yfeVb2nA+uTbuzhJWD9c3vCHftJMoRI3F +6eSHetC7mht/s4NE3naP7N+V1YNsefN59U4SuQiOXGPuqYeN/NMbebpIVDjo +dMlirh6+Oy7Xf8K82S/3VB1zA/Q0bnqQ343zgOn6Q3K8DVAgKnXoSQ/u9zOf +1idJNUDEPTXG+V58Pja97xRWbYB7PecS9vbh+sBf7vXmTANclLlpytRPoiOh +L/hErzeA6uPHm9sxC958GpT6oAFExt80xg7g+9G3DbPwsgFWKuR5OQ2SyK/Q +R6g7pQE+B7ccPjpEol8FhlI3Kxqgfm56fsswiZ7D6NpNfQ3wQeNv4gxmR+Jp +aSHZAM+iNl8sGiGRQ2mZ8tUVjeDwU4r32SiJeDlH/LZtbYRT+urNZmO4f7pp +hXdJN8KBJBNv2XESmXJftAvRaAReFscjrBM4P+1+xHTWpBF+n/P72ol5t6ug +7k6HRhjIjEl+N4nz8wqfU199GqGYM9/cdQrntX0Ca+siGiHaqnWL9jR+XpGq +S1xGI9wv+dTC/wn3uyzDZ961jXCJ958PgTn0YZqu7XAjaNrzKKHP+PxSGVnG +3xphV92e74FfcL1dl1ekvaYJ1uzQ+GAxg/OTIf8l1e1NMHfn/KX9szg/+cnH +gVwTNHc4bl05R6KkTmt3VZ0mSJN60taDueE9y2dtiyYI8n7rm0SQqGr80oSx +axPcGs4HNxL3u7hxG9tnTWAk3/ZDhyJRyc3uO95xTXAw8HOKIAPfByRC18cV +NAH/l3+WDMz2iy6ita1NsKzCu618HtfrW21589NNMPJ6b0fwAokOuvys2r7c +BGVfNfysvpIoVVnp2OmNzRCrc0FF/hu+b1r+VgqQaAbv+Fu/Vn0n0flWt9hm +aIbLf5+k9mM+XsvruNGoGY6dibX+8INEW2cEky/YNoNkWoHAvZ8kSpccV095 +0AxrV7d36v3C528gHVheNQPD/MsT4d/4PvlmKPR8ajO0FzCpfcWsfTtLs6iq +GbI2bvlT+QfX95KHx4UHmyHUVjo9dJFE64QjYp4sNINrleaVK0t4fZKOaiyu +aoFzgqZCh5fxec7v2Gcv1AJHXJy6Of7i9eLwt5450AKCrf5PhzALZRYOXtVp +ASaJOPXUf3j/8qc8Jy1aYPx+4aInE4XM1yTfd73dAlX97RkGzBQSjVhMZA9s +gYT/Zq6KsFDI7OgMa8S7FtqHo5DYQPGzA8UttA9HoZsexRqdHS20D0chkWxp +UdeZFtqHo9AqyZSQQKZW2oejkJe2SsInjlbah6PQl3vOWiq8rbQPR6HEAjvn +yB2ttA9HodyI49JLe1ppH45Cr+z3Olw41Er7cBS6tPfg4TL1VtqHo1D5nce+ +4vqttA9Hod/vVM8FmrTSPhyFyDSvlEXrVtqHw+NRs/W8erOV9uEo1JLF39Z3 +t5X24ShUtjo9UudxK+3DUch7QXuyLKSV9uEodKaRPfbQm1bah6OQVAxbb+b7 +VtqHw+8vbnFfJreV9uEodLXicGRqeSvtw1Ho7sMPErLNrbQPR6Ef4p0i2X2t +tA9HoQTeZn+FqVbah6OQvE2OWSWjlfbh8HxPJIbrLbXSPhyFGhZKlYbY22gf +jsL5lO/o9Y1ttA9HIYFfTRn/BNtoH45Ci3t/eYTsbqN9OAoxeWR8kJRro304 +Cgnm88hXqbTRPhyFVE2UBMxPtNE+HIWMAlUv/DNuo304CmnJHPkebdlG+3AU +WleqNqFq30b7cBRKcnAQ/ezWRvtwFJIWGcoPeNRG+3AUqr8UFXUouI324fB6 +Xm1sm4pqo304Cnlsu3cyJLmN9uEoFLC+nl8jp4324SiU7FW991dZG+3DUajQ ++rFvSlMb7cNRaPTULnGrvjbah6OQQ3DeKqGpNtqHo9Bqfj3JAUYb7cPh9X7K +9PTVUhvtw+HxKIxJnlvVTvtwFNoqzLZSYFM77cNRyHXGg29cqJ324SikS1la +Jkm20z4chXYcqJm8Kd9O+3AUGrPLf6mk1k77cPj9lk7c49Rrp304Ck3PhQYP +nmunfTgK7f0T3/3Rup324Sh046m/htfNdtqHw88rMhk+e6+d9uEopKIjEr3P +r5324SjU++GH99rQdtqHo9D95fHAmZh22oej0Mc1v4vrUtppH45C13W1ON7n +t9M+HP49xojzs6p22oej0Prq5r+Obe20D0chQ4OdMSZD7bQPR6E/VbMmGl/a +aR+OQs7/DuyR/d5O+3AU+ue3fqMQcwftw1Ho2VtvjvVrO2gfjkIze+I3MfN1 +0D4chT6U35H6LtJB+3AUUo/jPjkr00H7cPh5Fa6eE0c6aB8Or1dZXt6QVgft +w1Ho6eehn32GHbQPh9f3wFeF3osdtA+H31ef1avXtoP24Sj06fmWhn7XDtqH +w+u5fIR75GEH7cNRSE7zjtFUYAftw1Eov6nvBRHRQftwFGKNtWz+mdhB+3AU +uuC18y9rdgftw1Hov37BndxlHbQPRyG+MlOFHU0dtA9HofZ/M+r7+zpoHw6f +N84GRa2pDtqHo1D/mpUipvMdtA9Hoc6mt9+dljtoH45CbN0JaQGrO2kfDtcb +A/5T7zd30j4chWK2sw7UCnfSPhweT6Ol5uc9nbQPh/tDgEbw6sOdtA9HoWyD +ZCSl2Un7cBTanxJWd9Kgk/bhKHR2kivV1bST9uFwvZnbZhdj00n7cHh9rItW +NDp30j4chTjefbX/9aCT9uHw/k2sShML6KR9ODyfAgfKjcI7aR+OQvbm6rG+ +CZ20D0chX4/vJ4syO2kfDr//Pu3GedRJ+3C4P4yrbZBo7KR9OPz+CRP8Fr2d +tA9HoXCt3UTEZCftw1FI5/CWB32MTtqHo1DXm5Re3uVO2ofD511vhjyzuov2 +4fD5rG4qf7W5i/bhKPT54BmDIeEu2oejUMVD7/Ade7toH45CGw6dibh6uIv2 +4Sh0JbjeIEOzi/bhKGTrOVS0ZNBF+3AUqg1/2qtl1kX7cBRSlumNDb3WRftw +FMqRL9g27dJF+3C4nprIqMg/7KJ9OAoNL8it9wvson04CkmsrH84HNFF+3AU +Sj8+Fb0/qYv24ShkKvHQ1D+7i/bhKHQxK6ZkqqyL9uEodCT9YIlycxftw1Go +NVjNJLK/i/bhcF7wKApenO6ifTgKvdCMtDL52kX7cBR6fm2kuehfF+3D4X4s +5N4kxNlN+3AUqlG3NXu4pZv24fD82SQ+mBHppn04vJ/adx0wkO2mfTjcH22m +bhUqdtM+HIUGOrpATLub9uFwvTs/Hxxk1E37cLj+rJC+wXSpm/bhKKR527vl +xo1u2ofD+4FvNmPUrZv24SgU9vU07ynfbtqHw/NbVfinOqSb9uEodGCJy+RI +TDftw+H5yjkhl5nSTftw+LyWXfKTLOimfTgKZbzWOh1f3U37cLg+2TNCtnd0 +0z4c7j9CekcjR7ppHw7niwPGNvxz3bQPR6FSxt/F17+6aR8O9wOQ+8PP1kP7 +cDhvlDAsorh6aB+OQm+Kt+/fIdhD+3AUsjucdy1hdw/tw+H9O5HIvle+h/bh +KLSNb5ItR62H9uEodPvLOUvQ76F9OApVb/q7vf58D+3D4fPPUXLI6GoP7cPh +80b4fZhw6qF9ONwv/A1dbj7ooX04Cn27uuYlS0AP7cPhfJEXzhkS3kP7cBR6 +f/Znu1hiD+3DUejnGMeXgqwe2oej0JoXNTr6ZT20D4fX5/KWpemmHtqHw/3o +3+y3e/09tA9HoXfp0ge2fOqhfTgKmfi0FmR87aF9OFwfDxR66TL10j4chc6J +DT6d5eylfTjcDxyFeh7z9dI+HIWcPttfkBDrpX04Cp3/VyhYv6+X9uFwv52a +3WILvbQPh8+vy+yx9Tq9tA9HoRSN+NRM417ah8P1/Rmr1lmrXtqHw/+vztjA +dLOX9uEopLjq9KrEe720D0ehY3v5pU4+6aV9ONw/CiXvLIb10j4chfitbjDe +xfXSPhzu78HN/qfSe2kfjkLaZrInmEt6aR+OQm1BHtJp9b20D0eh2aQ3shd7 +emkfjkILbW4nuSZ7aR8Ov++Xn/4VjF7ah8P17MHimPNyL+3DUahv0FZXck0f +7cPh+SVlW0d5+mgfjkLiJjJWYTv7aB+OQqFuJzj0ZPpoH45CLsZ2peyKfbQP +h/fbB5cHZcf6aB+OQhZTJ/TcjfpoHw6PV7hL5OClPtqHo1CWzDzT9xt9tA9H +oe+h3mMZ7n20D0ehTF+nSofHfbQPh+vFXGyCbGgf7cNRyP38V9+Ft320D4fH +u0PTOiu1j/bhKGSgeE/RpaiP9uHw80zucirU9dE+HN4vIyJt/7r6aB+OQg+H +Tz+uGu+jfTgKbRac3+dP9dE+HO7fvD0Np5b6aB+OQvd+fjq5bXU/7cNRSCac +tWJqcz/tw+H8E7xaIG1HP+3D4Twi0nfBTbqf9uEotFHtpNfRI/20D4fz3Ev9 +J5uO9dM+HIWeeGY7jp/up304nDdXmR5Ot+infThcPxbEhzxv9NM+HD5/6Yyz +J937aR+OQo7XX3zc8bif9uEo9PXvQu+3F/20D4f709ep7pq3/bQPR6F9ftrv +wlP7aR8O96ucJXX7on7ah8P9XrA3TaOun/bh8P2HP+8Tf3c/7cNR6FaBy6eF +8X7ah6OQcMF8Sj3VT/twFNryjOlQ7FI/7cPh9Tp/z8d99QDtw+HfZ9IIMeIZ +oH04vN/Y9l6U3TlA+3AUGkzh/swpM0D7cPg+QDRIfDkyQPtwuJ7b7ttZfWyA +9uFwffARbI81GqB9ONyftK/sf3BpgPbhcH12/3TU3H6A9uHwfSnYcY3K3QHa +h6PQpvyJ28J+A7QPR6GJxnUhLGEDtA9HIX3zBqPJ2AHah6PQLzyH1WkDtA+H +91P/yaak4gHah8P7/UqN+9P6AdqHw/fLetFGh54B2ofD68mjmm80OUD7cLjf +bplXOTI/QPtw+L6tz2654+8A7cPh/DhvLLCaY5D24ShUNZl/jcE7SPtwFILq +ZZ1ekUHah6PQg08/S0plB2kfjkLGum4oSWmQ9uEotDP7nE7w8UHah8P3fb8r +l+4aD9I+HJ7fr84sV6wGaR+OQsvVZ8RP3RykfTh8Hw0ebFHyGKR9ODz+V92/ +d/sP0j4czn9tPLG8rwZpHw7ny+nrdSveDdI+HF7fwx9sFjIGaR8Ov89EzMNR +NEj7cBSaTxbc3NI4SPtw+H7AvcBX0jdI+3A4L+jNBqZMD9I+HO5nOn0ukV8H +aR+OQv5Jfo1PmYZoHw6vd1GT/721Q7QPh/dvgH3Oja1DtA+H6/GE8lFz8SHa +h8PrvZdT8dT+IdqHw/kpPPqFhsoQ7cPh9eCo1T2oO0T7cPi+wmNgLWkyRPtw +FOJctaZf8MoQ7cPhej5emMDtNET7cLg+mv3XtPLBEO3D4f4+q6C1+GyI9uHw +fCW/4WGED9E+HIV+u0rJTSUO0T4czh9TSXH92UO0D0ehx3ITZq3lQ7QPh/v/ +1bdW1S1DtA+H+5VMembR4BDtw+H8+a33aOaXIdqHw/2ve1wo+ccQ7cNRKPV9 +2KEY1mHah6OQNVNp0MsNw7QPh+sTr4hEoMAw7cNRqHL2yZ9Hu4dpH45CllJo +haf8MO3DUahe2VvDVX2Y9uHw9zXjC+xPDtM+HIWWuKfNr5oO0z4chRZl1h2y +uDZM+3AUUpuYUDRxHaZ9OLyfd+6xM/Qepn04PL8xqTUngoZpH45CVtUyWkej +h2kfjkLMaU6E8odh2oejUNN+tezD+cO0D0eho+MXIvdXD0P+DO8GTiYGOrb8 +OHZvxzDsDoudvYVZ4MGTql2jwxCuJl0zhPmpiBjzTmIYOBkFbzWZGYihJ2Ao +8GcY7kZo3kvFrCi+v4iXfQRIrfazW1gYiNd7jzz3phEw+37hwH3MY4sN5ZzC +I9Aa82XDDOb6rrbz7HtHQEXXac6AlYFGNjGzsiiMQMYfptpCzPyO/JlLR0dg +Z4J/rMgKBnKxGLf5aTgCIae2eDzF/JuTd9eC+QiwMcWd+4G5yvDO5zm7EXD+ +IC1nxsZAUp2NSZ/cRuCTcSFXLebSoear474jYMx2lJBZyUCm7spiQy9GoD69 +vfYVZg3HscGetyOgYGoax8LOQDuUHJ60p47AhzUzHtcwC3OlSjcVjYBArpNJ +J2bmvrM1NXUj8OwSs7ziKgYSUpDRL+8egX/rn3K/w+z/glFTNDEC9kVbyHWr +GWjLP2OpXMYIjF2Jq3PBbHNU3C19eQQMNsvEj2L2duPsO802ChVlhZ7H1jBQ +YYLTp3aOUdhvd/R8BuaX8Smf9LhHIX5rhzw/BwN9bg7qbdwyCjw1phsfYl53 +jjX/mNAoPHKcIecws50belQtOgq/hJzrT3My0I2Sv2pqUqNwtZH5XQnm8FMa +c2jfKPS7Pr0vvpaBAsqfeRw5NArHRfkuBGJe/zxvKQ9Goagt7uBvzO+E31gc +0ByFPfdkNlmsY6D0zB1p6TqjELW7iKrHbHlJYHLPqVHY0HO04b/1DNQlcX05 +6ewo3PfqeBeBmW2I/CN6cRQWpM0esG1goC/SHgMx1qNwaXDmgh1m+4w/kQLX +R6HT1/lQD+bnbYoqrxxHQeMAy2ZlLgbS7RGp3HRnFHLGnjISMVfMPBEN9BwF +8Wd8jVzcDCSxQc+S49EovDwcn3AH88QubQ+fp6Ow+pOM1wRm36/GTswho3An +uMhUZyMDfeI4o+H+ehRmQetwNuZNs0LkjzejcH6uY7PgJgZy+PTMziFhFJpe +ms37YM7d71E1mzIKShqzjRTmpYmGeausUUidd0403sxAZRN6CyMFo7A9iuVh +Gebf/6aqzpaNwnPtZ2a7eRiIe/zKtY6aUWD5yacQjPnvhswxneZRcIyN51nC +rDQfJV7dOQpTerILlrx4fipXHoGBUTBaKmpqwpz3vlIgb2wUahK1kuS24PWO +zWiQ+TwKB093PozGzOKTrJ5EjkIS88WLq/gY6Mpan0fC30dh68dZBQfMvS2C +wa8WR+HJORfefsxIRdeai2UMllayflXdykDm2cQ/31VjcD3zWfN7zJPnuk2Z +1o/BsNnW5E38DHRReey+y+Yx0ON8530X8/DJT5dJ/jEozZM1n8Z87WzZeqsd +YyBrVXxEbxsDCf476jqwawzech3bkodZuO7Um5PSY7CxpPPrdgEGKuIv9qk5 +MAYPbS62PMZ88dD53YpHxuA7z1zyAuYU1dV+GapjYF3h4mMiyED35SLixI+N +Qc8NVotKzH/n51wj9MZAa1uA4h4hBnoh08HGZTQGBbVb+UIxc/mLaXufHwNJ +p3ff/mKOcS9R/20xBhHC+1ovb2egUeOb32yvjsHa5uL3rZjHT/MZj94Yg3t3 +jj06JMxACo/87E45jwEl1mXxFvPakQi5avcxuNhxUYljB+a94qkHvcagzWOO +7xbmkJ1MPcmPx0BVyvX7IObYE38/bAscg8xe1jaNnQw0v+WX9LPQMRDxDvjw +EfNCa4Xpv4gxeCHL78srwkDT0fIH7WPHYOXwu0uemEefSRSOJo2Bi98++IK5 +3fvGtH7aGHyWK9lqIMpAocdH8kpzxuDsxLEfBZh/e+vKyhSPQX1AV9tOMQaq +zg/Sja4YA4Uj5in+mNu1769fVz8GHz7P+X7HzOpO3XRvHQOBF66WpuK4Ht7I +cJnpHoMAlRXKNZjXi0VvMx4aAyYygF9mFwM5e/pdrJoYA4fX/D9fYpZVOqa6 +b2YMxjUT2pklGEjsb2ppFGMMTn3d99EG8zOl4P41P8egMrrkcQdmpgs1z5yX +x+CAjrbVkd0MdC90++AY6zi8+9WlHI/Zjd2xTGfNOPDGm29bJ8lAs++DFHM3 +jIPvSeKnM+YTZqf0hHnH4feya8cI5vRB3+9+AuNgk7wiVUsK95OSldLfdo7D +gFGgXzrm7x5v/pzfPQ46rNust+5hIM07EmeqZMahODVBxQtz0Kdbanvkx2Hv ++f8E5jDfHjtdEqI4DtGr0C/DvQzkZB5dvag2DhuytTuLMY8ri5hYaI/DffPu +VDFpBlpzKPdOrf44LKy1eBKAWXZit8jeM+NgWUBY/8IcnXfSKPjCOHRZ31Y1 +l2GgNO6/639dGgfNjWyC9Zi7d7Pon7cZh1wU+HufLB7PVpnNpfbjsMt2W1c4 +5nWDZ013uozDqy2JaSv24XqjdXK3z91xWFP1n/91zB38n10+e42DmwO63I1Z +haI0tP3GYU7guBr8x0CGSoeD3weOw4X6bsFEzI8tX5pyho1Ds7PFnw37cX2O +HHhnGzkOsJPsuo05bWWXTWPsOKS13E4fxywWcTpJMnkchN3Znh4/wEADCnvN +/dLGIWjX8ytZmAXjpJ9/zhkH1q5t6gJyOA847j6oWTwOt+4nCvlg5pGkDGMr +xmFqz/5FEjP/bt3pf3XjYNSPus/IM9DlDN5Zk9ZxqPE5nlGKWX2a3yK3exwO +/dfzVOIgAxG1u7W5h8YhecTiahDml0qb3tpOjAO/P6m+iNmHM/FK9Zdx8D94 +Z7vlIQbi7MuOEGKMw/Ik21Ij5ijJtYquP8bB7vnzngOH8XmyvavVujQOI4oC +mVGY06a7isVZJ0B/JvEZuwIDyVROhd9bPQFloftt7DF3c7mPda6fgH1qpRp9 +mJ2LLz/dzTMBsdRxYdUjDHQq1CXKY9sEbIroWUrGvPPEnY2dOybAW+tS70ZF +BhK9pTQrLjEBP76Rme6Yd3kEibhJT8DlmDsBU5jDKtRLmg5MQO+Jldd0lXBe +cN2ZK3RkAo79ea6Zi7kzZ2mtg+oEFLwT2LEd8Hm9HVVWpjUBkqeSln0xe4R3 +t3LpTUDEv/1985h9B28eNj89AWs/lGadU8b9clB5OdVkAjyMdQIrMH/btm7L +X/MJYKzovSalwkDxLImPj1+ZAPP0S0dfYI7sGNB/aTcB7ReoHX8xy+c5207e +mgC1NW5/rVUZ6E+BxoC02wRk5azsb8GcULs54s79CRC9FJR9UI2B1NIyUyof +TUDoesHnMZglds6tW/dsAtiLkmzXqDPQ5uwnJUYhE+B65YCWI2aZQdPCqNcT +8GVT2c5BzELzsium30zAuTKdf+oauH5Lt4VJJUxAw/Xe/hTM9ZmrnG6mTMCR +rZY5PJoMlOkR9zo3cwJSqqnnHpg/OdmzL+VPgKCj2/XPmJXOHyyA0gkIEGI/ +dvIoA5kN1KQ/qJ4ApsYgkQLM9fdm5iobJ8DBVZBppxbut1nXbFZ2TMC4SPLA +E8x8LTwSR/smwLDtQO43zEXMueKPRiag6m5Z0IVjuJ5Y8lpVT02A3O4TdtWY +tedZRlfMTUBCd+8xaW183nzUw1QXJmCLl6XoS8zLk+8ee/yagMfSDCbm47i+ +pX3LLvw7AX8G3AavYqYcfm39uWISrvmy57Vj5gh2z5XlmITB/cHBCjp4vBbH +/a5xTcKJMcEbcZhHuKWD4ngnoeRpsvbaEzi/5M83DQpMgvRhOTFnzK66F45s +EpmEN9NlzCOYh1IVBrV3TwJX8Imho7oM1BRp9MFTZhIeQF9eGuY3RS7vs+Um +4eusZQifHgN5hVzp/XJkEixfMm48wPz4+YKsgNokdKm7H5/FXMg6kqN3bBI0 +59nFDfVxvRD6aXVfbxJyI4NZijF/WmRVyjg9CRLaQsOiJ/H9ILRZcdxkEl7/ +SM5/hvlls5All8UkcMTKvfiJ+e3V0lS4MgnueuX2Fw0Y6MyG20LX7SaBWDyh +U4eZU58749WtSTBN7BPfd4qBHrw2tK66Mwkthlas4Zi/aqxSYHhOgjLz/DCr +Ic4bT7/v3fpoEtJT3AtsMdsETCqrPZ2EHedWhXZhbtaPvHEteBKCV4Y4KJ1m +oJUFn/KDXk3CikyhEwmYA18+EcyPngQns/e7NhjhvBSlHzEcPwnTHPIrbmOu +ufRXlvXDJJzJKx8Zw9xx6fywWMYk1FrqFmqfwf2Tad+bY3mTcIirPzQTc949 +TedrJZOQXGx1c5sxA0lzmJv5V04Cv838CW/MK3K0zn2onwR/nrsSJObVTpVW +Da2T8Ld8FduZs3j/7gz3/NI9CTduhIwizL73g5NWDk3CKP/2ol3nGOjWgVsj +OyYm4WTt+7DnmEPrWYWVvkxC+S15xz+Y59JXXTemJuE/4QrdSyb4fqZ6rNzh ++yTENenubsRslftEyG9xEjbf6Wc7cB73u/9CvWKYp8BHzHosErMt2kvksk/B +z/b5opUXGKjkKL9J09opuOJx9+UNzA/fCDeObZyCPsnVt3oxX/Fih+98U6Dd +G6KnYorrY2BQBvv2KSh8uF0yGfNzHV9RPrEpkJL9sHKjGT5fIh9DJaSmIHJI +ftwNM2lTt+LQvilY51dRPIm56ky03dGDU+Ahp/fqxEV8vtd8bzdUmgLGeP+t +HMx1jwJkzNWnwDzAWl/InIHOFv3nY6s9BR0KC5K+mJ3uvGt31p8C9c932ecx +a7p92ORpNAXZIasnzlow0HvTNcd9z0+BmMqLknLMx94/vBVgMQVhxPbXkpcY +6HXzSMCLK1Ow6vUHpxDMLAKj4a/tpuC25sGTy5gH2o6FRd2agpmFCilrS7w+ +68c8Y+5MgUm03qoWzI9Grp6J9ZyCxuMDE/JW+HztS+SL85kCxV/W6A3mi6Nm +1bH+U/AxbuH1amsGOp1oeP5t0BQInbznfBPzgox2f/TLKQhcXm0wgPnIqhUQ +ETUFzMkv9qhfZqD8WI3HYXFTcNNIeHUKZs+L7bnPk6dggiVlcvMV3A+8rtf5 +pU2BYerB0nuYXx7sL3qQMwVVJpXhn/7HIQOBt4umQG6Vvov+VXyfC96vfqN8 +ChKzBgzyMf/Zkth1qXYK+Mwv791hw0DG87/UzjRPgd/ar6ufYPZr/fL0WOcU +LObfm/qKudBAMeNw/xTYWq8pO3+NgY4vZafuHp2CIe7QiCrMQ4d4vPmmp0AX +CbvutcX3h4vbZdnnpgBdSzkVhtk4JTTj6/wUyGw5JM10Hed/TYVVIz+nIKay +cs1VzPqHW2XqlqeA20F/ug1zqwevZAbrNHgJDJYdtsO//6P9+6vV0/Ct7nJk +LOagy5UBnuunwcr5qyvnDQZK9kr5abV5Grp3eBg6YVad0pPS5p+Goy1rZIYx +h6y/LrVHeBry3EI5jtrj/mBX/WOd+DRI7NrxKRVzsrGAHyU1Da87U8q3ODCQ +I8d/U837poHj/qGo+5jFU2tXphycBvc9VbdnMLOcjiYeK00D2ad/+tRNfL/5 +6fLSSn0azHwGZYow67ptXKmsPQ2t+65wijri/JKy7wCf/jSojHz99BTzkkao +yPzpach44lHxA7P71N/2GpNp2HmQI9rsFn4+7x6INJ+GkMnQO7WYT+iNXXa4 +PA1sz3cYyTrhekQMHFf/vxruOy7H94sDuL1HCNkko6ykUNKlkJlNsvceWVF2 +KBKSTaGSijRIEjqpNLWX9n7mffPc9xPJyO/8Xs/5/vl5+arnvu7rOtc5vu/X +s1fCHKaGjL+PublpmbznIQmTyk07t3TA/bXVf5rkmIStvP1JthtzuUf9gten +JCzFanFCHuZWH916nDsvYWbfSh9NPYr/vXTElYVuEvb8wY7jTzE/qroc3ddD +wvrPrl/R9Rj294cd7tfekrAr9aeNjmG+tjhg1IsHEtb0uGOXKsxHtMv3HPaR +sP02d+RzHHH/TC9eYxYgYZWNup9eYhYXrv7VFCxhi5+GPO7nhP3arcEz4l5K +WPwSsxPnMb9dLZ98PkrCjP99suUxd8g7XDgjRsL8ny+esOI41tt+zgNbJUhY +r5VlXQBzxylZneJSJMy11U7FiBM4nwwb6HMqU8J+htV/8sA8JNqg2DRfwnau +PePTiLlnfESkuljCitt3OrnppArMfjiZBFdK2LzIOyvTMH+vn7J8s0TC3m8a +amx8Cvfr/EjtPpyEjeka2tUb8/gjgQfTBQl7+M5M2fo09oN5WXvPNEhY1x2J +ifswf3zLtzL6K2FntJf4FmL22PRhYnULKRNiy05OO6OCsQ1/m19vJ2Wb9u60 +C8K80X3vVosuUpbb57tx97MqGDM0db2ih5TNSDyjdRyzNDD7280+Uvb6YCeu +BvPcBktti0FSNnzQ3aT5zlhv876k1elJ2d20oX6vnf//7yFLe7obSFn7Y6Gn +Bp7D+1dt/83QUMqc9KascsXcbSO/Ms9EypRZiSYqzEURLoscpkjZmpNLutmd +x3l12a+8XpZSlq5fzn3ErHezdd1raymzKNiZbHABz9vLraeXzpeyUOfvfjcw +25UV+n5bLGWDx509/Qdz7dg+C9xspex6SafVW13wfYdITg9dK2UtLt6dmIE5 +ZMnfie82SdkhY73uk1xxnv3T5+DiHVJWWxnKP8LsfLJxnGSvlC2/MiWl3UWc +ZzxX7nc8JGWJpklPDmA+IVOP7egoZZMkS84UY+6oc3Hvg1NSFuhZvnr6JcxB +2foG56WsD9s1KRhzl25XNr25JGVuyu/de7qpIO6VQ6/p16Ts952zX09i7lIz +d0b6TSnbO6NzqgTz8IpPiuX3paxcddd/4WXc/wV+7coeSdlCb72zUZjdJY+9 +NvlLWeycsDVD3HH/93X0lzyTsvE/pkx2w6zeIxuyM0zKfH2Teqgxf0p8pqV4 +LWU9Fi79tvqKCur+Hbff+U7Kzv8uT0248v/f13uaNFbKvgfsejrmqgrW9jU7 +tTlRyrYt+3H2NuZTKx7ql6dJWWEz57X/MIePaGZpmy1ls190Nt1xDfufbv3i +Mwqk7K3dPe1szM4uz0JmlEqZQZthKlMPFcyX72zxtkrKHrwMS/PFHHpZ+8Mo +qZR1Wm8e0PE69m+hW8u8OCk72THZ+TBmmws6mzqJUvb1zdJ1ZZi7jpPNcWqQ +svVbKkytPXE++HDthuSPlGVp7e4ZinmmUerUxS1kzOrDD1XvG3h/nVoyK7qt +jL3a6fz5DOYB52pChnSWMb1eXQLlmFO7Tz7k2l3GbsXdO7fkJvYz3zrcUPaW +sTb7h61/h9n2c6/OCwbI2NF+4WZ6t/B55veqDNGVMVmSea8rmK1aJXToMlLG +7A4nC98xex4rvrx7jIylDl6Wvu42ntdB2puSjGRsSnpFYBJmx/Wj3IdMlrFg +x93nDe/gvORR3M5pqowNGN6w/h7mv39KCrKsZOxqjvOUFndxvi2X1w+bLWP/ +TnXpvRvz0A0xGx1tZMx+1H0xF/OVxz36py2RsarCYRnm9/C+CvHT7bdSxpac +Dw/yxzzYbeThnWtlLN5w6oUu97FfH76ma+QmGTMuS95wFPP77T/FZjtkzP/S +MvNKzAv03gyYu1fGek+s7D3ngQoC9ZZcvX5Qxi5W71aHY3Yaem564VEZa7za +kNHXC/uz9Jbm/U7K2K4p556dw1wYd8VhrbOMlUi7uHCYg24WC96uMjb/5v2N +y71xvkjyCy51l7EP04ZPjcGsn+vr38dTxsby4TojHmI9GHK9ZNkdGXt0b2r9 +Ncyru4y0ueolY1rWKZk/Mf9RDG785CNjZ8Vlzzc+UkG7X8blv5/KmPiw0iUV +c9aBAb/HBcvY5nl7Nk14rIKG4zcWbAqXsbyGhqlemG+YLCr0jJSxmU/O9Wnt +g/sltNPNj+9kLHJR1+97MS/jz577GitjI/7ezyrAnCzd8aRPoozdDRoezHxV +4OLm9N0qTcbar3jpGoh59+v9R3ZlyZhTC4vN3fywHzVvPtQjX8aUISkWTpi9 +pNI/r4plbO3q5X1rMB86VNq8oELGMtpWfZ/3BPsHjzuGP2pljEXsyY7AnFKb +7aqtkLGwDT+DB/ir4HL7GW3Gf5OxIZ3PX3TBHHzl6bN59TLm+bbrlm//z/Xh +h7Y0yljLbQ/Yyqe4PpEDNxxvkrHD3Uf0+4i5v5X/Po+WclYX8/KHfoAKIn/+ +9fZrJ2crdlvkeGJ+b1TFRXSWs6TeqS9+Yz4zte2qhO5yNjlh+aUtgSr4snpk +bXZvOQuyr9qSjtko8c+lsv5y1nfA3mkTg/B+fGY+VzpEzi6n/Oz3CPOeMY+H +fhsuZ3+OnG9o+wzP844K7e+j5GyvrlauPeZxu1/2bzSUs/KMByFFmPebZ5v+ +NpGzhcdHuFk9x/35Rb3rt5mcxY54tfU5ZgOjnJBGJmdGeRaW2sE4zxrptfox +Q878zqT2P4k5Ptpnp2qOnGmPWfGzDrPj4xblsgVydqGoKnfBC9xv4U3rK5bK +2Y8Le0PfYO7+yuZr7ko5227U6DY4BPf7hgDXxLVy9qX8/LZLmO32xBu82SRn +cy5rWYmYHc/aFfhvl7PoSV4DVoeqYJvlkEuee+RsVO2IxnjMu2fmWJ48IGde +Hq/yRodhvz1Q799WBznrPJWF3cJ8ZHkGzD8uZ6fkqZebMDfsuug8/oycfbu1 +Yvv2cKw/he2ttS/I2QaraqsszIWdOrT/fknOsr/uHWj6UgVLDk1Lzb0qZ1YP +Ght9MOfv2XIx7IacvZp1Ib/DK/x9S8dYXb4rZ3r1WuGHMA91WvJjs7ec3Xrs +5V6K2Wr0ZT8zXzlrazNyx8wIFSwMuTq7a4CcHWt8NT0EM0zVrq16Lmdyfzao +92tc7265h1+GydmqJWm/TmPevdK58cxrOUtrWlEgw/zBvMjeJlrOzJ9Xhy+O +VEFl5dWi3iBnL2z3XYnGbLJxlVFlvJwNbPVrx9A3+Oe5ouPTZDm7FnZhhjvm +utldQ3ely1mztd0Gf8dsk7ota3SOnB1o7/17bZQKpq8JK+UK5Kz69cjCRMwm +f31znpXI2dJNES/HvcX7zP972LZKOUvoMu3qXcz5c9Y7DK6TM5N3aTubR6sg +r+D2kC9yOXu63XbmLszhJkvDr3yVs97aNYNzMWvPnadnqZazi7H7/kx5h/OY +aOIoNshZ455fhU8wL2yfGuLzR8529XF51fm9CnQ3x8QvaK5gpZ+6XXPAHJaV +EtnYWsFsDnrvqsDcc6Cfi28HBYsZqG89+4MK+vZobjSnq4KNS4sYEo7Z9tD9 +N3wPBXt8dNrfPjF4HzQN6+Who2Dd9D5/ccb8fv+q+YYDFMw5yzZCiXnF6a8r +M4YomPpEzbVlgPWo6q7ZruEKtkV//+4PmNdYa6lajlKw/Pxf1sNj8fOs7njs +wTgFs3Z20b2GeVnatGxDYwV7M7Z7UwNmffPtv+InK9jIEu+iDR9VoLPERFw2 +VcHuueq/TsE8JmTjmxpLBetg/NrDKA77xa++s+2tFex45bQ9DzDfCQ198muu +gnHun2e1isf+3M0i1Xmhgq01XTl0L+bOPdtEtVumYBl1NU35mK/Xvd/jvlLB +mOf+YosEnPev9pF2Xqtg4Ra/XwdgPvk4Z9iVjQqmq3S5rvUJ//7zhyM6bFOw +G3e673XEHDbFWHl+l4K1mvFwdjXmC+Vz9v/dp2BHVPp68xLx+QfefnnokIJJ +vF7/e4U593zmK+lRBbOdY1nSPwn7k/vBB+xOKFjy98+RFzC3k9Yoks8omKnv +Ss+vmNcKowZOvKBgzxbU7rVNxvrOpnTwuaRg/X7vnxOLecDK5BftryqYe8Bv +Pf0UnLfhUlt7TwX7u9S1mSfmJX9MeuXdVrB9zXqU/sKc6Hnui8kDBasIfvhm +c6oK7rXTt7n1SMEW2Rnc+Ix5V03pQdFPwT62jtxnkqYCFrR8tk2gghm9tJz7 +EHNQ5ZzP/sEK5rcufVjbzypQaNn//R2mYNod7ZrbY+4Td6p44WsFc3lTW/oF +8/yTozf5vFWwhs32UZbpKoj+PO6G6oOC7dD6c+MZ5qopbM/UOAUreu+6v0cG +9le23TjXRAWbu7PHvBOYg0LWdMtKVbB3PR8Nr8PcObuwuGemgo2OM2ixIBP7 +j0Wms+xyFcx7X2RZJGa/uEnL7xcqWJd+Vm8HZeH+fX+pZVGJgp1OSr95EXNW +Qd2inpUKpjpkZy9gnvSihfnCWgXbOLhu3qpsfP9lt+NdZAqW89l+RDzmn23t +JO84BZvu+KfF6BycD7L++X5VKVjEsIvlNzEnlFn+HPhdwYbl9Ij+i9mvKL92 +fqOC3T716Na2XBWcP3Bw+7G/CtZ21KgDmZjXrMg45dNcyY4VRs6fnIfzg/lj +/eTWSiY/ZzXSB/O1sofbuPZKttowo2WHfBUM/H7GuEsXJftcaldxEPOqGX+v +j+muZFMv1UWXYHbwSD05t5eShZgcuD2jAOuh36MfW/oq2aDqPwdeYC6fO+H3 +iYFK5nH1ok2vQhXkrDJ389RVsuZTtPVPY571/ICv/3AlOyh91EqG+XkfN6tI +AyWruTGqctEX7Jcumu9MGKtky6a9eff2/7mS9cwyUrJPnNUd3SLsH7vOm1k0 +Uckm3ss4eBmzul3PnxVmShYwc9WCesw3E5eNrrVQMh2xTn9tMd5fsz9W1lkp +2aWHB1onYp57s5t2nbWS/Zr7t3JsiQpGv/mTUDVXyXY3XHx/B7N5gqlYskDJ +Sv207zYrxX4o//T93CVKZrPo8aGdmK06ubxPXqFkMX9GLczBfM6jpe27VUo2 +LuiNwZQyfP7roTuer1Myn+XT2zzBvGKWperuJiXr3iKzqlO5Cu5+28+d26Zk +50JWfTiCeUi2uHrPLiWrXyW5W455v9nZqUv2KdnWtgcPz6pQwQjnnGsmB5Ws +4NXfhWGYZ7V9uLiXg5LN2nBpVJ9KfL7xD87WOypZVKeebZ0x77A/OzDrpJLp +v31crcD8eGq7EUFnlez+1tExS6tU0LKf9N7pC0rWsXvUvfeYC2/kH1l6SclO +xEw/Mqwa7zvzy2/1rigZvytz0VXM317BZrWHkq3rvXp0A+alPgaH4KaSZcZL +2m6owfks8pzk4l0lm2Z/sCYZ84gw5/cLvZQsvH9TzPhaPF9LK9Q9HiuZbsql ++/cx/zmw+HK+n5LdONLToWUdvg+Jx9mbAUrWStdn8R7M/reX5y96rmQOGaPH +5GPudtj2QodQJZM6RbWzkODnc1rg8fGlkq0cMaP2KWaTl2L9kUglS8nNhK5S +FZwe8yd8RLSSmZ1Z/eAY5g0dhyYWfFCy56OlDlWYTzgMMjz3Ucn6Fx1cMlem +gqv+QV9Hf1KyKxeaxrzCfK3EsVlespI1jXdr31+uAm777C3HPivZ/vKedecx +v3qartM3S8kq3XxiecxxzeL6v81VssWTxnitUKigUVm2b3mhksXVRB0FzNuU +RZ2/FSvZBI8ZS0cqVXD82r6fF8qV7Il51tjrmCc7LBvTt1rJespXd/iFedO8 +Gc+f1ymZyy1p3SYO+9fkH/ZmciVrsDz0MQ2z8FrvZCKnZDu+NnkZ89j/y10y +FqqUrOi+2zFvzF6zCjYXqJVs3qxey9p8VUG/hLQpqxqU7L3aZ9x+zPobBy8v ++aVkYx6P6fgF8xjDKyF2TUr2cP5bybRvWM8Xp1nnN+dY18YZcUGYt/y40X9B +a46d8c/y7q7CeXLt47EJ7TgmLF7jeBzz9sKg45M6cWxTk3RZLeaj8TtbBHbl +WO6zQ4Y2As5v1+997NmDYzNs/3WMxHwgXnxzphfHXre8LB0oqsBQPrZW1odj +w8N6xbtiXuDfduaCARy7s8b3oQpzs+cTi8IGc6xd+7FOdmqcB2KOPNLS45jj +67fL4zDbR566vXcExxQbZ44fVY/7w7HjhyQDjq3ukt3pJubhLQq6DRrLsc/R +a2R/MJuuvnvj0HiOTd0ui9/6XQUFvp0sPxlzLKTH4UcZmFe253W0J3NscOw/ +p0k/VJCRre6zYQrHru+5vOIx5tFLSqyCLDjWok9vo/YNWG/Vaz2/WXLs0Cff +zgcxmxpNbDthJsdqD4yVF2M2fjjw8aHZHFs+MDph+k+cN0qyV4fP41hi6szH +wZhdH3cw5RZwbNLR7OM9G3E/R5+bqLeEY4FD19qewjyj3c8ldss51idLZiTF +vPm07pXLKznmduJwl0W/VNBFP6YmejXHfo9spojCHDfUZbl0Hcf25F/+NOS3 +Cib4mVRpbeJY2dnePm6Yz6pcL0zayrEFY/1OqDE77JpsuXoHx6B47Mo1f1Tw +5kKLHid2c8zQNXrCJ8x/P/s03tvHMZ8J1l3H/sV+tCpbHXGAY90rsxW3MY93 +WtAs/TDHzrmvTfyH+evW3AHVRzn2fbLcZ0cT1u9L/efVO3FsW93hk9mY21bX +ubQ8xbHC683szP5hvXZWZnY9y7HZFu7Gfpgzb8v1+pznyMMJ8HOj1/lBrhx5 +OAHWsVhe140jDyeAt2+39UOvcOThBMjqbVM42IMjDydA9vRxy/vd4MjDCbC/ +9nBh99sceTgBgrOK17S9x5GHE0D9c0DtzwcceTgBlm//vVv6kCMPJ0ACM1Tn ++HDk4QSwiXU89u4JRx5OgMWWt349DuDIwwmQaDLt2LlnHHk4AfaOmqza9IIj +DydA1zSzjSyMIw8nwLsvrVN1XnHk4QRoZmyr/+01Rx5OAIMv3Mm4KI48nACn +S88ner7jyMMJ4HS4rPmGGI48nADtVRFjDT5y5OEESH2XMk+I58jDCTBvY7Ht +60SOPJwAlq7+ix1SOPJwAnwW5JOMP3Pk4QQId97W/lsGRx5OAC/T4oSn2Rx5 +OAFWGrfauTqPIw8nQK9Qv/pOhRx5OAH8Wx3b9q6IIw8nwMuQaR+2lXLk4QTo +YP2hoUsFRx5OgC/OL7QjqjjycALUmmd3X1HLkYcTIGe3TFUv4cjDCbB1xKsX +HnKOPJwA7wOEWfocRx5OgFszt8TEfOXIwwng7pTWdbHAkYcTYE2V1KxSzZGH +E0B3opPFnh8ceTh83hXT+3z/yZGHE2CXVatUp98ceTjcL/1OLfz7lyMPJ4Db +sIOBJ5rx5OEEqEjyyf3ZgicPJ0Af94zMA6158nAC6A+KfiBty5OHE+DQ4uET +V3XgycMJ0KV3vndKJ548HL7fixezTbry5OHw/VS2yHrYjScPJ0DG2TZ3W2nz +5OEEUKmWj9zeiycPJ8CzL69OJ+rw5OEEKG9X46XbjycPJ0CTw9NTxwfw5OEE +WGLxcWj2IJ48nABTHv9wG6rLk4cT4GSrDq8O6vHk4QQ40xhzP2Y4Tx5OgHvG +RdPa6vPk4fD8ePZ/Mn8UTx4O17eXTfzVMTx5OAFm8GO908fx5OEEaLvLxbC9 +EU8eToCGdjonLI158nACRO4LPOUwkScPJ0DSjj8TAyfz5OFwP/Nf/ArMePJw +AuQNaJnQfCpPHk6AJ1bTbusznjycAL8zl/dZYMmThxOgbHP7+fun8+ThcL0S +B4+5MpMnDyfATm7ju4BZPHk43M9qz+8xc3jycAJE6TkV58zjycMJMLChbEeN +DU8eTgBpxwuPVAt58nD4fsdPPPlrMU8eToDOG6OaNV/Gk4cTYHV8zshWK3jy +cAJcCp5f33IlTx5OgMcP+Y3NVvHk4QTYN+ekfeNqnjycAOlu8QO/reXJwwnw +fd/F3VXrefJwAsQZ3lyetZEnD4frbxZa8m4zTx5OgMKhvn/8tvLk4XA9I03e +XNzOk4cT4ITSqMOunTx5OAEcFZvqZ+3mycMJwHW6dlh3L08eToAPpWcuNe7j +ycPhn2u3MEq358nDCVDiU7rH+yBPHk4AX5/iybsO8+ThcD22JN6c4MCThxPA +Yc+u041HefJwArTcf73hnSNPHg7Xd1mbP07HefJwWE8m3rw88SRPHk4Ai21t +/L+e4snDCaC9deQsvzM8eTg8D4pP9sucefJwApyteja4xXmePJwAbXb7LQ++ +wJOHE+Bg6fEuS1158nD4PLHN5v64yJOHE2BkUVOb2248eTgBtvefPsPInScP +J8CQqPvN0q7w5OEECNHKNdtwjScPJ4Drx7eC4MGTh8Pz5N1f74wnTx5OgKDQ +lKwON3nycAJcNLv24/otnjwc1qu3U272vMOThxMgrdI78NZdnjwc/vfe5426 +3+fJw+Hz9ALDyw948nACdAzu79vMmycPh/vp554LBx/y5OHwfsw5XVT5iCcP +h/Vs9jDveT48eTgBZvmPyXzpy5OHw3phv3Nfryc8eTi83/YEOTr48+ThBPC8 +E/Mt5ylPHk6AnmOcMkcF8uThBOjn/6L32SCePJwAjREGSTnPePJw2D8sjC8d +HMyThxNA4j/HdvcLnjwc1r/hHiYvQ3jycHh+z+x0+B7Kk4cToO5RoI5JOE8e +ToA3YYP7HXjJk4fDzzPI/0TQK548HP682+3NyyN48nBYP1rpruwayZOHw/7j +Vlym+RuePBzu7yjw2RbFk4fD+7D/18/ub3nycFhvInQXhUbz5OEE2P3KyCDj +HU8eToCbHmo7+XuePBzuh9ZTypvF8OThBLjfteK1NvDk4QTY+O11hV4sTx5O +gGr926vGf+TJwwlw7eKKYWZxPHk4vL/7ZFiweJ48HNYDwzz/aQk8eTi8z7ZP +s7P4xJOHw/P1QGE7OZEnDyfAhvpnj8cm8eThcH+X2RkNSebJwwlwtX1WR60U +njycAE8PS0b9xqzxcAIs2+hwvTqVJw+HP3+V3ZTENJ48HO4vk50jn37mycMJ +0KnzGVvndJ48nABz5hxLWpXBk4cTYNj90UfHZfLk4QSY/uvo1mZZPHk4AYQQ +k+vpmDUeToArsy0bb2fz5OEEUPg6eK3J4cnD4X6pC3ccmMuThxOAP595rRSz +xsMJMNwyuOx2Hk8eDvfLyGGbbPJ58nC4v2727t+sgCcPh/eT4cYOoZg1Hg77 +V7Fi1KpCnjycAO2GHDzd/AtPHg7//qIfLf0xazycAD+2zYuaUcSTh8N+5M6i +B5WYNR4O74sddc+PFfPk4QRoHiqXdSrhycMJ8Pf61MXemDUeToDRbh9r9Et5 +8nACjN+04tFLzBoPJ4DepDLnSWU8eTgBWjlOuhKFWePhBOivtP5gUs6Th8Pz +/YTvFopZ4+Hw/Wp1cdOr4MnDYb/71U3vNmaNhxMgf+msypaVPHk4XI9nw9/v +xazxcAJYver0JhezxsNh/3y+LNOkiicPh/2828nWNzFrPBz2p5ezV3zFrPFw +Amj5xcTNqObJw+H5mGkw+w5mjYcT4Dz7VVeHWePhBNj2RvuBYQ1PHk6ALaM3 +bDuKWePhBIg/Gm8djVnj4XB90odNacSs8XB4/qP3WRnX8uThsD4YuKzejVnj +4QRYsGnZhYeYNR4O79sWcTHpmDUeToCADlFtGjFrPJwAPfIHrRlcx5OHE6C+ +b82H6Zg1Hk6AMWqlwWbMGg8nwIBcPd9TmDUeTgCda8d0b2PWeDj8PKtyngVh +1ng4AXqnDjB7i1nj4QRIzrLOTMCs8XA4j8Wb7vyMWePh8Lzb1bTJwqzxcAIc +DjIMyMSs8XB4n47tOicNs8bDCdDi0x5lHGaNh8P55cWkK5GYNR5OgBfu68Y8 +xazxcALU7MxKvY5Z4+EEsHt5YosjZo2HE8Bw8tLGNZg1Hk6AiRNmXDTHrPFw +WD8tp2npYNZ4OAGObZ/s8Q3XU+PhBJjUbWDbeMwaDyfAqO41hzwxazwc3vdP +HfPXYtZ4ODzfB/IMhmHWeDjs989KDsrwfWs8HO5/G7/gAMwaDyfAo8imgk2Y +NR4O+9f2vKoPZo2Hw/rzd1PjZ9xvGg8ngEnk8m/HMWs8nADKj9HZwzFrPBye +xwEXH6XjftZ4OHy+8RG29pg1Hg774XyTxi6YNR4O3+/CVueC8LxoPBz2S8t6 +1zPMGg+H9aDN9nk5eP40Hk4Al0al6wbMGg8nQJjRo0AFnl+Nh8P7e7hTsD1m +jYcToPSdg6eI51/j4QQYNO+anT1mjYfD+vMoppkC64fGw+E83PDPZT1mjYfD +fq71QmUW1h+Nh8Pz+S1gtAVmjYfD/ndh27kBWL80Hk6AcZN2WXXCrPFw+L5G +Z2jvxXqn8XACdB81PjYF66PGw+H6BFyfrotZ4+EEmO3+1dsB66vGw+H+M5+V +kYj1V+PhBIi++SC3O2aNh8OfJ1cGr8L6rfFw2J+0M7V7iPVd4+EEWGh+vrAM +67/GwwlgPTtzeB/MGg+H7293f+tFeH9oPBz235v2GZ/D+0Xj4fB+2ZKsCsf7 +R+Ph8H4ZMdapFO8rjYfDn1ful9kCs8bD4X1nNlalh/ebxsNhv7Y7p9gK7z+N +h8P5Vff21TV4P2o8nADNrp3ucBDvT42HE+DXxls25/B+1Xg4rG/p5Ss88P7V +eDgBTC9sHnYP72uNh8P+CUZFeeN9rvFweN9MnNblId73Gg+H/cyIZ8PvYz+g +8XAC2C891MwT+wWNh8P1bfb0/gXsJzQeToCHv+fXH8Z+Q+PhsH7dOtRpA/Yj +Gg+H6xs4rNoa+xWNh8N+58b+w/rYz2g8HD4/WxfXFvsdjYcTwKffv9Qq7Ic0 +Hg7vg6EbrrzBfknj4XB+L7rW7hL2UxoPJwCb7z1lBfZbGg+H/WTPu8MGYT+m +8XBY31Z6fKrB/k3j4bCfTL7d98lrnjwc7g/f90M3YL+n8XA4T77sUdkb+0GN +hxPg6NKQBWnYP2o8HPb/ex/tcwrjycMJcOPYL4th2G9qPJwAe07lxqRhf6rx +cPj5dBi/F/tXjYcToNuBJSkdn/Pk4fB8WA9d4o/9r8bDCWAkTXIxw/5Y4+Hw +fU2y3ZaG/bPGw2H/Gir7Zov9tcbDYb+86qZupR9PHk6AQyUH/27G/lzj4XB9 +d3qfrnnMk4fDP88ZE7gO+3uNh8P1OWnhkI/zgMbD4effqZDN8uLJw/3/frT5 +9xrnCY2HE8C2wTlq0D2ePJwAXW8F9riA84jGwwkweXdJVwnOKxoPh/3dcdNg +K5xnNB4O5+NNVdL7OO9oPJwAfqvEj19xHtJ4uP//+5G7qQXOSxoPJ4DZ6Uyb +SzhPaTwc3n+Tyv5mXubJwwmQ2KdwdnecxzQeDvulExVjFuG8pvFw2F/Y9gm+ +5MKThxMg0DIwLgbnP42HE2Dz0TD7bzgfajycAL0KV7zud5YnDyfA3prYa9NP +8+ThsL+P1/63DedPjYcTYNpMxxYuOJ9qPBzer8U97z3G+VXj4QQ497B7XCTO +txoPh/fBkrsnko/w5OFwHtuQlZR/iCcPh/NxSa1v+QGePJwAm84261yznycP +h/U9ekHrGpzHNR5OAOe7v93KcV7XeDgBFpVO8M7HeV7j4QT4KOhNScZ5X+Ph +BMgU/2yO3MqTh8P5IUDR6/FmnjycAB4XByy9sJEnDyfA+vefem9bz5OHw36/ +n/YWq7U8eTicJ8aZTeq7micPh/XGZJcHv5InD4f1r2/hvvcrePJwWO/PRWS7 +LOPJw+H+SZwYNX8JTx4O+1WV55Aui3jycHhfJDR1SrPhycMJMPhDmOO5eTx5 +OAFCO1ZumzSHJw+H63E/IVdizZOHE2BG5ztwfQZPHg7fz0cP/clWPHk43C9Z +Sq1ixpOHw/3Vtebw0ak8eTgBXnd+uUxrCk8eDs9zv1uhTybz5OGwvs1IOW88 +kScPh/VtuXsuTODJwwnQobj/A+vxPHk4PH8Do4uTx/Lk4f4/jz25bj2aJw+H +92tVPwB9njwc1t+ETZuMR/Dk4QRoMHzn9ESPJw+H53f6jrZaujx5OKyPFS/a +Hh3Ek4cTwDE4y6moP08eToCgfr02TOrLk4fD37c1IdKjN08e7v/3qe6pOm2e +PJwAd1cfe23SnScPh+dhV5c1Z7vy5OHw8/UbeyC5E08eTgDRe0h9hw48eTi8 +L75OqJ3dlicPh+tXFDbNuRVPHk6Aqobadm+a8+ThcH1eDzCXNnHk4QTIsAkq +6v6HIw+H921GQaVpI0ceDvufPj8WrfnBkYfD9a6y1XdSc+ThcJ4Ptdl5Q8WR +h8P7pVZfK5DnyMMJMCGCDYhScOTh8LyNqrkWL+XIw2F/Nm7n9tRajjycALKT +I/w+V3Hk4QT4F3rYKrWcIw+H9c00cEZ8CUceDvf/jr5Bb75w5OHw9+/W2x+Q +z5GHw/M+f/BtzxyOPBzW1/odAxwzOfJw2I+NXtVq9WeOPJwA3x8tmjs5hSMP +h/W6KOirViJHHg7vs1kJqto4jjwcrs/UfwsjgCMPh/2vKrrj6fcceTjs706u +HDrzLUceDuu31PR2m0iOPJwAKTpxm+NfcuThBMiuXXb5eChHHg7n+ba2ncYF +c+ThcD+OHCspC+TIw+E8VDxN56I/Rx4O69ODHz5jfDnycAKs+PLGOeMhRx4O +6zHHv931gCMPJ8CfIS1ntbjLkYfDeShik/6tmxx5OAHcM49tGHqdIw8ngOWN +l8rgKxx5OAHadj+VOt6NIw8ngPnSuX/CXTjycLj/lrqeGXOOIw+H81nbpyue +nObIw+Hvt9M51esERx4O57Vxi3+cO8aRh8P9viUhhjvMkYcT4EyepGDRAY48 +HNaHJWMtwvZy5OFwP4Z1q++wiyMPJ8AzaPdzwzaOPBz2Jzbuc15u4sjDCdDT +oJesaR1HHg7P47AhudarOfJweL/30O/gZsuRh8PzlvzMPXkpRx5OgLdD9Fa1 +WMSRhxNga1OPg5Pmc+ThcH60/pW7fTZHHg77N6nt6RszOPJw+LylIYeip3Hk +4XD+0XMOKzXnyMPhPJyx3OTXZI48HO6/+pDmPUw48nB4/3/o0XPEeI48nABe +azvunziGIw+H57nfwK6W+hx5OPz7Nvn11sM48nDY76x+N2j2EI48HPbvH1df +mzGAIw8nwL7O06eb9+HIw2E9r2syG9eTIw+H82+bgQ4DunHk4fB+szSsb9OZ +Iw8nQOT5xAhlO448HK53wcE3aa048nACXLZN/v20GUceDvvzWavPnvyjJA8n +QH7zj7MW/lSSh8N62txjQf96JXk4/POq9bdqvynJwwnwfl1Kv0ClkjycAENs +t1VtkyrJw2G/kJ5dN7hGSR4O5xF5wMiCciV5OJxHf14IcilWkofD+3tfhx1G +BUrycHh+lXmbi7KV5OEEuG7s4nU8XUkeDu+DqbHd+qQoycNhP6M3PD48QUke +7v/z2JqwmbFK8nA4b35rW5T3TkkeDueLX5nm698oycMJEDJhTUntSyV5OAHm +PF8esTVESR5OgJgn25KqgpTk4bBe3DXTtvNXkofDfmTiqftpj5Xk4QTI3Vph +Z+qlJA+H/bx15yW+d5Tk4QRYahTl3OaGkjycADWx15VbryrJw+H5/zTfPfaS +kjwc3v+Lvbf0uqAkD4f9fOTkw9vPKMnDCbDfNT/61XEleTish/dGTf7toCQP +h/dDq3rl1INK8nD4/MPl+cf3KsnDCVDpl/g9YoeSPBz2RzvmzJNvVpKHw34k +aFyBznoleTj8/JLJt6evUpKH+///bxl2eedyJXk4AV5lREW4LVKShxNgx6+I +7gHzlOTh8H4bVvEkxlpJHk6As7Z/d2VbKsnDYT3kC7ZUmCvJwwkw9o6xp2yS +kjycAH1XVYqckZI8HJ7/G/4u3BgleTgBnBoW2UhHKsnDCeB6O8y6bKiSPBzO +t8U3DmYMVJKHE2CEe1pWdB8leTi8/7L0N/pqK8nD/X+edx56oauSPBy+D9eg +3ps7KMnDCZBm4DDVvLWSPBzehwlwU6vZfx5OAMPt6wdU/lKQhxPA/8q4wmff +FeThcL36doqzVynIw+H7XJ1TNl6pIA+H69Fuo8HXOgV5OKwPs+4G+FcqyMPh ++3tht3xliYI8nADG7OKENgUK8nB4/x9sZxWapSAPh/O4YeyZpWkK8nACTPly ++5v4SUEeDu87iyNXr8QqyMPh/gmduXboOwV5OAHkKvXqiNcK8nBYT2bsdZsW +piAPh/V5+2NZ0jMFeTisB7dOOsz1V5CHE8DG7ceE5EcK8nBYb5ybBlveV5CH +E8Dawm3q65sK8nAC3G/ucUnvmoI8HNabO21bX7ukIA+H8/ViRWj9OQV5OKyn +HSe4LT+lIA8nQPjo8hvhxxTk4fD5A+Wf2x1SkIfD+bDZcpPVexXk4QTYaT0k +PXC7gjwcPu+AxTeFjQrycDh/fZC5maxRkIfD8yTwYYdXKMjD4X3Zb0eb0EUK +8nA4307ccLl2roI8nAAOXypZz5kK8nACPDf8MdSSKcjDCfCyc8ikHaYK8nBY +f0t7H3eboCAPJ8D84BlfA8YoyMMJIIyedT12hII8HPZHERO25A1RkIcTgDMf +vL2mn4I8HPZ/u/Tu8z0V5OFwXnWz+yt2VZCHw/p7q9hD3V5BHg7P77iEZd9a +KsjDYf/+wniO5K+cPByen17z931pkJOHw3530OiET4KcPJwAAyf9nBuilJOH +w3pxKr+FZ52cPBzWuyyF0r5CTh4O63HshjbziuTk4bDffLRxyeBcOXk4AU45 +9clSfZaThxOg3cDI0+8T5eTh8PPrbN94LlZOHg7nrei1R2ZGy8nDCZDUJ/1d +iwg5eTic37QVxu9eyMnDCXBrgbJyX4CcPJwAD0zbxw7wkZOHE0DZ7VRO0n05 +eTjsL6sv6Oy9KScPh/OQ6zrPzlfl5OHw84XOmhbkKicPh/uj2Fl32lk5eTjs +jzctNc1xkpOHw/1xrOn8+sNy8nBYj4antZbtlZOHw/expMX73dvl5OEE2BD3 +NUCxQU4eDutD37KULavk5OEEKKvup1u8VE4eDs/nhd9hc23k5OHweZdmOERa +y8nD4f52kR0aME1OHk6AX5aPA0+bysnDYT9yy6ZnmZGcPJwA8SfnRpuMlpOH +w8+rEO9cGiYnDyfA2ugnIV8GysnDCXAxHpp0deTk4fB8Zflf3NFNTh4O56en +0fODOsjJw2G/3mLLvLqWcvJwApz0aX+h/18ZeTgBJOY9fy34ISMPhz/fQ3x2 +4puMPBzeF6u73vWXycjD4fy4oeRjSpWMPBz2m3uSR8qLZeTh8L5fNje9ZZ6M +PJwAvo0FoX3SZeThsP+bK8kySJSRhxOg2yzRcBLIyMMJkNfsaJZFlIw8HM5j +D8VQy3AZeTjsz9bWZbJnMvJwWD9uZBua+snIwwkw7NWU3DFeMvJwAsC8Z28G +3JKRh8Pn7/S0vN1VGXk43H+Jb2d/c5GRhxPguP3BH1mnZeTh8PwNXy97cUxG +Hg7n1zHdBrkckJGHEyD2R78Hdrtk5OFEGDqk59qRm2Xk4USoc7q5VVwtIw8n +Qm4r49dvlsnIw4mwuf7a/GM2MvJwIvSItB5tbC0jDydC4refy5QWMvJwIgw/ +NyfJe5KMPJwIHT3Kzs0zlJGHE6HMYc3l+pEy8nAitC7ZX353iIw8nAhL/ONP +Tu4rIw8nwr42bXbldpeRhxPBxlHqt7OjjDycCI8/9R39u6WMPJwIy1osb3nx +j5Q8nAjN79oYaH2XkocT4cD0GJ8bvJQ8nAh9dTbv6i6RkocTwc/513n3cil5 +OBG2z5spNi+UkofDn3evzauDmVLycCJkiy2Ty5Ok5OFE8Jze2sg6VkoeToSa +kjwhMEpKHk6EisOsXdtwKXk4ESKMtB02BEnJw4kwOUDP/LWPlDycCJfzZqxu +fV9KHk6EV+Pm5S3ylJKHE4H5aQXecZOShxNBcd2+sMhZSh5OhDlFszf3Pi4l +D4fPn+c4f9EhKXk4EQLWSW6c3y0lDydC94JDZhGbpeThRNgzsINlxWopeTgR +PvrdCGy9TEoeToTBa/8eGDFfSh5OhA2ZEx/OmCElDydCSVejsWvNpeThRDBN +qtE9YCwlDyfCWOPpJ8+MlpKHE2He2LkWl/Wk5OFESB35fdv1/lLycCKsMZn+ +01NbSh5OhKY2E9XXOknJw4mQU5+98mIrKXk4Eb7/GWBw4o+EPJwIG5OG7thd +LyEPJ0JK4LceKzgJeTgRzvp7jDWvlZCHw/1/WOftgFIJeTgR3p19EPErV0Ie +TgQj0VA3N01CHk6EBH+xRUC8hDycCGFmf20d3knIw4lwSMte1/KVhDycCHFz +925u+1xCHk4Et7w+/VN8JeThRBA2edu43JeQhxNh7THdRgtPCXk4EY5vLxik +viQhDyfC4hXyON+zEvJwIlhbXq2xcZSQhxPBoumXc729hDycCM/ZDt/bOyTk +4UR4cafbdOMNEvJwIow5brIt3VZCHk6EfzN6dt60UEIeToQOpt8ni9YS8nAi +2Lv2V560kJCHEyF6Yb5e64kS8nAidHqyR+o6RkIeDs+ru5Fxm2ES8nAiXGzv +1OlMfwl5OKwXOvcOfO8hIQ8nQrs3si3bOkrIw4lwviZBmtNCQh5OhHw/999m +v+rIw4lQ0MbX56FQRx5OhDYjd5X8ldWRhxOh2Mjm2crKOvJwIvTaFtk1tLCO +PJwII3prd26eWUceToQTrwv9FiTWkYcTQUw/kn/nQx15OBHGddnmVxpRRx5O +hN6zh3YdEFxHHk4EwyOj+tr51ZGHE6Ff9L9PHvfryMPheu7S0064XkceTgTj +DkPaqC/WkYcTYdXyM94DztSRhxNhNssqmX60jjycCLY/feK27qsjD4fnQ3Vp +6bmtdeThcP+UDfLwWlNHHk6EzKgmx/CldeThRLiQktA9bm4deTgRBj3psSbD +so48nAjzx3nYFkyuIw+H9SGguk3xuDrycCKY+0buLhpeRx4Oz1P3d655A+rI +w4kQOc93TZp2HXk4EZJb63IfOtaRh8P7ZIN6UnCLOvJwIgTJcixvN9aShxOh +670zHU+oasnD4f1RBbfXSmvJw+H99dS2zqy8ljwc1o953Rt75NeShxOhT9iH +XFlaLXk4/PkTRx19G1dLHk4EdfK4ugtva8nDiaAs9hu0IKyWPJwIlfs2j+we +UEseToS9fRY0z/GuJQ+H9aX1rOArN2vJw4nwocF41MzLteTh8PctbXHm59la +8nAi7B/oHRxwrJY8HO7nlg0vl+yvJQ8nwtWVrW81bq0lD4frPeTjMq81teTh +RFioPaLebGkteTisZ96TjuTPqSUPJ8LX2h9Fu6fVkofD+p+5R69pYi15uP+v +v/8K9zG15OFEWN0QcrCXXi15OKwH5d5OXn1rycOJcPPTRfuB3WrJw+F5v+S2 +wqttLXk4/HwVH8f2aqohD4fPv3Lx78v1NeThRPi2bX3MX0UNeTg8Dxt6Oe2u +qiEPh/fvuSeG+YU15OFEiPo7pdYso4Y8HN6HXPc7Xgk15OFEmL5l87xf0TXk +4UQ4dWh3y2XhNeThsF6P2PsxKOC/74fD/X49xPW393/fD4fnKdVx5Zyb/30/ +HN6XP/pPvOH23/fDYT2dp9AtOvPf98OJ4Kw9eUi/o/99P5wIaZEbJtjt/e/7 +4fD+fx23+sbm/74fDvuVN7FeKXb/fT8cnie3jz9/L/zv++FEOD3S8KCB9X/f +DydC6YfjHZeb//f9cNgPPe+ddNzov++HE2G9+/GAhyP/+344Ee5PGRr6YeB/ +3w8nwtHCq5VftP/7fjist0lWFqoO/30/nAjlMUmpLZv/9/1wIrz+9Oxij4Zq +8nD4Pk1POg3iq8nDiSDLzfMdUVNNHk6ErNB5rUYXVZOHE8HH5ozP6Mxq8nD4 +fu72PKn/qZo8nAg7lS/v6b6rJg+H/UPPVr96h1eTh8P7b8Pbx+0DqsnD4Xrd +dPVo8KomD4f9458Rn6s8q8nDiXBsz8oVyRerycOJ0Gp2yfjnp6rJw4kw8fzR +jW6Hq8nD4fsO+SnduquaPBzWQ3Fs8tQN1eThRPAY+K1V9xXV5OGwfgd096me +V00eTgTf0KOPQi2rycOJgLdBS8dJ1eThRNg6/3aGxZhq8nC4P94Mbd18aDV5 +OBHerrofBDrV5OFEGNZBFePUpZo8nAjdtg+abdSqmjycCLvrRsyWNFaRhxPB +LLtz3O1vVeThRBi4rDhqel0VeTjsP50ejOOLq8jD4fs026J/I6uKPBz2r0fn +B5kkVpGHE2FluwMv895VkYcTYVeMepZ9eBV5OBEe7vy9p11AFXk4EWLKX+l5 +e1WRh8Pn/2tzcKxnFXk47Pcj/q1871pFHk6Ek+1blVqfrCIPh/Vx4IOm9INV +5OGwPzXpkLB4RxV5OKyX0Q/G5aytIg8nwr3pj2YsXFpFHk4Eb6+dbVJmV5GH +EyE4ZdkxZlFFHu7/922s98sJVeThRHgvdnXS1a8iD4f1fl6I1rWBVeTh8P4y +77D1Z48q8nDYT1vddVrXvoo8HK7v5JxlH5sqycOJ8NNuUsPg+krycCIcXjh/ +60l5JXk43L/jHvgWlFeSh8P6cfHVy9F5leTh/t+/Gdw7nVJJHg7P1/z7dpkx +leTh8HlX+TX0i6gkDydCrJHSYWtQJXk4EQZoDSh5/rCSPBzOB6nyEd9uVJKH +w/Urabtx3KVK8nAijNo+wW3PqUrycCL8mDY24OmhSvJw2C9iSSzbUUkeDvvb +uNdp3dZVkocTQR5dXWy1tJI8HL7PsEG8/exK8nD492fPa+M1tZI8HM4z/+YY +JBhVkocToa1Rm7XyEZXk4UQ4M8jet+OASvJwIhTVn2wy6F5JHg77qexRR2a1 +rSQPJ8Jo7wbtuJ8V5OFEaNmJO/+tuoI8HJ4vo8VWvdMryMPh89kvXG76poI8 +nAjtl/ZLXO5TQR5OBKn3d689lyvIw+H6rxtVffJIBXk47E8aej68tL6CPJwI +Sw37FV2bU0EeToRqqxcPPCZUkIcT4aBi7tfLAyrIw4kw5ODporNtK8jDYf2L +r956UCgnD4f91JE+XmtLysnD4foW552f/qmcPBzup265I/VCy8nD4cvRqvD4 +d7ecPBzu77dZn/Kdy8nDYT2occ96uqecPBzW132tow+uKCcPJ0L4ItPLptPK +ycOJ8Mnf2Oa3fjl5OBGcYrTaR/UoJw+H9dawPmn/3zLycCLwtd1v6ErLyMPh +edUPOZSVVUYeDn9frPYhx+gy8nAiNHK+9wc8KSMPh/ONa5z6/ZUy8nAi/Oqg +dLU9WkYeDt/nU58N/IYy8nB4/811dD01t4w8nAidY7q36WhcRh4O779n/SWe +A8rIw4mw/MXS8b3alpGHE+HvTmfhpqqUPBzWr7tnDbsWl5KHE+FJ78k/z8eX +kofDfvnP/SU/gkvJw2F99HsxZ/PtUvJwIuyIOytNO11KHg7X+9ikiYY7S8nD +YX+z7i/zWFJKHg7r6X2trtyUUvJwImz5+v7F9GGl5OHwvk5bM/xOl1LycCKo +NtqdkzSUkIfD+TnCIHN8VQl5OFzPp7bajqkl5OFwXtN2WPv+VQl5ODz/pQav +f3uVkIcT4XGD/vBJLiXk4bBeXpr4bt/+EvJwON8Iuqd9V5aQh8N+qjzrRI5l +CXk47FfWj4cmgxLycLifG2YsHqFdQh4O++EX/Szm/y0mD4fzn16+x15JMXk4 +ERYEPl52ObOYPBzWL8sPN/2jisnD4X5Pc1zz3qeYPBz2I61N3mS6FZOHw/vQ +d21YxaFi8nBYrzcdXMqtKSYPh/V3cIvg7zOLycNhf6p8/un32GLycNiPdxsU +3NS7mDwczpvm/XY3NSsmDyfCutP2XX7Li8jD4X7a88+nPqeIPBzWC/2345Xv +isjDiVB79lFK+ZMi8nAiLGpKOJJ5pYg8nAj19fOtPjgUkYfDefTx7imB64vI +w+F9OX7bXo/ZReThRPgs86w9Mr6IPBze98PsXqzsW0QeDuffE+PzJrcsIg8n +gl6m/9Ze3BfycCKkr128T8j7Qh5OBIeyyl8pH76Qh8P+/0p3ncdPv5CHE6Fq +XmTOoWtfyMNhvQx+MWnmsS/k4UQo6cQt1d74hTycCLeeORhXzflCHg7vv7db +JM+NvpCHE+HK0QqHw/2+kIcT4Zm1rtqs1RfycNifgdOOf1wheTgR7JJm137M +LyQPh/f1s6ZDzjGF5OFwvcYNGWYZUEgeToTmwbNaN10rJA+H9XVIf923xwrJ +w2F/vmD1pYMbC8nD4edPypttMLeQPJwIK7R276s0KiQPh+c9pEe7W/0KycPh +es0sHDq7VSF5OJwPn2VnNnIF5OFEePlvfN9n+QXk4USAJLNudjEF5OFEMGlu +Fd8moIA8HN4n5uEWr64VkIcTYU1ka891xwrIw+E8vycrrd3GAvJwWM8mR/4K +n1NAHg7ne9n+MauMCsjD4ftZkXqweb8C8nA4b5x7WhTQsoA8HK53cKO9DZdP +Hk6E8TvSZ4l5+eTh8H54z/be/pBPHg735+RzKtOn+eTh8Hx2r6gsvZpPHk4E +Y9NQm9NH88nD4X11ZIv1kA355OFE0Dpzvjhudj55OJxP+z/rs2V8Pnk4fD8T +LvRo3TefPBz2D3xxoX+LfPJwIrh8vuBgrcwjDydCUtKRf5LcPPJwOJ9tDXN2 +fZ9HHg7vk4JFWiP988jD4fprXYxKvpJHHg7vE6XX5Z0OeeThcN4x5e51WJ9H +Hg7rQ51M/XxWHnk4fJ9d+j+zMcwjDyfChCHD0r/p5JGHw371x9u9ns3zyMPh ++vJPvUwUueThRPDvmLmrKCeXPJwIVtqj5Sff5ZKHE+FuYMbIoU9yycPhvLW5 +3CzFPZc8nAjbftwztD+SSx5OhERr234663LJw4nQ9/K5Th+tc8nDYX+ww6/n +7nG55OHw/tFaOruXTi55OBGM1llHxjXLJQ+H/cn32Qfs5Tnk4fD3rbe4PCgn +hzwcrq+Bbq+s6BzycHgftG2n5+yXQx5OhE3yfkkm7jnk4bAf0/XtpjycQx4O ++zGXhp6+a3PIw+F8c+G6ZJV1Dnk4PF8Lmm71HJdDHk6E1K+1E3N655CHwz/f +37rUo1kOeTgR+v+cfHexPJs8HO5H7sAp7Zxs8nBY3zq/9ymKziYPJ0JvL/Oe +Pn7Z5OFwvx8x4na5Z5OHw+eb+MN00pFs8nDYf6/91a3NumzycFivd6W5Flpn +k4fD/drUNfT5uGzycNiPNLv0wFknmzwc3kfQbeOa5tnk4XC+6fK4h6kiizwc +9u97ZyTp5GaRh8OfP8rA4/e7LPJwIkx1vuNc9SSLPBzOy7H8y9QrWeThRLi9 +K8jkjUMWeTic11ZtGhSwPos8HM7DsrTz92dnkYfD5+nw2un6+CzycCKMcWrX +y71vFnk4vL8KP+92a5lFHg77oQdzdPsUZZKHE+Gq/cOJw55nkofD3+e+gJme +zCQPJ0LEtDnbly/MJA+H/bPOmyLHIZnk4bA+6f/6+FSdQR4O68GKkHmlnzLI +w/3/3/eCfPvezSAPJ0LosT/ixl0Z5OHwvDcKO16aZ5CHw/01S2t8p64Z5OFw +v+bpHNtflU4eDvuFF+12l75KJw+H5zNdZ9JSl3TycLjft8a0z1uZTh5OBPfx +z7XWj0onDyeCzqd0x/q/n8nD4fsoWXzoZtZn8nAihAQ8HsX8PpOHE8FxxMbs ++iOfycNh/Tl47Mbr2Z/Jw2G/0qmDz9l+n8nD4Xxldkzf7msaeTjcH4u2rDH/ +mEYeToQj7UJ3jrqZRh4O77PCY2eHb08jDyfCA3PdvDFmaeThRFjbf76nZec0 +8nAi/Nb6wm2sTCUPh/99nEz72qtU8nDYf06tnpLikkoeDt/vrF03tFalkofD +/R29w2brmFTycCIUfmnrldQslTwcrkdgRPikvBTycFgf5wz6+DoghTwc9lNL +p/y1PJ5CHk4EN4Np90oXpJCHw/t3XVDyBd0U8nDYT7aYBFN/JJOHw/rW6WtY +q9Rk8nAipLzQySn2TiYPh/sz3No29kAyeTjs/4ttjryemUweDp+Hzd0Y3SeZ +PBz2Qy8eLsrkk8jDYf1v/v1Y/cck8nBYb90ttAxuJ5GHw37/QMR8+11J5OHw +fbf9siXJIok8HL5fs0PXxvVIIg+H/Q53t2WQNJE8HK73Kv+fE94nkocTIfmk +xdMsj0TycNgP2y+bcXprInk4fP6sIR2nmSWSh8N6rT96Qo+uieTh8D5eOuP7 +z5pP5OHwfZS5uAlRn8jD4flxWDP675VP5OFwPRY4afff/Ik8HJ7Xc9UnFkz+ +RB5OhJHutY9udv5EHg7nsfsDor5WJ5CHw/m6s2OztVEJ5OHwPss3jKi4kkAe +ToRmdeldjm5OIA/3/38/Hzl/qGkCeTj8vCN3BtZ2SSAPJ0JTl3Yb3tXGk4cT +weNURmhAdDx5OBFmpBXkBXnEk4cTwbbUvVPctnjycDjPeIXcVJnHk4fD87Bz +/nOTHvHk4bAfck25el0eRx4O5y/P0pOtYuPIw+H7F6+9uXo7jjycCG1srI4b +7o0jDyfCiD9P2ymmx5GHE6GHc+Kjd33jyMOJUPav6Yy/8JE8HNaz4FZ8QPJH +8nDYf86t1ot/9JE8HJ4f38adDQ4fycPh7/vTsmnGgo/k4fDPb3UfGjzsI3k4 +EbIGXes35m8seTicP03NDZLzYsnDYb/LmXieDI4lD4frNUK8bXM+ljwc9tNT +LU9MXhNLHg77/W0LXCyMY8nDiRBv+rhxfadY8nD4PrcZTTRLAfJwIrzaqFrP +OQJ5OBFMFeG/CwyAPJwIl5btvPWrJIY8HO7P1IS3tldiyMNhv7l03I+vFjHk +4US4VpH9JVb1gTycCGOX3FSl+34gD4fnz2Ngeq/lH8jDiTDRo6jpQdsP5OFE +GLBT1Wtd9HvycDgfb18csHbve/JwItwcHGr1YPB78nAi3Lh09kTvvHfk4bAf +6tpWnuP6jjycCBdDZw7ImPKOPBw+b69Ooe1V0eThsD69E41dnkSTh8Of/2+N +9Qy7aPJwIsxf5HR8Rpdo8nA4j8ydtdc1/i15OBHCy6++7uz4ljycCE8dlV8L +x74lD4f31ajkCEltFHk4EYbmb/QzexBFHg7XY3Gybd7iKPJw2I8GVOyPaBdF +Hg7rhdlGtxJ4Qx4O9+evUuu5R9+Qh8P98yB6ZvNxb8jDiSBJNxvZXBpJHk6E +hgPHPOY+iiQPJ0Jg4c4h5baR5OFECGusOvVeK5I8nAhf31+3kaS8Jg+H+2V8 ++wlrzr0mD4f1oiAqf+DU1+ThRPheIZaObYggDyeCeJxTuYdHkIfD/mMQRJvu +iSAPJ8Kf7J21JiMiyMOJoO+Yo+Nc/Yo8HM5Ho5NaDnr4ijwczj8X/i3uvOoV +eTic174PCLfp9Yo8HJ6PQeGZlTkvycOJcH60ydqkay/Jw+H9a9CzQwubl+Th +sB/cE+x6vcNL8nAinKudddEhOZw8nAhvro6AcJdw8nAiXM86XTl9Zjh5OBFU +1U4+Y1qFk4fD55tiFrU/Pow8HM4/vwpLOpwLIw8nwjrbHQn/rMLIw2F90/5t +sLBlGHk4fJ81pjfUrqHk4bCftH4Z2axDKHk4nIfuHpmc5B5CHg77B97Lc7ZW +CHk4EXYZzLJ0ufmCPBzOoy294s72eUEeTgTPVpm3zR8Fk4fD+eO+7rSoYcHk +4bB/Ga60+hH8nDycCGd7eg77afycPBzuz1937eHDM/JwInwO8wqxmfWMPBz+ +/XMr9zzJDiIPJ8Jhu6DJn9YEkYfDflfq8SRcFkgeDvtvxeTpu48EkocToXHd +jyB1i0DycCKkpc23n389gDwc3ncXhHFHBweQh8P7tFnm+SNhT8nDibBhaLvO +cyyfkocTobXv7x3qHH/ycCKw9bOmOGz1Jw+H91NO2sjcn0/Iw+H9cij0S8cr +T8jD4fkcu6l+iO4T8nB4P5c8HtE7yo88HNbv2GOD+QV+5OHwz7XmXfOX+JKH +E8HmVNZwq9O+5OFEGJ3c3ytBx5c8nAjWy3yfjn3lQx4O61e6STPnBT7k4bDe +pXTbGqt4TB5OhJWHim8oXR+ThxPhAPOxaT38MXk4Eb5ZZz8f6PaIPBz2dy8W +1vVKfUgeDs+XofeXHx0fkofD/vzn9X5xC73Jw+F+ON9mzolbXuThcL+vLGmm +X/aAPBzeH6nNZGnDH5CHw3qXdSpwx8H75OFwvatPCC1i75GHw88vnXP0Ydd7 +5OFEmDt5i9pi413ycHj+n57Vk0XcIQ+H9fHBnQzvDnfIw4nQkdVEb950mzwc +9pPhwpup72+Rh8P6vizw3jidW+ThRJgy2MZ0qsNN8nDYL3a6dmRXwQ3ycPjz +z181ejf5Bnk4nD/4OrsJ3p7k4bBeTn5ZXNDSkzwc1tNrD4KC9lwnD4f9dYvy +2NBCD/JwIpxeuGQwP8ODPJwIBof5+2k7rpGHU8PHsm9Pp8y/Sh5ODZ1+HHIx +Mr5CHk4NJ57GbYsa7E4eTg1vCt+cye92mTycGm7H5+l4tnUjD6eGNTuXnP7R +/BJ5ODWc0TPW6tj8Ink4NZhtWzXhSytX8nBqMPe8tWZbZxfycGpIb4rqGNP3 +Ank4NQTohHyWjj5PHk4Nuc9cJ3HTz5GHU0PN3Fuq3PXO5OHUkCd3CAw4c5Y8 +nBoqLhn2t396hjwc/v4JJwz2+J4mD6cGd/mC3FYtTpGHU0NIT/2f73afIA+n +hg4r44/6VTiRh1PD+cbtc9PXOpKHU8O2wf2d50mOkodTQ8bSlLmGjg7k4dSw +fsF2xZVeR8jDqSF18PCPDjGHyMOpoYX/3Tl/7Q+Sh1PDa6sKHeOxB8jDqeFe +x6cfVnP7ycOpYWXXTZ9/Xd9LHg5/nq27/m6b3eTh1DBx08TCnIE7ycOpIXqM +VZeVbbaTh1PDvJfvLIa02koeTg1PW03QWq6zmTycGh6M7L2p7/SN5OHU4D98 +6F3D9uvJw6lBJybBnHu7mjycGhSbnRIi764kD6eG+a9sIir8l5OHU8Mn04BW +b6qWkIdTw2m3TrpX5i8iD6cGdglKtqbPIw+nBskgy1PqDbPIw6khfPjaxG3L +ppOHUwP32MQpeREjD6eGoWaBkbrvJpOHU4O9p2svfbkReTg1XDK2C1wWNYo8 +nBrsEhqGfTUYSh5ODR4NC91c7XTIw6lhwKluWr+adyQPpwZpWMG4VdYNFhoP +p4ZW4tc5qzvmW/wPgJt4bg== + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJxMmnk4VVG7wGVIKFIRqWRMJaRCWs0oRBkyRIlkSiElFRmTzPM8z/M8WywK +IWMhGjVJsvc+pNJ813fPufd8/dPze/Y+e83v+y7PT8zKSf8CKwsLy3YeFpb/ +/H8vZInYN1YCsTD+XfwgNP6QjUDKBjWRAtKdLTr7FcIT2QkE0utMdmzrbJGP +P6pxkYNAVvG9HVrbO1v4aWf/gKUEepbk3rBbobPlyzG3Gl5OAv01jJeBmEcz +Qy9OYibahz2ini9rqf+ZI161jEBOSpPf6mW/wiQDOOHHRSBn6Rc7fy0fBh4l +TyJOcRNoQ2NIe1zsAjzL8fnoZh4CNelv0GDZPA8OnmX9t4j5/Y/T1UsGWFrE +64XrepcTSP/Y9M4xmWeAfeWOSykrCDSqZbJt00A1mLI7JnmZl0DD558khNoM +wu52i+cH+AhEO3n78JUv07Bo3fUo/pUE2tQmHhkl+gSGuIZpvsPMusbZ3Fz5 +I3Dqy2Wp5WfOj55US33AKgJNr3jk+DqGADtvj1w2WU2gVpbb4yUds0Dg6Wep +rWsIdOla6tb+yAn4XZ7t5S/Mfvfbbqql9oKJwHUx/QIEMtWwcRrJnwTNb3Zo +pwsSKCBu8v2k9AJMVdVkdVlLIK89iVELY5+hV/S5xsNCBLpsfpY/3vMjtJy9 +7rxGGI+n5bfl3SVtUE09fPMU5jCH3GV1UTVAOi3vVf06AsV6WkiErngCl31v +ib0nQqDHopNt1lvrwcyJ0eNm63H/bBNNby3SYF/BLNv2DQQy+nGnNVaRBsuW +sDf/xdwS6qk/FkDBiNMiV4Y24vWW59lT6l0Ir1QrbskSJRDvamM9C3MSGi7X +mnTdRKCZbYrBPpmPodIFy3h1MQIF35S9JM7xBAi3uuuuFcfri/an9VZ+gL8E +Izg+YW6LzUyM/jsEXzrlwyYJAhUM9msITkxD1N3qGiJJoF/BdR1P3tJgptjY +1rNSBDr7Mca8MrQH+N0k3shLE6jWSWt9u/cEvPCEPXHJZgL9qNETvJGcC4/K +rj/5BPM/4QWx7TGjYMudnZy5MgT6qc4t/+/QG8DzSqvVbQuBjjsaPU+VygGk +ktW1Y1sJdH845DuaeA+Hwm/IrttGoBUvwk7/+TEFq6Yj3n3GvEratqb32AiM +OVSQ1CJLIK1403M+sAm4JSG98O14/HvUH7a5TUOTL2PLLOXwepwLe8D+6C1U +PU4iRXkC3eReH9n/dQquz+W4zq5AoFN+e29oVk+Av3/Wy41h7mazW9RvewEn +jXZ9yN9BIInNa5sMFarhg3LtlBuKeD12DhQ48b2BucvOG2jvJNDGj+Efx15+ +gHctb3Jv2IX30x1yqoy1B9g3RbaTmLn5J341BFZC7dWF7m27CTRxK66CnZyA +2x3b5KOUCHT3r/6d5bzdkK/z6dR5ZQJViGormGbXgbkNVOpuFQLtrzzruEz3 +PXzitvQU5x4CyV262DDZPwZrBzcsn8D8+giZYOv9ECTI7H5QpEogNpU3Xb9n +nsGbPsdveuwl0HZhjYz+d+XA/Nn5HbqAQAOJvRHftUfh/p23pkX3EejA2tbQ +2c8TcFNIVPoc5thhSbF9h15B1g+FRg/2E+jqi9uzh/6Mw/f72lfEHiCQAn/G +tepvlaArbrzD5iCBSrmGOa2vjsECirqlcgh/r3y2JkyhGQYd49zJfZhAD3mG +ohxuTsJLmRtnnmMOqu54uvZ1OTjxc3dm6RECGSw6pHWsnYA7DHRMvNQIdCJY +9k52VC9YXWLNp6eOz/+ihL7W2CD8yu7RJa5BoLyl235uqRoGT89Eey5g/lR0 +Y3+d6whorCva1XUUf0+ds8G1BIJkvvuf448R6NxWlkkl4xHgaTeRZa9JoC3R +esvZyefQop1muleLQOuKHvXkjFbBQ+uW8a/QJpBhEop++qYXSLqKdr/C3LpA +sGSbNsClfUpeFcfx/njV2nb7RTecltRV8tXB6z3TLlNAG4C9nhcIA10CsUs9 +nlBsTAYlYx45UifwfI0/C/QQHoNh8jFm3zG3yfJeMTbLAc6Bxat6ThLo9Ou0 +mZPUONR/c78nSY9AfPVrPwwF98Ndqs+8HfUJZLFsr5AGzxgUjJ5T3m+Az4+M +9MQH2xT44/Myis+QQI2DR7uq3jyDz9U25b3BLHrjm1u0TgtoSVU+U30Kz8/Q +X9HhkjaY/k13zR0jAjkYvmUf2fQM+pyweWRkTKC6Qb8LRXx98HyBp6+MCYH4 +n/Op22c9hupLYvf8xKzx82/g1DIEN58uoT0yJVDqLas31qVDgKv6QX7qaZxf +Np9bEfKxC37meX7WyQyft79eRdcyHoIB63mBQ+YE8lxmXCrAmgkqWrj6V53B +8zFotPkZ930YJSjm/x7z2njZ5R5KfvCqk8reurMEuqK1b51sYCsw6j4xf9cC +5z+B9P0JSglQRcy20PQcfr+SS+3l6Vaw7ubtc9ss8XiqVvvcc+2Cvx/Hrv2D ++XDxwrdzy6rgq22lAwNWBOo0U9CIC+mHbf4ddzLO43y0Ktr/qdxjmP3yObhi +TaD+gEDTh2sawB2lL1+OXMDfUz0mmSxZA23DuYsFbAh0Y4/dW//7nUBzWszq +I+amT0ZZten34bZDe4QbbQkUNeMwJD7VA1cknRwKssP7Z5VMk65jFqTmbe+a +2xNoZfjF53NCnXBY22u/nAOBXD/GE4Js9bA6J+7rP8zL27Su8I1Vwtg/pSXD +F3E+uJx2MPjWXeBu1Hk+25FAjifevRVuG4Kny1+su3YJ//5Rcg4r5zAEyxaG +NS4z8+FGS557Qk54/+1X283blQVZmsQPzmD++6i+aj5pGL5dpfq92Rmvj9rM +bfOQVtBxUa8s1IVApFF5akZJKszrsLtgcYVAyd/7KnQiBmHgBu/1O1xxfm+x +iJIDUcDBLf4J61UCcQkMei5xQkBnsCxo5CqzfXmZrkN513B9YrvVMSh1GPL7 +vFy87kYg3z9s1g1X6uGXiYVyzesE8llItct93QNHFZfbirgTyC5VbgJZtYH6 +YImNBGYFyyHTPfMZMPG96mjrDQL1ZcSrd+wpBrf26YdE3MT72/dUwUU0BM/E +2R+xusVs/wDl/XOnB4EW9M/1O46NQPFjCZUcngT682iPQox+P2TPLLd7ilku ++4+M8pvHcOpHl2jhbQLN3+w8wH9xDHbrvxq76UWg9G/Rh2KbnsCi4q+hx70J +5GYzZGdreR+GsK9Q3+hDoMigmwmxJ5rB5TOSvynM0peWXBkLbwEn6/ZWt/sS +SEn1o82C7xOoyGfgEO1HIG3JZ3ajsh1wjZ2D2AV/Av32bl+ty9kOv7f5jCvd +wfFq+NLSIbeHcEI4MXxZAI53NP4LHRrZsPlKhcYzzMvHuQQps4cg9dHDP8V3 +CfR18LBI8JXH0EvydY1nIIFU4tme8BmkQUvPbxdP3CNQ/q+duwZq6+CRsRUS +YkH4/LusH1SLLYJS8lLP5jF3TPudcloPIWcgiOwIxu33uOmKiA3DT5MGx+JC +CJTpR2Rpx1XBvj0X/9mG4u+rT3UMsPXCsijfuj1hBOLZrHPCoqsfRnxOvMQT +juev21dnW1s3vKJWKfkSc/0zjYoRyQZgmNr9vCwCf/+btZ3K3WGo9O11lHck +3j+qlj6Keq1Q6MR3Tf0oAnloLrJ+ONIPf+bzLpGMxustEnZ2H0c9eMEi3fAV +M9/GhvTDRQi2mu5zehhDIGHJQNoFnyGYWWUonRiL81/aNUl9m0fQj8fxpUMc +gaaGXPr5xFvgBWu/GBCP41efmfwqzyF4tCVJmzcBx3vRQdLFpB5sEaxincRc +Iqlw2qm8DfI49TRWJhIoeyC/O1L1PiAeTjr7JREoMaX9sOveODC4aXHzqWQC +eXs6dmmz5cDKG3yvpVMIJPaVn+WhTh+Mfiwdt4j5edMxwc6tg9Bt236d3lS8 +/56oy4hPdUAT/1PsKWkEOih9yagwvBuqvnRsvpSO18P3XJvaRCNcr+R/5UAG +Xl9bo3fOshHwb1jyFv5MHJ/73rtHXW8Bkx+rJt9irjHeuizyQBW8f7A3viaL +QB/Cv6160FMBchLf6AZkEyhheXXOXd1uGDC/yGGSg/ebeZU0tK0AdtorW7bk +Emhwr834DeF6oJ2z+eovzGXbrJVHFUrh9j/7t/XnEejo99z9uzZDyGdk9DYt +H7c/KfCFzbQXzpVdSnQuwON1DNbqOdkNn3DeOXm4ENefR+0Xa/cPw9pzKZxr +igj0VI7t4q2CIRjfWN36AfOAu2f1z4IScGPVo2v1xQTaOqPy0PDrADS7+Fb2 +XgmuF337Wc8F5oB9HT/enS4l0BqjhCbJnAYouoE/WbaMQDu4VNPc/uVBVjcZ +/b+Ye98V1O/1bIfvBw5wDZXj988FSpm1tYKuzcZtmRU4vwsn/ps90AYLvC9f +d63E8Yctq/ScXS0Imrgjp16Fz3O+W+fJ7XXQUTH1g2A1gcZVeadTRR9B3eCa +lOlqZjxReP/IoKkGx9Olvp8lXjSBVfvecYfUEmjZjXtyItGdcCH2Z/uZOgJR +SSSu/e+ApyT/Dfl6vB9rvPcbfqwHjUe3KCxpwPmviFNrVq4KJGcc/PgY8wgn +x1DUiyro+cM4LacRt79pZ7vk0kpooe90yq0Jn68bNyy2ThWDQ8UBy481M/sj +wZ72QBgS6M6dcx4nznRAjjO1Nz9jjtqitjdXMxN+rO3b0dJCoG+fAtc8VImH +Pbzvp8NaCTRbFdM1kVwISmx/pZ9DOD5QL2aUT+WBsLZVxoptBHrkwOVuVVkK +nIW38rK3E+jBc9qUxHQK1L9yqHMUs7fVneeKt7vgrkcmHvn38f3pTcGWhcIH +UFDSeeeNB/g8RifLI49iuOhxd0arg0A6E7f33pprgs9G0zLXdxIoQ2HiZfzL +Cgjl6kxIzA+mpWYe5dfCtLv9fG1dBCp6xudYeKMA+Ey+74p8iOdr/zNuriUD +8Pye357nu3G9IbHbRlquAapHrd69uwfvz/2mwnGr68Hmz1tnl/YSyFj8wpqD ++7ohl9rh7HHM3ouT1+K35MPPKaanix4RyKUjbdvLkT7Y/9WZ36MP51fBfNPe +5GJYrhvYrdNPIIGYLduWbxuEkfnpXqIDOD69y4ud0asCriz1SnMDzPk3Mh0g +7g/i+84a9eUOe+KgStWHnJghXM/mHFl7vCwNruP5Y2YzjOvBj2UrL7I3wN/n +16xWeYz3f7CHcPvtGPgKbuvlekKgC8cvpxpr3IdtAkd8nmO2+HfunfvGeph1 ++bRK6QiBzO4I/tMdSQX+D12o26O4HtGo5w/pLIE2m+7lnRzD9YVffvoXlm54 +7EbGGfGnuF4/eIb9UT6uRx7Xr1nA7OvZH//TBtcj2wYfdY7j+qFVXX3vtzZI ++U35xk/geFtPCNRW14DhF3/22D/D92/NE4NNdaWwerfAnOpzXM+PflSeSX4A +Y8NkC5a/IBAhQ6iw7KyH1z8esXiFeYtOwsmYhwPQ9KCZYMVLPL5+3ls7r1XC +vYlX+n1e4fx4aZH395FsuGH+nr/BawJF3P7SRXG3ABbtzL1SkwQq7lg0DnqW +CN9mN8x/wxxbZRF2M+AB7Pg9WNj9hkCQZp+zI6MP5p36eC7pLY6fPrQb+Sw1 +MLDs71rHdzi/vqmUOjuXDB04BQf3vcfxWzkhf+xYJjh+bnsA3wd8fwwJODr9 +tR7KNarte4P5TYD4mRbxLrhylflC1RSBllqXBlfk9sB5B9di/4+4HuBFCfa9 +sWD0QZCV0TSev+Xtx9Iy62D9+ixhmU/4vrv9qZjtYBtMvNY49AOzZkuS3Cxv +J7w1MHT30QzO7+ueG301rQBnNk/vT/2Mz6eWLff1jkp4wPvf18uzBDofFJiY +F9EAxCYESw8SBOoiA5w9XnVANkU561Ukng/jAfmh5Eb4IUhd5D3mxio0yeMd +Ax6+M39cS+F8sda8wcyvGhSBq/fu0nB9rjkYMaeUBUNigw+azhFo3/jEh2+h +UeAymfV96zzej4Fx29tKa+DJo01lvzHLjctJthx9BBUzhi8MfMH3Z0m3qFnx +MrDmx/T6jAU8f54FWV+uJoBveiwjLl9xfago9CVhpASMF60NPvIN39+uLYU6 ++wJAE5v8YYHvOF696pAa2VkDUsw1fkxhltUS7J27ch961Z6paFgkkNROgRxF +gyhgyXvNNugHjrdQilU+PwUcsQ3ZaP4Tn/+Q6u8VMnVQqi17dPsvvJ7w8JnF +rDrIKdwc8g9zXV6V6A3jCvDJ5fGR4d84vpwd7BOsyIWPej/9zPpDoIvdU9d3 +r2yHpRJLqq7+xd9/0lURUBkJwz2E7DX+EcjcZu3LXYoPoMuo/CYhFhIppZSu +mae6oKHc0aefMD+Qrgs0SOuASnfPhjUvIdGylyorJx9XQaHJa+qhrCSq8zVf +8WB1FPipEvr7LBuJ7Dl+neosbocvInOqFdhJNDRUf1P2QRxonWl2YOUg0fJR +tt2NYW0w48gTsRHMVfdTbK9ZPoS+KTPjuUtJFK3k+8dMIxNYf10ScZ2T/P94 +oqErfFRzGYnEak+OjUrUwS35Cn/XcZFo/89BthD5B5CH5VjtLOaLp7me6GzL +BISJhWMrN/P3g5VuEhE8JHqtdd5bD8fXSu6wZ5bLSZR/i6dBWyQTRp/Pjdy5 +gkTcqt1jduxt8BqExzh4STQpuDbnw81KYCww8m8Ms2/BKe4gow645/LnugI+ +Ep2U6gJr5XOhyEPWyzdXkijkumuUVGYN/CO6Tuo4P4ks/3xs17G6Dyfdd7zY +sIpEGq7JSq8utsH7w8eiKczJLzMvESZBMGfrOa321STq8E+RPJhZAgP8ri+J +XkOioo2DRm/v5QO7F2EN1gIkWhWggQQv1UCt3XlOSoLM8cmGtUgvW0uiz1t6 +M/CVCvJ+HHk5gdkrtyA9bX85oB2YjSkWYr7/OIHtuKcw5vHLTveD80Dt3Dq2 +E+tIdKPZctm+8joYr6XYtEmERIdXsXy+ABrAjWxNl3nMeeU6TRqt9dDs9zmZ +jvW4vSd7nRtL78F9p9xfx24g0Xjsnimv+8FQtCw8znYjiYJftgsNGnXCJZz5 +OntESWTCEffzU1w9fGfRys6zidmfzobR5hf/xfn8xJUyMRJll/w03LCzCQY5 +sG/1FicRx1C2QsClNuj4QOSNngSJvi/fVXTkRB3QXb8zQUKSRG2ljmZzVDVU +uKZ14ut/WJvXInBDO1w1YLn0oRTz+wvSN1oSpEl0fQ3PFIdmOhzzirjqsJlE +MRoWXJ+KG2DDeP42IEOiNQeGYoyuVMKkHejtii0kathgxl+WXQk8gsYSX2MO +8hNR2vAIQot3xMnKrczvHwIcy/y2kUhbXIYr62IRkIhdjwxlSeSnnZWh5ZsC +OcidbtLbSbSX/ZRKj10G/KihvX0R86lif/HImCbYk271vkeORFd45F/YmTbC +4sUbycnyJFL7unKud+c9GKoXqX9JAe8Htg61eatS4FRUwHVgB4n2lb2unXeM +gHpsbW0rFUkU23Ji9efgWrjL/On1t5jPR8XYjUdVQsFaUq5mJ7O/iyuWTt3Z +RSJ8wVaN+9sGn9lsSDXejc9/7zpHnZtZEKJdhluUcH8/2HhEvMuAaULHeX5h +luOJY9/Jkg29Xc7f71MmkeDk55v+x0uAVe/NG2kqJKoeWBP05XQ0UJOIUnDe +g+ODiNKSr2ZtUNqj8OMhVRIN123vEdSLAFyjbWmr9+L9Mj0UcUq7Fn7ePn7q +A+bD7kpmVyRzQH8AtbwekOif4OIa8fexoPz10o7Affh8pOvpaskkgUiVjbdO +7yfRX6EE1mbDYOgauVtR9gCJtgvVHamTiYKnZo5/+oOZZ7ecI+doDFA+Yp0x +eBD3f99zDo+SAiiccss48xCJuvLCZ6M3NsNfC1G8rodJ9F7mvJbAwQrwSqeo +U+0IibKkrDgtY+tAW167h6AaiVqrR2/52cWDrH/jO6cx162vrNFrLIH+JrSZ +RnUSlXU5R+iNl0ObSs6sYA0SPaYIVq/XNfAYt6jpmaMkMuvhfXxIKgRsPa+0 +Uv4YiVJstsf1cWWD5VDnIYsmc33INRduP8Z8wZHGc+pNNhi65LE7RwvPT4jt +0g2vakB1V/TsNW0SaZlcd14wyIWxosXZR4+TKOfIp5/aNnfBdff7p4V1cHxf +xc/jJdYMTYcn+D9jPh8fdVWdJRrs3TrXDXVJ9E02rMjFOB5s8FvmHXaCRF+5 +hu4+T8gF/56LKp87SaKNX09MTa1JBW92KZM79Ei0VTf3y3hqG3wQqpvLpo/X +YwOXRcz6GJg7dcF8VJ/Z/8ADnqvzDUjEJu5zwEAvFDgkxPS6GzKfH58r9tE6 +RSIR39UbmqoroJzWA5X1Rni/i0qcOOeWBFdmP6MIzAWaatdOGzTD+V9zeciY +RG6bvrKWPsiDI4ZcZyNNcL6xn/AM2RgA6ko3CZw3JdHozeokYiwHJixV6dt1 +Gsef77l8q1hDwU2LE35LzUj0W5+v6n0drkcabFTHMTcPc+tr9+TAA/y35wrN +SXQt3FM0hyiGYg6xBbfOkKiwdszM0rsQsD0osdA5i/cXq3LSD5dY8EGkQ1DU +gkQr0749UShuhg+vPu+nYX6zKnj24m0ICvvn/e+fY443WJobxFgy+ZKX2JcL +ViRaP6zTl3e9AJ4YVylSPk+ig/y39nn7VkHFHSctuaxJ5HpI/7aJbgZcE2Qr +9Byzv8UrmRsRtfDb29uDJRdIlDnzj12zMhKM740LuG1DolrDqaNyHyFsiind +d9IWszUr+fMuhClEx4KYHYlUXQ/t+/uuDtzWeFH8BXPMbd3smMOJ8Fz6F6tO +e2b/Di9yr4t3IJEncdT6pU8NlNQTH7a7iPt7U/uO42gK5CzaE6jqSKL5WOss +9qwW+IlV78DySzhezn6QeOZcBR+Z2X17iVlstDUwLCgRltZ4lZZfxvu9p9Tz +3dZ8GL4i3trHiURL7ePNznVFARebMhEDZ7yfpys3d66rhQao87GkC7M/u4Ve +3vuG2WylrydxshyudVk42H2FROwG1iMf6wrgjx6exURXfF6afR58eV0CXohL +lF+8SqIZeE/hpUMybL2larPvGokyxt4GKGxrhRkjehv43Eg0dnbc8I59JvTd +bj8yiVled1deumg5tA7wDq66jusBW8EX9vMQaryOP+zvjs/XTkNn/c3+UEal +/MepG7iekAw5PmnZBLkjuyo23ySRix3HvfBVpWD200vbH5i/KJnln76SBQYO +f9346BaJHN/d2j+v1QArkpePpXjg/abnSCXaN8HoBYnQy564XrK9brRHKhJe +09mrdvA2iRY3PL9mEeoPjPP0f/F7kSgwSXPpIZtouOeffdU7zL4/rWNFlhQA +ERMf+1pvEjX17T7ywSsO/KlI2HTXB++XdXYWXJ2t8DVXxVMTXxJpahf5HnGq +Ae1WD8O2+pFI9uXPj6Wr4mF28yv135jFC/ZRH16mwTtrvv3u92fOv92lFTXp +d0hkaB4Q3lUaDrS6JC+6BOD5FrguNvAzHciKAvEjd0nUF/b5l0VMCuB1N5hY +E4jj5bfEwFxBCGlDDhFTmM/K7B7ZX1kGH2/xPdpwj/n9Gt/Ev/eCSCTQkXo2 +dTEXxj2vqDULxvEr93fji02ZwH1Xt+P2EDz/l72m58cq4enQ1xL/MOsl/FS/ +kF4M9019ezYUSqKjFuzQa6YOiB7gjcoKw/mJnU9p+lc9XJIgpXk1HJ9XYOPY +5pcB3tEAi0YEiRoff+VnGyuFnZqG9WsjSTQLLFt1SzNgftbFy58wLwZvX7Fc +qxre++Ur1RzF7O9Fw6QXIdF4/DI5h1qDq6BOaWX02RgS6bMnlZ4oqYPyS3u0 +FGLx+SL4S1oGiuAqi8klrHG4Plvr1fK3AMGF+u8NTzA7x+8wFxKLgGMr+Zxz +40kkZaeetC6oCDbYS2++nsBsL+n+vlfHEpnsIXIqdl0SPl+bl9ff/V0Mzl51 +PD6LWYtd8JJvYS042O/H1ppMIqHzizJ9LlVAXDq5KTwF75chche+HUF2ryoX +y1QSGa/VXLu5uwp+fNojszMN16vDFzOc5vJBj8Kb1+zpJDrd7DQTJ1sCi+8t +xo1htl4sk5FzqoGhb/l0CzLw+Th440+5egJ02ruZ42Ymzgd87kMXH9VAvZj9 +UDsL13Ncr4SuvaqAO4lTrhuyScR7SVZyhWEhENC4tJXCfDGm6XV5bwr8nub/ +pi2HRHfUD33/eTIMTnxPTojKxesZUHioFEZDeLL6hHUenk8pY0PX+1UwrbB3 +qVI+vj/IcwX7RcRBb9a3LZwFJBI1tEvJNk8GVmY/rk5g3mWY+jZcMxeq1ayU +LS4k0TvX02pDWcVAeoXMO48inK/rqtlWXc+Ay2wOJOkWkyj1rV/sqGcznGk1 +0ttUgs+PkNmvrxYVsG/t5WXzmFVXPNuemlwBypzvoAelJEoHYk+uHMuFkT0p +brFluJ6fgE4iEc3QVbxmu205iXpqniUf+RsDT9169F6lAuevbdYiYw4FUHnk +bTJ3JYm6uxyfXpvMBcLbf+q/wJwwWiPY/KYC/rrDz11WhevJbLEVxw9WwJev +ZNq9qkkEeMJuzkjGAqR80F2vhkTTesk/c1QKYGaEsbxELa5fFCYrJ843Qb9P +l6cWMJcv/cXecSYf2BwOSO2qI9ElfeQ0ei0CHEtONUyox/GY/LXuuFsu3LpQ +w+PQwNxfy3X67u9tJFF8X0QObI4FZO67GyuaSBTF4bejwaIRDv39qfC6ifl+ +lfGq6YpmEql0ec7v+pQFYyq2pPtCEnH6rddu3ZYL3bgOGRm2kMjHRKs6Wz4Z +mFiZrJBuZf5+b7NTx3fMr4bnE8qeVsINa+7e6kEk2uLunzRblQz+OaYpJreR +KFHC0v0KTyx401n7ybEdx7tx9T7pB0Hgwcb+jP338X53cJBUCK4CudffG698 +QKKFrB9ifeGZ8O7QL963D/5zHxMrMGiqgvZbVndVd+D74ZMR5+Lsaqjtu9Xz +Tieu9wxVL+VtKQHbnx/aZdzF7N/KXaafZR6SSKamuS+MaIHzIc5ZPzEbt6Ul +XboRAkc+3DXt6yaR0XtB8ac6ebBuf/rKtB4SWTVZ1s1klMOE+LqHTr34fhhb +rm/xPhLcpPXfPvQI7we3M9uvZWdAc80Pu1f3kehZT+u/U/kBYH/W79n3mOPX ++OYMCVfCTb9W59T14/jrZNLTfLUYshpuMwscINGfNnVDS4lk+KHk8KrTgzgf +LS7w5ZTlwYccp3u2DZGIjHu7ZHZfFSw86+L9Z+i/6pH6QOXBYTyfme7KFlO5 +8NLKDDLjMYkGjAv9td2q4An7+twrT5jv77g/YK42gvN/yI7vIRcy4WqRqdWC +o/i+cPonm5pnHvzq+qf3I+aayYzM5r0V8GnfGt/GMXzeinr6Pq6pAk1SsnuC +n5IofIfJLpPaEphy+wjNfBzXd0ve6rYb1cHbT0/ny02QaKe0gk7w03p4TuHK +WZZnOJ/qhC66/iqCh+/dE3iMeTDHlYCtoVDybUZf9nMSLZHMeHgkIBQs3dvg +d+0FiUJFDIQGTxeB6ehB1aMvSVShJ6ieEVMLemen5oRekcjuQr6PD28lLFH/ +WzCDuUuR/0CQXiIISxM4B1+TyD31h9ZQYwVw+S67NmySRE92uf6xVWuEBifV +BizekCju+suVZ/5lgN2FZnd2vMX1kb8475lPmWAtqytge4fb31yT2WV+F/w4 +HfRlBHOCl4CluUk+fF6dWZT3Hudbw9MdORUZsGV5o6X7B7x/XY7eaPLwB+kX +hoS0pnD+Wz4ikm1ZCX1aPw6KfCTRo8mcd8n8SfD82n8BBOa8UoWLKTMVUMNZ +cD+aJlHL06kyXp54INOz/WvEJxyfvRd1L6yLhtzi6iVWM7ieWfeaY/fhdDh7 +0/z8rs8kOrRj429VsTIw8MR13dJZXP8bKj908qiBFbLBw08xJzr/fj19MQFE +3ckKLCTwfnvzMeynaB28+qrxwC2SuR+MlIe/HadwPs5KfZ9dXQhVIqZLN9JI +FOAdl7tCNhiIfPpnTaMx3/9zaO36+3MkUn/wmPfn7nD4OknuSfQ883n7F/Wg +C19wfW4lxhoVkwmzj585pLxAol/+UsfPmWWBO7lXF5d9xfXa9umtkUsaoO3f +4PJnmNWqv+r6i0dBTeNsm5Jv+P5iIVbW7wHhtoqmDbe/k8j8Vvc7RclyuILr +8ciJRXz+FJbe24/vmzTLT8FiP/B9+WGj0pnFJvi4ieXIF8xjeZNmLVQeqFkt +9LPjJ4lOvMjdKbClHsY5ylfG/cL1J495ifNCIHDv1LCz+43rfanfnNczquHp +jWdFVf8wxwOuXxvj+Uui49rfMgZqquDGoZDQl5jltl89FXK3ErJsyVEr/4fj +4e0gWpxREXjr0/zLm4VCpsmT38+/TgWdzx5X6S+h0KxRZNmf8VCYv3PGXpKV +QiGi4XqBihWQ7sNRKGhjCntidT6k+3AUukUaeNrMl0K6D0eh6TiHPXxLIgDd +h6NQpv9G8VMwG9B9OAqd6LAJnWnPBXQfjvr//tN9OCbTfTgKNXB+/PLWvZTh +w1FodP2XcCmDKIYPR6G7eyXd3UzzAd2Ho1D5oblj/d+LId2Ho1BlVPYaWZcc +SPfhKJTUPaSSv7IW0n04Cl1xmU8X9WuGdB+OQifrl7kQI6UMH45CdmkaB0QS +Sxg+HIUEhYtjeFekALoPx+wv3YdjMt2HYzLdh6PQQ9qPXyr2dxk+HPM53Yej +0O+JsC+/GrIg3Yej0NWjrpkWV6og3YfD4+PjkwrnrmH4cBTSVPwtITebAeg+ +HPN7dB+OQlF5/q9m+iMA3Yej0JdLM3d3ONRBug9HIXdKZHlVcR3Dh6OQl315 +R2lOA6T7cBQKW2f459NYI8OHoxCb23lbmfPlgO7DUWh/WbC31dlcQPfhKCTT +N3f44LN4QPfhmP2h+3BMpvtwFBre+PqpklYSw4fDfEfM1HewFNB9OAq1rrvW +r5qdCug+HIWU1bcFb3UvAXQfjkI9Z7seCX3MAHQfjkIDy/6MuivnMXw4CrmG +0ebvS9UwfDgKRTyxcchTLmL4cBQK1tQai87MhXQfjkJanFo8RH0Kw4ej0Gsp +herGFQ2Q7sPh88LDoyK+oobhw1Go/UzZtvvn4xg+HIUe/GyvS9NKYfhwzPHS +fTgK/Xr/UDl1TRXDh6PQ3Nond7+SVYDuw1Ho55UOore6meHDUYjm1xxi5VAE +6T4chZZd+M36laMU0H04CrlNizx9u6QC0H04Cg0dOyAqL58F6D4chS4/VNwZ +E1fK8OEo5C1mGaHaXwfpPhyFer1Snt+TzAd0H45CXdPbdDWb6xg+HIUEAEdy +GpHE8OEotCVbW3PEPR/SfTgKxRypUuDMKWb4cBRKrznw6q9rFaD7cJglCmyo +M1WQ7sNRKLJq9++v1eUMHw6Pp4bt/svfkZDuw1Hoq1zHrKxNKcOHo5Cv6mZB +D4EYhg9Hod2rhXaETVcxfDjm/NJ9OApFN6iwmrZmQLoPRyHZ9OEgtKeW4cMx +36f7cBSaWeow5nAqm+HDUejgnuuRxlG5DB+OQiqcr6JKaFEMH45C5yZ4eGR/ ++DN8OLzftgwZOD6sBHQfjkLjUbHbJS0qGD4chawDw07nTaUwfDgKdS89Obek +thTSfTi837iM/2Svb4R0H45Cedw1vUnOBQwfjkIGOcXVktuqGT4chc6Mrf63 +VrwO0n04CvUJHFe7rZHF8OEopF2V/Yi9K5fhw1Go3reqa3FFHaD7cMzx0304 +JtN9OAp9lB+Xc3pcBuk+HIWuWanOv3oZAug+HIWq1ocnXeDIBHQfjkLHOGcK +ragahg9HIYcSdOSxbgqg+3AUOhr8Z24gOxTQfTgKJcRef/BbMx/SfTi8PlYi +5ZXyjQwfDp8HretDHn8rGD4cbn+2aYuOfQTDh6NQmnDvXNnbIED34SjkItSu +OZBVw/DhKBR39snL+7HhkO7DUajfJmk357s8hg9HIauMR0bvAvMh3YfD7c85 +cVfLJEK6D8ecD7oPx2S6D4e/PwTOqARmMnw4HI+KVO8+UM1k+HA431X+S9oH +IiDdh6PQhpolS0N9YgHdh6PQVB+lKj31fz4czpcuV5ylr1UyfDgK2aYqLtUp +jAV0H45C/Ivm504eLId0H47ZH7oPh+N5ek7Nraoshg+H5+fhQJooaz3Dh8P7 +Vbhl1KQsjeHDUejwrVDNAf90hg9HoaLmuCfNltUMHw7n/2Z+O+FbdQwfjtke +3YejUOHWxNzinExI9+Fw/uGXPHfrfgqg+3AU4vvg4OxhG8nw4ShUttzaIkUq +EtB9OArV+Iz9PjZWzfDhKJS48z21vbeY4cNR6Obsk8gh9UpI9+EoZKh6P68q +LhfQfTgKDVZLalU3xDF8OArtGr6AOtvrIN2Ho9CpJu3wVbj+pftwFFK74GOr +EJ0F6D4cczx0Hw7vj7QSNf2n2YDuw1Ho4ou7kxyHshg+HIUCfIp8b5hXQLoP +h/tPVKZ+qYgAdB8Ox0t5aq1BZxqk+3A4nspLpHzbEsfw4fD6SQcnXV4VBug+ +HD4/HIpqd7YFAboPh9fD98LIo1VlDB8Or2ehxlxWZArDh8PjvT9n7sWWB+g+ +HLP/dB8Ox/ehdUcX+RMYPhyOj9tpYe1ydZDuw1FI93h4rF9mDKT7cBTac/Vq +p29KLqD7cMzv0X04fP77vOyevMxl+HDM53QfDvfflBU1vwtl+HC4/qoLaICP +IiDdh6PQ5LJZFstrQQwfjkL5XodTVilVM3w4vN87hFUvGNQzfDgKFSuYxn9S +CwV0Hw6vx5cy6aUncwHdh6OQKI+qiYdIBMOHY/aH7sPheLLnziMRv1qGD4fX +f6Kz5pdLJKD7cBT617XmnZlMPsOHo9DzI+dd12jWQLoPR6HPur4gXCWL4cPh +8e0PM7cPKGH4cDhfDnLrQ8sEQPfhmO3TfThc/65MGdfoSWT4cBTKeebw6a5b +JcOHo9Cfaf4FFadsQPfhcPxxu/Xeb009w4ejkN4BER+PG/EMH45CL5aMJDex +FDB8OFyvcB4ZmU3LAXQfjkKwpKLNfmU2pPtwuD6ZStTxL6yEdB+OQk2lPpur +jtQyfDhc30nz3pJBxQwfDu9P9VgvLZF4hg9HIe7gcjme/RmA7sNRaGsxjwzx +Ix3QfTjcXly960BRKsOHw+cJWsMug1BA9+GY80H34Si07qWhpvHpOoYPh9f3 +qkShqGwDpPtwOF7udt8VwNnI8OH+Ey9c83sXChk+HPN7dB8Ox/eMI8aW+ysB +3YfD9cA4aty+KYPhw+H7ya+orVvbIwHdh6PQYiXiXdaUBeg+HD5f6dISE6qV +DB8Ox68uW9p5uWKGD4fPr8/ipuk9RZDuw1HoZV7EjqnkSoYPRyGFwg3wh08R +pPtwFCJ0+yxOKIUDug9HoTpECz6clsXw4Shkvnli9fftWYDuw+F68Kv/gn1o +OqT7cBS6MX5RVMw7keHD/Vc98r8+HK7/89cZt8lEMnw4Cn16q+ex90c+w4ej +EKe2Bf95IhvQfTgK7dPZGnXteQWk+3DM79F9OBz/ZbKhgXYKpPtwFNppsiOB +j7cI0n04vN4ycGjxUj2k+3AUMku/OjqXWM/w4Sj0bjrhbEFWEsOHw/lyL/eJ +gKB7DB8O129RPD8O8sUCug+H61VZ6RzzoHCGD4frOaQYK6tUAOg+HK4HXZzD +L7SUMHw4CjUqcPeP2eUwfDgcf+u4Cpfi80n34ZjjoftwuP553GZ/600ZoPtw +uB6XElrj/S+T4cMx36f7cPi5oD2rg1wRw4ej0N9P5Ww7NkUwfDgKqe8e32Rp +mM/w4fB986go2/auWED34SjUedLlVsPBGIYPR6G9PtY/u7LLGD4csz26D4fX +zx+XqTszId2Hw/ttk+sRm4P1DB+OQlyvlU74Vd8FdB8Oz1/ZaaHrAhkMH45C +YxHzIcqmyYDuwzG/T/fhmEz34fD9FN27XN8cDeg+HIXii+5fdWLPBXQfDt/H +9vUe+fg3C9B9OByfzLZtA9/zGT4czjenDs9/kw1k+HAUyn63gjuwKgjQfThc +vw397eOva2T4cLi+6B/sTOWqgnQfjkLVxGgdbWUGw4fD44u/BHVKsxk+HLO/ +dB+OQmsEl1ZKKwcBug+H6+W44wIcN0sA3YfD9++8TZyn3+QyfDjm7+k+HK5X +0itHhu4EMHw4HG+CApdNeJcCug+H80WQ07cTy8sA3YejUHKMKMekQQag+3A4 +vzgfX3eczGH4cDgeupSxn2tNhnQfDsePZa75SlvqGT4chW7vvrJEgv8OoPtw +uH0Vl70e2qUMHw7PN5dd26ZftQwfDtfj8cEZ1t8rGD4chRbc526boEhI9+Eo +ZP/2vMTSwEKGD8ccH92Hw/MvmuagfqaW4cPh+4emb0Ld0kiGD0ehII2mZ06x +xQwfDu/f23N7phpKGT4czl/vyTyom8Hw4XA9rrOY3plVBek+HK7HFvxedTyt +ZvhwFDqkaGN71D6G4cNRqCBHufW9TCjDh8PnsfmPzrL2IoYPRyFJe25r8k4J +pPtwOP4EObKfnquGdB+OOR66D4fr3xBHaHioEtJ9OByPo/z4WnH+p/twzPfp +Ptx/1SP/68Ph/JqrmSoSkMPw4XB/GzTuy/FEMXw4PJ6s16+XPchj+HC4XvLe +nxRoXg3pPhyuvy7l7H/skg/oPtx//X3kf304Cl1J8T7QsO//fDgKbbTmRU8X +CwHdh6PQ97fbz7Q+KGP4cPj8byXXsVzPg3Qfjvk9ug/HZLoPh/OlpsWZAu5U +hg/HfN44s3blchYaan0cfs1DrxJujc/+fBVzTZH9WQd7fD88Iv/wJeaA4IKY +tPlMsJzWlKWxhPb/v/dM0bhdjjnP86DQm8Z6SB57bCrEynxu8fXMbh/Mswpz +LBwd9XAo89PKGcy0vLurct/lgkO612b12Whoq6WwzrfKbFD1k6W7GfPBmAX2 +4V2VUCI/JFuSnYYual9c92+wAsQYCHmFYo4SKOV5djwRcLDknP6Gea6ItfAV +Zz50K5FXsuCgofY3bmrr5mrgR5Nm/m7MDsOsux7wRkITjqOEwlIaejmWt1n3 +YCzsrXzcnYjZ9vcM9+6lUWDv2bM5rJw0ZHQZ5F9rTwQl3DNeFzmZ49lQf81s +BLOobHzf5rM4P5xforxvGQ3db8weXD5eDf/xha7Kwxx8I+WRhlc5dIZCJC8X +DfH2KzScESyCb+xyeq5j1q5R35Ugnwn0BRRyJzHfztAeUngaAx+0N3trctNQ +25NvBV2HIuCuy0fNq7iZ7eeue6IswkNDN9mSJeZgFhB8eHa1P+axuS2OAg21 +8K7rDDmLObfpi+Df1Dq4KOrWe2o5DfW5lGkXpTVA+74lea2YhbtTRwN/FcBn +7qE+m1fQ0NWUIKn7TfegtpTwmQjMjqb9+YmVmRAO56j8wCx0qEe1O78Qbr+t +sMaKl4aO7bScVioogmlbIdWL2cbqkHtjaDZc+fToo518NDQkeL/Q5UEc9PF7 +kpeC2fscy2ZpuTIwL2/hy7ES93f9wwHa5iB4/sXMmcuYz0+GkVWnA+BIoNue +p5h3e15QWLWkGKjvZhU4yE9DunLGrYdP5sG6N6G0Asz2vwYODgQXws1hwn38 +q/D+PKfF5rOxCSao5ubfXMWcL66PCn7vMIsEGXd/WB4DbkbDs8dX09AfKZvH +e4aT4OcDx1RrMXvt+s5lLFAIzWefCGxcw/x9f4LFXABmt5KBDPkTVXC/+uc+ +CvPTVB8VsJgLy+fcCkwEaKhxhd3lPc0hcFMaq3+7APP3kVphFlsFacigTuzT +WHEsZP0uvDcac1y++qfFimLgmp0r+BuzopZ5fnZoHvhwYse89VoaCk3Qnk3Z +nAmNfsP+fsxV8t0ZUu/C4cOCY4VKQszvq5wa8U/HrLSXI+vLjghYuOTcuWXC ++LxFnbm5H9eP68o+73XBrFGiHpGqngqDT19f+wxz+gLfwG2tFPB7KduXw+to +qLNzqfbc8XJwqTpsoBjzirtV+1bszgOvLNYVrRGhIVDy7U/vqjR4YnneHU/M +O+0Ss1J3x8C2hh2WU5jDAjiMuaVSwY4LLeDEehqKv6Xcw6kbB7P4NYUa1jP7 +u7p15MumDTR0xuPe64A11dDf4dzgvQ3M518FZ4vmMRvflrTWGq2BNg+uB5ht +pKFLBdbbtLZkg6dObFYdmOdjuqa92krhsfXh+7aL0lBxqfYVxc5I2NS9TjgO +82aJX6cHz+fAbdfyFv5ibl44oMuP81+KmOKQ7SYaCimXyLwrUA5XDLQUD2E2 +YO8eajMoh7dvat7dI4bnz71ix6HqDEBJj1plYW56tSX7+vVqeO7Juf084jSk +TO5QDM2rhsNes8JXxZn9Pyzr/vUFZgcHpXsLsiGwepxtWF2ChlL6Uj2jpZKh +5J3wkjLM1VudttCiMkDsDpHAtZJ4PcR2OAhG1MClr/LOe2NeNaE26/cvG14P +UjzwCTP3z8sRy+cq4LRS6zp9KRracc/5t5hpLDB9p/mtSYrZfm/46LCENI43 +eypl1xnHw73AsjQE86/S6coi81RQMj0b+BXz4W1f/6bLRIMNse7WZzfTEJvf +sWx5qgyGH2I/+BCzDbFfK/BHBmAhw0UUZGhIj3jRlHMqGLgkiXxPwDxUthI6 +X82AbzXyHy/ZQkMfTcx9EzSjgMEXxTIHzDdPpvyYYsmAHemt955sYfZv93Gt +C2ArDQWJ7uJe8MgFeYujB3MxBxvpJj3PT4Rrcy3X827D72uW7bU90ggD9Yjv +bphz2Md73T0K4I8/7k9eYy437j3roZ8NHYrYy4/J4vkZ9e0xTkqDz40igiox +63FePyrypBAeZ1tvs247DW3Id22/qJUGWsrzD/lhVsn8rTPWGwvlzHdumMX8 +wVXU1PpZKUhfhhYN5WjISUp4ZsXONLiyVmukRY7Zfx/LsXJpeRpa0souumlb +EZxfYRUcjjn/77fEU18zgXUTYbOIueXGy5Im5So4anPjsKUCDYn9nnuVvrYE +aqzm2NiLmYX256zZkzRYjyJ+KO5gfl/Gcf1oMmYno8N/j12phYlCBRXsivh8 +B+zSGM/JgdydO0MuYb7hEGhEGVXCWy7IdgzzC1JvsWAsE85u0D5yYCcN9V83 +c+aWLoFnesc2Fuxkfn/Azernyl009Piu+o0uhSp4QIIcvYFZO323orhzNqgY +vFH5FrP5+FpFX/k8IObBEaq9G++XK3Hxk6y5IEom0q4Gc4Ywf4icwz3INrpe +bYMSDcVwanVPejfCqz4FogGYx23dFwa8s+CH7bt+kUrM9o2eoTFjZZw/y0+s +tTNvgg8DtKvaMGeVOwfnbsoCe3Y+Dd2igusDa32u5a2RoOi1lX0U5mhVbnfK +oAKKhJBqv1SY3wtRubnJeg+OF+svS5TPFsE/7zl+92G2mXG870ulg8uRkU93 +q+J6gRDeIBlTDV/v21Cdhnnd9jDeg58r4cmZgjDOvXh9GkRUavkCYXvcLgdn +zB4potvUurKA4pE29QnMPZW0Kv3tOSCb0hY7DGjoAhdM1+BLgWtSnv4uAsz+ +3Dl2fnz1PhrKXGG/8cOKGPBtgaz2wFwyunROaEUhtM28Gf4Bs9DPKGEZkRo4 +rrP0ou5+XH8QWxL1TJOh5s9IjXrMsOhphMJoLWzK2yC+6QANdT80IGN3VMBt +BoV/AjHbXTl+LvlXI0z5t2tiDnMpP/eI0a9suKKkreb0QVzPbRJYKROQArxM +jkc8wPxX8JIN6EuCNPbxi7KHaIi0ErrzOSMCWlaePxqL+XLqDq8A+STw+Awl +/hfziPuVTfec08AR7lt/bQ7TkIzuppVL8ytgTd3SZ4OY/YPfdA0IZUOp81G1 +KkeY44/j2xiZifkN91n3Xb3lkBMWOnKr0dC+XAG50OPV0N1u9zFXNeb7n9a0 +S7zAvNdo+E9sfyU83X78n5o6Hm92/B5WzQLw6NL4s1LMgXFtBx955UOwzrpO +UIOGUr9Pr5SxjgelXVSkF+Y/Ic4RD2tj4EbXW5emMXekVmu7K+SDcFFOTb2j +zPZY+qIkm/6LXdw3skgcoyEdrlxi649o+Fay6HkwZpGzcS9SUhug4fDu+oVj +zPc7PdujzmgyWWmrzuUuzO9thdx2rWyE+WPjmvJaNHRIJXLjkEUmEPKzlkrA +vPB1dfr8mkhwT57GskSbhlDxt5Ao3kLw8/mtF/aYb3Qo/e23TgQXAzkbHmMu +q7J4bv02HbzYFR299zieP+Ii50hnFNR5s9EpB/OxtolBLpN82BpapLVCB58P +FprxI7c6KK+qJO2GeQqYT+rL1sGMqfYlrzG/Nrgck/q2HPJH67w8qktDaySG +nkcczgO+ByYaKjAXdIOFDtZ8+OWzdYzwCRoyPX77pHxWMLROoDn5YraMfmRW +TKTBUTUP7c+YeT5P2p06VAo15jg3G55kzkd9ajRrC+aLGvETfTWJYIuW6Csp +PebzpG9FjWGYj2i+Ed6WWwt5spViv//Xc48T953P6dPQ3aY4heBVmZD4pXO8 +B7P93T1nn6xsgmcLJjYrGtBQcrxHz3R9Hhg0vMCWjFkvj+9r19licHDJ3Cs2 +QzzeFx9yJUziQGWpR5MjZpmVFhqnk8ug+OllcaOYyyJ7BV+5VcLopTEu+09h +FmBPNPTOBuzVojr5p5j9uWZRLLPSCO+3dWzBAZfC4RSPMvsNzLX5C7oLIWXQ +uOH+6zeYnUs09tqnVMNua91mLWPm7/fwP4urxmzyaPd84toaWNRy4cp6Exq6 +5r3mRLt4DBBxmNO5Y/Jf8UzQcwuJ+WFK0x2N6nDw9/4yDmNTXO9Ymlk9X58N +nZxiJpEp8/1JkU1Q5jQNVajuDticVQD0uovjIzFz2awFThzh8P5VZdefp5nv +7xR7oHvejIaG3T/JjujfBTn9ulv7MG+xMp4UPlgNBW4+49htznw/QNrmTSrm +bY6RW6Y1M+D3x3Nw6Rmc346uE7gpUwHtvDwTnM4w35/YxnV1HPOPo/uRrmUs +1BqPOXHoLI4XTyWHr7vlwWZ/nDUxK5w8WPhmvgLK7ihZutqChiQW2xe22FTA +1JfKb29hdg4TWulvWgJ5gx60vMe881T9y77TOcBL6USizjl8XxTweix2OQXQ +3j67Wof5Vs34Y5EzCcAy3OakqCU+f7+VeQMiisCTvfPbAjF7C2sIJ1TehWrT +npxzlsz+1sZwvTO1wvWhT9JT3d5cKH0otvU+5hmuT7yzZXEwntiUtO08DclV +BEmjmGi4LKnkWgxm/bMfr7G/qIQ3NFT0/mDW5ohbOV7WCGfmH8jaWOPz/MnS +/m98HDRLP7FsEPPtsz6dml1FsE/7+TvlCzTEoRP/3G64HO5btEEZmJ9Nm12e +7MuAZTnzSVw2+P5pzHfPgb0Uiurddrtiw+xvxB8u/eeYOS9e6Ja9mwGXFMVu +V7PF9WzakMuBlEJwxUiMqxSzS6GX8E/eSviOtfS9gB2Oh5ftTLnG0oBhuUrb +bcynXqd5moSXwk6zjuSPdv8Vz5advH7SnoaW2aiqljcmwYKa5/qN9v+p969d +le3MAcKWtnLiDrh+0jNb+PMtHAat+MIVjLlxvPnN07FG+Kvx9ocvmLsVuc4L +fI8Cjjbc7eYXcf4RvXxGFNcDL1fFpXRiLk1y/dv9PhPqIjF3OUdm++hiqUE8 +5p8h7Fbm0hVQQWiPPMslHG92dUh8lSuGmR0d3PaY/y78YRGOyYarXE5ODWM2 +mU6GH30zoN+GF+2ql/F9IL6T9ZZzI1zosU3NxvzaoTlYf1kAuOD2xX25Ew0N +DOuLaGzOh2PiXobXMG/pTHV5yxsOjg5yK7zCHCtttme9YzBsuBXHc9SZhq4v +f3EkqDoSbJER/1ju/J/7dfbTVVQOTBopvS/kQkPux4f4WFMLAY/PnjQfzEcH +vq9vFU4HHts7b8xg5vUI2vfxVyYgJ06eMriC42PY3pFts6XAIuCFAsT8ke3C +twfHM8CQot1yKVd8fhVu7UuYLwCHXn/5GIo5pjQuWU08EVQFez34hlnXYbFk +uCkPSKjwpFtcxfXU/siALPEcEPM+7mY35hW7HruYSWcCjkhxox3X8P3GTk0x +aVUFcNtXtiMJ8/LVqSV7P2WCj5/2rGBzw/VmkfHWzMg8YBLXOX0Rc9LaaVl7 +wwzQc1ivYwRz2fc3AsMny4Eq9SJ933V8P323tXxvYxooTra7lYf5Ue9Q5k23 +LLD+2IIRnzsNLf4Z4i8wTAOhC16K7piVebTUQxdLwN8MHt43mPuyLxzqfREH +nHTiP2neoCFN9c7guuIMMPlDvLMK8yfVsgv7eHKAXl5ZhshNHP8nvY3EDULB +A31VD3/MHq9ND6mlZYJd/zqNCcxlpl05xtFlILdYb6fRLTw/1e7+asr5QNDk +JS/CfMDGT9xwPhXcZbef2exBQ/KjMqqSQflgsWKhMwLzARH2F4kv8oD9Ge/M +H5i3WIRY9Mhmgmdcyz2tPHH8e2zg2xKeAbTr4k0eYQ7y97H5GZ8OoJXErl23 +acjzl1TMG+ccsJ2vnC8V873f8/6X1fJAWrPqZw4vXI/3Pt7r2p8G+Oy6ui5j +Vu4SGI5XTgHea/SznmJ+XfLNRpAIBHNtLz0PeuP7Y6X4crV9+cDqkr1pIeZ9 +GraV1bj+fCL8ddcqHxpafYUjynUqG6h1ea+8hflMVsrY7dJ8UHtl+ew7zAHT +X417gouBtGjCw+O+eD+rmF58diwPJDySyK7F/M75j7/++hzA5V5+e6MfDUnv +f57uppcDbkruPX0Xs9DfKpEyz2zweahrNw3znMn7/VZXs4C5pz6/qT/eXyuD +L1idiQT9W17NtmPebZh5hScmGuwfs+/eegffvwndyJe5haDc92t2NOZw2w7/ +53EZYJO8j9dvzNU9I+m+fpkg8vlyswsBON9rbRjr00wHrIEJSgOYm+S+2UxX +ZQDXXZKrlO/i/DYmkGKA49v7yXIiHXPpgXTVAd1icCp0b8+yQBr6tjS/TXl7 +Eeja8zDHBXOA6snP3mZhQHlK3/sZZrbn2U5WDuWgIOqV2ZF7eH3OLapKx2YA +4QMOyiWYQ1exDf9QrARBn7+uEgiiIcci5ev1asXgV7wP6Yn5xZiJpKtgOrik +tqJ3CjOve0EkOVQEXtESck8E09Dzj/yeFTsLwIlUSZ8GzDcLp0Y2/80AbZoV +5mIhuJ6s+zox8j0f7Pi2VyUIMzVf/kkxPBtkZT1c/QXzqeyWiWLif5q673gu +v/dx4A2lrUiS0i4a2vuIpKIhLaJBSUVSqbQXDUrZe6+XPV72uLmMKGmKSklF +lDTctxJCv+v9Oaef75/X48X9uu9zn3Od63g/31dORGbjlh87bjfB6geFY1XF +EeTqn+oHdzEeJeUZJ785ivyKMBfNvIP1k5N+o88nEdm/teWKB8YuK1vzzVQT +ycseNrv+Yjz+8tZ7slVRRCtu8JKDjk1g1f7E9vE5b5Jp4D38GcZvhs4j2kfj +yLS+k5uWOOHnxhLnjseGE9+kxNIQjH/8ONpwvyqIDDIiEQOdcX/5cna03NlA +cmHgfZsTGA9rUE3s3R5Ovqdv2f0W4/zzJY3OrZ7EaN+7Jatd8Lx0qe+SgENi +8nToIdkEjDdIt+tvWedNNHJamuRcm2DczvoXuY3BJNnM5uFljOe/Xu9UPyuR +TBoxJLIB44evNdWXqfkS9wJv281uTZCkvie6b4eI9D0y2Sgb4/N2K5ZEhrmT +UwripZPcmyBDpmOAWV48+XyPjLiN8dRptet2vvYnBifu878wnt8kIYx7KSYP +xm19tNsD78/h6NO3M6LJskfvIu9hvP/IoDljWiJI7JlDV2d7NsEEt6YZYpVQ +MmbKbyNvjF2qL87WUwgmd8pslvXywvPf6Gi3gV7B5O/FIXKHMLaSzvMfs8WX +HJ3uIzz3+q9eb24bNE9EPryc/Jh4N8Hk+jlng+/4kc1XxVHhGFsNWPlY3zmO +FM5WvTbEpwmuudbPPTsigsx/e9/4FMZd+rG6jieiSLj9VvIe474hhmZdb9yJ +3ML3ctq+TaD7pWyi3qggYldzqFmM8azUkcabPiWStju/H4/yw/qkbdH51Kdx +xHyZbbQtxipBm0V6ErHkzach179ibHvrC1EviSDr3Xz2bPNvghOl72y954hI +jvoU1VyMG1W2m+bv8CAq38QjpwbgfCY9NCtWuZNAb9WfjhhLjpM7VPvVjwxd +XfKkFeOgA7GnPGz8yBVha8yeQHxfSuKwbdKhRAh4f/0BxvVB14/P3BBPTNZZ +7J0XhPdfG39d8VEkKf/9W9UP4/3nxmzVbAwlq8Js5fsEY3689eH0jSEBJE1X +6tdhjF0GfX9X4xBJpnb6PH2Bcdqw03PEeV7EK2pKrFpIEyScWDLoQm4g6a+X +dCMS4/0Fy7cGrwomZ3stNxkWis8DuVL7L0eRxviS5WcxfhQ8J7PhdhTZtWPb +qFqM78WGuJT+CSKPJT/8WheG86ep30Wlb8FELcXiWQrGl8lDrR7ng0iicWvs +mHCc//3TLmkP8SfjB1+1u46xyTUv8VBxJHHJlNr3A2OJDWkP+u0Skd77fdW2 +i3C+rjHZ+assnpyQnqqQj7HfIqIPNyJIXW5Si3IEnl9Va6/s3BtH9A4tL3PB +OPFSISgt9yP35B7E/cH4+R3X8fpzA8jiu9vs90U2weHYHYdft0aTqKMf9j3C +uEbnqn72pkAyasxh9YVReL5Qt+x8fyaQ3CppVQjEeOHusY+qJMJJx8mrvyWj +sd6QFq3xmhtFDk8Y+vwoxmsd5ewGbfAh1Y994ysxth3xuWba7Fiy8dzUmxox +OF5BDy0kQkQkb2qyaQzGWyoUnRvfhZC55ctXDI/F89gXm623AoNJ6OUHoy9g +fCjebL5lSRQZPlOvtQ5jD5e/p0KPism1yg/PdeKaoOxM7uk9NbGk5drhhHSM +5w+cKPNtcwQ5MLft5rh4XM+Oe8tWjg8kr6qv7rfH2GJPsWGFZiTRvjVUQ8C4 +/ZF8xtvVYSRrkd+YHQlY3xIXlb7TEsn0j1PbCjEeOs27T/6yYOLnlFw+I7EJ +XposObDeO5IMVlVLdMfY1OWhoWNFJLnY8OBWF8YF32dxYYUu5Ie73oEDYqy/ +nHfJ6He6EmONGo2nGKeOWmsboBxEnn0/rLgkqQmMxu3vOITvQ8O3rS0YY23t +y55bJ0aR5DXXKgYkYz6/dLUiEKLJpJ9DxccxnrW//YOZTjhxD/JzqMJYZ56V +1NSP0URyg9LBVSlNYPnqmdyV5Hhyui15ZTzG1/23LRkkH04awtXGyqViPn55 +fHNUbSwx3FzafgljpY7XR1PHRZHSLr0XnzEm/H17O8kgQmJqxJvSmuDpArOl +NsFhJE7f8nYWxp0mP6DdNZQoSrQfnJjeBEuXuNsuNwwijonXNB0wfv58g+1c +v0jSY9ewcb8w9jua1Pa2KZYc6+//Z1cGjt8miYR3HXGkJlXpZTHG4t6m0xyL +E8mWvSlJszKxnrj9K1JWO5jcHaJ+xwvjdWF7VxVvFZEF2aVmPbPwPLMy4Pjl +xFgiOqC/yhzjefYinR4Xwojc8NpxzzG+bfR+7+MhkcQuz7JjWTbWW38qXjiM +DydtFu0vwzBueTs8zPhUBDGXv548mGsCrVTrwuE6IaSqaJijNcZ91pkVjlwT +TDZY+Zu/w1gmZ1ej9A8XkquovForB8f72bh5UplhZFZpyngxxvuLlC4H5oeS +oFPqnfK5WP8dcQ29WBFGhk16+MoG46mHA3cPO+pNbJ7qpzRivHeOs9arnyGk ++Xyt41ZoAilND1PLOXFkn/KRQzkYTz/eq8R6ZQipqGhfPSUP898AC6c9HxLI +apvrExwxtkuTMjjrlkDSVaS7fmPc6nYyy1Uzmii98a80zsfz7MQyB33/COJ9 +Qzm1BOMeAbt9552NJAPmpzrNLcDnTZZqf2vtR869V7fwxfio7+/3pVsDyVeH +h2skCjE/O2ww2zgsiOxasn3iYYzTQvIT82+Ek8d1tV0VGF/8u+LXuPYoouZy +5PXyuzh/RxGJCt9QIl7+JzUC4+NGJ10V8wPIhMbrzkOLcH3dOnsh44s/cfWU +PnwG43HDM7fIjY0mEpoBWjUYS+q4boqYHU5ONilPWlfcBEXj766U3uRK6v1S +/yZjvCDZX7fnIRHR117xZvQ9XI/lj1/JuEWS+78epl3D+KBJtuv6vFCyJGS7 +y3eMidnEx6IVkSRa5+Nh/ftN8OTngZppW8KJwp8j2nkYFywxGjVweBBxiPgz +SbmkCT5rhi6Ibo4hnVtu9HDB+Fi/xuQ788OJZQ+ZqnaMTwRI9HpaH0TexQak +mzzA5y1Y5a1lE0F0Daa5PsRYNNthYVadiOT3SbNcUIr7Q21dzrsLmM+SVqwN +wLiXu3ypMMCZhO5+NFnyIdaPhtkDLmu7k+EDDXoexdgrbmfnF9cAcj39Y9Ur +jOf7Hc++fsWD/DY5mrHiUROkuM97HmEZTg4O7XCNxthZpTP64/5YUsndOCLz +GM9fXlW/q/MiyVozmXXnMd534tIm77fOJFs2cEodxnPeWh0UFgeTGQXTeuk8 +wfmkdTfv+KNw4m+Z9jYNY42kD0Y9rsSQIQoamWOfNsEX7RtFI0eJyKV7j9zs +MC5wmlGUOj+KNB03OMpjHDl+r8WdET5kz7i6dYbP8DwQGz58So+bpOzh0amF +GBvnDf5aKxNEVp7p6DWjrAnm3t6puD4phKRMtqt2w3jvxarUZ5oBZHKZTFYn +xoOXS46RsY4iHhcD3fc/b4KOlsv9/8tXktOnH3uCsQr3V3JH/xBy+mXa+sXl +uB/09hQZKnqSBlsNpWCMHT4nT6hXCyM7Zj/uPaAC57dPxuNpu+PJwyqDd1YY +r9qxLJvUxhBV+7qsNxg7Vpup6j0JJ/ELjnlovsB6Q++P7LudQWRsTcexOIx9 +96gPljscTZzu2G0Y8RLn+4vQnH4LgknPZcOVL2Gs2CdaGKHvQaw+BUp8xrhH +hOSbP0phpNZ1+nvdV03woaHE6l56JNmqnp6difFf39IbLTgfi75qeE6obAL/ +nOCsJVPCyULvx1a3MDaQTKk073QmEasMdX5iHH/V+fGjYn8yUqhT3vW6CeQO +7Sleq+tE7AOO9SnGuN1U0rUV97/2tZ3vVd40gU3eSSdJtRBy6Lcd54lxReKC +PtqPI0hV6HCvHlX4/WOOSy7v7UU26AYdN8N47cKcpt5LEklux/SNZRh72dV6 +K6p6k1lR6dOWvcX6Qq/c/+YmEQnetrJvGMbmxMxx77kQIt3ryYdB1U2guaBk +vNhOTGzjDXNOYpwdlO2irx1BfhrWe1Vj/OukZ7bp0ABiKml1Ys07fN/nY2zG +8qHkRXLnxkSMQ2cunfCuPZKsMbafLv8e13tX0rcJvTxIxiBZSRuMJa/eeqej +4kmUM4NqvmA8iPN7MO5wCPExnZG75QPuf4WXpPNWepGB0hneHMaJvTn/se8d +yfnclScn12C9d7S9z7z+weSb+RPdOxifV1a4OfFcNNktt2PGb4z7T7i5/mqk +F3lSWC9pXNsE1gOvDPLL8ybqR61q72N8uF42fsSFWCIe3ZU75yOeJ4XMkUmp +jmRCib2PD8buVo5Nfo+iiOtJWevedU2gf0BGeu3+CCIxIXiTBcZth6Jjd7hE +E+vHM2ZWYLxoxPxmhXli8ulsRr/l9Vg/1Q5f+XpFMNk+VfOjCGP1jhGHE5PD +ScnzJyD1CfePAK9Hnx1EZOnlHb6nMTa278vrrhCRmBmfrD9g7GHZcv/0LH8y +utJq89rPuN6nbClQ3C8it691zUzG2Fqz7f2u6Ymka87N/qMbmmCE5HWNh9MT +yJFq2bqrGE+9/3Bf6rkw8v5mcN43jAe1bTcZdjqIbFo000/vSxO86vtaNXrP +HVJQm3EKMO4aVrRr4Ek/Ms9Jc4tSYxNwPbScbUq9SRh5quKMcZY56eV9xZnI +NuwY0I6x0YJnQ3TVfch19091e79ivkmQH2DzKIT8XnE8vxRjT/u4nruORJKD +37v85n/D/b42aPkzrE8rfW6e9sd42ez2eg+/ULJuzYitfb/j/BbfTfK+5EG4 +5uBZRzD+sL/txi7VIDIzaObAVxhPCs+3sXAKJgHrM+vVf2D9c3/ZECe3aCLV +plkQhbFK3IXG/qeiyOXwp/7STbjenaZusRzkTPhNO8+cw9go38Rli2Qk2dv1 +aetHjG+vWnT950Iv8jz6+OwNPJ6fQ11l9i53JJr6fwemYaxa/mie6HUMSe19 +65Oi0ASzdVRX11R4kSmJIwpvYGzro/LVMCaaeO4MCWjC+NPUlSpDLYNJv/4q +Zw2am6By7fN9zUYR5Exq5rYCjD9Vl+q91xWRL3tWzZn+swmW1AoPSUko2THk +2SA3jE8umXZgU14weZi183MHxj1VnHMUiyOI6oHPhaa/muBAy4DjFvGYz2RO +BD7GOBce1kZqh5BxeX/PLmrB9dipJ6sRKybOFrf0gjBWdPtdM5l3I73k5eb2 +/437u7HTaK41hBwvChlshfGOnX/ejr8bQj4eU2l4jfHZhEVtVi8DyTbFrLsr +W3E/rvp03md7MCl+sCooFuPR7crVCztDyKJTz87JtjXB69IEmx2LnUnkxF36 +FzF+KL3WaZNGHJF/+nnuJ4xvGKhFGZeIyM3zJ4botmP9Msd2b7BsJPmj1ONL +BsYrXRO/gZ4nsai4VTT+TxPc3DBS6UBGFHl7RS74Jsay3PAUbrYf0VEJPd+M +cbmxKcw+4EPgtcr2nR2YH7YVR2zOiCazb2TNK8J4TeKhiJhNcSR43moplU58 +/+njVqw/5kyk3z/74oHxyoM+r3+/iSG2DruK/2J8eMhO+6XjPMivxQ3BB7vw +fX37Kal4K57srztx4RnGDZVD3DJHhZCXzj0Mlv5tgjPa+tJuNq5Ea7nD/FCM +lVuPSrl+DSTUw/HQePz9AjXZUEI9HA/7rh2cva4jhlAPx8PHgYMHyk4PYx6O +h/7mh/1ELh6EejgeHmmabHs43IdQD8eDkYPm8JxCEaEejgeFh0Kb9uhIQj0c +D8k99ft8+ShiHo4Hrn9j+tISZ+bheDBrXj9h65YAQj0cD9b+blLTfMKYh+Ph +ti/3xCf8DvNwPPjd2L6vKs6bUA/Hw2vldrWlDkGEejgeBiUUPN3sGUKoh+Mh +vCXp8vkLwYR6OB6E92P8YsL8mYfD651JvHqzOJh5OB5Mbly/YTkgklAPx8Op +GIOWs4VxhHo4HuKdW3THcmGEejgest4McH06PoxQD8eD866axS9mRBPq4XgY +Mzx16dtFcczD8dBRFaSuPSOGUA/Hw48lzXYlJ6MJ9XA81FxQl88aFkKoh+Mh +d5Vhk/IWb+bhePis995GxzScUA/Hw7p7i4rn5XsR6uF4KNVUy8lUDCPUw/Hg +uMZJb5KUA6EeDp9X/Zr6kInBhHo4How/LbM5ddOfUA+H49ev6E2jeSShHo6H +Pu4e5itXuxHq4XiIKTCLLp0TRKiH4yG25MzQSTXRhHo4HjqVRKcvrgtnHo6H +Z1o1luVaoYR6OB5upJRP1X/sS6iH46HZVOrJQutY5uF48JZtvLCnXkSoh+MB +HjR96SQ+hHo4/Hn1/L9LMyII9XA8BJdt76wLjiXUw/Ewa8Lo761FwczD4Xxq +T30kbx9KqIfjob59qPbzcx6EejgeArzixsluSiDUw/FgWjJE4+MNB0I9HA+t +icfUWtPjCPVwPMjdSTsnZxJNqIfjYf/trcd0G8MI9XA8nHf2Cb18PpxQD8eD +140vtZH9w5mH4+Gh2+mdw/tGMQ+H82nR+jU68tGEejgeJkn96bfvbzShHg7H +96F7hUt5IKEejof7ZxTFs0eHEOrheHjx8oHNzdhYQj0cDzZnBtiWuIcQ6uF4 +WFvXr8eXc6HMw+F8OJFjYoznKerheJjx7XOTTh835uF42DjrUvHVIj9CPRwP +1b7KwsVdgYR6OB6SXo69KDM4ink4HpY9cpG1GSom1MPxoPP9ygznj5GEejge +fgsrtNdpBxDq4Xisr+OnzWq5SaiH46FC6ZlJTFsIoR6Oh0M97EMnzIxiHg5/ +/8aZsWt0wwn1cDxsf2Xb3oLnL+rheHDvU1YyBPM39XA8fA9Pr7fC90s9HA8R +vdL9ffqICfVwPAyMFG6Y7Ykh1MPhejjiZedRJWIejod20T615TURhHo4HvK3 +Fu246B9OqIfjIXroAcU03oVQD8dD7+H1p8d5+RLq4Xio/eD44dchP0I9HA9L +/rxxNg6NYR4O38fLkPFbCkMI9XA8jHX6sdv6SSChHo6HC/MO7tiU6U6oh8P5 +PO3iiZYUX+bheMi5Yff1snwYoR6Oh4uNq12PdEUT6uF4WNH6Uuaqqi/zcDx8 +cnubV6wexDwczie7bQZWezwI9XA8zIwxHnswJpx5OB6O/cxp+z0gnnk4vN63 +tpU/65wJ9XCYT21Llwb/CmEejofNZ2w7NBWdCPVwPAzxqfFc8t6dUA/Hw5NP +OmPcNwYxD8fD9coQz1BRCKEeDsfP0KB2/Ht/Qj0cDxO2m5+sEIcQ6uF4OJcn +NdvFPJRQD4fr69lgJeuP8YR6OB7Ea080zz3tTaiH4yF776UrgSf+eTgedm9q +yalbH02oh8Pv+2qW+svXg3k4HtQWK95/vTyUUA/Hw9VJA3QlIIhQD4f5sTDo +wL2J/oR6ONwP5GV6Fai4MA+H+1fjx7xhwX6EejhcDz3c/zy+FUyoh+Nhw+Zp +sh4hwYR6ONxvDTde1ZYSEerhcP6ZL87MvhZAqIfD9dDqsrAez8/Uw2H+JDqu +tYIHoR4O81H7ct/ghGBCPRwP791OJJ3yCyLUw/EwUnHPi7PysYR6OB7aYvpf +c18bR6iH42HngN0/njfEMQ+H+2dAea3l8RDm4XC/1fJKc14VxjwcrrdO6+f9 +9sUQ6uF4qBR9+znlUiihHg7vX7LXynqtREI9HA/vRvCnvp7yI9TD4XpcIbro +5JRIqIfjodw067LxdT/m4TB/x98Sbd0QxDwcDz+l1r1SfxtLqIfjwcpr4qL3 +kjGEejge/CefDP9SF0Koh+Mh5Xz929NloczD4fWPlyd63gwj1MNhvQNhDs2W +YkI9HA+qKaZBJEdEqIfD/eHA0AcyeiLm4XgILJ44zrpXLPNwPMg0u0XMMvEi +1MPx8OdFhVT9mQhCPRwPTd9H9Ap6EM48HK7HuMgm0VpfQj0c7i/K65aaGUQQ +6uEwHwUvajgrFUWoh8P9/srDJ4/GRBHq4fD7suVM3X8HEerheLjz6/ztYRrR +hHo4Hpxe2irMTgsl1MPxwA9criyrGs08HA+extn3p3NRhHo4vJ8h6cuCZ7gR +6uEwPyVstNt7xYl5OB7e6s49+awynHk4HrZtM+8XuT6BUA/Hg1TKxqrPvu6E +eji8/3MqdU+f+RLq4XB+GRxoCNkUS6iH4yHDstq0I92PUA+H+c1krtyi7zGE +ejgcv8vp/R9WBxHq4TA/lMXP6vPFl3k4rKemXN9efFBEqIfj4Vt5nP+j7bHM +w/GgXvRljHpyHKEeDvcTpUmuQmYo83A8yEpfsnwjhfnsfx4O14OH2YG2/DBC +PRy+X6971uNuBBPq4XiQHzf85qIiL0I9HA9Hi9SKh+pEEurhcP6uk24tmS4i +1MPh/rg27fT4llBCPRwPmTlFRYaKQczDYX63aVx9dWg883A8XJ45sNnRyZV5 +OPy8PKP5vIUn83D4fdrGc6LCo5mH42FNY9DLt5pBhHo4zB/xx2cHDvEj1MPh ++j+S/OzahjuEejjMP2fSxSW5wYR6OKyPQlNKh5QFE+rheOi3TDNMy8uNeTic +X4ZPVaXnRhHq4XB/MGsz+l6dSKiHw/324MncNSHRhHo4rBd2V/mk8QmEejge +Dof/+nVLIYpQD8fD8y+X1lgGiJmH42Gir4VCn0NRhHo4zPfRP/uvPuhBqIfD +/DBvoL78Rk9CPRzmj/WSdQUJMYR6OKxvd32Y5TrZm1APx8O8FKlLwydGMg/H +wwO5Y6NX7IlkHg7fX9uGSd+l45mHw/Vhpec2vW8EoR6OB9faFapVV2MI9XA8 +PL01ZPOBVg/m4XB8dVrHLhqaSKiH4+GgoDBlvFcAoR6OB02n4t8rpoUT6uFw +fzEbEWsYFE6oh8P9KGjJufPbwgn1cJi/uWRJmxH+hHo4Hr4+3hG/MjyCeTjc +3947r7ZdEkmoh+PhQFmgcXxrKPNwWJ90HPJv3hlFqIfD8UvWULP9Hkqoh+Nh +vd6k+kOhvszD8aDSeeHVU5kkQj0c5pMxTyVuLIki1MNhPZ/8VVHqnDvzcLhf +H/VzikiJJdTD8TC3sE/VvekhhHo4PI88HKncJZFAqIfjIdRicplFVCShHo6H +xMNT7r1SiSbUw+F5aVVEyoKMUEI9HNZPkdu5FcMSCPVwWF+EK8eopwcS6uGw +njGWvnKzJpFQD4frdeOgz41/ggj1cDw0xB8gvw77MQ/Hw9l22z8S328xD4f5 +5U/6d4/ekczD8XB6YKH9L31P5uFwfuzp/W6tczihHg7H97Zt1pr7PoR6OFz/ +/cH8zKpwQj0c1tuDa6+lJ4US6uHwfHgle3Lo7QhCPRy+75xdp+OURIR6OB4W +1VnorNwYSKiH4//7/0H67h8TTKiH42Hq99P5MljfUw+H91MvV+/QEEOoh+Mh +76LSxwHNCYR6OB6I7Ik/5i88CPVwOD/GHbvfVy6cUA+H+/HFoVL79X0I9XD4 ++aHRy+b9SGQeDp/fYHGneVcEoR6Ohx7hKZeMF8QyD8fDq8e3VQKckwj1cHi+ +OjMmY8PQKEI9HObvoT10TXaHEerheFjoHWz/oV3EPBzOv4qqE3/OhxHq4XhY +Vdqe+eRCPKEejoeW6UeOOavHMA/Hg6+k52KLxZGEejgeJIYHZm+1jCXUw+H8 +LCo7TrA+ph4O89HR7aMv/U0k1MNhvSk+NPb30DBCPRzOb6OfO4eIogn1cDxM +Mb1W5agXQaiH+6/+bJ0ZEORKqIfD/SO8IdLG3o15OHz/hb02nJFPINTD4fxR +3iy+5uDNPBzO70MSwe6LEgn1cFg/aIzlVfuEMg+H++MPy04Pj3BCPRy+rysa +Zqs5R+bheNjbXnApKC2eeThc71cmfZbyi2YeDtdfdvwCMx1/5uF4mD2n/mRf +5wjm4Xi4tGWgQnpZFPNwPGhlPl8w5HoY83D4fQscJEw4d+bhsF6VcRg0wNuD +eTisRzenaFus+ufhMP+0Hd5+QiGeeTj8/VLX6/u++jIPh/XQ5FIzk4PhzMPh +/HEePmNPiCfzcFif2qqpHc+JZh4Oz2P61yw+uIUxD8fDHC29D8rfEpiH4yHo +lJvFOd1Q5uFwfw9qMB6aF8w8HOb3EjvlBDyvUw/Hg+TKZLmOmgTm4XD/cr+s +cfm2G/NwPBgt3rT1x8gw5uF4uFJza8oA1X8ejgf9d8Ep9qrezMPx8OuYYpDm +80Dm4XA8OvOqZ70MYh4O88flyCsnMyKZh+PhzQ6DnbVSgczDYT3oVpI/5nEM +83A4n+aOf7hCJ455ONw/jcfptX4XMw+H9f3PrQcy34YzD4fff9CyXDJezDwc +D+nhLxylpiYwD4f7h9UCiRU5iczD4fn542PDMbJBzMPh/ntF6UzQumjm4XjY +OvlBr7uNIczD8bClaMr2et9I5uGw/jUUNs38Hsw8HA+lPYWKGebhzMPh91t8 +32ttEMs8HA+6YcLosX6OzMPheJzcUjXGwYV5OB6K7ms/6VzuxjwcD6aqGhnj +XYOYh+PhlrKadUDPQObheFBU77lz/exw5uF4SLAtMd7nG8Q8HA99lL4UnR7r +xTwcD8WFLmYnFJOYh8PxPrRPvmKfiHk4HmZovCntPSiMeTh8/sGHpku5ipiH +w3zzYmpllWEg83BYD5cW97GelMg8HI7nyOW7HVcnMQ/Hw5jOttUn7scyD8eD +T59dO7+tCmEeDtdH1IqthpJxzMPh/BwVFrdrZhzzcHi+FxIk+R2xzMNhvt4w +/sw83WDm4Xhws9DroWefwDwc5qvkS/f8xycyD4fzY4rbEiWjBObheFi3X313 +5Hl35uEwf39fNDjEW8Q8HO4f5OJqdVEc83C4HxaoZ+tfETEPx8OXBSd9jQIj +mIfjoXrAFveUolDm4XgoW7/w7GiZUObheLCtkDzq3xjGPBwPdi9zj52dE888 +HA9H6qxXJLRGMg+H5webyMqaiFDm4Xiourdq7CL/cObhsP5SnPRbZ0AE83C4 +P+orTV3aFMI8HM4P+dC3icXRzMPxMFSIFg9dHM48HA9LRNfF6x5EMg/Hw/Q1 +p2+/loplHo6H4cnrHg36G8E8HObfv9eOh8kmMg/HQ93x5bVZA+KYh+NhT+63 +ZV9NRMzDYT1ytKRkzwYX5uEwv26vMpo+0ot5OB66wg5dX4HnA+rheIgqqFkz +C9839XCYfzoKL3jt9mAejof9Nx5plhyIYR4O97/L0UOGyYUyD8dDz5deHy2e +xzAPx4O0+ibX9cE+zMPh/hvh73i2KYZ5OFwPjo0RZzrDmYfD+lzx97BR//ne +/3k4HnJDb2me+PbPw+H8f/s25NWoOObheBixSPNdubMX83CYLz5s2cXnhDMP +h/v7+cldmfujmYfj4e8yrYfOx3yZh8P9MOHDvJtyCczD4Xodo32lc1Yk83D4 +/Wnea1P+RDIPx8OQydNsF8tFMw+H82ncx4RFmK+ph8Pz1Grr4b76YczD4fm3 +zmTetKhY5uFwPAws++nh/k89HA/DMl1snKbFMg/HQ5zykwz9zGDm4XjIuX7p ++YJGP+bh8HxxvmDDWMkQ5uFwPlkUfDzpGMw8HA8h+40Gaw3xYB6Oh499Wq31 ++jowD4fnRaexxXpDkpmHw/Ho0fquZp8j83A8WF18VzsM8yv1cFhfJFyPuqQe +xTwc1v8e/fx+lEYyD8eDhZmtzzG7eObh8DxvFNm0Z6eIeTh83h9mnno9E5iH +w3yh6XJEzj+MeTg879xemZ22Q8w8HA/mF5efHbwzmHk4XN9XM3xUC9yYh8Pz +5vRn+8rEIczD8TBf182x59Qg5uGwXjpslt72yYV5ODxvlSxQSD4Zxjwc//// +fwPq4XhIi/DZ0ZHwz8PxoLf9iEbjsEjm4XC/eJtxYaa/D/NwmI/vSNxeauHD +PBwPZ1rFARqFsczD8dA/oPTi/FlRzMPhes7zXHrUNYJ5OJy/Jjpre7dFMA+H +891gsWVqXALzcHge29DToc+6JObhcL0uPzTsflUI83C4X2rssIteGsY8HF6v +0tV9ZqSYeTisX90TzS6t/ufhcPzUfDQHnUxgHg73xxM5BpMKRMzD4Xx+46X9 +DvMX9XA86KyR8jRLEDEPh+/3Ze3AHD8R83CYTxJ1ri10EDEPx0N+gv3h+3j+ +pB6Oh8FGWzRUa2KYh8PzQHrt8ycD/ZiH42HHuY8nu7xcmYfDenPcSIfO40HM +w/Gg4ZRsdXlyBPNwPKQ2Lg006whjHo6Hn/P7yepFRTAPh/vn/uVdXblezMPh +9+vcUxsmdmYejgfICTO6fj6GeTicv4ZzljikhjMPh+f3m1J2FstjmIfDeuGP +S49n6/55OB5unulveXxfFPNweP4fJPVmVU4o83BYj8VPTjwzQcQ8HA+vp4hl +f/wMYR6Oh4fro543VMUxD8fDs9WtRRInRczD8XB5xue4RRdEzMPx0HF458eS +a/88HD5vXHldpRDGPByOt7bM8J9rApiHw/zQLnfj3oQI5uF4cO+aRy7u8GMe +DuvZKXE7XNfGMA+H5yX30PCuJhHzcFgvXylxyHwpZh6OhwX2V6ou7w1gHg7P +0xf0QncPTGAejocPi8/uXqYSyDwczs+fC46OWRnFPBwPHtZitdxF/zwc5r9v +7/i71cHMw2F90JZYdWt0NPNw//19Y9fNln2xzMNhPfJLUnneq3jm4Xjwav4i +/XBFCvNweP/CozCNKfHMw/Ewrk+JzYgjfszD4fu5daLqcLI/83BYz+zavVf3 +YxTzcJhv1b7WWTWGMw+H6+/ogpw1gcHMw+F6enU72f9dPPNwuD9MlUtXux7D +PBzOpyd7qm8uSWYeDus99y1OJ7CeoR4Of37mdykzX2/m4Xh4PLMgfeXBUObh +cH4cdtjyozaEeTgetsuVGAw38GQejoe+B8o0NbWDmYfD89c4rX2/8PxNPRzu +d4n9tJZtjmQejofe38hiv77BzMPh900pqz+mFMQ8HOaDlI6WHzdCmIfjIdxa +1ceqM5Z5OMx3a5LVzJ2imIfD+XSnqLpIM4h5OB46H47Xm+6fyDwcDxf1Dq05 +HRzNPBwPxvd65g2+Esk8HJ4/gm/uTvaJZB4O87/U/T5Wy6OYh8P8u1t++tvb +EczD4fON0DsRMz2UeTisx0JcT7R4hjEPx8PynbZD1h6MZx4O9z+Frwur+7gx +D8fD53tlJY0HYpmHw/NW9JbNjpVBzMPx8L7APTFMypt5OB5ObjhlUPguhHk4 +HkzcMpV3icOZh+PhWum5GJlncczD4XlA18mEk/RjHo6HCxZ7XB0Uo5iHw3p1 +0Zme3qII5uHwPOFxbNyW+mjm4XgQGX4vUrcKYh4O5+t7helJgph5OB64oRek +R1eJmYfD6+8pTNAeFMU8HA+798qcP1MUzTwcDw6qA1QfS6QwD4frffSyRr2C +aObheBilWjJo1qdw5uF4iL82REXvvoh5OFwfM7WsKjLCmIfDeuN2umLswkjm +4bAeGKHbMmaBmHk4PO+6lydH3Y1mHg7vZ/fPfZ9+/PNwuL8uLcu/UhzCPBzW +g7MMjHOrg5iHw/VgF7gooDWOeTjMd6KUKeU+EczD4fe1yyRsDYlgHo4HZYm2 +aQ0lYczD8eDyuOTsRPlk5uFwfAz7eUtnxTAPh/nghNvhQ3J+zMPh+WlnqjHf +lsw8HO4v/IxYrdBg5uFw/xzb795YfN/Uw/FwaemyAm/cr6mHw/UoI/v+0nQx +83B4XmrWs5+3I5B5OJyP5uXWdysjmIfD+rhS0kjfOI55OB58bw/+PLYkmHk4 +HkrkZY60FQYwD4f7S+OoZTqtoczD8RBmGvJXfWc883B4fy5D9PqoJjEPx0Ol +9hrjU+MimYf7r17ZaLVtYgJHPRzmb8dtPrK/gznq4XD//H3dKqhPEkc9HA8R +Pe7W/lZxZx4O6wu/mUEFM5yZh8PzVUafd/PnZ3PUw+H7jd7VtH50Ekc9XHe9 +RD0cvt/6+1f2V4o56uF4aLj4atY0y0SOejisfw/6NYlTPJmH4+HGd11vdYkw +5uFwf2jfUCgoFnLUw3Vfn3o4fL+Os7vCdiQzD4f1YEG13ouUAObhun+eejis +B6fMfHfxUAJHPdx/77fOd0BdFkc9HM7fU7Py76UmctTDdf8+9XBYDxxxuNBv +SAzzcFgfDVbydHTN4aiHw/OO5hkd+dspHPVwPGwWFzTqfMviqIfD/emQUcL3 +fC/m4bBe0h3qd/1iEkc9HA8SW6veLn7uxVEP999575SrXN8sjno4zPeqV3u0 +vE5lHg7zn9beoe3K/hz1cFhPh4Oqkqk383C4/xpGpaQrBnPUw/FgejVoeFff +ZI56ODwPHA7UVuDDOerhsJ7//VW7fZgT83A8TFJdONo9RMQ8HA8tXH3ckzdi +jno4vJ+6k9J9a72Yh+OhSG9i/DLZOI56OB56de0ouGOYyFEPx8PCyA+7+zz3 +5qiHw/ng8sPY7XsU83B4/or/7V5yRcRRD9c9/tTDYf2vtGejvEYg83B4vp6y +jqTuCeWoh+Oh9eZFg4U12Rz1cDzcHfFtQnlAJkc9HM7HHvU3ZppnctTD8bD2 +0sgIVT/gqIfr/j7q4XD/7elseelnMkc9HJ73pHusF5dFMg/X/fPUw+H6UjxX +3feNK0c9HA8GSsNTU6eGcdTDdf889XA8WE7yX+ClnsdRD9f9OfVwPLRrf1g3 +STqVox6Ohzv51+0kdiRz1MNhPgm3+br9mT9HPRzWK+brd/ppZnLUw/3332c/ +b/zw1515OLz+92lnk3/aMw/Hw4lj9cKAL/Ec9XC4/k+q2dxeksJRD4f57Xbe +q+KF+Rz1cN33Rz0cD/2sDq2d2iuUox5OgF1x/Nms2mTWH06AoTdEG+risll/ +OKH738/5n4cTwLh2mPe1olCOejgBTj64tHOcbgzzcALM/yIesCkslqMeTgAN +vsrqbFYO6w/XfT3q4QRIWS/hVW6SyDycAFd+GbpI6v/rDydAqZLG6oyydI56 +OAH8Sr+4DzQTc9TDdV+PerjumHo4AWRzi3r81hex/nAChPYse51dZ8/6wwlg +mtNL2u2OiKMeToCVf19Vfcf8TT1c9/WohxNAfo79Hh/1fNYfToBNioFC8ud/ +/eEEuC7lf964vzfrDydApv3a30Nvh7L+cN3Xox5OAOtLrTe847NYfzgBZm+x +cw3tFLP+cALo+KiIPO5kctTDCXBX59a+jin/PJwAl/X63TY8ksf6wwlwI/qS +lacom/WHE2BY6QbLePjXHw6/vy6sNDWEY/3huu+HejgBFE2t7JaZBrH+cN2f +Uw8nwNRA/VyPua7Mwwmwtl9SwkXzGNYfTgApr36vF89OZf3hun+fejgBqkod +0n3OpbD+cAJYNR6X22rqyfrDCTBxbcy+wMAIjno4ARbYVrdJm7ux/nA4v77P +dNlxJov1hxPgzeGE0wvksll/OAGCJT72XWgWxDycAL7L5u3hfMQc9XAC9NG+ +38/UL4v1hxOgUkH+75pz3qw/nAC9c03i/NZ7Mw8nwBKlnT2eKQay/nACqN7M +1VQozWL94bqfj3o4AZReTeilVpDJUQ8nwK1N+2pWJ4hZfzi8vmNXzdguL456 +OAGq9fXkarQiOOrhBHh6cG5SIGSy/nA4P565BlaNz2b94QRoyQuV/9s7hKMe +Duf3/alKT9ams/5w3fdDPZwADVk7b70q8WIervtz6uEEOFEUe3GegwtHPZwA +y08MuNTXIZOjHk6AIwq6d3drJzIPJ0DGslg7I/8o5uG6r0c9nACJErLjt4QE +s/5wmF/CcxSu7nBhHk6ARZMyNMWD0lh/OAH68uJZEbqJzMPh/NiuJDr0OZb1 +h8N8FBF2b82NBI56OAH6jbIUBQxPZv3hBDjuLzX8+h4n5uEEaLMrL0mN9WL9 +4QT4lfEhQKoRWH84ARxtQo/nTUpj/eG67596OAHsk/om6yZ5Mw+H38999u/T +L5F5OFyfLwoqU9eHMQ8nQEVkzK/KE8kc9XACbI8dZDLgoIj1hxMg3rgjt9H6 +n4cT4Nua9RmVb1I56uEEyPsUvcA3NZb1hxPg/Mkjr8qtE1h/OAHWScrXRRum +sv5wArR7W6V8LU5mHk6A078XXln0IYN5OFwPRsKtFiMP1h+u+/moh8P7H/SE +D7gXwTwczu/1VVKvb/mz/nACNC5q6FwsiuKohxMAZHPSpkRkcNTDCaDgujRk +wytn1h9OgJd9h9nsHFDAUQ8ngG1dH8Nbv0WsP5wAK1bP2rpEHMBRDyfAaOvF +64vxfEA9nADSC01vdqYmMQ8ngMTud8BNTmf94QQ42Lt4YsHEUObhBBh8VdU+ +akQI6w8ngFdca8R3hXzWH04AyxmpkfJfxKw/nACrH8y96aXgxlEPh/Nvy+Nd +zrLRrD9c9/hQD4fxzSp7u6AE5uEECG+fuun4NFeOejic/0vOknsz01h/OMyv +WZqOqdvzOOrhBAhcusF5lFQY6w+H4xWwo6TRIop5OAE2a2+KmnY1j/WHEyCn +9M0vg/Vi5uEEEL2+p68tzmP94QSQW3km3XxlGvNw3fdLPRzON1Wf57x/DOsP +J4BL65Is0z9+zMN1/zz1cN0x9XC4XkzPlStLRzIPJ8DhxJl9JvwUc9TDCXA1 +uF00WiGcox5OAJkpD9q2rfNgHg7n5+3Bq7ROerH+cPi8zuscRl3OYP3hMP9Y +pemWXCjgqIcTwH9B5PbIma7Mwwnwd86bGB2bXI56OFxPB2a8mm1WwFEPJ8Cl +p2rynsaFrD+cANzSHbNlMhI56uFwP9bctmDN3yTm4QRIWvs+wfZoAEc9HO4H +Le6SZ5xiWX84Ac74Oi6euCCMeTgcf8OXzfK2Qaw/HH5f+VXJuXIijno4AdT6 +rV2wqjGNox5OgC/96xr1fuez/nAC1OsOmj7NPp+jHk6AuH3PRZeOZXDUwwmg +ffHl2DdeoczDCXBH30v5ft801h8O643leh2aiTGsP5wAbnUV5447JTIPJ8D4 +4BX18ooRrD8cPq/qnUqFncGsP5wA57ifjVF78lh/OAEO3SpvHeUTx1EPh/M9 +5V1nk0U+6w/X/f6phxMgOmWp1dnLzszDdX9OPZwACZm7RkdLuzEPJ8CBK0da +V9TFs/5wmB+0lo3IseU46uEEiPj4YGTriTDWH04Aw9aRfYfGRzMP13196uEE +2Hc/MqbDOZz1hxMg/9vqnCnJKRz1cFjflFxdXJqZyvrDCfCzRthuZ+zCUQ8n +QJqncb8y2xTWHw7zFxhaH1aO5aiHw/1dt39glLMr83C43xkf/FFoHcFRD9d9 +P9TDYT3yaM55GQkf1h9OgEeRmtVaDbkc9XC4f8z7q2H3Ioh5uO7fpx6uO6Ye +DvNDxXdPcjqPox5OgK8JspM+mHly1MNhPZISO+JvUzRHPRyu//V2L2+9TmIe +DtdTWmfnlMOxrD8c1jPnx9WeWerM+sMJcP/y/Y+9G4JYfzjcH3/+fWmZH876 +w2E+uqWXNHdOAOsPh+OtJatzYBvHUQ+H+VF54+5YgWP94XC/97ssF7I4iPWH +EyB5dYfpSkk31h9OgA+6Sx+L9iWx/nACTOgx0HzIxTvMwwlwdMvMklUleRz1 +cAKMlPvZpm/gwlEPJ0Bd9jWFrrAs5uG6x4t6OAHmuLrtlN2XzfrD4f6ru33s +g9Ag5uEEOLuuc3RcTSLzcDi/tZOekEI/jno4AUpu+h75mpbIUQ+H+ab/kurF +UzNZfzgBzHvEDxrwOZJ5OAE+tZX3n741j6Mervt+qIfrjqmHw3p0vn2RrJ+Y +eTgBwtpMlxRKAesPh/NLYfCXPlH5HPVwApiYLZljoR3N+sPh+xjrMOmUegFH +PRyuD6PgzKedjszD4XrS/zV/9pYQjno4nM+nL5berolh/eEE4BUMQ/O3iznq +4XC8d50zOLylgKMeDutNr5fW+/LTWH84Afr3jdyt0JrNUQ8nwJOLvqqOMwM5 +6uEw321/fUEpOp/1hxNActD6zwNtA5mHE2CS6VCpUo1k5uGwPldOW2zzNIP1 +hxNgoeVzHyMDB+bhBIiSvnXSdW8m6w+H42/98afRPo71hxNgWtocT0EnnvWH +w3xyUL9uxIs05uEw/y+TCS57n8f6w+H1p3f02veqkKMeDuunp45Do66FMA+H +7/vGfLnFDZ7Mw2F9oRVv+TwjiqMeDusbI3HkNuMg1h9OgD9frHrPqU7lqIfD +8+BY/xS9p57Mw+F8euIzvtKqgPWHw3r9U/32G4/us/5wWB+umpqSPKyQ9YfD +8/C2h9X7tPNYfzjMp3dUZ/PBSczD4X7g/8L+xlk31h8Oz6+zXkyaI8pn/eEE +2PPqhsekA77Mw+H7uVv4cnOvRNYf7r/zy5Etv6ILOerhcPwCvavuaIpYfzgB +Zv36NJkoJjEPh/V7x9jXs9vDmIfD8ZM3MTytXcBRD4fr2fTEy8KMFObhcDyN +OmJ3nSzgqIfD+Zj39t6TugTWH657/lMPJwBxUi91b09j/eG6P6ceDs9nmx/4 +3Vway1EPJ0CWRIFV4ORI1h9OgM7Y6v1HRqey/nACLFu9XH0w1svUwwkw1+Jh +r5dpEaw/HK5/Uxk+rX8q6w/X/X3Uw+H4adW+1/2Ty/rDCbChZZt0Wk4O6w8n +QPGBn55ql/M46uFw/T/nB1fOSGH94XB93FWpjf4WzDycAHsnz4xRirrL+sMJ +EGLudUz/WiFHPVz391MPh/kje9SLTZ53OerhcLytW2bPjktkHg7HS1klsqgO +OOrhsH5oCPKy3p/CUQ8nQOvwsHYdqRSOejg83638eKZd3515ONwP5zjvduzn +yTwc1iOaMY9UA7M46uEEEPR12v0Dwjnq4bCeNk9tMWtJYx4O9wP9AJXltqEc +9XD/5+8j//NwOD7+g6fHZ2dx1MPheO4prFkxK4l5OKy3by0NmbAtkaMeToBj +44eZ1urf56iHE0Dxu6KcJx/HUQ+H89MTGtc9TuWoh+v+Purh8H1P3jXyp3sq +Rz2cADbpnIbiynCOejg8/8xcpy+em8Y8HJ63LRT3dK4u5KiHE6Bg9KZePmvz +OerhcP1+GhDgN4XjqIfD+TVW21vJ4C5HPZwA7/hxey46OjAPJ4Cm8WwTL7ts +5uHwfW86a7VqYDxHPZwAysHPYyea3+GohxNAfckPr9tfgjnq4bBevN//yhuN +cObhBEiXNiseqlLMUQ+H83+/V2TSvFCOerju56ceTgAnm2eHw7H+px6u+3Pq +4XD/85SV1TXM56iHw/l6dozSxrdijno4AUZY9eiYMyyTox6u+/eph+uOqYfD ++6v85mKRlcg8HNYb675wL7XFHPVwAkx91EdVHH+fox4O68cPSnFeSXc56uHw +PLd52KOSo9HMw3Vfn3o4rD/y1z7L2Z7MUQ8nQEB0veZizIfUw2E99a2qQv5K +Ekc9nABKldzz9c+yOerhBJiSuvZx1oZEjno4rO/yOypbdMXMwwlwAZ6WhWoA +Rz0cXv9pTYn2xHTm4brvh3o4fJ+9Fk7tv5vjqIcTQOwy7a/J8nSOejgB1vw5 +rj0w2Zd5OAH0PvRYbtm/kKMeToAt4wYPFemlcdTDdV+fejg8P4TIdaYtyuGo +h8PzGamYsnS9iHk4AZqH9Bz22DeLeThcLycmz9gQ5M48nAAqoX16XzvOMQ8n +QFlMyuPc/R4c9XBYH4RoPaxVT2YeToDM3DVh3vJZzMNh/ugaq3QoOI55OFy/ +HXzhEdN//eG675d6ODx/5z4632ISylEP1/059XD//b0radDOtAKOerj/8/fe +/3k4PG8ZdFVE/fZiHq77c+rhMD+FKVnWluVx1MMJ0G4wdcrl9kTm4XD+bTWV +Hnv9n4fDekh854X6j3COerju61EPh/uzXueS5iNJHPVwWL9vHq9TNOwuRz1c +989TD4f1Z574T2a/fI56OAGkrkdMt1fL4KiHE6DjVe6l8X9KOerhun+fejgB +NFb7rVmfns08HObPn7KjpZYnctTDCRDbNinqZcJdjno4PG/pFxTsz07nqIcT +wP3Qy2vX5yYxD4f7p2Fy7Zj4XI56OAEy+HMqV+fncdTDCeD14rNp2ZkYjno4 +AfJOLokQa6Vy1MN13x/1cLh+1JOqYj7GMw8nwCCtv1cicX5SD4f5tFmi4tuy +JObh8PzV+cJhm14JRz0c1oMbypfneuUyD4f7zWoZafN7HEc9nADPX14SkeR0 +jno4AYZbn02OlX3CUQ+H+VbvVFpejwcc9XD4/kort7rmFHLUw+H+Msn/5UGs +F6iHw3ritOv4u31zOOrhup+HejjMN7MOu5qPEHHUw+H1clwWrDlWylEPJ8Di +TtUycyMR83ACjOn34GCrUgFHPZwAs+W+V4IgZh5OALt71i0JfZI46uHw/Oy6 +YnvxvIcc9XBYf8g02itPzuSohxNgZi+5P8bLxBz1cAK8CPN+dzrfjaMeToCN +W0tGVD7z46iHw/PvxBl5ry4GcNTDdT8P9XBY7/tNsRg06hbzcDi+Xlfc+9jG +cNTD4f70y1VLyjeUeTgBzBb/fX52RTLzcN3Xox4O509Dze8Mtacc9XC4H4cZ +CcV98jnq4fD9RJtYvDycwzwc1n/HPFUbios56uEwvxc5CE6ZyRz1cP/n773/ +83CYP0r/dtVPdmEeTgDnH+/i24ancdTDYb4pU/PVqkjhqIfD+Sk70VHtezBH +PRy+jzctPaMM8zjq4bqvTz2cAJs/Weu1aIg56uG6P6ceDveval+jKzfjmIfD +emnCwfo7W/71hxPAY/nPux/nJjMPJ8AOq0kTX7sUcNTDYT1Ya3fg3tUnHPVw +AlQfOOhT5HuXox4Oz6e2tp92v3ViHk6AwsYdE06p5nPUw/2fv/f+z8N1x9TD +CZDmHXNh1ttY5uFwvV3uKbq/O5F5OJxP9lFHBkvGc9TDdf8+9XD4/GNCHm+A +Yo56OAFcdi9csW54DEc9HJ5PJtQ/qNr0z8MJ4PNI02B4RBZHPZwA2yOys8yt +HnHUw2E+PJ4fUu7ylKMeTgCtmZoWd8+IOerhcP6PHfyid14eRz0crg/5qXdE +w2OZh8PPA7r2uCQlMQ8nwLr3urcXrSnkqIcT4Ouc2GNVUkkc9XB4//0lK5O/ +5jMPJ0BTy5ctmTOimIcT4GqNwfd4gyjm4fD5I59+0rqVyTycABbktffThU4c +9XBYP83+MGbbXI6jHg7fh4NXllZUOkc9nAA3b9acOlCZz1EPJ0DfybMPZsy6 +zTycAB8nHzWRmebGPByeb5THbz/akMY8HO735odumSx+ylEPJ8A1v1XzR+Dz +UQ+H+7M2N3ipbgjzcAJYx3+72l8zkXm47vdHPZwAM8aenHvh5FOOejgBxnbo +27abp3PUw2G98FGldvrEaI56OMxXGzpUHgwq4qiHE2D1n4EXXR+WctTD4X6o +ZdWvJDaLox5OgO9RFcofJ8Vy1MMJEJRpPuuL2jOOejgBTv0NKws96c08nAD7 +y6ZFz9bMYx5OgJghnQ3vLUs56uGwvrlcfNAmNo6jHu7//L33fx5OgNpTOjYj +jt3jqIcTIFf9y5uVQ8s56uFwv3y3pizEJJ95OByvUbldAzKyOOrh8Dw82WOM +yoYnHPVwmC+m7i2cbZ/DUQ+H56PAaTe6rj3jqIcTYOfZtusNGgXMwwlwts+8 +7c+eAfNwAuzqf3vX1UulzMMJsMBmgMdIowLm4QQ4kmw2Jj7nPkc9nACe9dOf +6B391x8O852qU99Ld9I56uFwPMd6xTy5ncFRD4f1M+jOkaku5KiHw+d5rGgS +/SKDox5OgLVBzd98TLw46uFwve0csdOpVyrzcAJMOvOiokH0kHk4HM+WTX7J +vtkc9XACOHxxHLZe/p+Hw3ohxX7/X6GEox4O7zcBXilNKOeohxPgdZimbwd+ +Tj0c5g8t78Fqea856uHw+9UPwdvBpRz1cFj/9sjKGeaRz1EPJ0CU3N2r1h8y +OerhMF7maHf1bz5HPZwAl78cXTP7UQBHPRzuF6OCeiunZzAPJ8C9az8PaSSH +ctTD4Xo7f8eAv3SXeTg8f/WPVdgX+oyjHg7Pl1s+9rRp8uaohxPgkuWcrEMu +hRz1cAJ0DQzJm+2WzTwc1kO64xrnVdzjqIcTYNuHrZe/3hMzD4fr9fk8j+R9 +iczD4fNu8xh59lQu83C4/z9yHObqlc1RDyfA1qk7onuZ5HHUw+F4rd87OMwi +h3k4AZZGhncNtC9iHg7P1/FmJz6UP+aoh8N8t/dO6IpjEczDda8H6uEE4E/1 +q7Z0KuSoh8P6e83KGS77c5mHw583kDCDZZnMw2E9k3Rkuun6Mo56OAEORu76 +uW1qBEc9nACWu2Qi7we95KiH6/4+6uFw/auXnjuP75N6OMw/T63tJymVc9TD +CZBaMStuAinmqIfDfKdsbGCuImYeDuuFBY/Gp80u46iHw/z55tbW8uRE5uEE +kD35afIdhZcc9XA4Hjv9Nk40v8s8HMY/Z+77k5LOPBzmr3qttZP2ZTEPh+fh +sS7ycnwZ83ACaPdPFnW1FjAPh+s3Y+oN2f5ZzMMJ0KIw/6CCZj7zcFg/7ZrR +cHtwOfNwAoStcRwpN/0ZRz0c1td9Ez5rDg1iHk6AR58r7UzvF3HUwwnw94+p +Hbf9IUc9HNYbpy80xP1MYx5OgHllg+3EO94zDyfAqpkuYzWvPmUeDt/34vff +1xdUMA8nQHblxc/22yo56uEE6GmiXJ0Z/4CjHg7n9+b358fOqmQeDusNOa0f +01a8YR5OgJS509ZE2Sey/nAC1O83Gr7v6xvm4QRINPfXkb13n3k4nK+778+M +MnjHUQ+H78sh+nr/01nMwwlwp+r04EOGT5mHE+ChkZasYshbjno4nH9S6yum +vErnqIfD8/FlQe5BQSLzcPi+fAdq+xXlsf5wArzc3XOAiXch83ACiCSMZnau +KWb94fDnb0++aNXmxvrDCXDc1+CX08V3HPVwApj6fA/cMKmMox4O6yUJuzfD +4t4xD4frM2PClE36/zwcnu8sv7n3e1XDPBzuB15/A05aP+WohxPAddS+DWce +lDMPJ8DAAUvV7PbXMA8ngGSB78iVKtXMw+H+/KRhysx1WczD4fOO3mM3vl8l +83ACDPC5UDrBPImjHg7PH/1vKITKe7P+cJjfXEbpyPWvYR5OgAZpGdvwY3XM +w+F+8vSX1tqAao56OAECymMmOL9+yDwcXk/tw7y4eZnMw2G9vN1SZfSTx8zD +CXB7QbHt67Zw1h8O7/9br7udL/xZfzisb7wzjkUceco8nADT1S5PeKvzgaMe +Dn8+4InVbvu7zMPh+W2pl7nHtSqOerju/EA9HNazO8n92pUVrD8cnv+zdQbk +Fr1jHk6ANyrj9pRU3WMeDusvhWMTKqXzmYfD87XsN32r+mfMwwnwYP7cRdv6 +vOWohxOgqGlz73EXXjAPh+dls2tWM05+56iHE0BQ2RXff91njno4nE8KZ2Q1 +7MuYh8P6MPHYO68en5iHw/HtFe29s+o9Rz0crr+4zDXDv1YwD4f1vL9CgPfA +Vxz1cN3PSz0cvv+Dvn8UFj5m/eG6P6ceDuen/W7DM8OLWH84rDcUPx07fu8x +83ACXJ/Wq98Y/QaOejh8H3omyb+mfWMeDvONfyVX2NXAPByez4c8tVDdUsw8 +HOZXD/nELsNKjno4AXwdHYpGdzxh/eGaIXp91++xzfdYf7hmmL50bcG7kHrm +4ZrhhVfEohXV7zjq4ZrBOT1LpHznE/NwzeDq7Jgme72O/XupzfBgVHuH7Mlq +1h+uGczGKgUaO71gHq4Z/FrCuaUu+aw/XDPExjivcEqLYR4O74fPVAj/0Mw8 +XDOsM0pa5NoqMA/XDGvjbb1C7N6x/nDNsOp5wOrq4gTWH64Z1CeZvV9r+p79 +e6nNcKBjXdkUn+fMwzXDs1kr4k1Cq5iHa4Zv9zRKG55ms/5wzXD4Q712+8gW +jnq4Zqi19Zhf/bOOox6uGUzEazUC+vxhHq4Zjo+eflAh8xbrD9cMRiNr5E+3 +1DAP1wzWNy44rJjUyjxcMxTvHPfpyLCfHPVwzTBwxbNtLb155uGawT+kZl7P +OW3MwzVDuZJk9Zo9bczDNUPS2TLbE/c+Mw/XDIFXG5bFzqhlHq4ZlkxSyKos +FZiHa4Yc/0KpyGsSOdTDNcP9P3N1k/o2Mg/XDDLNftZ5hwX276U2Q3vu4U9n +kyVzqIdrhvGKD5dcvVjG/r3UZvhkofS+U5pn/15qM0T2LpEtiPjG+sPh+BVV +10X96JVDPVwzvL+ZaDNB3M76wzWD+SvDnnMi+uZQD9cM135slX6v2cw8XDNM +SS9fq/PwL/NwzTDYqaLndtNBOdTDNUP1V9JmvL2R9YdrhsawVeu8K3rmUA+H +1/84JPvCOPkc6uGaodfjaLVcF9kc6uGawfHsefs0pWfMwzXDtG/zJ88+LalK +PVwzdLy/N8/DRVaVerhmuLwhdcUrNYlc6uGawXRicu7I9RK5/w8qDbPw + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Hue[0.1421359549995791, 0.6, 0.6], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + GrayLevel[0], + Dashing[{Small, Small}]], + Line[{{-1.3, 0.}, {0.1, 0.}}]}, "Charting`Private`Tag#3"]}}, + "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + ListPlot, "GroupHighlight" -> False|>|>], + ImageSizeCache->{{4.503599627370496*^15, -4.503599627370496*^15}, { + 4.503599627370496*^15, -4.503599627370496*^15}}]}, + Annotation[ + GraphicsComplex[CompressedData[" +1:eJw0mnk0Vt/bhw1JRQMVSUgZEoW+RSVuc5KQJKWIUEkiGYqiRJIiRGVKyFAy +z8M2z/M8z1Q45zw0h3r3b63z/mVd63mc5+zpvj97rUvY4oaBFQsTE1OBFBPT +//4+9mcW/sFCIJkVU3PX9DfC/7M889L0//ja1JbeGlYCjWe/57l6gRdOKMkE +vFpBoMhJZ8GaRn6QDjuqeY2NQN9nDG4c1tsOXAzT5SMrCTRYp/1K5ssO+Krl +nLWOnUAVZSauilmi0BXz9NooZkNPLSfjtF2Q+yduR8YqAl1wu/DDdUgSXp8q +6vNaTSDBEnuzUAVpcP/QEXh6DYFODzBWGVrJginb7FFxDgJl3NfJMdD4D5RN +Wf79wgzbV0yXKx6AHbl8OfWcBOqa2aTxRlceVmyQvR6xlkAT5soe0y6HYPqK +lojdOgIZHeKE5/kKUFtmNgDrCeQN5XsiNipB8laXIK4NBOLbkXebWU0Z/B2f +HZvAXPnPMfyirwrcaIxnyuYi0Cv3Ed0vfapwUrQ414ebQLny4tav5NXhv3ud +dsYbCbQ1ui/DLkYDNvfMiu7eRKADE9Nsl3mPwk9p1qFFzE9n3kh7vtKCPt+t +IU2bCXROo/Bfrrg2FI7JHo/mweshfFyDs/w4RB4+xuLAS6DUIzXNd66cAI/g +i/mqWwgkPcBuw8yvB+ZzLvab+PD4z+9caLbVB3WNAPFpzMfvrWcTNzgJYlHv +hnO3EigrrLrjkaIBrPpZ/OIxP4F0Q3+qMfaeghm9Lh2TbXg+OVgUzMQMoTFx +jnWPAIFExH9Eduw8DR+ZVxT+xeyVGnJSR9wIAs/x32wVxONZ1j1cK3MGbmbu +k3grhOfrm+YRTWVjMOTUHnXcTqA7y7oKVafPgpyVeZiGMIHYWWa2qjucA74S +V13eHQRquXS8pCzIBBZ5Atm+YJb/ZbRKseA8DN1IKCrYSSAmlpiBrE8XANWW +OPqLEEhV0m7rLn4ziBHu3m0qSqAidLZDe/dF8LpDjEmLEQjt45D9W3cRrDpW +vGIWJ1C7zo/QFFtzOCq1Tb8D88DGKCdjbguQ8P6PPX4XXu+MC4p/CyyAY1i7 +xFmCQDpOV0yjrC8BKWfhpLUbj7emTuvgZktoDbgttVWSQNYyVrYN1ZaQ8Tlw +Yhbzdv0fB8+4WUGISuLrYikCjQ5uEBzYZw3Or9HJgD0Emore/sSIsAbjr92r +zPcSKOTmVao2+TIc1iHRPmn8fAX2AtlrV2BbPJvLChn8+SxxNnDvVfi7vG1v +N2YOty+Kk9+uwqjR/qkEWQJFTUd2SpXYQEXq8Yjb+wgUKvXI/6rfNYhfdenU +8f/w+Tz2u+PVWVt4ZH5njcB+AsnJb+crlrwOVwuel5GYxaf/1bUz2cHxjUmu +pQcIpKV0y7C/1w722JZKB8nh/bJVVqwj8wasr+qZviRPoMAd5kbDWvYwL0BF +HjiI92th1e7j+fbQ4bzyNPshAj2SmKyWlnSA7BYBzj7MSFZz7EmUA7zcdaAi ++TCBhp+Yy5htvAl37uvccVcgkN9omE3S45twvv+SrO4RAv2skdO0YXYEpf/c +PgspEuhhrcvtmDuOsN0/KHoes/ZpzwLd747AMpVkVKFEoOxGc+TgcAsmFcvW +vgACCbjwKa2hbkF1aG+ltTKBTL0D53jtnCCRotwOqhDIfn2E52vSCfy02P9b +o0qgf9zLqc/tneF6jODMAGaOmxdllr86g96fAzEpagS6O2+aO+jqArKnThh7 +qBOoRv7uH+l/LrDxg+X6kxoEutcgmfrDxxW+r3Cv3qFJoEWuGj+ZDbeh50Lw +3W+Yv54IUx16fRvyc5L3Vx/F9Suq9cmS2B0IX18+G6aF9/tiEP+zrDtw90rf +26vHCPTy0My752puYFbGOKugTaAZ5ubxlZ1uoLJ1Fdfa4wTK6xJ+SFi5g4ij +UO0w5i0c2aDx2x1WNsp5pOkQ6HODav3aZ3fhs4iu3IMTBLLbdLNXU+Qe1N+1 +Ik7pEoglo0uQUXgPPnS7x4nqEShNZe7k6tMe8Ew6xOQn5pG928WCKA+w933P +XadPoKXeCfEqDU8wGCuve32SQD6Xg8pcQzxh/+F+T1sDAikn7yuYmPAEnuB5 +eaVT+DzZts2y7b8Pv2dXUesNCdRr6iAz8vA+DKhvfzeGeYybw+JG930ojpS/ +kHkaz8df/3M5ux5A9A/dTd5GeD+4DHzNdHsA9/WsG4zO4P2X28p+peUBXEq8 ++2CXMYHYuo/Yt+/0Ag3mF4f+YJZvnFr51dULxM99YDScJVCAw6P4umYvWJ1Z +kRB5DtcH9w5RI9GHMMsxYHrDhECXPB3MX7o/hGbLhc0q5/Hn1F/ZwM6HkFa8 +uon7AoEKedY7KO7xhiAe4YeTmMO3S/6K9vGGWzcOKuSYEojkan+TO+oNRrV6 +C4/MCGRV76r+UMEHDgpfTjp7kUDxrH5FbGE+sPXOvYuS5gT6oG89rvDVB5ba +X/AuYxYTsAwQ038Ew5Ipzc0WBGr80BJRlfIISh9Wer+5hOvX1tmJLZy+EDs0 +cOSmJYGGjEnF7dd8wVvu61c1KwLtl2Z/OFDvC5cD1rzfbE0gTYWzAdqSj+HY +Z2GLT5gdqeWD1/0fg6TKIb78ywQ6E7TinBr5GNa+1m/1u4J/X+lmQ6O+H1AL +lx+dv0qgNaMq1quz/KDtuIfSXht8fuUtWX/zPoHMuNDv/zB3dSG3cPcn8GI5 +5UPbNQKpC8gmMsaegKtR1aVYW9zvlP3Mfx31h3Opg1udrhPopmqwZ8ZHfziy +6lubph2B4r6tHhbkeQqC5hyPt9wg0NufMQaK954CU8EO5RnM3fkrctd+egrj +3Id/FtoTaK1L20SA/jOovHby41MHAnXIR6ZXFDyDd5VXrMxu4v3UuvArUTQA +fAU8t8k64n49bhAEzwPAxjmsg+UWgRx6/jN6tBwAJ1o++nViblnwXKd8IBCk +d1WrvHMi0AqfgJFNtoHAdX/ol4szgVweO6xRjQ2Er33fUo+5EMi/6oRbTX8g +dO3jvMzviuvptIZgPPdzyH2yU5DAHODuPNKv/RxeTR7uKrlNoLo/P5KveD0H +N0UD/8A7BHqX22erW/QcLoReVbNww/M1J8nl//05AOX55z93Ah3K+u4lLB0E +O7ReprPdJZDK2sPp664GwYqY1Cs9mH90/3h4KjYIpn9XCyXdw/WvXWSWGgqC +WoPh7jseOA+9zW2Z2BIMye+/P9XxJNBcXaSgtGEw+K9YqyF4n0B6KaUVbQHB +YHdBZInCzP58xfuGhmDQz1HILHtAoMxXWqXbVoXAvvWnbIK9CFTeYE1VqofA +pis2wlYPcT/g2C9SeT8Efpbe75XzJhAvv6f2VhQCfXyvAlb5ECgncrNuzVII +FN5M0+zH3LWxYGvD4RcQ2VCz/P4RXu/BPRGit1+Ah8hI1l1fAl07IFXSnfsC +zO/+uKb3GI/30DXb4R8vQK177U5hPwJVjYYHHZELBVFp0f4FzG3MNtvmnUKB +3ffI88on+HzruX9fzA6FL6OntEL9CeRkfGv1hR+h0Hjo2r/LT3E9f0yqbZIP +g49BD3IOPSOQ1O4HgcKuYRA4++o6RwCBHpiW9T/MD4Ob6ukiQ5gHRQ+tU1oM +A8PI2oGPgXj+zjnwnFB8CXI/RoI8nxPoaubccLrHS9ii9/OYQRCeH7TrlG35 +S/iTsI5ZJBj//0ykqTvbKxhkEsv7jrnpNpob03oFJWcVb9SEEOj5k8XPEf6v +ICbDUOzVCwI1bClUSml9BV4ctkM2oQS6/dW8j3vza7Cy9Ao5EobzV7VuXNPZ +13C0+PXxdS8JdJlnwG8o6jVI8GSwjGLmBBNX1cnXwHGjLj/9FYGuOAkaL0mE +A1Ezau/1Gu+varvNHPbh0LL9l/jpcAJJ8jwPt8sJh/Tb60fEIvB6Cld1iS2H +Q3C7WOgvzLfvaKT+px4BzpJKJ+ojcb70P7rxxZMIMH54ekVE1P/y4OKcdkcE +HB6yLbwejfNDpr/4af5I2Cb38Ca8IZBN8/aPmZci4e+zcAmuGFwvk3uvWX+I +hNFPGaPjmMmxluPXv0dCuXJ9WNZbAnmMbztUqxQFca/GdH1icX9ltAq6+EaB +z8IvNuM4Am3a+evT7fYouHJ8Q7FEPO5vV155tG6LhuNx4rcWMTs+yGm9czka +9iwrSTa9w/mBTafaNSMa1hsZjUcl4P52/uTx+uVomP94/ZV9Iq5H5ufjc0Xe +QAe7t75qEs4z8bk9mdpvIPtiBPumZAKturY3o8/+DYTlZ5ZMYWZbt2+3RNgb +uM3d4JT7nkAFBrIH3ha/AZNr41KPP+D6Yf2yVn3yDShW/p44l0Kg36OtfRs4 +YkBIgCtc6iOB+ktVTFfuiwEW510GfzGP2+kdFz0bA5PNsLo1Fef1IpPQ654x +UC1+pjQmDdfH8ar9IwkxkOhp5+KYjve/1wz/nZYY8Ovz3quRQaDXyTtVlH7G +gO2+yCmeTJy36mpfSQq9Bd0nWRGfMZsU7uVXPfoWZCYbThVkESi2PKHg/o23 +wK04scY/m0CfHntdnwl7C99e/Cm7kIPXd+d6CffSt9BDct2WziVQ/aoX43Jf +3kL+UQkZ5jwCefJfCNjKHQvhb5Q/tWMWjPsgIq4QC3d/n4mKy8f93qA67Lxl +LJgZ3DjtXIDXW3FmqOBpLKi89+HUKiRQ6cTpOZXcWNi5IqqCrwjnIQn1vPnR +WGC7kH1nFnPsOUKufk0cfMpulC0u/l8+fHShYX8c1K2b/PyshEDrU9WFv5vG +wYfLi9EXEc6TNkYuRx/HwbNS7jP7SnE/VZkxLM+MA3u+3etWlBFoj6dkqtVw +HBjcVKnqwry5V9VNdnU87G8wdk8ox/3Q3Oij6P544BGx/+92BX7/V48VVc3i +4Zf7oxntSlw/LZcFHvnFQ39XVMy2Kpw317Sqf8uOh6K9OcYk5lVHBBIej8VD +1KOm9aXVBPrI8ffQ0bXv4P7oZPXzGgKd/eq7IHXoHVw6tHT3Ui3u721tVYet +3oFG0MYDB+oIZDbzJdH++TsQn909t7KeQLumRwKbi9/BanXV2F7Mke3opuHM +O5iNOHsuuQHX1x3Baiy8CdD03Z7LvRHnv/cXf/WoJUCqrm/tiSZ8v0uW8umy +T4DnCdEeQs34+ejX2J/IBHBkypWbx8xMVjBpNSSA0dlmorwF/160X0/RrwQ4 +mDEVF9JKoCfRmiZnxRJhK8eyiXUbvn8IUZ4ChomwdGnTxoPt+DxluSqufpAI +w0WS9as7CEQZdXlvS0uE0s1q9wcwa1p80z0znAhv7c4dTOnE8xFa/jSfMwke +1jhQ97oIdIt3t7yaQhJYb3/8Tr8b3x+vcSt/u5oEWrffXNjRQyAl94uRjS+T +QLI9d9M3zG5hP9Qaa5JgrWRLQ1Uv7t/Xs3Z9/ZEElNf0g7A+fH/iclFWEUuG +tsHlQ1f7CcT9e4tnzulkyDywef7wAIH+++swaOCdDC+eSSVyDuLnnz+jzZOd +DC6f1MyGMfumh5cwTSXDWWUTnrQhnJ//rtvLvfk9KLy62XR/GPfnhHtPtTXe +g8DC44enRvD7OmS3JTm9B6bjMQqio3g9vdwo6XfvYTw2b+EH5qQroQOD3e+h +cqklqXYM32856/zS2D/Au9OfLr4ex/ftoo755IMfwPfjX17bCZxXd91ia7z6 +AWzYeVoUJwm0bG5VsDH8A+hc3OOzfgrfRwbN2B40foC9+eqKY5g32/IN8Pz9 +ABu4z3/LmMZ5+qiGTLt0CizYOL5/+AnvV7aQ35nmKdBV4Wdh9JlAstGZkkXB +KZC77S3fri84v9vpFc5UpcArp/zW35g/790QCb9SwK259VHDDB7/YnhVwe6P +cEH8s1LkLP6+QajE+QsfATz/fbebI9BqrjfFOwM/gnAfT4oygeu10xlnroqP +wLpvryU3iddfyFhL9MdHmPLT4J/EvH2dwi4ziVSomTjfnk0R6Njb0DXofCok +H7n1+BGDQBcj/k6oB6aC/4snymfnCXRKYEUSoyIV7Mi3P3cv4H717oBu+c9U +0D9a8HEJ8xH/9ZODm9Ng35s2q+avBIrpj/OelU6DTb8/b3vzjUDf1gmuXKud +Bj9OMnU6fCdQrUSxmYplGvQm8z5R+4Hz2UC5v++9NChglVbd/JNAGQ/tHo2/ +TIOI85q/pzGrHPh7TC8zDTyyL6Tl/cL9MeVjc3NTGpivc7rs9xufv/OF680/ +p4HaZX/B83/w+TY3ZV/Jmg6ipbFdexYJxHjSmloskA7sfIX+/zA7njvG6nsw +Hb44tKu1LRFo3eLfRctT6dBQ/+XP22V8Ho6LhRjapUPKTuaMW39xPupdqDJ6 +nA4B7luuav4jUM9I6JNrceng0CW9fQsTiR7pqI0EoXQw3Hu05wvm0wXihY39 +6SD3yPRZITOJVt66ycf3Ix22jDppPGUhUbKj/jcXrgz4c/DpkikriUItCI0v +Uhkw+DwuU2YFic68clx1XSsDSmYKbVjY8PeFOOVYLTPgjVqHcCdmhw/Dte89 +MuBBxExv/EoSvY/nKrQOzwDL78yBLuwkura9fuX+3AzQ1OU7emwViZpHJd9t +7MgAiQSZv1tXk4g6ezaYjcoADiat7DnMkymOtas5MoEwNrMtWUOi1JTXsF08 +E1rSnXcGcpDoYN/cgpZaJqSvedZvzkkiVbNHg15mmRB8Kf75f2tJZC3g86PV +LROcioq02Nbh8b5hVZB5mQlnNnf+68asvoMvLiYrEw7ZzeYkrifR8tNhSdG2 +TOCvYbG7s4FEJW42jQVEJiwLbRXV4SJRwb52D4s1WTDqKjsowE2i6kQJ5W3i +WVDephVMYT7+wIv9s1oWxO2+qF22kUTK3PMtVRezwMfLhTl4E4lEzz0Izr6b +BVcGn+VZbibRt9WaOrmvs0D7wLsbcjwkEvQ89q0+NwuknhWLreIlkWfdS29G +Zxas+9Q51IfZLEbpj/hCFjBgLuT9FhKdc1DXcVifDe0vWXXu8pHI6mSGU6NU +NmTPb2XV20qiSvYA+0Pa2RCmva9gOz+J/q4alcu7nA23Y485LGDuc0kq1/LO +BpOli7sqt5FIX5RcO/s2GxRPu468ECCRf2Aqd3RpNgh9DAi9LEiikWmi3nI4 +G5jZE04cEiJRLmuizJGlbJgwK1nBsZ1EkfYDiqJbc6Aqr6twEPMuq4dzQgdz +IIGLuPlRmER/qiPlpIxywM9mxW7PHSTKkRDm0b6VA7YV/GMnd+LPm1d63w7K +Ad1t/73cKYL32zuNuwVpOSDjpK33HbPuiY5fnC05wN1svrJGFM/v+rh5eyIH +vondLn4pRiLHqWTzaY5c6PYIvGUjTiJmlz7V67tzIa83QfLILhJ1b9kewHYs +F17LovG1Enj/Nl1XTr2cC+5+3a9GMP/USNO38ckFswlCP303iX6MdOTLxeeC +yhG2VV6SJApLqHDaVJkLO19sQ4ZSJLpjdPUe60QusJH/OYvtIdGXUylNrCx5 +8Enz+J5fmNuynC9sFs6DumiLybq9JCpVeC9+UDkP3v+6HR4uTaJeY2kxW7M8 +eHryucF1GXweJKYN0u/lwY3kxNUgS6KJ2+kJ7FF5cJK1tHTDPhINlTkI3ijO +g/3ne1zGMTPvWpn+aTAPeLLJvVn/kWiDp85Zh6U8+LV25bT3fhIpHhfm4tyW +D/3WApFnDpBod55Ze65CPhSh/YYScvj5b8dCb5nkQ9QWHY5FzD0hHsaqbvng +6XCpvFGeRO2VG7iEw/PBov7O7aiDJPrNc61gQ2E+qO8MkrE/hPf/tku6XAP5 +IOae9EnlMIn+bWqs3rGYD6u7SqM2KpAoY9ZFQIO/AGb39J6ewnzgsLyOq0IB +NPlQnLlHSMR3tE+nyKQAUkdWVvoqkkhipRQfl3sBPD8o6HZOiUSXtywlO0cU +gOPzA/ukgESsjZuW5ooK4PSMzpdlzOe2Ka+8NVQA8mqWb1qUSUSma1Zw/C0A +vgi3MzEqJLIcp6SyBAth8VvQOkdVfJ7SmBWvQyEMn0iuUlcjEX+jMiF3sRBK +35W586jj/RpkL891vxDe/uv97zPmeM5Tm5diCuGhMWMmX4NEMQuJnj/LC8E6 +nf3tE00SSSfKOrJOFoLWGqGzF47i91V6Py3EVgS7L8ltkNYi0SXH9k4dsSLg +LDpRw3QMjz/DQt7vaBGQm6zutWOe5eZZ1XulCFqvux+I0yaR17l4ZTm/Isis +Dp5zOo7r4Z+a/rj3RfBC6H3sUR0ScWzc37qzqQhcXMvP8Z0gUZRd1NZMsgjO +tvVxzWI+5VOQabChGBR2z9cW6ZIoz+PwC5Z9xSDgtcrzmR6JDu9pySs/VQz/ +BoTkL+qTSHjdri3BTsUwtl+elD2Jz7vu1w+OYcVQ8VQ3ntWARDdrhuwt84sh +ftrqfBfml3+DLlgNFIMv3N2YcIpEL8SKbZ2Xi8HmZUi9qyGJGgTZXoYJlYDO +/Pv72qfx/Dty99WqlMBe7YqD24xIZHDhicQayxLYENtPEZi1yzd5mfiUwMLi +/Dt0hkRl+w1GihJLoNNwtelzYzw/X6fk9zaUQE7K9s2XzuLxzNr7pBIl8HLl +wcb950jUmhVUqbwBwR0zPa+VJvh9Qr7Mju1DcCHP+nAvZv673D+CTiMArnvz +Sefx/l+bNHjKFYGwzYtEtwskOhR49JVIOALWig9mJ0xJZPfXR5StBMEUfyWP +kBmuZ0t/73wfRVBza6CJgVmYfFv3h7kUkpoWHpZfJFH5iV+ZDzaWwhOxNUdC +zHG/vHnsNrdoKVz3EP5qZUEiY18znmS5UtDrPZgsf4lE3Fysvse0SmGfrL75 +aksSbZ9arGecLYVNfpe3DGDe8x/XYPS1Uvgxfq/lgxXeL56r84zulkKvQqjP +PWu8PxKSTTYHlEJBSIqi/mUSpfXnVwy8KYUIovKb8BUSvY1ZnEvKKIV7moPv +v2J+1v9fp0dlKVyM/mpRdRX3m3xR5wvdpaD6a83WMBu8n+uDW1Q/l4LIyR1t +V66RKIWAIek/pcCefMj3sC2J7p2bDRfhLIMvLCeB8zqJKqKMVgkLlkGDyZUf +Q5idolSERGXKICXLIyXVjkT9wdeGZFTLIGBtmOX9GyS6vz4Y1A3LwMH6I/8p +exKp/XJSNbMug1Ooql3EAffrJ23j913L4MCWocc/MBMmFgIf/MqA1+Gbcu1N +3A9OTZJDEWXwu47j1ytHEtlkchvyppbB4I6dqddukchnQ+Ix47IyKHE7bK3o +hPPCGdPGNx1l8KbzpMB6ZxKZN5K9jKkyeLDnaucoZhF2blutX2Vg6eP5JMMF +z9dPjwcJa8pBcyRM9aEr3j8T1JZ1AuWw62Dq79O3ScR2eYuUu3Q5rHlenSZ+ +B+eFW6l58yrlMPdl6PJvzNQpm0I7w3JoVv0u2OCGOW5Z5qt1OaSFc3ZHuJPI +9bwAj8ftcgj+tvOp3V0Sra12v8ztXw5OJxTUle+R6LZXs3BKVDmceWewyOWB ++7F/pYpeejkc+nc1YwJzmMyGyl8V5cBvfP9qtieJrm60iE/qLofltJfbH90n +kZGMzbj5l3IYWZ3WY/yARNm9PS7CS+VQZlHzbLcXifZmnbX4vK4CYguHNZYw +55dGRuUIV4D3ph9LTQ9J9MbgpIT//gq4cn1tVrQ3iR5sEv535WgFaFeLXHPw +IZEee/62E+cqQEroyA61RyQ68aDy3sHrFbDO9VTfJl9cv/8jhSU9K4DRahM4 +jTleYJRFNLgC2iUeHM17jOtNhJqg2LsKyHrw6u9jPxLdNSuz35tfAaEDadkm +T/B47Jh/KjZWgOv+Wts9/rjfi71PMRypgHNPR3b+w7x42Or5zYUKUJz+0d/6 +FNfXnK6oMLZKEIJ1QW+fkYjzd0x7xZZKYH4peuxWAM5n+XYSPyUrYYJxhEkz +kESxbKPRslAJVccMc3mfk+iC8qt9jgaVkPD2mt0XzKM7FYcLrSrh8eID0cIg +Ej0tvR7NcbsSrhm+HvQPJpHk3cabFv6VcCIlPdg0hESfef4YlkZXgvTKOm2Z +F//LY6GqopmVwG02yswSis+/j8rB59WV8C33Z14HZtZHr/at6K+E7g3r7ePD +SOSbf2TvPaIS8q6Kibu8JJH7k1rxf0xV8LpccVjrFYnelfbxP9pUBe78p19s +fU0iTUdOdp5dVWB6y1ZnDvOGTUtT7xWqQLnJi7UknET7bNSytfSqYIdYeEFA +BM73we4OcxZVsMIjw8E8EvcHVhXeMOcq+NRTt+u/KBJlekvEavlVQZ3M2MiK +aDxfh5u5mKOq4P3jX6HdmC+8rjYrTa+Cp+PrdRPfkKj+ZtJjn6oquKEgznYn +Bu+fz5z+p/qq4GSIUtHxtzjPu/hcEieq4D/itKNALIk+MpI5WZirYbPm9d0U +5iH3dQ8nNlXDz6iHY6VxJPr0Wr26YVc19P0MfxkUTyKd7aMtBUeqoUg/U8/y +Hc7Hhxwj0/SrISqpfqVcAol2XAmQTLGsBk+W8WL2RLw+PlXOaa7VYGHy+1Yf +ZoPKeLcC/2pQz9og9T4J14sEJNfwphrE1u6acE/G+WPd27jxrGpYZQ2vdd/j +fCs1UMZUVw0zJUYnt3/AefLJLz/RoWpo5LVbtYC5m/PRkv58NXy090YVKTiv +febe6MVWA8/rIpxffCRRcIp4QyFfDTjuyNpzORW/j7K+8OKeGjjt1jB5MI1E +cUM83CqqNSDfOR6+Jh3fv3jZXj81qgG+PX8MBjHH9cRmj9rUwKI315qPGbgf +mbqZH/aogaHhXWUembi/q258/Tq4BpC8suvJLJzvHBZMmBNrICbwjPTObBIF +SUfH2hXVgNcXu+lvmLn4oq+Pt9aAtapPZHUOrudCLjnnp2pAKzzS8GUuzn/+ +7+8M/a6B3d+yOGzycN7+i3Is19UC54nGcoV8vP7X5S8v7KgFMn7i9toCPH6x +EF8f+Vpo/ftHZgTz7eYzvMI6tZBxhvtzWiGeXzPWDeUXayEkTSL6QRGJXu/h +vXHVqRacV6sYGRaT6PEotyyvXy0YWxivFSvB6+3qZNAQVQsKhTcqf2JuOFHc +4p1ZCwKbHrnVIby/116J16ythX+2UfvCS/H9pWihZe1QLYxVZX+xLSORfG7f +8YH5WqgQbHqjVI7zaNrLzakr6yDeZfLMhgoSGWYmSzzmr4NHrYvrxjF/FvJ7 +eFWmDq5KbKzOrCRR08e0HfoadXD8we673lW4Xt4IY1I8Vwd7BlT2n6km0UJN +zlaZG3WwYf/Z2V01JJJy9rku8bAOFvzt3/7BnPPs7vddr+qgc+rR2cZa/H53 +uVP3fqyDHKXoDVF1JFJgKww9XFEHL8Nyam7Uk8hWeS5Rp7cO7jCa7qk04Prw +Zuu4JVEH549NHdjYSKIsIl/Ji6UelN4uzU1iPqalWJjAWw/bFzfG5TSRCMR5 +Ddul6oHFUNLEtxnf14zz2VhV62Hqgyr3uRb8e6wvGg+dqYcatnN1kq14Pd5u +jXe2rYckUwfPZcwvOAue5N+vhye5vvItbTifXBt3Zwmrh+sb3pBv2kkUosbi +dPJDPehdzY2/2UEib7tH9u/K6kG2vPm8eieJXARHrjH31MNG/umNPF0kKhx0 +umQxVw/fHZfrP2He7Jd7qo65AXoaNz3I78Z5wHT9ITneBigQlTr0pAf3+5lP +65OkGiDinhrjfC8+H5vedwqrNsC9nnMJe/twfeAv93pzpgEuytw0Zeon0ZHQ +F3yi1xtA9fHjze2YBW8+DUp90AAi428aYwfw/ejbhll42QArFfK8nAZJ5Ffo +I9Sd0gCfg1sOHx0i0a8CQ6mbFQ1QPzc9v2WYRM9hdO2mvgb4oPE3cQazI/G0 +tJBsgGdRmy8WjZDIobRM+eqKRnD4KcX7bJREvJwjftu2NsIpffVmszHcP920 +wrukG+FAkom37DiJTLkv2oVoNAIvi+MR1gmcn3Y/Yjpr0gi/z/l97cS821VQ +d6dDIwxkxiS/m8T5eYXPqa8+jVDMmW/uOoXz2j6BtXURjRBt1bpFexo/r0jV +JS6jEe6XfGrh/4T7XZbhM+/aRrjE+8+HwBz6ME3XdrgRNO15lNBnfH6pjCzj +b42wq27P98AvuN6uyyvSXtMEa3ZofLCYwfnJkP+S6vYmmLtz/tL+WZyf/OTj +QK4Jmjsct66cI1FSp7W7qk4TpEk9aevB3PCe5bO2RRMEeb/1TSJIVDV+acLY +tQluDeeDG4n7Xdy4je2zJjCSb/uhQ5Go5Gb3He+4JjgY+DlFkIHvAxKh6+MK +moD/yz9LBmb7RRfR2tYmWFbh3VY+j+v1rba8+ekmGHm9tyN4gUQHXX5WbV9u +grKvGn5WX0mUqqx07PTGZojVuaAi/w3fNy1/KwVININ3/K1fq76T6HyrW2wz +NMPlv09S+zEfr+V13GjUDMfOxFp/+EGirTOCyRdsm0EyrUDg3k8SpUuOq6c8 +aIa1q9s79X7h8zeQDiyvmoFh/uWJ8G98n3wzFHo+tRnaC5jUvmLWvp2lWVTV +DFkbt/yp/IPre8nD48KDzRBqK50eukiidcIRMU8WmsG1SvPKlSW8PklHNRZX +tcA5QVOhw8v4POd37LMXaoEjLk7dHH/xenH4W88caAHBVv+nQ5iFMgsHr+q0 +AJNEnHrqP7x/+VOekxYtMH6/cNGTiULma5Lvu95ugar+9gwDZgqJRiwmsge2 +QMJ/M1dFWChkdnSGNeJdC+3DUUhsoPjZgeIW2oej0E2PYo3Ojhbah6OQSLa0 +qOtMC+3DUWiVZEpIIFMr7cNRyEtbJeETRyvtw1Hoyz1nLRXeVtqHo1BigZ1z +5I5W2oejUG7EcemlPa20D0ehV/Z7HS4caqV9OApd2nvwcJl6K+3DUaj8zmNf +cf1W2oej0O93qucCTVppH45CZJpXyqJ1K+3D4fGo2XpevdlK+3AUasnib+u7 +20r7cBQqW50eqfO4lfbhKOS9oD1ZFtJK+3AUOtPIHnvoTSvtw1FIKoatN/N9 +K+3D4fcXt7gvk9tK+3AUulpxODK1vJX24Sh09+EHCdnmVtqHo9AP8U6R7L5W +2oejUAJvs7/CVCvtw1FI3ibHrJLRSvtweL4nEsP1llppH45CDQulSkPsbbQP +R+F8ynf0+sY22oejkMCvpox/gm20D0ehxb2/PEJ2t9E+HIWYPDI+SMq10T4c +hQTzeeSrVNpoH45CqiZKAuYn2mgfjkJGgaoX/hm30T4chbRkjnyPtmyjfTgK +rStVm1C1b6N9OAolOTiIfnZro304CkmLDOUHPGqjfTgK1V+KijoU3Eb7cHg9 +rza2TUW10T4chTy23TsZktxG+3AUClhfz6+R00b7cBRK9qre+6usjfbhKFRo +/dg3pamN9uEoNHpql7hVXxvtw1HIIThvldBUG+3DUWg1v57kAKON9uHwej9l +evpqqY324fB4FMYkz61qp304Cm0VZlspsKmd9uEo5DrjwTcu1E77cBTSpSwt +kyTbaR+OQjsO1EzelG+nfTgKjdnlv1RSa6d9OPx+Syfuceq10z4chabnQoMH +z7XTPhyF9v6J7/5o3U77cBS68dRfw+tmO+3D4ecVmQyfvddO+3AUUtERid7n +1077cBTq/fDDe21oO+3DUej+8njgTEw77cNR6OOa38V1Ke20D0eh67paHO/z +22kfDv8eY8T5WVU77cNRaH1181/Htnbah6OQocHOGJOhdtqHo9CfqlkTjS/t +tA9HIed/B/bIfm+nfTgK/fNbv1GIuYP24Sj07K03x/q1HbQPR6GZPfGbmPk6 +aB+OQh/K70h9F+mgfTgKqcdxn5yV6aB9OPy8ClfPiSMdtA+H16ssL29Iq4P2 +4Sj09PPQzz7DDtqHw+t74KtC78UO2ofD76vP6tVr20H7cBT69HxLQ79rB+3D +4fVcPsI98rCD9uEoJKd5x2gqsIP24SiU39T3gojooH04CrHGWjb/TOygfTgK +XfDa+Zc1u4P24Sj0X7/gTu6yDtqHoxBfmanCjqYO2oejUPu/GfX9fR20D4fP +G2eDotZUB+3DUah/zUoR0/kO2oejUGfT2+9Oyx20D0chtu6EtIDVnbQPh+uN +Af+p95s7aR+OQjHbWQdqhTtpHw6Pp9FS8/OeTtqHw/0hQCN49eFO2oejULZB +MpLS7KR9OArtTwmrO2nQSftwFDo7yZXqatpJ+3C43sxts4ux6aR9OLw+1kUr +Gp07aR+OQhzvvtr/etBJ+3B4/yZWpYkFdNI+HJ5PgQPlRuGdtA9HIXtz9Vjf +hE7ah6OQr8f3k0WZnbQPh99/n3bjPOqkfTjcH8bVNkg0dtI+HH7/hAl+i95O +2oejULjWbiJispP24Sikc3jLgz5GJ+3DUajrTUov73In7cPh8643Q55Z3UX7 +cPh8VjeVv9rcRftwFPp88IzBkHAX7cNRqOKhd/iOvV20D0ehDYfORFw93EX7 +cBS6ElxvkKHZRftwFLL1HCpaMuiifTgK1YY/7dUy66J9OAopy/TGhl7ron04 +CuXIF2ybdumifThcT01kVOQfdtE+HIWGF+TW+wV20T4chSRW1j8cjuiifTgK +pR+fit6f1EX7cBQylXho6p/dRftwFLqYFVMyVdZF+3AUOpJ+sES5uYv24SjU +GqxmEtnfRftwOC94FAUvTnfRPhyFXmhGWpl87aJ9OAo9vzbSXPSvi/bhcD8W +cm8S4uymfTgK1ajbmj3c0k37cHj+bBIfzIh00z4c3k/tuw4YyHbTPhzujzZT +twoVu2kfjkIDHV0gpt1N+3C43p2fDw4y6qZ9OFx/VkjfYLrUTftwFNK87d1y +40Y37cPh/cA3mzHq1k37cBQK+3qa95RvN+3D4fmtKvxTHdJN+3AUOrDEZXIk +ppv24fB85ZyQy0zppn04fF7LLvlJFnTTPhyFMl5rnY6v7qZ9OFyf7Bkh2zu6 +aR8O9x8hvaORI920D4fzxQFjG/65btqHo1Ap4+/i61/dtA+H+wHI/eFn66F9 +OJw3ShgWUVw9tA9HoTfF2/fvEOyhfTgK2R3Ou5awu4f24fD+nUhk3yvfQ/tw +FNrGN8mWo9ZD+3AUuv3lnCXo99A+HIWqN/3dXn++h/bh8PnnKDlkdLWH9uHw +eSP8Pkw49dA+HO4X/oYuNx/00D4chb5dXfOSJaCH9uFwvsgL5wwJ76F9OAq9 +P/uzXSyxh/bhKPRzjONLQVYP7cNRaM2LGh39sh7ah8Prc3nL0nRTD+3D4X70 +b/bbvf4e2oej0Lt06QNbPvXQPhyFTHxaCzK+9tA+HK6PBwq9dJl6aR+OQufE +Bp/OcvbSPhzuB45CPY/5emkfjkJOn+0vSIj10j4chc7/KxSs39dL+3C4307N +brGFXtqHw+fXZfbYep1e2oejUIpGfGqmcS/tw+H6/oxV66xVL+3D4f9XZ2xg +utlL+3AUUlx1elXivV7ah6PQsb38Uief9NI+HO4fhZJ3FsN6aR+OQvxWNxjv +4nppHw739+Bm/1PpvbQPRyFtM9kTzCW9tA9HobYgD+m0+l7ah6PQbNIb2Ys9 +vbQPR6GFNreTXJO9tA+H3/fLT/8KRi/tw+F69mBxzHm5l/bhKNQ3aKsruaaP +9uHw/JKyraM8fbQPRyFxExmrsJ19tA9HoVC3Exx6Mn20D0chF2O7UnbFPtqH +w/vtg8uDsmN9tA9HIYupE3ruRn20D4fHK9wlcvBSH+3DUShLZp7p+40+2oej +0PdQ77EM9z7ah6NQpq9TpcPjPtqHw/ViLjZBNrSP9uEo5H7+q+/C2z7ah8Pj +3aFpnZXaR/twFDJQvKfoUtRH+3D4eSZ3ORXq+mgfDu+XEZG2f119tA9HoYfD +px9XjffRPhyFNgvO7/On+mgfDvdv3p6GU0t9tA9HoXs/P53ctrqf9uEoJBPO +WjG1uZ/24XD+CV4tkLajn/bhcB4R6bvgJt1P+3AU2qh20uvokX7ah8N57qX+ +k03H+mkfjkJPPLMdx0/30z4czpurTA+nW/TTPhyuHwviQ543+mkfDp+/dMbZ +k+79tA9HIcfrLz7ueNxP+3AU+vp3offbi37ah8P96etUd83bftqHo9A+P+13 +4an9tA+H+1XOkrp9UT/tw+F+L9ibplHXT/tw+P7Dn/eJv7uf9uEodKvA5dPC +eD/tw1FIuGA+pZ7qp304Cm15xnQodqmf9uHwep2/5+O+eoD24fDvM2mEGPEM +0D4c3m9sey/K7hygfTgKDaZwf+aUGaB9OHwfIBokvhwZoH04XM9t9+2sPjZA ++3C4PvgItscaDdA+HO5P2lf2P7g0QPtwuD67fzpqbj9A+3D4vhTsuEbl7gDt +w1FoU/7EbWG/AdqHo9BE47oQlrAB2oejkL55g9Fk7ADtw1HoF57D6rQB2ofD ++6n/ZFNS8QDtw+H9fqXG/Wn9AO3D4ftlvWijQ88A7cPh9eRRzTeaHKB9ONxv +t8yrHJkfoH04fN/WZ7fc8XeA9uFwfpw3FljNMUj7cBSqmsy/xuAdpH04CkH1 +sk6vyCDtw1HowaefJaWyg7QPRyFjXTeUpDRI+3AU2pl9Tif4+CDtw+H7vt+V +S3eNB2kfDs/vV2eWK1aDtA9HoeXqM+Knbg7SPhy+jwYPtih5DNI+HB7/q+7f +u/0HaR8O5782nljeV4O0D4fz5fT1uhXvBmkfDq/v4Q82CxmDtA+H32ci5uEo +GqR9OArNJwtubmkcpH04fD/gXuAr6RukfTicF/RmA1OmB2kfDvcznT6XyK+D +tA9HIf8kv8anTEO0D4fXu6jJ/97aIdqHw/s3wD7nxtYh2ofD9XhC+ai5+BDt +w+H13supeGr/EO3D4fwUHv1CQ2WI9uHwenDU6h7UHaJ9OHxf4TGwljQZon04 +CnGuWtMveGWI9uFwPR8vTOB2GqJ9OFwfzf5rWvlgiPbhcH+fVdBafDZE+3B4 +vpLf8DDCh2gfjkK/XaXkphKHaB8O54+ppLj+7CHah6PQY7kJs9byIdqHw/3/ +6lur6pYh2ofD/UomPbNocIj24XD+/NZ7NPPLEO3D4f7XPS6U/GOI9uEolPo+ +7FAM6zDtw1HImqk06OWGYdqHw/WJV0QiUGCY9uEoVDn75M+j3cO0D0chSym0 +wlN+mPbhKFSv7K3hqj5M+3D4+5rxBfYnh2kfjkJL3NPmV02HaR+OQosy6w5Z +XBumfTgKqU1MKJq4DtM+HN7PO/fYGXoP0z4cnt+Y1JoTQcO0D0chq2oZraPR +w7QPRyHmNCdC+cMw7cNRqGm/Wvbh/GHah6PQ0fELkfurhyF/hncDJxMDHVt+ +HLu3Yxh2h8XO3sIs8OBJ1a7RYQhXk64ZwvxURIx5JzEMnIyCt5rMDMTQEzAU ++DMMdyM076ViVhTfX8TLPgKkVvvZLSwMxOu9R5570wiYfb9w4D7mscWGck7h +EWiN+bJhBnN9V9t59r0joKLrNGfAykAjm5hZWRRGIOMPU20hZn5H/syloyOw +M8E/VmQFA7lYjNv8NByBkFNbPJ5i/s3Ju2vBfATYmOLO/cBcZXjn85zdCDh/ +kJYzY2Mgqc7GpE9uI/DJuJCrFnPpUPPVcd8RMGY7SsisZCBTd2WxoRcjUJ/e +XvsKs4bj2GDP2xFQMDWNY2FnoB1KDk/aU0fgw5oZj2uYhblSpZuKRkAg18mk +EzNz39mamroReHaJWV5xFQMJKcjol3ePwL/1T7nfYfZ/wagpmhgB+6It5LrV +DLTln7FULmMExq7E1blgtjkq7pa+PAIGm2XiRzF7u3H2nWYbhYqyQs9jaxio +MMHpUzvHKOy3O3o+A/PL+JRPetyjEL+1Q56fg4E+Nwf1Nm4ZBZ4a040PMa87 +x5p/TGgUHjnOkHOY2c4NPaoWHYVfQs71pzkZ6EbJXzU1qVG42sj8rgRz+CmN +ObRvFPpdn94XX8tAAeXPPI4cGoXjonwXAjGvf563lAejUNQWd/A35nfCbywO +aI7CnnsymyzWMVB65o60dJ1RiNpdRNVjtrwkMLnn1Chs6Dna8N96BuqSuL6c +dHYU7nt1vIvAzDZE/hG9OAoL0mYP2DYw0Bdpj4EY61G4NDhzwQ6zfcafSIHr +o9Dp63yoB/PzNkWVV46joHGAZbMyFwPp9ohUbrozCjljTxmJmCtmnogGeo6C ++DO+Ri5uBpLYoGfJ8WgUXh6OT7iDeWKXtofP01FY/UnGawKz71djJ+aQUbgT +XGSqs5GBPnGc0XB/PQqzoHU4G/OmWSHyx5tROD/XsVlwEwM5fHpm55AwCk0v +zeZ9MOfu96iaTRkFJY3ZRgrz0kTDvFXWKKTOOycab2agsgm9hZGCUdgexfKw +DPPvf1NVZ8tG4bn2M7PdPAzEPX7lWkfNKLD85FMIxvx3Q+aYTvMoOMbG8yxh +VpqPEq/uHIUpPdkFS148P5Urj8DAKBgtFTU1Yc57XymQNzYKNYlaSXJb8HrH +ZjTIfB6Fg6c7H0ZjZvFJVk8iRyGJ+eLFVXwMdGWtzyPh76Ow9eOsggPm3hbB +4FeLo/DknAtvP2akomvNxTIGSytZv6puZSDzbOKf76oxuJ75rPk95slz3aZM +68dg2Gxr8iZ+BrqoPHbfZfMY6HG+876Lefjkp8sk/xiU5smaT2O+drZsvdWO +MZC1Kj6it42BBP8ddR3YNQZvuY5tycMsXHfqzUnpMdhY0vl1uwADFfEX+9Qc +GIOHNhdbHmO+eOj8bsUjY/CdZy55AXOK6mq/DNUxsK5w8TERZKD7chFx4sfG +oOcGq0Ul5r/zc64RemOgtS1AcY8QA72Q6WDjMhqDgtqtfKGYufzFtL3Pj4Gk +07tvfzHHuJeo/7YYgwjhfa2XtzPQqPHNb7ZXx2Btc/H7Vszjp/mMR2+Mwb07 +xx4dEmYghUd+dqecx4AS67J4i3ntSIRctfsYXOy4qMSxA/Ne8dSDXmPQ5jHH +dwtzyE6mnuTHY6Aq5fp9EHPsib8ftgWOQWYva5vGTgaa3/JL+lnoGIh4B3z4 +iHmhtcL0X8QYvJDl9+UVYaDpaPmD9rFjsHL43SVPzKPPJApHk8bAxW8ffMHc +7n1jWj9tDD7LlWw1EGWg0OMjeaU5Y3B24tiPAsy/vXVlZYrHoD6gq22nGANV +5wfpRleMgcIR8xR/zO3a99evqx+DD5/nfL9jZnWnbrq3joHAC1dLU3FcD29k +uMx0j0GAygrlGszrxaK3GQ+NARMZwC+zi4GcPf0uVk2MgcNr/p8vMcsqHVPd +NzMG45oJ7cwSDCT2N7U0ijEGp77u+2iD+ZlScP+an2NQGV3yuAMz04WaZ87L +Y3BAR9vqyG4Guhe6fXCMdRze/epSjsfsxu5YprNmHHjjzbetk2Sg2fdBirkb +xsH3JPHTGfMJs1N6wrzj8HvZtWMEc/qg73c/gXGwSV6RqiWF+0nJSulvO8dh +wCjQLx3zd483f87vHgcd1m3WW/cwkOYdiTNVMuNQnJqg4oU56NMttT3y47D3 +/H8Cc5hvj50uCVEch+hV6JfhXgZyMo+uXlQbhw3Z2p3FmMeVRUwstMfhvnl3 +qpg0A605lHunVn8cFtZaPAnALDuxW2TvmXGwLCCsf2GOzjtpFHxhHLqsb6ua +yzBQGvff9b8ujYPmRjbBeszdu1n0z9uMQy4K/L1PFo9nq8zmUvtx2GW7rSsc +87rBs6Y7Xcbh1ZbEtBX7cL3ROrnb5+44rKn6z/865g7+zy6fvcbBzQFd7sas +QlEa2n7jMCdwXA3+YyBDpcPB7wPH4UJ9t2Ai5seWL005w8ah2dniz4b9uD5H +DryzjRwH2El23cactrLLpjF2HNJabqePYxaLOJ0kmTwOwu5sT48fYKABhb3m +fmnjELTr+ZUszIJx0s8/54wDa9c2dQE5nAccdx/ULB6HW/cThXww80hShrEV +4zC1Z/8iiZl/t+70v7pxMOpH3WfkGehyBu+sSes41PgczyjFrD7Nb5HbPQ6H +/ut5KnGQgYja3drcQ+OQPGJxNQjzS6VNb20nxoHfn1RfxOzDmXil+ss4+B+8 +s93yEANx9mVHCDHGYXmSbakRc5TkWkXXH+Ng9/x5z4HD+DzZ3tVqXRqHEUWB +zCjMadNdxeKsE6A/k/iMXYGBZCqnwu+tnoCy0P029pi7udzHOtdPwD61Uo0+ +zM7Fl5/u5pmAWOq4sOoRBjoV6hLlsW0CNkX0LCVj3nnizsbOHRPgrXWpd6Mi +A4neUpoVl5iAH9/ITHfMuzyCRNykJ+ByzJ2AKcxhFeolTQcmoPfEymu6Sjgv +uO7MFToyAcf+PNfMxdyZs7TWQXUCCt4J7NgO+Lzejior05oAyVNJy76YPcK7 +W7n0JiDi3/6+ecy+gzcPm5+egLUfSrPOKeN+Oai8nGoyAR7GOoEVmL9tW7fl +r/kEMFb0XpNSYaB4lsTHx69MgHn6paMvMEd2DOi/tJuA9gvUjr+Y5fOcbSdv +TYDaGre/1qoM9KdAY0DabQKyclb2t2BOqN0ccef+BIheCso+qMZAammZKZWP +JiB0veDzGMwSO+fWrXs2AexFSbZr1Bloc/aTEqOQCXC9ckDLEbPMoGlh1OsJ ++LKpbOcgZqF52RXTbybgXJnOP3UNXL+l28KkEiag4Xpvfwrm+sxVTjdTJuDI +VsscHk0GyvSIe52bOQEp1dRzD8yfnOzZl/InQNDR7fpnzErnDxZA6QQECLEf +O3mUgcwGatIfVE8AU2OQSAHm+nszc5WNE+DgKsi0Uwv326xrNis7JmBcJHng +CWa+Fh6Jo30TYNh2IPcb5iLmXPFHIxNQdbcs6MIxXE8sea2qpyZAbvcJu2rM +2vMsoyvmJiChu/eYtDY+bz7qYaoLE7DFy1L0JeblyXePPX5NwGNpBhPzcVzf +0r5lF/6dgD8DboNXMVMOv7b+XDEJ13zZ89oxcwS758pyTMLg/uBgBR08Xovj +fte4JuHEmOCNOMwj3NJBcbyTUPI0WXvtCZxf8uebBgUmQfqwnJgzZlfdC0c2 +iUzCm+ky5hHMQ6kKg9q7J4Er+MTQUV0Gaoo0+uApMwkPoC8vDfObIpf32XKT +8HXWMoRPj4G8Qq70fjkyCZYvGTceYH78fEFWQG0SutTdj89iLmQdydE7Ngma +8+zihvq4Xgj9tLqvNwm5kcEsxZg/LbIqZZyeBAltoWHRk/h+ENqsOG4yCa9/ +JOc/w/yyWciSy2ISOGLlXvzE/PZqaSpcmQR3vXL7iwYMdGbDbaHrdpNALJ7Q +qcPMqc+d8erWJJgm9onvO8VAD14bWlfdmYQWQyvWcMxfNVYpMDwnQZl5fpjV +EOeNp9/3bn00Cekp7gW2mG0CJpXVnk7CjnOrQrswN+tH3rgWPAnBK0MclE4z +0MqCT/lBryZhRabQiQTMgS+fCOZHT4KT2ftdG4xwXorSjxiOn4RpDvkVtzHX +XPory/phEs7klY+MYe64dH5YLGMSai11C7XP4P7JtO/NsbxJOMTVH5qJOe+e +pvO1kklILra6uc2YgaQ5zM38KyeB32b+hDfmFTla5z7UT4I/z10JEvNqp0qr +htZJ+Fu+iu3MWbx/d4Z7fumehBs3QkYRZt/7wUkrhyZhlH970a5zDHTrwK2R +HROTcLL2fdhzzKH1rMJKXyah/Ja84x/Mc+mrrhtTk/CfcIXuJRN8P1M9Vu7w +fRLimnR3N2K2yn0i5Lc4CZvv9LMdOI/73X+hXjHMU+AjZj0WidkW7SVy2afg +Z/t80coLDFRylN+kae0UXPG4+/IG5odvhBvHNk5Bn+TqW72Yr3ixw3e+KdDu +DdFTMcX1MTAog337FBQ+3C6ZjPm5jq8on9gUSMl+WLnRDJ8vkY+hElJTEDkk +P+6GmbSpW3Fo3xSs86sonsRcdSba7ujBKfCQ03t14iI+32u+txsqTQFjvP9W +Dua6RwEy5upTYB5grS9kzkBni/7zsdWegg6FBUlfzE533rU760+B+ue77POY +Nd0+bPI0moLskNUTZy0Y6L3pmuO+56dATOVFSTnmY+8f3gqwmIIwYvtryUsM +9Lp5JODFlSlY9fqDUwhmFoHR8Nd2U3Bb8+DJZcwDbcfCom5NwcxChZS1JV6f +9WOeMXemwCRab1UL5kcjV8/Eek5B4/GBCXkrfL72JfLF+UyB4i9r9AbzxVGz +6lj/KfgYt/B6tTUDnU40PP82aAqETt5zvol5QUa7P/rlFAQurzYYwHxk1QqI +iJoC5uQXe9QvM1B+rMbjsLgpuGkkvDoFs+fF9tznyVMwwZIyufkK7gde1+v8 +0qbAMPVg6T3MLw/2Fz3ImYIqk8rwT//jkIHA20VTILdK30X/Kr7PBe9Xv1E+ +BYlZAwb5mP9sSey6VDsFfOaX9+6wYSDj+V9qZ5qnwG/t19VPMPu1fnl6rHMK +FvPvTX3FXGigmHG4fwpsrdeUnb/GQMeXslN3j07BEHdoRBXmoUM83nzTU6CL +hF332uL7w8XtsuxzU4CupZwKw2ycEprxdX4KZLYckma6jvO/psKqkZ9TEFNZ +ueYqZv3DrTJ1y1PA7aA/3Ya51YNXMoN1GrwEBssO2+Hf/9H+/dXqafhWdzky +FnPQ5coAz/XTYOX81ZXzBgMle6X8tNo8Dd07PAydMKtO6Ulp80/D0ZY1MsOY +Q9Zfl9ojPA15bqEcR+1xf7Cr/rFOfBokdu34lIo52VjAj5KahtedKeVbHBjI +keO/qeZ908Bx/1DUfcziqbUrUw5Og/ueqtszmFlORxOPlaaB7NM/feomvt/8 +dHlppT4NZj6DMkWYdd02rlTWnobWfVc4RR1xfknZd4BPfxpURr5+eop5SSNU +ZP70NGQ88aj4gdl96m97jck07DzIEW12Cz+fdw9Emk9DyGTonVrMJ/TGLjtc +nga25zuMZJ1wPSIGjqv/Hw33HZfj+8UB3B5ZIWSTjLKSQkmXQmY2yd57ZEXZ +oUhI9giVVKRBkqKTSlPapb2fed889/1EMvI7v9dzvr//Pi9f9dzXfV3nOsfv +/Xr2SJjjlJBx9zA3NyuT9zgoYVK5WaeWjri/tvhPlRyVsBW3Psp2YS73rJ// ++qSEpVovSszD3OqDe/ez5yTM/FvpoylH8L+XDr+8wF3Cnt/ffuwp5kdVl6L7 +eEpYv1n1y7scxf7+kOO92psSdrn+lPFRzFcXBYx8cV/Cmh536FyF+bBO+e5D +PhK2z/a2fLYT7p9pxavNAySsslHv40vM4oJVv5qCJWzR05DHfZ2xX7s5aHr8 +SwlLWGx+/Bzmt6vkk85FSZjJv492PGatvEOF02MlzP/5ovHLj2G97esyoFWi +hPVcUdYZMHeYnNUxPlXC3FrtUAw/jvPJ0AE+JzMl7GdY/UdPzIOjDYvN8iVs +x5rTPo2YeyRERKqLJay4fccTG0+owPyHs2lwpYTNjby9Ih3z9/rJyzZJJOzd +xiEmJidxv86L1OnNSdjoLqFdvDGPOxx4IEOQsIcx5srWp7AfzMvac7pBwrps +T0rai/nDW76V8V8JO62z2LcQs+fG9xOqW0iZEFd2YuppFYxp+Nv8Wjsp27hn +h30Q5g0ee7ZYdpay3N7fTbqdUcHoIWnrFN2lbHrSae1jmKWB2d9u9Jay1wc6 +cjWY5zRY6VgOlLJhA+8kz3PBepv3Jb1OX8rupA/xe+3y/38PWdLDw1DK2h8N +PTngLN6/aodvRkZS5qw/eaUb5q4b+BV5plKmzEoyVWEuinBd6DhZylafWNzV +/hzOq0t/5fW0krIMg3LuA2b9G63rXttImWXBjhTD83jeXm45tWSelIW6fPe7 +jtm+rND32yIpGzT2zKk/mGvH9J7vbidl10o6rtriiu87RHJqyBopa3HhzoTP +mEMW/50Qs1HKDprod5vohvPsn94HFm2XstrKUP4RZpcTjWMle6Rs2eXJqe0u +4DzjtWKf00EpSzJLfrIf83GZekwHJymbKFl8uhhzB90Le+6flLJAr/JV0y5i +Dso2MDwnZb3ZzonBmDt3vbzxzUUpc1d+79bDXQXxrxx7TrsqZb9vn/l6AnPn +mjnTM25I2Z7pndIkmIdVfFQsuydl5ao7/gsu4f4v8GtX9kjKFnjrn4nC7CF5 +/GCjv5TFzQ5bPdgD938fJ3/JMykb92PyJHfM6t2ywTvCpMzXN7m7GvPHpGfa +itdS1n3Bkm+rLqug7t8xhx0xUnbud3la4uX//75eU6VxUvY9YOfT0VdUsKaP ++clNSVK2demPM7cwn1z+0KA8XcoKm7ms+Yc5fHgzK7tsKZv1opPZ9qvY/3Tt +m/C5QMre2t/Vycbs4vosZHqplBm2Gaoy81TBPPmOFm+rpOz+y7B0X8yhl3Te +j5RKWcd1FgEdrmH/Frql7AEnZSc6pLgcwmx7XndjR1HKvr5ZsrYMc5exstnO +DVK2bnOFmY0Xzgfvr16X/JGyLO1dPUIxzzBOm7KohYxZv/+h6nUd76+Ti2dG +t5WxVztcPp3G3P9sTcjgTjKm37NzoBxzWrdJB926ydjN+LtnF9/Afuab1nVl +Lxlrs2/ouhjMdp96dprfX8aO9A0317+JzzOvZ2WInozJki16XsZs3SpRq/MI +GbM/lCJ8x+x1tPjSrtEyljZoacbaW3heB+psTDaWsckZFYHJmJ3WjfQYPEnG +gp12nTO6jfOSZ3E75yky1n9Yw7q7mP/+KSnIspaxKzkuk1vcwfm2XF4/dJaM +/TvZudcuzEPWx25wspUxh5H3xFzMlx9375e+WMaqCod+triL91WIn17fFTK2 ++Fx4kD/mQe4jDu1YI2MJRlPOd76H/fqw1V0iN8qYSVnK+iOY3237KTbbLmP+ +F5daVGKer/+m/5w9MtZrQmWv2fdVEKi/+Mq1AzJ2oXqXOhyz85Cz0wqPyFjj +lYbPfR5gf5bR0qLvCRnbOfnss7OYC+MvO65xkbESaWdXDnPQjWLB203G5t24 +t2GZN84XyX7BpR4y9n7qsCmxmA1yff17e8nYGD5cd/hDrAeDr5UsvS1jj+5O +qb+KeVXnEbZXHsiYtk1q5k/MfxSDGj/6yNgZcenzDY9U0O6XSfnvpzImPqx0 +TcOctb//77HBMrZp7u6N4x+roOHY9fkbw2Usr6FhygPM100XFnpFytiMJ2d7 +t/bB/RLa8caHGBmLXNjl+x7MS/kzZ7/Gydjwv/eyCjCnSLc/6Z0kY3eChgUz +XxW4ujt/t06XsfbLX7oFYt71et/hnVky5tzCclNXP+xHLZoP8cyXMWVIqqUz +5gdS6Z9XxTK2ZtWyPjWYDx4sbV5QIWOf21Z9n/sE+wfP20Y/amWMRezOjsCc +WpvtpqOQsbD1P4P7+6vgUvvpbcZ9k7HBnc5dcMUcfPnps7n1Mub1tsvmb//P +9eEHNzfKWMut99mKp7g+kQPWH2uSsUPdhvf9gLmftf9ez5ZyVhf78odBgAoi +f/719msnZ8t3WeZ4YX5nXMVFdJKz5F5pL35jPj2l7crEbnI2KXHZxc2BKviy +akRtdi85C3Ko2pyB2Tjpz8WyfnLWp/+eqROC8H58ZjFHOljOLqX+7PsI8+7R +j4d8GyZnfw6fa2j7DM/z9gqd7yPlbI+edq4D5rG7XvZrNJKz8s/3Q4ow77PI +NvttKmcLjg13t36O+/OLeudvczmLG/5qy3PMhsY5IY1MzozzLK10gnGeNdZv +9WO6nPmdTut3AnNCtM8O1Ww50xm9/GcdZqfHLcpl8+XsfFFV7vwXuN/Cm9ZV +LJGzH+f3hL7B3O2V7dfcFXK2zbjRfVAI7vf1AW5Ja+TsS/m5rRcx2+9OMHyz +Uc5mX9K2FjE7nbEv8N8mZ9ETH/RfFaqCrVaDL3rtlrORtcMbEzDvmpFjdWK/ +nD3wfJU3Kgz77QH6/7Y4ylmnKSzsJubDyz7DvGNydlKedqkJc8POCy7jTsvZ +t5vLt20Lx/pT2N5G57ycrbeuts7CXNhRq/33i3KW/XXPALOXKlh8cGpa7hU5 +s77f2OiDOX/35gth1+Xs1czz+Vqv8PctGW196Y6c6ddrhx/EPMR58Y9N3nJ2 +8/EDj1LM1qMu+Zn7yllb2xHbZ0SoYEHIlVldAuTsaOOraSGYYYpObdVzOZP7 +s4G9XuN6d8099DJMzlYuTv91CvOuFS6Np1/LWXrT8gIZ5vcWRQ620XJm8bw6 +fFGkCiorrxT1Ajl7Ybf3cjRm0w0rjSsT5GxAq1/bh7zBP88VnZ6myNnVsPPT +PTDXzeoSujNDzpqt6TroO2bbtK1Zo3LkbH97799rolQwbXVYKVcgZ9WvRxQm +YTb965vzrETOlmyMeDn2Ld5n/t/DtlbKWWLnqVfuYM6fvc5xUJ2cmcak72ge +rYK8gluDv8jl7Ok2uxk7MYebLgm//FXOeunUDMrFrDNnrr6VWs4uxO39MzkG +5zHR1ElskLPG3b8Kn2Be0D4txOePnO3s7fqq0zsV6G2KTZjfXMFKP3a96og5 +LCs1srG1gtke8N5ZgbnHAD9XXy0Fix1gYDPrvQr6dG9uPLuLgo1Njxgcjtnu +4L03fHcFe3xk6t/esXgfNA3t6amrYF31P31xwfxu38p5Rv0VzCXLLkKJefmp +rys+D1Yw9fGaq0sB61HVHfOdwxRss8G+Xe8xr7bRVrUcqWD5+b9shsXh51nV +4ej9sQpm4+KqdxXz0vSp2UYmCvZmTLemBswGFtt+JUxSsBEl3kXrP6hAd7Gp +uHSKgt11M3idinl0yIY3NVYKpmXy2tM4HvvFr76zHGwU7Fjl1N33Md8ODX3y +a46CcR6fZrZKwP7c3TLNZYGCrTFbMWQP5k492kS1W6pgn+tqmvIxX6t7t9tj +hYIxr33Flok471/pLe20RsHCLX+/DsB84nHO0MsbFExP6XpN+yP+/ecPh2tt +VbDrt7vtccIcNtlEeW6ngrWa/nBWNebz5bP3/d2rYIdVBvpzk/D5B9x6efCg +gkkevP73CnPuucxX0iMKZjfbqqRfMvYn94L32x9XsJTvnyLPY24nrVGknFYw +M98VXl8xrxFGDphwXsGeza/dY5eC9Z1N1vK5qGB9f++bHYe5/4qUF+2vKJhH +wG99g1Sct+FiWwcvBfu7xK2ZF+bFf0x75t1SsL3Nupf+wpzkdfaL6X0Fqwh+ ++GZTmgrutjOwvflIwRbaG17/hHlnTekB0U/BPrSO3GuargIWtGyWbaCCGb+0 +mvMQc1Dl7E/+wQrmtzZjaNtPKlBoO/z9HaZgOh3smztg7h1/snjBawVzfVNb ++gXzvBOjNvq8VbCGTQ5RVhkqiP409rrqvYJt1/5z/Rnmqsls95R4BSt657av ++2fsr+y6cm5JCjZnR/e5xzEHhazumpWmYDE9Hg2rw9wpu7C4R6aCjYo3bDE/ +E/uPhWYz7XMVzHtvZFkkZr/4icvuFSpY577Wbwdm4f59d7FlUYmCnUrOuHEB +c1ZB3cIelQqmOmjvIGCe+KKFxYJaBdswqG7uymx8/2W3ElxlCpbzyWF4Auaf +be0lMZyCTXP602JUDs4HWf98v6oULGLohfIbmBPLrH4O+K5gQ3O6R//F7FeU +XzuvUcFunXx0c2uuCs7tP7Dt6F8Fazty5P5MzKuXfz7p01zJjhZGzpuUh/OD +xWODlNZKJj9rPcIH89Wyh1u59kq2yuhzS618FQz4ftqkc2cl+1RqX3EA88rp +f6+N7qZkUy7WRZdgdvRMOzGnp5KFmO6/Nb0A66Hfox+b+yjZwOo/+19gLp8z +/vfxAUrmeeWCbc9CFeSstHD30lOy5pN1DE5hnvl8v6//MCU7IH3USob5eW93 +60hDJau5PrJy4Rfsly5Y7Egco2RLp76Jefv/XMl6ZBkr2UfO+rZeEfaPXebO +KJqgZBPufj5wCbO6XY+fFeZKFjBj5fx6zDeSlo6qtVQyXbHOYE0x3l+zPlTW +WSvZxYf7WydhnnOjq06djZL9mvO3ckyJCka9+ZNYNUfJdjVceHcbs0WimVgy +X8lK/XTuNCvFfij/1L3cxUpmu/DxwR2YrTu6vktZrmSxf0YuyMF81rOlXcxK +JRsb9MZwchk+/7XQ7c/XKpnPsmltnmBePtNKdWejknVrkVnVsVwFd77t485u +VbKzISvfH8Y8OFtctXunktWvlNwpx7zP/MyUxXuVbEvbA4dmVqhguEvOVdMD +Slbw6u+CMMwz2z5c1NNRyWauvziydyU+37j7Z+qdlCyqY4+2Lpi3O5wZkHVC +yQzePq5WYH48pd3woDNKdm/LqNglVSpo2Vd699R5JevQLeruO8yF1/MPL7mo +ZMdjpx0eWo33ncWlt/qXlYzfmbnwCuZvr2CT2lPJ1vZaNaoB8xIfw4NwQ8ky +EyRt19fgfBZ5VnLhjpJNdThQk4J5eJjLuwUPlCy8X1PsuFo8X0sq1N0fK5le +6sV79zD/2b/oUr6fkl0/3MOxZR2+D4nnmRsBStZKz2fRbsz+t5blL3yuZI6f +R43Ox9z1kN15rVAlkzpHtbOU4Odznu/54aWSrRg+vfYpZtOXYv3hSCVLzc2E +LlIVnBr9J3x4tJKZn151/yjm9R2GJBW8V7Lno6SOVZiPOw40OvtByfoVHVg8 +R6aCK/5BX0d9VLLL55tGv8J8tcSpWV6KkjWNc2/fT64CbtuszUc/Kdm+8h51 +5zC/epqh2ydLySrdfeJ4zPHN4vu9zVWyRRNHP1iuUEGjsmzvskIli6+JOgKY +tyqLOn0rVrLxntOXjFCq4NjVvT/PlyvZE4usMdcwT3JcOrpPtZL1kK/S+oV5 +49zpz5/XKZnrTWndRg7715QfDuZyJWuwOvghHbPwWv9EEqdk2782PTDhsf+X +u35eoFKyonvuR70xP5hZsKlArWRzZ/Zc2uarCvompk9e2aBk79Q+Y/dhNtgw +aFnJLyUb/Xh0hy+YRxtdDrFvUrKH895Kpn7Der4o3Sa/Oce6NE6PD8K8+cf1 +fvNbc+y0f5Z3NxXOk2sej0lsxzFh0WqnY5i3FQYdm9iRYxubpEtrMR9J2NEi +sAvHcp8dNLIVcH67dvdDj+4cm273r0Mk5v0J4pvTPTn2uuUl6QBRBUbyMbWy +3hwbFtYzwQ3zfP+2M+b359jt1b4PVZibPZ9QFDaIY+3aj3G2V+M8EHv4kbY+ +x5xev10Wj9kh8uStPcM5ptgwY9zIetwfTh3eJxtybFXn7I43MA9rUdB14BiO +fYpeLfuD2WzVnesHx3FsyjZZwpbvKijw7Wj10YRjId0PPfqMeUV7XldnEscG +xf1znvhDBZ+z1b3XT+bYtd2Xlj/GPGpxiXWQJcda9O5l3L4B6616jdc3K44d +/Ojb6QBmM+MJbcfP4Fjt/jHyYswmDwc8PjiLY8sGRCdO+4nzRkn2qvC5HEtK +m/E4GLPbYy0zbj7HJh7JPtajEfdz9NkJ+os5Fjhkjd1JzNPb/Vxsv4xjvbNk +xlLMm07pXb60gmPuxw91XvhLBZ0NYmuiV3Hs94hmiijM8UNcl0nXcmx3/qWP +g3+rYLyfaZX2Ro6Vnenl4475jMrt/MQtHJs/xu+4GrPjzklWq7ZzDIrHrFj9 +RwVvzrfofnwXx4zcosd/xPz3k0/j3b0c8xlv02XMX+xHq7LVEfs51q0yW3EL +8zjn+c0yDnHsrMeapH+Yv27J7V99hGPfJ8l9tjdh/b7Yb269M8e21h06kY25 +bXWda8uTHCu81sze/B/WaxdlZpczHJtl6WHihznzlly/9zmOPJwAPzc8ODfQ +jSMPJ8BaFsfruXPk4QTw9u26bshljjycAFm9bAsHeXLk4QTInjZ2Wd/rHHk4 +AfbVHirsdosjDydAcFbx6rZ3OfJwAqh/9q/9eZ8jDyfAsm2/d0kfcuThBEhk +RuocH448nAC2cU5HY55w5OEEWGR189fjAI48nABJplOPnn3GkYcTYM/ISaqN +LzjycAJ0STffwMI48nACxHxpnab7iiMPJ0AzEzuDb6858nACGH7hTsRHceTh +BDhVei7JK4YjDyeA86Gy5utjOfJwArRXRYwx/MCRhxMgLSZ1rpDAkYcTYO6G +YrvXSRx5OAGs3PwXOaZy5OEE+CTIJ5p84sjDCRDusrX9t88ceTgBHpgVJz7N +5sjDCbDCpNWOVXkceTgBeob61Xcs5MjDCeDf6ujWmCKOPJwAL0Omvt9aypGH +E0DL5n1D5wqOPJwAX1xe6ERUceThBKi1yO62vJYjDydAzi6Zql7CkYcTYMvw +Vy885Rx5OAHeBQgzDTiOPJwAN2dsjo39ypGHE8DDOb3LIoEjDyfA6iqpeaWa +Iw8ngN4EZ8vdPzjycPi8y6f1/v6TIw8nwE7rVmnOvznycLhf+p5c8PcvRx5O +APehBwKPN+PJwwlQkeyT+7MFTx5OgN4enzP3t+bJwwlgMDD6vrQtTx5OgIOL +hk1YqcWThxOgc69879SOPHk4fL8XLmSbduHJw+H7qWyR9bArTx5OgM9n2txp +pcOThxNApVo2YltPnjycAM++vDqVpMuThxOgvF3NA72+PHk4AZocn5481p8n +DyfAYssPQ7IH8uThBJj8+If7ED2ePJwAJ1ppvTqgz5OHE+B0Y+y92GE8eTgB +7poUTW1rwJOHw/Pj1e/JvJE8eThc3562CVdG8+ThBJjOj/HOGMuThxOg7U5X +o/bGPHk4ARra6R63MuHJwwkQuTfwpOMEnjycAMnb/0wInMSTh8P9zH/xKzDn +ycMJkNe/ZWLzKTx5OAGeWE+9ZcB48nAC/M5c1nu+FU8eToCyTe3n7ZvGk4fD +9UoaNPryDJ48nAA7uA0xATN58nC4n9Ve32Nn8+ThBIjSdy7OmcuThxNgQEPZ +9hpbnjycANIO5x+pFvDk4fD9jptw4tcinjycAJ02RDVrvpQnDyfAqoScEa2W +8+ThBLgYPK++5QqePJwAjx/yG5qt5MnDCbB39gmHxlU8eTgBMtwTBnxbw5OH +E+D73gu7qtbx5OEEiDe6sSxrA08eDtffPLQkZhNPHk6AwiG+f/y28OThcD0j +Td9c2MaThxPguNJYa+cOnjycAE6KjfUzd/Hk4QTgOl49pLeHJw8nwPvS0xcb +9/Lk4fDPdVoYZzjw5OEEKPEp3e19gCcPJ4CvT/GknYd48nC4HpuTbox35MnD +CeC4e+epxiM8eTgBWu671hDjxJOHw/Vd2uaP8zGePBzWkwk3Lk04wZOHE8By +axv/ryd58nAC6GwZMdPvNE8eDs+D4qPDUheePJwAZ6qeDWpxjicPJ0CbXX7L +gs/z5OEEOFB6rPMSN548HD5PXLM5Py7w5OEEGFHU1OaWO08eToBt/aZNN/bg +ycMJMDjqXrP0yzx5OAFCtHPN11/lycMJ4PbhrSB48uTh8Dx599M/7cWThxMg +KDQ1S+sGTx5OgAvmV39cu8mTh8N69XbyjR63efJwAqRXegfevMOTh8P/3vuc +cbd7PHk4fJ6eYHTpPk8eToAOwf18m3nz5OFwP/3cff7AQ548HN6POaeKKh/x +5OGwns0a6j3XhycPJ8BM/9GZL3158nBYLxx27O35hCcPh/fb7iAnR3+ePJwA +Xrdjv+U85cnDCdBjtHPmyECePJwAff1f9DoTxJOHE6AxwjA55xlPHg77hwUJ +pYOCefJwAkj8Z9vtesGTh8P6N8zT9GUITx4Oz+/pHY7fQ3nycALUPQrUNQ3n +ycMJ8CZsUN/9L3nycPh5BvofD3rFk4fDn3ervUV5BE8eDutHK70VXSJ58nDY +f9yMz7R4w5OHw/0dBT5bo3jycHgf9vv6yeMtTx4O602E3sLQaJ48nAC7Xhkb +fo7hycMJcMNTbS9/x5OHw/3QenJ5s1iePJwA97pUvNYBnjycABu+va7Qj+PJ +wwlQbXBr5bgPPHk4Aa5eWD7UPJ4nD4f3d+/PliyBJw+H9cAoz39qIk8eDu+z +bVPtLT/y5OHwfN1X2E1K4snDCbC+/tnjMck8eTjc32X2xoNTePJwAlxpn9VB +O5UnDyfA00OSkb8xazycAEs3OF6rTuPJw+HPX2k/OSmdJw+H+8t0x4inn3jy +cAJ07HTaziWDJw8nwOzZR5NXfubJwwkw9N6oI2MzefJwAkz7dWRLsyyePJwA +QojptQzMGg8nwOVZVo23snnycAIofB0frM7hycPhfqkLdxqQy5OHE4A/l3m1 +FLPGwwkwzCq47FYeTx4O98uIoRtt83nycLi/bvTq16yAJw+H95PRBq1QzBoP +h/2rWDFyZSFPHk6AdoMPnGr+hScPh39/4Y+W/pg1Hk6AH1vnRk0v4snDYT9y +e+H9SswaD4f3xfa650eLefJwAjQPlcs6lvDk4QT4e23KIm/MGg8nwCj3DzUG +pTx5OAHGbVz+6CVmjYcTQH9imcvEMp48nACtnCZejsKs8XAC9FPavDct58nD +4fl+wncNxazxcPh+tTu761fw5OGw3/3qrn8Ls8bDCZC/ZGZly0qePByux7Nh +7/Zg1ng4AaxfdXyTi1nj4bB/PleWaVrFk4fDft79ROsbmDUeDvvTS9nLv2LW +eDgBtP1i46dX8+Th8HzMMJx1G7PGwwlwjv2qq8Os8XACbH2jc9+ohicPJ8Dm +Ueu3HsGs8XACJBxJsInGrPFwuD4ZQyc3YtZ4ODz/0XutTWp58nBYHwxdV+3C +rPFwAszfuPT8Q8waD4f3bYv42AzMGg8nQIBWVJtGzBoPJ0D3/IGrB9Xx5OEE +qO9T834aZo2HE2C0Wmm4CbPGwwnQP1ff9yRmjYcTQPfqUb1bmDUeDj/Pypxn +QZg1Hk6AXmn9zd9i1ng4AVKybDITMWs8HM5jCWY7PmHWeDg87/Y1bbIwazyc +AIeCjAIyMWs8HN6nY7rMTses8XACtPi4WxmPWePhcH55MfFyJGaNhxPghcfa +0U8xazycADU7stKuYdZ4OAHsXx7f7IRZ4+EEMJq0pHE1Zo2HE2DC+OkXLDBr +PBzWT6up2rqYNR5OgKPbJnl+w/XUeDgBJnYd0DYBs8bDCTCyW81BL8waD4f3 +/VOn/DWYNR4Oz/f+PMOhmDUeDvv9M5IDMnzfGg+H+9/WLzgAs8bDCfAosqlg +I2aNh8P+tT2v6o1Z4+Gw/vzd2PgJ95vGwwlgGrns2zHMGg8ngPJDdPYwzBoP +h+ex/4VHGbifNR4On29chJ0DZo2Hw34437SxM2aNh8P3u6DV2SA8LxoPh/3S +0l71DLPGw2E9aLNtbg6eP42HE8C1Uem2HrPGwwkQZvwoUIHnV+Ph8P4e5hzs +gFnj4QQojXH0EvH8azycAAPnXrV3wKzxcFh/HsU2U2D90Hg4nIcb/rmuw6zx +cNjPtV6gzML6o/FweD6/BYyyxKzxcNj/Lmg7JwDrl8bDCTB24k7rjpg1Hg7f +16jPOnuw3mk8nADdRo6LS8X6qPFwuD4B16bpYdZ4OAFmeXz1dsT6qvFwuP8s +Zn5Owvqr8XACRN+4n9sNs8bD4c+TK4NXYv3WeDjsT9qZ2T/E+q7xcAIssDhX +WIb1X+PhBLCZlTmsN2aNh8P3t6ufzUK8PzQeDvvvjXtNzuL9ovFweL9sTlGF +4/2j8XB4vwwf41yK95XGw+HPK/fLbIFZ4+HwvjMfo9LH+03j4bBf25VTbI33 +n8bD4fyqd+vKarwfNR5OgGZXT2kdwPtT4+EE+LXhpu1ZvF81Hg7rW0b5ck+8 +fzUeTgCz85uG3sX7WuPhsH+CkVHeeJ9rPBzeNxOmdn6I973Gw2E/M/zZsHvY +D2g8nAAOSw4288J+QePhcH2bPb13HvsJjYcT4OHvefWHsN/QeDisXzcPdlyP +/YjGw+H6Bg6ttsF+RePhsN+5vu+QAfYzGg+Hz8/WxrfFfkfj4QTw6fsvrQr7 +IY2Hw/tgyPrLb7Bf0ng4nN+Lrra7iP2UxsMJwOZ5T16O/ZbGw2E/2ePO0IHY +j2k8HNa3FZ4fa7B/03g47CdTbvV58ponD4f7w/fdkPXY72k8HM6TL7tX9sJ+ +UOPhBDiyJGR+OvaPGg+H/f+eR3udw3jycAJcP/rLcij2mxoPJ8Duk7mx6dif +ajwcfj5dxu/B/lXj4QToun9xaofnPHk4PB82Qxb7Y/+r8XACGEuTXc2xP9Z4 +OHxfE+22pmP/rPFw2L+Gyr7ZYX+t8XDYL6+8oVfpx5OHE+BgyYG/m7A/13g4 +XN8d3qdqHvPk4fDPc0YHrsX+XuPhcH1OWDrm4zyg8XD4+XcoZDMf8OTh/n8/ +2v57jfOExsMJYNfgEjXwLk8eToAuNwO7n8d5ROPhBJi0q6SLBOcVjYfD/u6Y +WbA1zjMaD4fz8cYq6T2cdzQeTgC/leKHrzgPaTzc///9yMPMEucljYcTwPxU +pu1FnKc0Hg7vv4llfzMv8eThBEjqXTirG85jGg+H/dLxitELcV7TeDjsL+x6 +B1905cnDCRBoFRgfi/OfxsMJsOlImMM3nA81Hk6AnoXLX/c9w5OHE2BPTdzV +aad48nDY3yfo/NuK86fGwwkwdYZTC1ecTzUeDu/X4h53H+P8qvFwApx92C0+ +EudbjYfD+2DxneMph3nycDiPrc9Kzj/Ik4fD+bik1rd8P08eToCNZ5p1qtnH +k4fD+h49v3UNzuMaDyeAy53f7uU4r2s8nAALS8d75+M8r/FwAnwQ9Cen4Lyv +8XACZIp/NkVu4cnD4fwQoOj5eBNPHk4Azwv9l5zfwJOHE2Ddu4+9tq7jycNh +v99XZ7P1Gp48HM4TY80n9lnFk4fDemO605NfwZOHw/rXp3Dvu+U8eTis92cj +sl2X8uThcP8kTYiat5gnD4f9qsprcOeFPHk4vC8Smzqm2/Lk4QQY9D7M6exc +njycAKEdKrdOnM2Th8P1uJeYK7HhycMJML3Tbbg2nScPh+/ng6fBJGuePBzu +lyyldjHjycPh/upSc+jIFJ48nACvO71cqj2ZJw+H57nvzdAnk3jycFjfpqee +M5nAk4fD+rbMIxfG8+ThBNAq7nffZhxPHg7P34Do4pQxPHm4/89jT67ZjOLJ +w+H9WtUXwIAnD4f1N3HjRpPhPHk4ARqMYpyf6PPk4fD8TtveVluPJw+H9bHi +RdsjA3nycAI4BWc5F/XjycMJENS35/qJfXjycPj7tiRGevbiycP9/z7VO1mn +w5OHE+DOqqOvTbvx5OHwPOzsvPpMF548HH6+vmP2p3TkycMJIHoPrtfS4snD +4X3xdXztrLY8eThcv6KwqS6tePJwAlQ11LZ705wnD4fr87q/hbSJIw8nwGfb +oKJufzjycHjffi6oNGvkyMNh/9P7x8LVPzjycLjeVXYGzmqOPBzO86G2O66r +OPJweL/UGmgH8hx5OAHGR7D+UQqOPByet5E1VxOkHHk47M/G7tiWVsuRhxNA +dmK436cqjjycAP9CD1mnlXPk4bC+mQVOTyjhyMPh/t/eJ+jNF448HP7+Xfr7 +AvI58nB43ucNuuWVw5GHw/pav72/UyZHHg77sVErW636xJGHE+D7o4VzJqVy +5OGwXhcFfdVO4sjD4X02M1FVG8+Rh8P1mfJvQQRw5OGw/1VFdzj1jiMPh/3d +iRVDZrzlyMNh/Zaa3WoTyZGHEyBVN35TwkuOPJwA2bVLLx0L5cjD4Tzf1q7j +2GCOPBzuxxFjJGWBHHk4nIeKp+pe8OfIw2F9uv/DZ7QvRx5OgOVf3rh8fsiR +h8N6zPFvd97nyMMJ8Gdwy5kt7nDk4XAeithocPMGRx5OAI/Mo+uHXOPIwwlg +df2lMvgyRx5OgLbdTqaNc+fIwwlgsWTOn3BXjjwc7r8lbqdHn+XIw+F81vbp +8ienOPJw+PvtdU/2PM6Rh8N5beyiH2ePcuThcL9vTozlDnHk4QQ4nScpWLif +Iw+H9WHxGMuwPRx5ONyPYV3rtXZy5OEEeAbtfq7fypGHw/7E1mP2y40ceTgB +ehj2lDWt5cjD4XkcOjjXZhVHHg7v9+4GWu52HHk4PG8pzzxSlnDk4QR4O1h/ +ZYuFHHk4AbY0dT8wcR5HHg7nR5tfudtmceThsH+T2p26Pp0jD4fPWxpyMHoq +Rx4O5x99l7BSC448HM7Dn5eZ/prEkYfD/Vcf0ry7KUceDu//9917DB/HkYcT +4MGaDvsmjObIw+F57jugi5UBRx4O/75tfr3NUI48HPY7q2IGzhrMkYfD/v3D +qqvT+3Pk4QTY22naNIveHHk4rOd1TeZje3Dk4XD+bTPAsX9Xjjwc3m9WRvVt +OnHk4QSIPJcUoWzHkYfD9S448Ca9FUceToBLdim/nzbjyMNhfz5z1ZkTf5Tk +4QTIb/5h5oKfSvJwWE+be87vV68kD4d/XrXuZu03JXk4Ad6tTe0bqFSShxNg +sN3Wqq1SJXk47BcysusG1SjJw+E8Ig8YUVCuJA+H8+jP80GuxUrycHh/79Xa +blygJA+H51eZt6koW0keToBrJq4PjmUoycPhfTAlrmvvVCV5OOxn9IclhCcq +ycP9fx5bHTYjTkkeDufNb22L8mKU5OFwvviVabHujZI8nAAh41eX1L5UkocT +YPbzZRFbQpTk4QSIfbI1uSpISR4O68Udcx17fyV5OOxHJpy8l/5YSR5OgNwt +FfZmD5Tk4bCft+m02Pe2kjycAEuMo1zaXFeShxOgJu6acssVJXk4PP8f53nE +XVSSh8P7f5H35p7nleThsJ+PnHRo22kleTgB9rnlR786piQPh/Xw7shJvx2V +5OHwfmhVr5xyQEkeDp9/mDz/2B4leTgBKv2SvkdsV5KHw/5o++y58k1K8nDY +jwSNLdBdpyQPh59fMunWtJVK8nD///9bhl7asUxJHk6AV5+jItwXKsnDCbD9 +V0S3gLlK8nB4vw2teBJroyQPJ8AZu787s62U5OGwHvIFmysslOThBBhz28RL +NlFJHk6APisrRc5YSR4Oz/91f1dutJI8nADODQttpSOU5OEEcLsVZlM2REke +Dufb4usHPg9QkocTYLhHelZ0byV5OLz/sgw2+OooycP9f553GXK+i5I8HL4P +t6Bem7SU5OEESDd0nGLRWkkeDu/DRLih3ew/DyeA0bZ1/St/KcjDCeB/eWzh +s+8K8nC4Xn06xjuoFOTh8H2uyikbp1SQh8P1aLfB8Gudgjwc1oeZdwL8KxXk +4fD9vbBftqJEQR5OABN2YXybAgV5OLz/D7SzDs1SkIfDedwo7vSSdAV5OAEm +f7n1TfyoIA+H953l4SuX4xTk4XD/hM5YMyRGQR5OALlKvSritYI8HNaT6Xvc +p4YpyMNhfd72WJb8TEEeDuvBzROOc/wV5OEEsHX/MT7lkYI8HNYbl6ZBVvcU +5OEEsLF0n/L6hoI8nAD3mnte1L+qIA+H9eZ229ZXLyrIw+F8vUgRWn9WQR4O +62mH8e7LTirIwwkQPqr8evhRBXk4fP5A+ad2BxXk4XA+bLbMdNUeBXk4AXbY +DM4I3KYgD4fP23/RDWGDgjwczl/vZe6mqxXk4fA8CXzYoeUK8nB4X/bd3iZ0 +oYI8HM63E9Zfqp2jIA8ngOOXStZjhoI8nADPjX4MsWIK8nACvOwUMnG7mYI8 +HNbf0l7H3McryMMJMC94+teA0QrycAIIo2ZeixuuIA+H/VHE+M15gxXk4QTg +LAZtq+mrIA+H/d9O/Xt8DwV5OJxX3e3/il0U5OGw/t4s9lS3V5CHw/M7NnHp +t5YK8nDYv78wmS35KycPh+en57y9Xxrk5OGw3x04KvGjICcPJ8CAiT/nhCjl +5OGwXpzMb+FVJycPh/UuS6F0qJCTh8N6HLe+zdwiOXk47DcfbVg8KFdOHk6A +k869s1Sf5OThBGg3IPLUuyQ5eTj8/LrbNpyNk5OHw3kres3hGdFy8nACJPfO +iGkRIScPh/ObjsIk5oWcPJwAN+crK/cGyMnDCXDfrH1cfx85eTgBlF1P5iTf +k5OHw/6y+rzunhty8nA4D7mt9ep0RU4eDj9f6MypQW5y8nC4P4pd9KaekZOH +w/544xKzHGc5eTjcH0ebzq07JCcPh/VoWHpr2R45eTh8H4tbvNu1TU4eToD1 +8V8DFOvl5OGwPvQpS928Uk4eToCy6r56xUvk5OHwfJ7/HTbHVk4eDp93yWfH +SBs5eTjc366yg/2nysnDCfDL6nHgKTM5eTjsR27a9igzlpOHEyDhxJxo01Fy +8nD4eRXi7YtD5eThBFgT/STkywA5eTgBLiRAk56unDwcnq8s/wvbu8rJw+H8 +9DR6XpCWnDwc9ustNs+tayknDyfACZ/25/v9lZGHE0Bi0ePX/B8y8nD48z3F +Z8e/ycjD4X2xqssdf5mMPBzOj+tLPqRWycjDYb+5O2WEvFhGHg7v+6VzMlrm +ycjDCeDbWBDaO0NGHg77vzmSLMMkGXk4AbrOFI0mgow8nAB5zY5kWUbJyMPh +PPZQDLUKl5GHw/5sTV0meyYjD4f143q2kZmfjDycAENfTc4d/UBGHk4AmPvs +Tf+bMvJw+Pwdn5a3uyIjD4f7L+ntrG+uMvJwAhxzOPAj65SMPByev2HrZC+O +ysjD4fw6uutA1/0y8nACxP3oe99+p4w8nAhDBvdYM2KTjDycCHXON7aIq2Tk +4UTIbWXy+s1SGXk4ETbVX5131FZGHk6E7pE2o0xsZOThREj69nOp0lJGHk6E +YWdnJ3tPlJGHE6GDZ9nZuUYy8nAilDmuvlQ/QkYeToTWJfvK7wyWkYcTYbF/ +wolJfWTk4UTY26bNztxuMvJwItg6Sf12dJCRhxPh8cc+o363lJGHE2Fpi2Ut +L/yRkocTofkdW0Pt71LycCLsnxbrc52XkocToY/upp3dJFLycCL4ufw651Eu +JQ8nwra5M8TmhVLycPjz7rZ5dSBTSh5OhGyxZUp5spQ8nAhe01ob28RJycOJ +UFOSJwRGScnDiVBxiLVrGy4lDydChLGO4/ogKXk4ESYF6Fu89pGShxPhUt70 +Va3vScnDifBq7Ny8hV5S8nAiMD/twNvuUvJwIiiuORQWuUjJw4kwu2jWpl7H +pOTh8PnznOYtPCglDydCwFrJ9XO7pOThROhWcNA8YpOUPJwIuwdoWVWskpKH +E+GD3/XA1kul5OFEGLTm7/7h86Tk4URYnznh4fTpUvJwIpR0MR6zxkJKHk4E +s+Qavf0mUvJwIowxmXbi9CgpeTgR5o6ZY3lJX0oeToS0Ed+3XusnJQ8nwmrT +aT+9dKTk4URoajNBfbWjlDycCDn12SsutJKShxPh+5/+hsf/SMjDibAhecj2 +XfUS8nAipAZ+676ck5CHE+GMv+cYi1oJeTjc/4d03/YvlZCHEyHmzP2IX7kS +8nAiGItGernpEvJwIiT6iy0CEiTk4UQIM/9r5xgjIQ8nwkFtBz2rVxLycCLE +z9mzqe1zCXk4EdzzevdL9ZWQhxNB2Oht63pPQh5OhDVH9RotvSTk4UQ4tq1g +oPqihDycCIuWy+N9z0jIw4lgY3WlxtZJQh5OBMumXy71DhLycCI8Z9t9b22X +kIcT4cXtrtNM1kvIw4kw+pjp1gw7CXk4Ef5N79Fp4wIJeTgRtMy+TxJtJOTh +RHBw66c8YSkhDydC9IJ8/dYTJOThROj4ZLfUbbSEPByeVw9jkzZDJeThRLjQ +3rnj6X4S8nBYL3Tv7v/eXUIeToR2b2Sbt3aQkIcT4VxNojSnhYQ8nAj5fh6/ +zX/VkYcToaCNr89DoY48nAhtRuws+SurIw8nQrGx7bMVlXXk4UTouTWyS2hh +HXk4EYb30unUPLOOPJwIx18X+s1PqiMPJ4KYcTj/9vs68nAijO281a80oo48 +nAi9Zg3p0j+4jjycCEaHR/ax96sjDydC3+h/Hz3v1ZGHw/Xcqa+TeK2OPJwI +JlqD26gv1JGHE2HlstPe/U/XkYcTYRbLKpl2pI48nAh2P33it+ytIw+H50N1 +ccnZLXXk4XD/lA30fLC6jjycCJlRTU7hS+rIw4lwPjWxW/ycOvJwIgx80n31 +Z6s68nAizBvraVcwqY48HNaHgOo2xWPryMOJYOEbuatoWB15ODxP3WLc8vrX +kYcTIXKu7+p0nTrycCKktNbj3neoIw+H98l69cTgFnXk4UQIkuVY3WqsJQ8n +Qpe7pzscV9WSh8P7owpurZHWkofD++upXZ15eS15OKwfc7s1ds+vJQ8nQu+w +97my9FrycPjzJ4w88ja+ljycCOqUsXXn39aShxNBWew3cH5YLXk4ESr3bhrR +LaCWPJwIe3rPb57jXUseDutL65nBl2/UkocT4X2DycgZl2rJw+HvW9Li9M8z +teThRNg3wDs44GgteTjczy0bXi7eV0seToQrK1rfbNxSSx4O13vwh6UPVteS hxNhgc7wevMlteThsJ55TzycP7uWPJwIX2t/FO2aWkseDut/5m79pgm15OH+ -v/7+y91H15KHE2FVQ8iBnvq15OGwHpR7O3v1qSUPJ8LNTxcdBnStJQ+H5/2S -23KvtrXk4fDzVXwc07OphjwcPv+KRb8v19eQhxPh29Z1MX8VNeTh8Dys7+m8 -q6qGPBzev2efGOUX1pCHEyHq7+Ra84wa8nB4H3Ld7ngl1JCHE2Ha5k1zf0XX -kIcT4eTBXS2XhteQh8N6PXzPx6CA/74fDvf79RDX397/fT8cnqdUpxWzb/73 -/XB4X/7oN+GG23/fD4f1dK5Cr+j0f98PJ4KLzqTBfY/89/1wIqRFrh9vv+e/ -74fD+/913Kobm/77fjjsV97EeqXY//f9cHie3D7+/L3gv++HE+HUCKMDhjb/ -fT+cCKUfjnVYZvHf98NhP/S8V9Ix4/++H06Ede7HAh6O+O/74US4P3lI6IcB -/30/nAhHCq9WftH57/vhsN4mWVuqtP77fjgRymOSUls2/+/74UR4/enZxe4N -1eTh8H2anXAeyFeThxNBlpvnO7ymmjycCFmhc1uNKqomDyeCj+1pn1GZ1eTh -8P3c7XHC4FM1eTgRdihf3tN7V00eDvuHHq1+9QqvJg+H99/6t4/bB1STh8P1 -uunq0eBVTR4O+8c/wz9XeVaThxPh6O4Vy5MvVpOHE6HVrJJxz09Wk4cTYcK5 -IxvcDlWTh8P3HfJTumVnNXk4rIfimOQp66vJw4ngMeBbq27Lq8nDYf0O6OZT -PbeaPJwIvqFHHoVaVZOHEwFvg5ZOE6vJw4mwZd7tDMvR1eThcH+8GdK6+ZBq -8nAivF15Pwh0q8nDiTBUSxXj3LmaPJwIXbcNnGXcqpo8nAi76obPkjRWkYcT -wTy7U9ztb1Xk4UQYsLQ4alpdFXk47D+dH4zli6vIw+H7NN9scCOrijwc9q9H -5gWZJlaRhxNhRbv9L/PeVZGHE2FnjHqmQ3gVeTgRHu74vbtdQBV5OBFiyl/p -e3tVkYfD5/9re2CMZxV5OOz3I/6teO9aRR5OhBPtW5XanKgiD4f1ccCDpvQD -VeThsD811UpYtL2KPBzWy+gHY3PWVJGHE+HetEfTFyypIg8ngrfXjjYps6rI -w4kQnLL0KLOsIg/3//s21vvl+CrycCK8F7s46xlUkYfDej83RPvagCrycHh/ -WWht+dm9ijwc9tPWd53Xtq8iD4frOyln6cemSvJwIvy0n9gwqL6SPJwIhxbM -23JCXkkeDvfv2Ae+BeWV5OGwflx89XJUXiV5uP/3b4b3TqVUkofD8zXvvn1m -TCV5OHzelX4NfSMqycOJEGusdNwSVEkeToT+2v1Lnj+sJA+H80GqfPi3G5Xk -4XD9StpuGHupkjycCCO3jXfbfbKSPJwIP6aOCXh6sJI8HPaLWBLLtleSh8P+ -Nu51Wte1leThRJBHVxdbL6kkD4fvM2wg7zCrkjwc/v1Zc9t4TakkD4fzzL/Z -hgnGleThRGhr3GaNfHgleTgRTg908O3Qv5I8nAhF9SeaDLtVkofDfip75OGZ -bSvJw4kwyrtBJ+5nBXk4EVp25M59q64gD4fny3iRda/0CvJw+HwOC5aZvakg -DydC+yV9E5f5VJCHE0Hq/d1r9+UK8nC4/mtHVp84XEEeDvuThh4PL62rIA8n -whKjvkXXZleQhxOh2vrFA4/xFeThRDigmPP1cv8K8nAiDD5wquhM2wrycFj/ -4qu3HBDKycNhP3W4t9eaknLycLi+xXnnpn0qJw+H+6lr7gj90HLycPhytCs8 -/t0tJw+H+/tt1qd8l3LycFgPatyznu4uJw+H9XVv6+gDy8vJw4kQvtDsstnU -cvJwInzyN7H9bVBOHk4E5xjt9lHdy8nDYb01qk/a97eMPJwIfG23G3rSMvJw -eF4NQg5mZZWRh8PfF6tz0Cm6jDycCI2c7/3+T8rIw+F84xqnfn+ljDycCL+0 -lK52R8rIw+H7fOqznl9fRh4O7785Tq4n55SRhxOhU0y3Nh1MysjD4f33rJ/E -s38ZeTgRlr1YMq5n2zLycCL83eEi3FSVkofD+nX3jFGX4lLycCI86TXp57n4 -UvJw2C//ub/4R3ApeTisj34vZm+6XUoeToTtcWekaadKycPheh+dOMFoRyl5 -OOxv1v5lHotLycNhPb2v3YWbXEoeToTNX9+/mDa0lDwc3tdpq4fd6VxKHk4E -1Qb7s5KGEvJwOD9HGGaOqyohD4fr+dROxym1hDwczms6jmvevyohD4fnv9Tw -9W+vEvJwIjxuMBg28UIJeTisl5cmvNu7r4Q8HM43gt4p3xUl5OGwnyrPOp5j -VUIeDvuVdeOgybCEPBzu54bpi4brlJCHw374RV/LeX+LycPh/Kef77FHUkwe -ToT5gY+XXs4sJg+H9cvqw03/qGLycLjf05xWv/cpJg+H/Uhr0zeZbsXk4fA+ -9F0TVnGwmDwc1uuNB5Zwq4vJw2H9HdQi+PuMYvJw2J8qn3/6PaaYPBz2410H -Bjf1KiYPh/OmRd9dTc2KycOJsPaUQ+ff8iLycLifdv/zqc8pIg+H9cLg7Tjl -uyLycCLUnnmUUv6kiDycCAubEg5nXikiDydCff086w+OReThcB59vGty4Loi -8nB4X47busdjVhF5OBE+yzxrD48rIg+H9/1Q+xcr+hSRh8P59/i4vEkti8jD -iaCf6b+lJ/eFPJwI6WsW7RXyvpCHE8GxrPJXyocv5OGw/7/STffx0y/k4USo -mhuZc/DaF/JwWC+DX0yccfQLeTgRSjpyS3Q2fCEPJ8KtZ44mVbO/kIfD++/t -Zslz4y/k4US4cqTC8VDfL+ThRHhmo6c2b/WFPBz2Z+C8/R9XSB5OBPukWbUf -8wvJw+F9/azpoEtMIXk4XK+xg4daBRSShxOhefDM1k3XCsnDYX0d3E/v7dFC -8nDYn89fdenAhkLycPj5k/JmGc4pJA8nwnLtXXsrjQvJw+F5D+ne7lbfQvJw -uF4zCofMalVIHg7nw2fZmY1cAXk4EV7+G9fnWX4BeTgRIMm8q31MAXk4EUyb -W8e3CSggD4f3iUW45atrBeThRFgd2dpz7dEC8nA4z+/OSmu3oYA8HNazSZG/ -wmcXkIfD+V62b/RK4wLycPh+lqceaN63gDwczhtnnxYFtCwgD4frHdzoYMvl -k4cTYdz29JliXj55OLwf3rM9tz/kk4fD/TnprMrsaT55ODyf3SoqS6/mk4cT -wcQs1PbUkXzycHhfHd5sM3h9Pnk4EbRPnyuOm5VPHg7n037Pem8el08eDt/P -+PPdW/fJJw+H/QNfXOjfIp88nAgXPp93tFHmkYcTISnp8D9Jbh55OJzPtoS5 -uL7PIw+H90nBQu0R/nnk4XD9tS9GJV/JIw+H94nS6/IOxzzycDjvmHH3tNbl -kYfD+lAnUz+fmUceDt9n537PbI3yyMOJMH7w0PRvunnk4bBf/fF2j2fzPPJw -uL78Uy9TRS55OBH8O2TuLMrJJQ8ngrXOKPmJd7nk4US4G5gxYsiTXPJwOG9t -KjdPcc8lDyfC1h/3jBwO55KHEyHRxq6v7tpc8nAi9Ll8tuNHm1zycNgfbPfr -sWtsLnk4vH+0l8zqqZtLHk4E47U2kXHNcsnDYX/yfdZ+B3kOeTj8fessLw/M -ySEPh+trqNczKzqHPBzeB23b6bv45ZCHE2GjvG+SqXsOeTjsx/R8uyoP5ZCH -w37sQkMP3zU55OFwvjl/XbLSJoc8HJ6v+U23eozNIQ8nQurX2gk5vXLIw+Gf -72td6tEshzycCP1+Trq7SJ5NHg73I7f/pE5ONnk4rG+d3vsURWeThxOhl5dF -Dx+/bPJwuN8PG3M73bPJw+HzTfhhNvFwNnk47L/X/OraZm02eTis1zvTXAtt -ssnD4X5t6hL6fGw2eTjsR5pdeuCim00eDu8j6LphdfNs8nA433R+3N1MkUUe -Dvv3PdOTdHOzyMPhzx9p6PH7XRZ5OBGmuNxxqXqSRR4O5+VY/mXqlSzycCLc -3hlk+sYxizwczmsrNw4MWJdFHg7nYVnaufuzssjD4fNovXa+Pi6LPJwIo53b -9XTvk0UeDu+vws+73FpmkYfDfujBbL3eRZnk4US46vBwwtDnmeTh8Pe5z2dm -JzLJw4kQMXX2tmULMsnDYf+s+6bIaXAmeTisTwa/Pj5VZ5CHw3qwPGRu6acM -8nD///e9IN8+dzPIw4kQevSPuGFnBnk4PO+NwvaXFhnk4XB/zdQe17FLBnk4 -3K95ukf3VaWTh8N+4UW7XaWv0snD4flM15245EI6eTjc71ti2uetSCcPJ4L7 -uOfa60amk4cTQfdTulP938/k4fB9lCw6eDPrM3k4EUICHo9kfp/Jw4ngNHxD -dv3hz+ThsP4cOHrj9azP5OGwX+mo5XOm72fycDhfmR81sP+aRh4O98fCzast -PqaRhxPhcLvQHSNvppGHw/us8OiZYdvSyMOJ8MBCL2+0eRp5OBHW9JvnadUp -jTycCL+1v3AbKlPJw+F/HyfTufYqlTwc9p9TqienXEglD4fvd+bOG9orU8nD -4f6O3m67ZXQqeTgRCr+09UpqlkoeDtcjMCJ8Yl4KeTisj7MHfnwdkEIeDvup -JZP/Wh1LIQ8ngpvh1Hul81PIw+H9uzYo+bxeCnk47CdbTIQpP5LJw2F96/g1 -rFVqMnk4EVJe6OYUeyeTh8P9GW5jF7s/mTwc9v/Ftodfz0gmD4fPw+ZsiO6d -TB4O+6EXDxdm8knk4bD+N/9+tP5jEnk4rLfultqGt5PIw2G/vz9insPOJPJw -+L7bftmcZJlEHg7fr/nBa2O7J5GHw36Hu9sySJpIHg7Xe6X/z/HvE8nDiZB8 -wvJplkcieTjshx2WTj+1JZE8HD5/1uAOU80TycNhvTYYNb57l0TycHgfL5n+ -/WfNJ/Jw+D7KLrgJUZ/Iw+H5cVw96u+VT+ThcD3mO+v02/SJPBye17PVx+dP -+kQeToQR7rWPbnb6RB4O57H7/aO+VieQh8P5upNTszVRCeTh8D7LN4qouJJA -Hk6EZnXpnY9sSiAP9/9/Px8xb4hZAnk4/LwjdgTWdk4gDydCU+d269/VxpOH -E8HjZEZoQHQ8eTgRpqcV5AV5xJOHE8Gu1L1j3NZ48nA4z3iF3FRZxJOHw/Ow -Y95z0+7x5OGwH3JNuXpdHkceDucvz9ITrWLjyMPh+xevvbl6O448nAhtbK2P -Ge2JIw8nwvA/T9sppsWRhxOhu0vio3d94sjDiVD2r+m0v/CRPBzWs+BWfEDy -R/Jw2H/OqdaPf/SRPByeH9/GHQ2OH8nD4e/707Jp+vyP5OHwz291GxI89CN5 -OBGyBl7rO/pvLHk4nD/NLAyT82LJw2G/y5l6ngiOJQ+H6zVcvG17LpY8HPbT -U6yOT1odSx4O+/2t8y9YmsSShxMh3uxx47qOseTh8H1uNZ5gngLk4UR4tUG1 -jnMC8nAimCnCfxcYAnk4ES4t3XHrV0kMeTjcn6kJb+2uxJCHw35zydgfXy1j -yMOJcK0i+0us6gN5OBHGLL6pSvf9QB4Oz5/HgPSeyz6QhxNhgkdR04O2H8jD -idB/h6rn2uj35OFwPt62KGDNnvfk4US4OSjU+sGg9+ThRLhx6czxXnnvyMNh -P9SlrTzH9R15OBEuhs7onzH5HXk4fN6eHUPbq6LJw2F9eieaXHgSTR4Of/6/ -1TbT7aPJw4kwb6Hzsemdo8nD4TwyZ+Ye1/i35OFECC+/+rqT01vycCI8dVJ+ -LRzzljwc3lcjkyMktVHk4UQYkr/Bz/xBFHk4XI9FyXZ5i6LIw2E/GlCxL6Jd -FHk4rBfmG9xK4A15ONyfv0pt5hx5Qx4O98+D6BnNx74hDyeCJN18RHNpJHk4 -ERr2H/WY8yiSPJwIgYU7BpfbRZKHEyGsserke+1I8nAifH1/3VaS8po8HO6X -ce3Hrz77mjwc1ouCqPwBU16ThxPhe4VYOqYhgjycCOIxTuUeHkEeDvuPgRBt -tjuCPJwIf7J31JoOjyAPJ4KBU46uS/Ur8nA4H41Kajnw4SvycDj/nP+3qNPK -V+ThcF773j/ctucr8nB4PgaGZ1bmvCQPJ8K5UaZrkq69JA+H969hD60Wti/J -w2E/uDvY9brWS/JwIpytnXnRMTmcPJwIb64Oh/AL4eThRLiedapy2oxw8nAi -qKqdfUa3CicPh8832TxqX3wYeTicf34VlmidDSMPJ8Jau+0J/6zDyMNhfdP5 -bbigZRh5OHyfNWY31K6h5OGwn7R5GdlMK5Q8HM5Ddw9PSnIPIQ+H/QPv5TlL -O4Q8nAg7DWdaXbj5gjwczqMtveLO9H5BHk4Ez1aZty0eBZOHw/njvt7UqKHB -5OGwfxmmtP4R/Jw8nAhnengO/WnynDwc7s9fdx3gwzPycCJ8DvMKsZ35jDwc -/v2zK3Y/yQ4iDyfCIfugSZ9WB5GHw35X6vEkXBZIHg77b8WkabsOB5KHE6Fx -7Y8gdYtA8nAipKXNc5h3PYA8HN5354WxRwYFkIfD+7RZ5rnDYU/Jw4mwfki7 -TrOtnpKHE6G17+/t6hx/8nAisHUzJztu8ScPh/dTTtqI3J9PyMPh/XIw9EuH -K0/Iw+H5HLOxfrDeE/JweD+XPB7eK8qPPBzW79ijg/j5fuTh8M+1517zl/iS -hxPB9mTWMOtTvuThRBiV3M8rQdeXPJwINkt9n4555UMeDutXumkzl/k+5OGw -3qV03RKreEweToQVB4tvKF0fk4cTYT/zsW097DF5OBG+2WQ/H+D2iDwc9ncv -FtT1TH1IHg7Pl5H3lx8dHpKHw/785/W+cQu8ycPhfjjXZvbxW17k4XC/ryhp -ZlD2gDwc3h+pzWRpwx6Qh8N6l3UycPuB++ThcL2rjwstYu+Rh8PPL5195GGX -e+ThRJgzabPacsNd8nB4/p+e0ZdF3CEPh/XxwZ0Mb6075OFE6MBqojdtvE0e -DvvJcOHNlPe3yMNhfV8aeG+s7i3ycCJMHmRrNsXxJnk47Bc7Xju8s+AGeTj8 -+eeuGr+bdIM8HM4ffJ39eG9P8nBYLye9LC5o6UkeDuvptQdBQbuvk4fD/rpF -eWxooQd5OBFOLVg8iJ/uQR5OBMND/P207dfIw6nhY9m3p5PnXSUPp4aOPw5e -MDa5Qh5ODcefxm2NGuROHk4NbwrfnM7vepk8nBpux+fperZ1Iw+nhtU7Fp/6 -0fwSeTg1nNY30e7Q/CJ5ODWYb105/ksrV/JwarDwvLV6a6cL5OHUkN4U1SGm -z3nycGoI0A35LB11jjycGnKfuU7kpp0lD6eGmjm3VLnrXMjDqSFP7hgYcPoM -eTg1VFwy6ufw9DR5OPz9448b7vY9RR5ODe7y+bmtWpwkD6eGkB4GP9/tOk4e -Tg1aK+KP+FU4k4dTw7nGbXPS1ziRh1PD1kH9XOZKjpCHU0PGkpQ5Rk6O5OHU -sG7+NsWVnofJw6khddCwj44xB8nDqaGF/93Zfx0OkIdTw2vrCl2TMfvJw6nh -XoenH1Zx+8jDqWFFl42ff13fQx4Of56du8Eu213k4dQwYeOEwpwBO8jDqSF6 -tHXnFW22kYdTw9yX7ywHt9pCHk4NT1uN116mu4k8nBoejOi1sc+0DeTh1OA/ -bMhdo/bryMOpQTcmwYJ7u4o8nBoUm5wTIu+uIA+nhnmvbCMq/JeRh1PDJ7OA -Vm+qFpOHU8Mpt456V+YtJA+nBnYJSrakzyUPpwbJQKuT6vUzycOpIXzYmsSt -S6eRh1MD99jUOXkhIw+nhiHmgZF67yaRh1ODg6drTwO5MXk4NVwysQ9cGjWS -PJwa7BMahn41HEIeTg0eDQvcXO11ycOpof/Jrtq/mncgD6cGaVjB2JU2DZYa -D6eGVuLX2as65Ftecm8++EcLHsasN5R4ZU+13FWn+yXpfyyddzyW3/vAJZKk -QpEWoggtZNZz7J299957701LoqGkFBqiRJr4dCllpUVCU9rjfu47pFTyO9/f -c/68Xvncz32fcV3X+bze5/WeTYEv744n8yQMWdtZm/aV8VCQ8fLUmf5F21kb -DxsZhvJScGexhFlsgg1LiPGY3jqHAoVjn1TOCTqyxo0TLi/go+CRcZ3WDyc3 -1kDl3tARHC+OVg7YoeTNuvb71OpLcyl44Xlno+sJP9ZR29bhXH4Kvri/yLdL -DmKlne8vtp9HgaFHiOWjjjCWB+9XI1kBCs48nPdxcWcUS9uDe+YXjgtmv7Z8 -GRLLWn1N/GrPfAoevPdyp6wTWDyLNocfE6TgSUaLWlRmMutDkLFMxAIKWj4e -UC6eTGN13fJ8jhZSMK4wFKTlnsWqXZa4X2gRBbtn2XuuDcxhFcYWmbzF8Vie -vsnxo3msyN7TXFeEKNgz2/ib0lQBy3rNf9cKhClY22h1MSVvF0s540mEkwgF -3IWK5nysQtaSwa9r5BdT0D9pwRMqu4/1c+Psl39wfM5v5eByqRLW8M5lB+8v -oeDxgwsuM537WS1vNpudEKWAzdCFcrsOso5rmnBHi1Hwud7kuqd/KSvzgNcN -3aUUZPG7fw9zP8Ly/pYYtVicAvfGH6JLY46y9A32yX7A8eErk4/lTh1jra04 -8+raMgruGxkfCpioYM39+d+hXcvx+3eNWvQ2nmR9sRwwd11BgbTpkindqUpW -b8232etXUmAkmN3426qaVT+Lp+Ufjlc9MbnrcOMUq9hlecyjVXi+WoICmpXO -sGKalNZVSVBQt7W6bud/Z1l2801HYiUp8FDIKZZxOcdS9fc+bCBFQUyr8Q9m -bh1L/GaShdhqPD47v1ZY9Zxn/REt5v2MY6MiPrUzFfWsl5FnW5ulKdCo+LZG -Xq+BBV03YwtlKHh4XbzmlXYjq1LqqbzHGgqe6rTcrzS+xMpNod5sXEsBU9l+ -+ZRLE8u/n6dsliwFB2b5hi9NvMwyUlxh1Y/jLz3cv9dXXGGty1fmOy2H30dB -x1L00VWWwCvTmwnrKNA99M2WW/A6i63qE28sT8EfNDOy2v4G69G+ZMVlChTY -nDt6q6ammXXpU/HbrzhWWzK76Q5fK+ugTs3R/xQpKBYs9zsb8x8r4ShY71tP -QdqbV4nZn2+ynMafzvXeQIGI8KY2HdU2lqY5G5Q2UnDw0MgserqNteI0byLP -JrzfDIdaR+7dYv2bXrHhKY5rto+t2XjyNmvEQeX92c0UCMUKhI6ltLPaL5od -S1aigB6auaTrdod1eq6vrZkyfp+WmpXq+ndZO7xT5q1UoeCH4rP2L0odrODm -kltsHLcMeF2LketkmYmcS2rbgvdH/Qz3J5ku1vqwto37VSngawuv9ZHrZi28 -O/jBV42C1Be2tb+VeljfV9LHt6hTMORh+qtT/x6rP2GOPZ8GBdnvn+aMuvey -rjxcOX8Yx/4LQjb7ZNxnHZHb0l6rSUGJkvs7jzMPWCnZ5ilpWvjvpZg4ZuAh -y+2Z72aLrRQIR1S7HC54xGIpp36S2Ib3x1nl60vXPmZJFu4/8R3HP3Ys37Kz -+zGL+/05h3YWBR93tl78HtXHerftluAhhPfnpffc3iv6WR2lQ3cCtClYkNjH -O3qvn1VD06nqOnj89q0rzMp8wtptzKc8T5cCyeE//sZqA6zwylVfnuPYZFO7 -p8H4AMvy95bKC3oUmFp46+Y2PWVttt3ulKmP98upVf2CSYMskfN+C60NKJit -ea6f0R5i/eBJ61htiNdXngi3+oJh1qD7gfQJHDsY9S+lXw+zblytVekwouBf -WNsDqavPWOULb389bIz//q3I4PPi56z0oOGqYBP8vYkBb+SiXrA8bzHOWqYU -BDRcLFts95Kls2yukKAZBVzn9Gtqt75iycRKdL3CsTn1tHFy3WvWnF7VzAZz -Ct6du6HAvWCE9UnGQjVnOwVaYdrlkbtGWD3p/pStBQWNLZ5xJnxvWOefpp1a -Y0nBvlzpnEM73rCKNh50/YnjDP72vc7zRllRO+uEu60oqHY56VG+b5Rl8+Z2 -91FrCo5fN2r2EnvLUtF8lhVmg9fDnEi/i5VvWaIHvquxbCk4uuXHqr0b3rGm -vs6lF9pRkHjpzbmZ/96xnutLnnmD48jHvu3zrd6z/juu5t5kT0GoVp9s87v3 -rBOTFovzHSjIdaktEUz7wMq2DLjn4EhBTse+G/NFP7J8a9Jz5JwoCG40c/jv -0keWwaxDGr9xPGTbu2KNzSeWrMt55p4zBYHOMm0mE59Y/E3tZ4+74Hxpvvv7 -prLPrK8Czz0iXSlo43HyGtH+wnrgN7ZExw3n/wXzmt2/fmE1/Md/X9gd548V -qLb+yFfWflGpvHc4Vom69mzI+BsrLlJd66oHBQsN7cbf/PnGcuiyHNvhSYGU -ntjRh40US10q8JyzF57P11KxZ0PYrGUpGV4K3hTc7LssGbmWZv3tOyQ2jePX -GRv1FN/TrFcKFx488MH5uX7H1hhbhtWWdyf/pC8Fh5oSLz64wrCqXz7fGuNH -gW17u1G9+HdWvur4uJ4/BY7/Bh6LZH5nBe6bV7ckgILSwLXi3B++s0w+Sfl8 -xPHbMs1PqRZjLAUdDfEbgRQUpZ+em3d9jCV41OrR7iCcv6bn6K2UGWfRY4E7 -3ILx94GAp2nJOOuxWSZrQwgFYf1h0gu4JlhNp0p/zOA4N4q2D42aYB2avnD+ -cSiez9N+LX6jE6wkh7u+1WEUDC88JzZt/4PlcvHFsvhwCk6UnFqvdu8Ha+vc -iceGERQ48ZjCEt1J1ipvgV1LIyl4OVa6t7x5ksXVvFr7C451TA843VP5yRoV -1vzZEkWBmZD167MNP1l3Qq3r90ZToLfy22vlDb9YZ+4E+XvGUCDbuUss5sIv -1s6VWSs2x+LnnXTS9d8wxQpJONzPHYfHR754+aLGKdb2h/W7n+D4WkGUe/yW -36yNch06Z+Jxv7JZ99bhlt8soeyXvxITKBBtsp9J1vvDGh+euGiSSME3h9kP -V93/wxpQmh+4PAnXq9e1H3Y6/WVd2yO9isKxgVbTn+b3f1ll7zQHbiZT0K65 -+/a1uGlW6jabwuIUvN/0T7Gzef+x3EuD9XxSKdjMn6a04sg/FqKzfiun4Xyu -tR/tUpxhrTY+0sibTkG5dnjHvdszLJ7Ki0GDOH4QZ64Vu54LfZjqkDiXgeuR -sO1MeAoX6rJ59TQlE4+Xy5ICxy4uVFv3Y695FgU+0SNrNovNQoU8ggarsnH9 -L89V+RswC0W4y/ylcay5xIqv+dosZHVVq+lWDgXH2EvHQ/m5kdJC25ADuRSU -vVcyF3HjRouDQqT88/D+1Y4LaLzIjX62ZQ+p5uP6fk7lkBHPbDQsXrZvbgEF -mXO2Kww6z0YtMQ2Gz3A86iSW6t0wGx2/1zldtwPvz4MRTz/y8aBMmdeX03dS -MJhWXxTqxYO80ydDLXfh/ZDdNEHd4EF6TwWlpXZTUFn+zClqMS9as3HNszEc -x8rPEpiI5EV8O7eW3NmD18PTPJeUXl70ecTWuLQQ149YvVIe+TmoVyN0JnAv -3r/fjs4/uHMOqt+fc1WjiILVqsEC8p/moOKvZeEC+yg4ZTV/pNOYD8XoN8q8 -xLFwqM9gRC0fsjve9by+mAIxtZ5tkoJzkerk6/1ZJTjfVUt7voyai5Za/jSx -2U/BpNfjgrMDc9HvswtmyRygYJNg60ymFj96wbX2+g8cJ4k5Lw6q4kc3nbdF -dh6kwP5w8wLvefNQ5SW7tWWHcD6pvaoeFjsP5QqEvQwppeD2UrsXu1/OQ/5+ -uQe3HqaAf5aUwU1jAWT031GzBUco2PVwz2G+KwJonegl7hEcy9Z1mAetno8E -IrtvNJZR0Jt+4vCb4vmI6hyJyj2K6/sR75txXILooeQvWftyCsJ3dcyRjhZE -jckLX689RsFJg/E71KggOtC3tvQXjuu8Vwk9tV+AEhRY23uO43x+aI7by+4F -yCnPnudYBQX5C0qe8aCFSPNlWEv4CQq8WGMPt19ZiFao5sWgkzg/SPR1uYku -Qv+KytcJVeLx9jpXdspmERr5eGlkFMe2uw8IZO5bhG5r9xy+XEWB4p32/771 -LkKnyt5YFFTj8XWebcWeJ4QKxn7xOp2iwNp6rU+eiRAKMlv037rTOH+Gvzxw -cacQMjslG/cHx9vfnMqL6hJC66dZCvfPUPBK7L/+zrnCaKGDw2jFWbweQmQl -r5oIo+/14WVRNbgfzDo1d+seYdTPl2+lew735zFNCi73hdEVr2N8i2vxejAt -kRVaJIIO32i6+R7HmU3qR71tRVCy8L34a3W4ft7xljI/LIJcQ0cVd53H9WU4 -2+XxcxG07c7UW5cLFExH3Rv9LrkYSawUKlesx/lTaINjfcBixJ0gZ/MPx4qb -Hez4LixG7x4g/kcXcT8veSFhenwx6pB1bKtswOtpzMmlRGsJqsmKSIxtxPMf -srW8PXcJ2j2cv8HgEp7v0cknB3uXoDCl4+9Fm/Dvb3tXxSsqiiz2XD72Ccfz -+1acFPUSRZve3bNtvozn2yY+sKtWFAlvezuv8ApeH/9lHFg+KYomDv2+5X6V -gv2mBTcX6YqhQbZQ8sZreH5sD+XUFImhG0brNs26jterXnTy2+diqPyk9sc+ -HK/LLd96e91SlD7lWHHqBu5//a39DZKWIk+bSPuEZtyfChcWhncuRTp1BfON -W3B+S+Qy0xITR9I8Fe3irTgfbJDQuBgojnjdr6R8xfG96MV093Vx9PFK7+b/ -/sP90qwunsJ5y1D3gnefim7ifl7kpdCk2zJ0PvDPCS/A5zmdSxfmNixDRW3C -jkptFPTFeEfemb0cRYnLL+C5hfu9HqDWOS1HNjE6dwdwXHzQHTQuLEcq95zS -zt7G/cTGgpQJ7hVIVCZKObmdAv2/6IqL8wr0K23HF9M7FJw2MecNb1iBng1U -VK64S0GEtiyX4tyVqHXDVSc2jgMf8Wkd8VqJKnbcX9jWQUHPsdeml26sRNkj -7zpKOil49snlTorIKuSr8Tfdtwv/Xt6I1lj4KmSwX2TLlm4Koq+XGIh3r0Ky -X+W/zemhYKeyaTwjI4H49XWrh3CcMXnCIylbAn095uxSew/XT+23BxtfSaD7 -P6KE0nopuMjaZ2+xShJdtNjZtf0+7j/FBJYd3y6JSs6eyJR4gPdPuIy3Qbok -iuW6pvodx/npP2ONLkgiB+cH1O2HFLhEp5dXv5RE6pfenzr4CPdbToWSLguk -0DKBadeAxxQorRw0C0VS6K/vYhH1Pvz+qZT/YJQUetWq0MPfj/fDpNa1iiop -1LZEL/s5js8+FNh564kUqopwUb/wBJ/f/+ZIavOtRnmd0XTGAM7fy091LdNc -jQIkd52xekqBRIRFl3X4amScfNJ99SA+7/RsC3x/cjVS6Lu2eALHOQfnUQNP -ViNBhYf37g5RINe0rlKaXxrRuR9yDg9T0FXwrefBNmn0+MW0RvAzCuxeZzUO -xkijpi1Lvms+x+fTMaacVSONDhUp1sx/QcFGG+nHs19Jo8SPep6vcKw6+uyw -7GIZ5KztKtrwkoKZB1dVa01lkFZZzP3sV7ifyVWa2JMtg1aO7cqzfY3H78Rp -0fvXZRCXWaXWmhEK7lB678IZGTRafX1sEsfrXVZWR8itQXf+PjzX9YYC3k8r -yx56rUFn7D96HR2lYHmN4PySsjVoZ/0/sbC3FIzXF+s09a1BIXyiD7e9o+DX -PNlM1flrkbnX+oKF73E+blact9xwLdpwQ3/bGxxXVg3LemetRYuE3SYufcDn -wTydlfwta9FYSGxd3kfcT43ZbhSZXIsG2nf7OHzC5xfTF1WZm2XRtRVV4nKf -8Xo7m91qES6LyuJvPJrCsclwR1vWOVmU+uDRjntfKLjgOm9iyQdZ5C77iXX8 -KwVxZgVHF0jLIZQ18yPiGz4fu1n9CvGSQ1LDohe0KdwP9TlbK1TIodlKG/yE -2RRURUV+tnwhh97vNlj+DscaSRo8w8vWoc63bn1XaNxfHtF63+G8DtVujdu1 -g8Hj+fHJQ/Gydajw0B5t5+/4fDyI+PqG1qEIdtVP+THcXyjuf0AvlUdWRs31 -f3FcOtEaFOssj5ROPvZ/ME6BcmrhUvej8mjx1KcVJyfwetOpkbzwXB5NWnM9 -if6B8+vY/nbflQpoqFZsj94kBZRLrV62pwJqnr1Rd8lP/Huesm95qxTQMTfD -qQ84zmVWvvv+TgFlXnFvuP6LAsHZ6TsN5BSR94L4wN1TeH7yFgjPClNEeoGF -q9x+4/xlm3hbpkERrWmrHlj/B/c/ymrPGyYUEZ94S+EMju/cqjl+WmM9+hzd -p/f4L+4/omaZzc5cj+71fP5dNY371WRrmZ4769EF6VmX4v7h9dGXG/J73ga0 -L21psOEMBYVrPTwOWm9A0QMbJZdysYG3N0v7yJENyG6D0eBnHBtdD7CeM7IB -qe7wKGqZxYbURP/Hr2Q3oqUj8QZ7udlgsPoC1+qojei3+t6/HrPZMGxrLPHo -+kb0ouRU0yYe/LxFRVcnuDahm19aQrh52XCJZ2LxtTWb0Em9fqknOC77U2V6 -0XQTyjn2Zej0HDbcX2Kf+DJyE/L7Mas4kY8N/ccam3UObUKGFuJGJnPZUDdt -rvKieRNad3bTv2X8+Pfaa2ZfGNmEBLiMr3zDcchBZePLczYjyskz7OY8NvyV -M5EaU9yMHjYmSBcLsOGOqVJ9gO1m1Div6Jn3fDaU3pdZIJyyGR3wPV2iLMiG -z7v1vCZObkbxra3GvAvY8Nqtol2wazNyXPJk5imOjcWVHD3ozUgj4uvVmoVs -OFf4SeODqBJa3skdkbKIDTrxR3afZimhaYlla8yF2DA/gzfoWIASGkna/GKl -MBu6NUaYniIldPux8QEax9MrO/Q2X1NCp+S9TG+JsGEqXSfz4WslVJCbOOvA -YjY8z+++dXquMgp6UXTdbwkbirfcXnd5szIy3XImUlUU/7tr2sBvF2WkWPTf -2rlibHAs2fI2OU8ZLfj45OUwjhOUl0ZvrldGDPp2sG4pG/I9tY5LDSmjviOz -zdPF2dBAVeWbcqugK9+XzbZcxoZZYcj4vKIKOmyq1Cy5nA2+4mxuI0cVlFxt -Ej2G45MjSf3LclSQ618vuTsr2BBgWzooe0EFbbNPen1oJRsMT39WjBhSQRL1 -+0oDV+H5PsP9nZq9Bc3iO7tdQwI/v81d5+zGLeit500eAUk2hH3z1TrqugXd -vT7Q8gLHC66E/O3YsQWdFaJi6qXY8F7hcuX6y1vQ7hAe+azVeH18iDB+MLIF -hbUvf2Mtjb/n9xXBc4KqyGKF8hFpGTbU/ChecFNTFW2KN7X8gePzz8cDBYNU -kfAD7zmda/DzJED18CFVNLE2+b8ja/F6PgmHXdtV0dPM4rgQWTZEVdafdfmu -iq4PnVXYKofXR+fm3IMSaujoZhgVXIfHX+S5Ib+FGkrb/bTsNY4fvpBbeCNN -DXm+pawa5dlQ2Jv/q7pODels5Z2bq8CGziFjiZ5nakj60AqwU2SDLrpyQmGe -OuJlKyesXc+GzKzfh7o01NFHQ7P1v3B81UVlVWWwOuo+4fOuewMbhu6E2F0p -U0d1v5LLyzeyIe9ziR1vjzraa11iE76JDXyrd2uW/FZHkbU1/GgzG2y/S6x2 -VtBA1rPb2hYp4fX/T0DW3U0DqbgNJo7ieKPwuN/xvRpI9Ap7w2VlPD/zA36K -gwb6JTjnQ74K3k/v30z1MRroWcDK445b2JB9+uGOrtWaqBVU7NapsvHpPK/1 -t50mqlhqLvAHx7aKOk3BOzRRVrTv7V41NtTKKe9Y0qyJfHpSkivU2fA4NNb2 -3zdNpC+9f1OUBhsYHj5lGUkttDbt3EcdTTxeP15p77DVQvwDbRUiWng+FYcO -y+7QQl/XD9m/xzFfdosNb4sWul9Az7+2lQ17LmwrlKS10MXXc+7s3MaGu6Yz -HsnSW1GJ+qpUFxYbqnoK3y902opiS7YoKSI2oFgPzXeFW5H9F/PP0zh+6TyU -OHlrK1LT8zv5UBuPr7vpdcOfW5H4sVTHSh02nJJmlgwobkN/JvYviNVlQ8vC -77WVPtvQq+21d/X12HBUx6vk/JFtqO3MrTRRfTY0FW19++PBNlQ1M6T8CccB -6VHN6bwslOfEfLlhwIafqG+T/lYWCmjkq9pjyIasi9JeJrEsZDxPwtndiA3f -DIV99taykLyv6qKNxmz4sFLNatEoC81v3d7JZcKGgbei+n3iCLEX+2f04fj+ -MynHfmuEHoWnbTllitdDX1OVyG6EmjoOfIs3w/lJerH2gdsIHZKoqzYyZ8NE -TbyxzR+EEpNuu4hvZ8N3j9QQuWXayPnxsNDX/8VHrTI7VbWRlvz3rlYLNhxW -3BJZYKuNVubOzSqyZMOFyDJD/yhtNPNcQs3Lig2y8W+F/PZqozcqauzN1ng8 -uCJf5tRqo/a9Fqdn27DhRv7eK7c6tdHpD/5uAzjuXlNwRvK9NtqJ0kXO2rJB -+sKh1hPcOijkyMGeJDs2nClmz0aSOsj8e122qT0bVL5e3/lvmw7aYNquvsKB -DQqpwo6vXHXQoupnNIXjVycWpL5K1kFjf76fAUc2LHK8yjVzWAc9seP3KHFi -Q7Dm3Al0VQddvSC5xNcZf3/aL6/KJzroyBz1XhUX/H2fomylx3VQiqdl7hxX -Nux4bjV4V0gXuV8P0BzCcY9B+K+dm3QREsr4fs6NDWfPFN8Os9RFUiGHalLd -2bB2XqpOdIQumt1+3nO7Bx7/OaNZpXt10fvld0QlPPF43YgqfHFeF3XGPb/P -4PhqR2ekXq8uOnd/LO+2FxscbNNV733VRXvWztt60JsNrrwrv8UK6KHwTKlx -fx88vlxyx7Yp6CHLIfVaNV82rBldbCNnpoeUNlt58/uxQf+2pbhKqB5avDtw -6XMch6/XnPbao4cmRzMenvdnw4y0Av/FOj00pFVakBHABj2xSONVvXqo+eCF -bVaBeH19MOqo/6aHjlF3JqSC2BD76tteL0F9lGH4om4cxz2Xdtcqb9BHXifG -fe4Gs+FQpp6snKU+0v01b9nhELzf7mxYyIrSRzLWqx8HhbKB3885PL5EH/HV -auzUDMP5reKu+YNL+ugztzWaH47zvVDodeMn+uiea9DkSxxPNam2vPuhjy5c -zrxwMYIN6U/neVWJGaB9gof9siPxelwBjdkaBig6oH65bRQbTDMkW3JcDZAt -3O2TicZ/r/O94HS6Adqy9OWuSRz/+/1J4ssJAyQWPaHdFcMG5d2Xi61uG6Cp -boFfZbH4eaKTb4feGaAXq6Uvhsbh/bbAQCaXzxDdTNUM2BbPhi3SG9ws5Q3R -ySfWKxcm4HxooFy6bbshylkf/GQEx/vO3HuxPcoQ+RVk7bmUyIbWRl/NrAOG -yPD1Yd28JDb4zXe/8eSqIZJTvzhln8wG7/0L/MyeGaJ5JR0Nsil4/m3v6L+b -NkTfPr8MnMIx337wPyFlhB7o/lh1L5UNC2+qPk4zMEIN5fOfHktjw9J4+5K0 -YCN0YEJ6b0Q6G+IytjZU7DVC8du19LUz8Pd0zVJ/22iEHM/Y/BHKZIN9UIOS -6VMjpDETfOktjvv2G9f2/zZCy52yg69ksSGjAmoyJYzRdMMRyR3ZbOj9N6Nm -oW+MXvM3DDrl4PnR/eyNgo3RLZ/OIvlcNlxcYLXJtsgYVbe8MviLYzmpf+d3 -NRmj/MWTf+/nseG38aU3o0PGKChc8PKJfNwfBEgOefwzRqYdMqHRBWzoCvxe -Ni1tghQltq7W28GGTUbtm8DEBC1Ish1evJMNzXzrzlRHmiDmUUjxBxwLN9XO -rjtkgvrW5Rhd38WGLw53bQZaTNDlnLJ/u3bj7+f+emz1qAkqfd5wxXUPG562 -53zdP9cUJal0ha0vZEPl6U9GazeaIpe9r6VncLz9fHjLC3tTtO3D5LNHe3G/ -2O9oeTXNFEmgBfurivD8zB+d31RtimYdWWMSt48NHhpbp/t6TNFbZiuXYTEb -7NbvWSc6ZorumthdEyvB9bLm09E0cTN0tio04jOOC+18XebomKFdf3LWtOzH -/373X1RTkBkKtTv6ovAArucxTR9zi83Q9guNBzwO4vV+LaIz8boZ2jin23TT -Ifw+j4XF942YIWHPkVncpbh/HE543z3XHE1c+3m9H8cFdwO2yG02R08XLYw6 -fZgND3yrhWqdzdH14LWyiUfYkOw8mmOZY46O3t72yriMDf4SD0qW1pmjtOX2 -h5YdxfV2hteI94k58ogLM/+G4wciq+qWTJsj7fu5s2+Ws+Gm9okOk7Xb0eq1 -5c37jrGhYwtfVaXldsSTeSna+zgbzIK7DFYmb0cfB7vllCtwPRM1bW6t2o66 -N715zXMCj5fmJ76s3u2obtev0qc4ltw/rho4uR3tHV1oUXMSr/fDjZYxkhYo -UkuWN6WSDbcz3VwqTS2Q9UFWq1kVXr+q0p4TcRZImbKPXVnNhiTPdQGhJyzQ -EsNweRrHey12JM7psUA/K/LetJ3C9ZnftPzuhAUa/ll+ZP9pNvzQjx2ukbBE -rVZNln5ncD/nMaXRYGqJKs71zFE9i/sDz847z+MtURb36H98NWxY/aM1W6HS -Evm4TsUN4/hqdH3SiV5LpH95kWLdOTac6PJrVPtlidYKyr1Nq2XDn7sPxH8L -WaG5AeioRR3Ob/H5uV9krdCXmw7Wkufxfho4J05ts0K9YhFzx3A8Wco/MsvO -CtVH5UP7BTZ4NYU9kwuxQiXdxxIO1eP1Hn5ovk+WFYpdfXl94EU2PLLSTrlQ -aoXsU++9U2/A37NeRlbgghVSezJaPq+RDe3Lx0RT262Q+PrfNi9wPBBnv316 -2Ar9yReaV38Jr4+n4/dLGCv08pXcrcwm3N9KhB9T57NGoKadZH0Z54NI19bv -K61RZbHjRukruJ+Stt38n4o1yv0c8WECx2ODo/+OmlmjAN2C4x1X2dDmd0Bu -j481Mi4/bnfkGhu0X35s3JtsjeQnLguEXMf9XJF7RVUxzuXbe29r3WDDyL/Q -791nrRH79NtkwWY2SH3Nq58N1ujRv9+bXuO4z3DxsOVTa3TJUfhTQwsbNmtm -xdVT1uhgw7oTOa1sEJdalyfBa4MS+HUc7P7D/dWuYIHqFTbIycdJcO1NNrgr -lwpqqNggrZbIOz9xLC74b+8bMxu0cvGO1G7A9Vvly75jvjZoJqxCqbwN90MT -x0VDUm3Qm7tXPofdwvn5jsMa0wM2qH3V/ZOs22xQNDSHrXU26HTiO8dF7WwI -1W/4qNNug3Y8+rNgFMfzm+ornZ/boOB1Ih1Nd3D/eD6cyR23QWY58un5d/F8 -RwsOtgnYovXPdVQcO/D5IKDGaZGMLVqk4vxVrhP3cyK2STFbbdFYYVTVbxw3 -rl6h8dHOFj15v8O5twu/j8f8iohwW3SVdWJRRTd+38a1tXMLbNGRw1c7I3vw -+VkmyOtKhS1KYe5n6NzD9fh5Z3vcNVvkZvJ+i0gvG5yWqg0aPrJFrKq/397h -2Fu18rjCZ1sk+Ufk1NX7OD/XfhWX5rZD3HYKrjsfsGED16TF+uV26P15XWGX -h/i89rwMmarYoU5el26FR2wQ+lHLTt5uh855RGdN41hD/KdHa4Ad2nNtp9rD -x2y4Jmt4QCjLDoUvOsk+2ceGe0IuRclldsgy+NrpmH42iA2zbSYu2aHNtx+4 -6T/B672o+W12rx0SWf5BRHQA959W4XqrP9ihH7HTPR9xLGLaGDcwY4cGexfn -3HjKBp9L8inl4vaoeY2ixp5BnA+6Ex3ilO3RsQw9xm0Iz/+zTfO9ttujjEGX -sxuG2fBC5dNxj0B75LUpxoPrGa73m+QXRGXbI91du5b04ThaId3zYLk9khk9 -2Vv9HK+nPO+D967Yozla13PjX+Dz9Qnri0se2aNPBx5qGr3E8/Pm1qWYL/ao -59uH70tf4fVQL1k5yuOAzhv8q/mC47Lgp2n+Eg6oqGKJV+trvB/iDIz/aDig -6J+KYkUjeL8o9PCesnNAtlb6DzzfsOHgp+ZrnpEOaMs51/zNo3g9TQd6bdjt -gMS4Y7fOfovr+eXl3ItOO6Apl93jT3A8bbnsBE+bA3reVFl75h0bJPirNOY/ -d0D/zb/hnfQe54v5X/vXTjqgE/6Plpp+wPUhVTbKTsgRZd/8+HD5R7zfgnMX -HVJ0RL5iMwUUjpOGZa59MnJEhlGiLPiE92ebQoClryOS617/o/gzG+S5L0l0 -ZziieasNzvt8wfkx/N57+6OO6FuKm6/KVzY4Qn7zjyuO6EF/7LI533A9qPh0 -ouaxI2pQ3PN4EMdXj/04HEE5ov35VTvPUWwIUqo5ZcTvhOJe3UCpbDzfbT86 -ldc4IQe1x5Pm9P/+f8j92co6Tki9+NOFVQz+vdtLXQzcndDyzzN+DI5do5p7 -Q5Kd0LSO2Irb39lwuWCfe/UhJ/T66Ib+A2M4Xw9GLKAbndCtcYPd/uO4XxVe -92b7AydUbe6uozaB36d75yB8cUL5p+N+zf2B91+Qzbg+nzMK/Lfn4jMcr1fX -V30p7YxMHKsDzk/i/sxNtGqntjNSaGhemfGTDSZ9KepG7s5IkL/vieUv/P6R -K/8sTXFGjPfnPVJT+Dz/uvrrdKkz6mvm0hvHseiZCwKTTc7ossjS33d+s+Ht -0idusx47o9KwjY2lf/DzfGpHJNjOKOmuYVDQXzZEZvx3xFrABbms8pDQnGaD -jebBglI5F7Q1Mf6pwD/cPyhdrGMbuKBVjwr3vsSxUN9OfhdfF8S17pT+xRnc -L1gdqhzKckGj2S1/srho0GnSjQ+pcEF3n/VdsplFw/7OX/kLW13QWeUvwTLc -NHCtFR/oHHZBHB6OhicbZnwP/HRBHB6OBkt+LpWYJa6Iw8PREFoVYuin7Io4 -PBwNp+t2HAu2dkUcHo6Gm2VlajmRrojDw9GQW/1iaf1eV8Th4WgobivQoepc -EYeHo2FJTecl1OOKODwcDccfdoWf/uSKODwcfp/gC7Gr+NwQh4ejwcx//93a -NW6Iw8PRsC20yM9U3w1xeDganF81Wk/7uCEOD0dD3vCcovZsN8Th4Wg4uaFk -2fGTbojDw9Fg72/xaxe4IQ4Ph3/vxha53a/cEIeHw3+/XL3u+LQb4vBwNNgp -aufcWeGOODwcDSEspfp/Wu6Iw8PRwG33baO5qzvi8HA01K40nHshxR1xeDga -ZKYWqUsddUccHo6GDYxYW80Nd8Th4WgwvSZRqTfsjjg8HA07zn94/v2XO+Lw -cDQYPZWJblrqgTg8HA1zR/d471T3QBwejgYF6c4LUU4eiMPD0QAlqQ5hSR6I -w8PRYNxt5JZ6xANxeDj8fL47cPy6B+LwcDQYKuRk9w95IA4PR8PKJsGqFVMe -iMPD0cBq/SqVLO6JODwcjmeVz/qs4Yk4PBwNr4YO6IS5eCIOD0dDv4rxKHeq -J+LwcDQcOin97ny5J+LwcDSs0d5jFNLqiTg8HA0DD17O13rpiTg8HA3LK2JV -JP55Ig4PR8M6U0vPPQu9EIeHo+HukoGBRZJeiMPD0SB3nZo+sckLcXg4GrSz -rg+q63ghDg+H5yff2ve5tRfi8HA0DJ56fHKHjxfi8HA0/MmzKN4W64U4PBwN -H4ufbZ7O9UIcHo6G61N5+R0HvRCHh6Nh3xXnPWWnvRCHh6PhX4a3XsJVL8Th -4WgIe1J5wa3TC3F4OBqSf67oMR/yQhwejobAb4+PGH72Qhwejoas5FZx099e -iMPD0ZC+dsDcUcAbcXg4Gr5qCSlFrPBGHB6OhgO3fbqL1nsjDg9Hw7uc68I3 -WPhI9v88HA02PP8EKUtvxOHhaOgsXN4i7+2NODwcDbMkJ8VjYrwRh4fDcWak -fHuuN+LwcDSEywZ8W3nIG3F4ODw+Nlc8c854Iw4Ph8dvUiWPueaNODwcDfzt -N5yDur0Rh4ej4Y3UkpHPz7wRh4ejwVVtiVj8N2/E4eFo2DOS94//nzfi8HD4 -95sUSmsW+iAOD0eD0t/7L62kfBCHh6PhfZviALeyD+LwcPj7W3nTbur7IA4P -R8Owl0hfroMP4vBwNDx7Mn/QJsgHcXg4Gs5NnN6tkOKDODwcDZet9n0TLPRB -HB6OBsX1CX+njvsgDg+H8102TzN90QdxeDga/H+OyNC3fBCHh6OB72v91l/9 -PojDw+H9HrxglsAHH8Th4WiI33wsWvaXD+LwcDTcb/i+d/s8X8Th4Wi4wXva -Pn2FL+LwcDRYTRt3Xd3gizg8HA2rRcLfT2n7Ig4Ph/NR1PHzhra+iMPD4fH7 -5rPyuL8v4vBwNDjN01ObTvRFHB6OhsopmArY7Ys4PBwNuw45+T075os4PBwN -6/12Jjhe9EUcHo6GeaWdG1/d8kUcHg5/P++efRFPfBGHh8P5cYv+obkffRGH -h8P5z9Zb+/yUL+LwcDR4K20vdp7vhzg8HF7fFpF5iyT8EIeHo0G4R2HF481+ -iMPD4XwT8dahXN8PcXg4XE/OjStHOvohDg+H19u+q5fMQ/wQh4ej4exwTp9y -uh/i8HA0zH9bt1+m2A9xeDgapuqyx1ZV+yEOD0eD2KDV99VX/RCHh6PB4ZxP -0aZuP8Th4Whw4Z/uMnrhhzg8HN7vGT4ng2g/xOHhaNiu1CdygNsfcXg4Goa+ -7ljducQfcXg4GraKtN7jWeePODwcrqcXqxeYb/VHHB6OBto36ssxS3/E4eFo -yI+z8vjp4484PBzeD20Rwa4J/ojDw9FQ2j42r3uXP+LwcPh9S7l0tY/7Iw4P -h9fDt+uCtxr8EYeHw/VORCPc7I4/4vBwNFRMHPV8PeiPODwcrifCP96mffVH -HB6Ohqc7XKakZ/wRh4f7X31/XvFEOABxeDgabo/m9BetDUAcHg6v558upTaa -AYjDw9Hgdzvog4RFAOLwcDSU29bfnvQOQBweDudHa3n5wfgAxOHhcP75Nbj8 -1q4AxOHh8PoVu1h6+XgA4vBwNHwQOVXW2BiAODwcHv93Z6Wv3w1AHB4O1+cl -NcpdwwGIw8PRoHu7qOsNFYA4PBzuJ47qvuHhDkQcHg6/36szaZtFAxGHh8P9 -jkd5WaB8IOLwcDQsTvurepYViDg8HA0pstW2jE0g4vBwNDiO+LF1AwMRh4fD -33NZZM6J1EDE4eFw/dLOKOMpDkQcHo4GdCHybOypQMTh4fD6aLi44dv1QMTh -4Wi4tZd7Q8T9QMTh4WhYILzu1NSbQMLD0fCzdGRf0WQg4eHwfHC9pRUFgggP -R8NYy4fbTySCCA+H19utCr4ClSDCw+H3L25u1TYJIjwcDR5KH0Z4PIIID0dD -jFpPTF9MEOHhaCi6JhB9bkcQ4eFwP2Vt/mzXsSDCw+F8tU7+QmxjEOHhaMj+ -gj4FdAQRHo4Gnjsyu32fBxEejgbpkLCSYCaI8HA4fyw5+zeJN5jwcDT4Znn3 -lCwLJjwcrj9fZKaaNgYTHo4G9SWJO17rBxMeDs+X7rPYxS7BhIfDv6d2v9Um -MpjwcHj/qN3zOpoXTHg4vL75zT2+lgUTHg6Pz/f2JoOLwYSHoyGyqdrz3J1g -wsPRULbfyUv0WTDh4WgosDS/XEgHEx4O1xO5987zeUMID4e/bzjf8tCyEMLD -4XxStveA7KYQwsPh/LXCSabdIITwcDRMlGzjCnINITwc7tdO7V8jGh1CeDga -uhJr9t8vCCE8HA19tb0GRcdCCA9Hg6C9yTanSyGEh6Ph2xz/RIWuEMLD0SDR -7TPG9yqE8HA0LFyRc54aDyE8HM4HP/9Vv+APJTwcDQbdQoNPJEIJD4f7oQRa -7+mWUMLD4fXu1P1pxCyU8HA0NLLut094hxIeDtfz8DX9QkmhhIejQbb812L1 -olDCw+H1NhG8I/BUKOHhaOAVK5M72RxKeDi8fkWqJt88CiU8HA0/wo/Tih9D -CQ+H97f4SaHs6VDCw+H1mNri8kokjPBwNGQGT3fpy4cRHg73R+uiXC5rhxEe -DvezUcsE1zuGER4Ov7/3zKv68DDCw+H9tW/VA428MMLD4X7iT+zQ/aNhhIfD -9WzRrz8hjWGEh8P9Zd0JVaGuMMLD0fBokVtB26swwsPhfndi2eekH2GEh8Pr -N7bDTXN+OOHh8PlA1OA1j3Q44eFoSHiUHDGkEU54OFzf/+nNvWIVTng4Gkb8 -M2uPBoYTHo6G71t4bHdlhBMejobDvGdnsg6FEx6OhqZ+9frs8+GEh6Mhas4O -tz3t4YSHo6EjxHVuxbNwwsPh8VZOu3jjezjh4XC9/FNn+WpuBOHhaAi4ee6D -gGQE4eHweeTNphhdtQjCw9HwXH6KzraIIDwczocdV917/CMID4f3t96yG8vT -IwgPh+vl/kcz8QcjCA+Hz598uRuH6yIID4f7QcdBA4P2CMLD4f6jPFK7+VkE -4eFomGPyZYX6WATh4Wi4qDE6dJM/kvBwePxOs6MtpCIJD4f7Y+nq9x/UIwkP -h9+vdt/mnVaRhIejYXKftq1SUCTh4fB+LmAZv8+MJDwc7jeOL1hQeTiS8HC4 -v9RTqvK/GEl4OLw/dWW5lTsjCQ+H+7+2QAX+15GEh8P9Iitk+afJSMLD4frT -++hjIF8U4eHweTj35movkSjCw+HzjaDKf24SUYSHo0HF6/0Vd4UowsPhfudY -noCvWhTh4WioEb7dGqYXRXg4PB9a5l0pllGEh8P5CB4q7nWNIjwcDTsjfn6q -DowiPBwN3UZhf27GRhEejoaq2AGfV5lRhIfD72MwtJK7MIrwcPj5W5cqyB+J -IjwcDfWz1HY5nIoiPBwNhYFPVHc0RBEejobm0CKl1tYowsPRYLFlVuqPrijC -w+H+Z3HnAuWBKMLD4f4kL+lb3JsowsP9r/6eF26hoggPh8+j3ZOZvL+jCA9H -Q6znVyW7OdGEh8P90pzl8meFowkPh/P17CV+/1ZFEx4O96t3Al47K0QTHg7v -/5flR2+oRRMeDu/3+ayDK/WjCQ9HA1tloCffKprwcDj/eH/cOuYWTXg4Gnrb -f33yCY4mPBwNvy7vuT8YH014OLzfmiQ/W+VEEx6OBjd/Vc0HRdGEh6Ph9UET -sCyPJjwczhfKAwkDZ6MJD4f7BQcbT8/L0YSHw9+vpZ5ItUUTHo6Gtbp/WzPv -RxMejoY0Rmij6LNowsPR8Jf5cq/hQzTh4XB9F/5ZYjkeTXg4fB4wPpU5NhNN -eDj8/HMeB8rmxxAejoal0cX39MVjCA9HQ/V05dqJNTGEh6NB6vFU1VmlGMLD -4fNK8V8WPv0THg4/j0dwapl5DOHhaAgWK3z4zCmG8HD4fP50qK3CP4bwcLg+ -xVjdC4iJITwcPi9rWVBKmTGEh8Pr4azCmtmFMYSHw/XLVDt68EgM4eHw9zx/ -8/Di6RjCw+H8puSHCi/FEB4O9y+m4jfDIIbwcLg+brU2te6NITwcDXW6LqMa -wzGEh8P7/0ZW3toPMYSHo+HEioUbxcZjCA9HgzmfxYgAVyzh4WjQ2JJXyiMY -S3g4vJ6zJy1nLYslPBwNch8/zuOWjSX3sxm4m1l2d45KLLmfzUBcnmnGQp1Y -cj+bgQXb1ZVXWMSS+9kMiFpVjCq6xpL72QzsXH11t05QLLmfzUBl4rV1LvGx -5H42A02SQ/8l5MSS+9kMMPPNDQ7viyX3sxnYYmJws+VYLLmfzcCbmfG1787F -kvvZDBxNKkhfdC2W3M9mYPXSdW3ad2LJ/WwGpL3mUHGPY8n9bAZm2IbcF17F -kvvZDFRkCv379DWW3M9mQPvz7ldyU7HkfjYDJhJPK8PmxJH72fj7Ni82vCwS -R+5nM9Bh4tc9IxlH7mczINTwdp3lhjhyP5sB4Y4L/lVaceR+NgMr+V+kTBnH -kfvZDBQJFQTaOcSR+9kMHN5/a12Tbxy5n83AYpcqWBIdR+5nM+BupSmblhFH -7mczsCSpzOPDnjhyP5uBf9R9X7uyOHI/G7/fp7cqHWfiyP1sBkavv3+odTmO -3M9mwLHrudKVW3HkfjYDJUd7XZUexpH72Qyo9bYaN72II/ezGZgSvvhT7Usc -uZ/NQOC2UwFtP+PI/WwG2pXKD5jzxpP72QwMjBWnvRCOJ/ezGbh5KEsiSjKe -3M9moMfMN4VvQzy5n43H233TniqteHI/G3+v/EtLHZN4cj+bgX559463DvHk -fjYDP6nKb7v84sn9bPw+y8rblGPiyf1sBj6Hq2q/yYwn97Px/P7nGbx/bzy5 -n80AzwSXplF5PLmfzUD0Yu6GmZp4cj+bASM1k+6Wq/HkfjYDh/Y056beiSf3 -sxkoc1B/yeqLJ74SPJ/jVf28I/HEV8JAYf+Q3yMqnvhKGLBPv1pU8See+EoY -UNkrahnFn0B8JQw8vPy42kAsgfhK8Pu13Ny9ak0C8ZUw0Jp6adYfpQTiK2Hg -zsfMOc+1E4ivhIGzPL9Kb1okEF8JA/nfPjecdksgvhIGvt1fbVMckkB8JQxc -+hqelJGUQHwlDNw/dlAqqiCB+EoYUDD3MPM/mEB8Jfj7korH3asSiK+EAf/L -bDGXhgTiK2HgzGnNq843E4ivBMe8Kr1uvQnEV4LXz8kjjr7PEoivBI+vo4xD -+KcE4ithQH55yd2UyQTiK2Fgzlhp9R6eROIrYeDr+FfqhHAi8ZUwEGKmX3VN -MpH4SvD8bbG52bchkfhKGNgwqwN935pIfCUMvFtoISNilkh8JQx0PykNVndO -JL4SBj48MRX0DkwkvhIGxs8IC+6NTyS+EgY2jh8MaM1NJL4SBoxjE8TokkTi -K2FAccx55ZqTicRXgv97s5F4j/pE4ith4Ep4zary1kTiK2EgzN5yyfOeROIr -wetbKMpx1XAi8ZUwoHu7etTvYyLxlTBQXJp9uf5HIvGVMDDxoKLnz+wk4ith -YKSlaLW5cBLxlTDw9r/RGyckk4ivBK9HA/miyQ1JxFeCx79/7JjVtiTiK2GA -9bPz7QWzJOIrYWCbtbLXApck4ivB81/cujAmKIn4SnA+jv1MDyUkEV8JA3Ld -2/7p5icRXwkD6eFa6g0HkoivhAGu7T7lklVJxFfCAPhsWnOwIYn4SvD+ui7V -Pw+SiK8E15fAhqq8+0nEV4LzwyG7klkvkoivhIHXSXnHsr8kEV8Jzj/2l2/x -TCURXwl+nwyHf7v5komvhIEgm+eWi0WTia8Ev792Y9NJmWTiK8HjdVdfdpNy -MvGVMLAvlKvmtk4y8ZXg/NVtruxklUx8JQx8yi/uYDySia+EgXm2mzwLw5OJ -r4SB3d+LfsunJRNfCQOrNgqW9u5OJr4SBq5+4N8YXZZMfCUMeHON3l5ak0x8 -JQwoRTMW7VeTia8Ery+tokdRd5OJr4QB2XBhA6knycRXwsCN1X3nnowmE18J -AxJ5kn93f08mvhIG1nRYaOhzpRBfCQOL5M97cC1MIb4SXK+n84NhZQrxlTCQ -cVTWMVsxhfhKGBAPfCZjqJVCfCUMVA+8fyhomkJ8JXg9++2zH3JKIb4SBpqP -L2s6HZhCfCX4e8W638cnpBBfCQM2cc++GOenEF8JA+rehbDqYArxlTBw+fAy -759VKcRXwsDpFzd7+xpTiK/kf+PVONPQlkJ8JQxceLX2Z8nDFOIrYeCkh11D -/KsU4ivB9TUmVM6NSiG+EjwfS4+5GfxNIb4SBt47LjbdLJBKfCX49wy42BLL -UomvBH8/124DoXWpxFeC10vD8HZe9VTiK8H5tnAJz1/DVOIrwfmhz9v/h30q -8ZXg+ewdifjul0p8JQyMPbi0kolNJb4SBgY1JiO+56QSXwkD1xM6PX+UpBJf -Cc5/U9rUn5OpxFeCx0s3dTFvQyrxleB6P1TYtwhSia8Ez6/1bhmJB6nEV4Lr -sXEB36aXqcRXgvuVoJIkvW+pxFeC66VXc5zzn1TiK2Ggihacip6XRnwlDBx8 -Vsq9VzyN+Erw+hpzL6qVSyO+EgZOLAop61FLI74SBjyXtUlShmnEV8LAgWkf -KWGHNOIrYcDwku0RDf804ithwEmzJMc3Lo34ShiIqFz6cl9uGvGV4O//++7U -zf1pxFeC83Uo/ZSuTCO+EtzviW2Mkm5MI74SBvg2l4U7t6URXwnOLz8ke0se -phFfCQNetdd29r5KI74SvP4rzE/ys9OIr4SBRvn7C02m04ivhIHpBpknu+en -E18Jrk/Ht355sDyd+Erw+Pr+tViikE58JTi/5JrMuGumE18J/vt8vl81JunE -V8JA5i8x1UmndOIrYeBjkstVg6B04ivB9av2fPzhxHTiK8H9hsaXqK8F6cRX -gvPny2+ndErTia+EgWyHwgVHT6cTXwnOn+EVtROX04mvhIHh8cl46zvpxFeC -+9+OwPCG/nTiK2HAtadtr9DbdOIrYcCKetgfN5ZOfCUMcMt6b302K4P4ShjY -nMS6oyOUQXwleD982RhUJ5lBfCX4efv/yIhtyiC+Erzf9vj/zEMZxFeC6+Pv -jS8mLDKIrwTX50XLHwV4ZBBfCQOI9/3DZ+EZxFeCv1/cdMgqPYP4Shh4dITn -Q1dhBvGVMCA42PtD91gG8ZUw0LXZmQfqMoivhIF7Cz0WbGvJIL4S3N++2bvo -v54M4ithoECijA89yyC+Egb2b9/A3P6cQXwlDBz5OdVhPJVBfCW4n2w6s/PR -3EziK8H5RuLlZpelmcRXwoD+ez14L5tJfCX4PHM1c32sWibxleD1tF05mdso -k/hKcL6Lnqk44JBJfCV4vJmc8rUBmcRXgvujSpfQlvhM4ivB/X+glIBNfibx -lTBgtz4q8cvBTOIrYUD588vzeacyia8E14eymbOSlzOJrwTnZ6Mg/5vtmcRX -gusp77k37v2ZxFfCQANjJT4zmkl8Jfj8toGaWzWWSXwleH0l5TlIz8oivhIG -Oq+JZk/OzSK+Elwvf0Xl9CzKIr4S3F+MDjidWJpFfCUMXJTcwR0vmUV8Jbje -+t9NN5PLIr4SBvICH3Wt3pRFfCU4n4x+ePNbLYv4SvB+P2zY2Y+yiK8Ev4+5 -YuIFoyziK8H1qbef3mGZRXwlDNR/Ttzo65hFfCUMWCfbqCDPLOIrYYDf8djf -5YFZxFeCx8d3b8FURBbxleD6le/2aDAhi/hK8PqqQUNXM7KIrwT3SzdDj5UW -ZBFfCQPs1sXLE4uyiK8E9y+V4TZOpVnEV8KAW3iNtmZFFvGV4P0jN/l2xZks -4ithwOxNgSZXfRbxleD8fm6X7rsrWcRXwoBFueLvrv+yiK+EgWtPjnnW380i -vhL8vilzog/ezyK+Ega+XzuomDqQRXwlOD/+F13s8zKL+Erw+m3rOGr6Pov4 -ShhInGkxUaayiK8E90+tSeUrfmQRXwnu77Sli+ZMZxFfCQN/n41IfOfJJr4S -BmLfDFi8mJ9NfCX4/S+uEe9anE18JQzo1IylXV6RTXwlOB8peyRVymQTXwk+ -L9/ayb9PMZv4ShjY01GyIV0lm/hK8Hmq7fD70K3ZxFeC9+fm64qu+tnEV8LA -+lMLuc3Ms4mvhIH/am74atllE18JzgdPH1kqumUTXwmOeyPurPTLJr4SnH83 -nYeFYdnEV8JA/IWTiDsum/hKcH765aP7IzWb+EpwPbk3t+NTbjbxlTDw5dOZ -rhd7somvBPfby6yNHx/IJr4SBgw2LdPrKM8mvhL8e7MXX2upzia+Egb6Yiyr -Guuyia+EgVMBL7hrmrKJr4SBu/e7n1a0ZBNfCQPLTqxZUdqeTXwluD8a/vto -771s4ithQC/XdTy/P5v4SvB5uc48O+N5NvGVMLAr6FlS4tts4ivB/f6w4FDU -12ziK2GgdA19LGQ8m/hKGBBNy7/r9yeb+Epw/Vo8aOk5O4f4Shjc3n/WcBHI -Ib4SBmLE2rLtRXKIr4SBuuWea6yX5xBfCR6/w12rt0vnEF8Jni9qOtFEIYf4 -ShgY2j2z2lA5h/hKGOh92yulp5VDfCX4/JTgF6Otl0N8JQw43msXZpnlEF8J -AwtjPnJttc0hvhIGlvLe09R0zSG+Ety/ywXfVPfNIb4SBoR3tuxSC80hvhKc -X140H1WNzSG+EtwfvfOgt6TmEF8JAw5hFflbcnOIrwT3o0aRrlv25BBfCa4X -9h0RWw7kEF8Jrsfph//bUp5DfCX4fHd5wEC1Oof4SvB5bjKGV60uh/hKGOgx -9/qt1pRDfCV4fXXtXa3RkkN8Jbg+7vySqtmeQ3wlDPBc9uPZei+H+EoYMM/4 -fGNbfw7xleD3X+N/FD3PIb4SfJ4fv12t8zaH+EoY2LqV6tf7mkN8Jbjf9Lov -ZzieQ3wluH/tMTtp/CeH+EpwvzxuqW42O5f4SnD/4N7G3i6QS3wlDDwtzbll -JZJLfCV4vWql1tsuzyW+Egakvu9tcpDOJb4S3C+HHX/grJBLfCW4XwjdM+2m -nEt8JbhetKqzvLRyia8E9wOq+UW+ernEV4L77zbLbwFmucRXwkCwWYJ9iG0u -8ZXg/m5woDPcNZf4Shi4E22qE+2bS3wlDJQoX4S40FziK2EgQf+VdlJsLvGV -4PU93HA7NTWX+EoY+KUyo5uZm0t8Jfj5Z09Czp5c4ithgLc4VLXgQC7xleD9 -5rLl9K7yXOIrwesxp49/b3Uu8ZUwMLlP1Ke4Lpf4SnC8/EndgaZc4ivB+bL3 -xbvSllziK8Hj7ThL4Gh7LvGV4PNYltCK4/dyia+EgeTlL5ac7M8lvhJcPwQ2 -TlY9zyW+Etwv6A42n36bS3wleL/cPuld8zWX+EoYKDzm8Kl2PJf4SvB6Hr9t -fuFPLvGV4Pn9WV94cXYe8ZUwoPpt9HSjQB7xleDxWL22tEkkj/hKGJD5x3K9 -sjyP+EoYWDc2NnZVOo/4SnB+zZ3jel0hj/hKcP0y3FZyQzmP+EpwP/3O+UCz -Vh7xlTBQI7zKs0Uvj/hKcP92zHSsxSyP+Epw/+F+wrTVNo/4SvB+133u3+qa -R3wleLxMW3VaffOIr4SBFTtnnreE5hFfCQO6Et5KLbF5xFeC66XhMd3m1Dzi -K8H9gFHovBu5ecRXgutb5o4d1/bkEV8Jzmdu565dOZBHfCW4P/TdeaSpPI/4 -ShgIWPdctrE6j/hK8Hp1dvStr8sjvhI8f42NxnVNecRXwsCz2RUDZ1vyiK8E -rx+1h3yn2vOIr4QBDcOfL07cyyO+EgYo7U6b8v484ithoN2MDih9nkd8JTh/ -nVwlVvI2j/hKGNixd7b3nq95xFfCQG2imW7+eB7xlTDQOlR1PeNPHvGV4PO1 -9pVbibPzia+EgbX2612jBPKJrwTnp77LOUEi+cRXwsDRPRNbvJbnE18JPj8H -H4xxlM4nvhL892FaqhYK+cRXgs8b5/dl6CvnE18J7p8dVE00tfKJrwTni6b+ -Axv18omvhIHuVQJuMmb5xFeC+9mFmSeW2uYTXwkDcxc/dp3vmk98JQy49FUW -zfjkE18JA/f5S7aMheQTXwmej/3bLN7F5BNfCa7f7gZPB1Lyia8Ez3+cwb2O -nHziK8H93N9h+Wu784mvhAFT5YqxM/vzia8Ev0+komTp0XziK2Fglsyqxryq -fOIrwf3rC4HqmNp84ivB9VJ777jnpXziK2FgtbDGSfPmfOIrYUBzw+kz6rfz -ia8En9f3BvDI9OQTX8n/8pfQtQV9+cRXgs//ueqtv4bzia8E97uHIoRG3+QT -Xwnun97rX+75nE98Jbj+TJpVXfqeT3wluB6HiQyXTeUTXwleT3PkHbJmFRBf -Ca7nlSvFAvgLiK8En1/UUsXNhAqIrwTnv5EHLhvFC4ivBOebJ9VDwlIFxFfC -wK2AvOIfcgXEV4LPN/N/Zw5uKiC+EtxfOu49dV29gPhKcH79fffPEe0C4ith -IPyufG6ScQHxlTDwpFZH3dGqgPhKGAhp2bd0i1MB8ZXg84hcmZSwVwHxleD+ -Wf+NFTuwgPhKGIjI+VLdHVlAfCUMfIpXXXoqsYD4SnA+eGJQl55ZQHwleDzi -j7g47CggvhLcT9tckN6wr4D4ShiQK+Dm4T1cQHwluP+0WDL1rKKA+Erw+Av6 -cDWcKSC+Ega0DPzE8uoLiK+Ege0VpVqOVwuIr4SB6LcxIetuFhBfCT4PzI6p -/H23gPhKGEgVnv+q534B8ZXgfze6vvLoQAHxleD+lItyD3pZQHwlOL/flDi2 -5X0B8ZXg8/K6uwOzqALiK2HgwALrufcnCoivBPcz3qqbD/8tIL4SBri2fdzu -xbOD+EoYaBn5P5ruOyzH9wsAuAhZERFFZuIbWoiiJytSGiglMyGVtJfSrnc/ -rxWRkL23lHGsrIyUlYoyMurV+zyvGel3ftdzfP87F95xP/d9zrm/1+d6zxPf -YZ2zaF4Jnq+za7wbe2TRvBI1+MwwnXTOKIvmlWA9mh3YI35wFs0rUYPFqf33 -J5pl0bwSNSQEOwW2ss6ieSVq+HTmyqdrtlk0rwTza03YjNTJWTSvRA2HIh6k -TZqZRfNKsF71sctp8ciieSVqWHdwcOYlnyyaV4L9Uf/G6bFLs2heiRreHdKu -slqVRfNK8L6ce49pCM2ieSVqiI/bH7o3NovmlWC9aPgT5JucRfNK1HD9gaW5 -niiL5pVg/h50sKCYzaJ5JdhP97veOm5LFs0rwfuoUW0Xs51ZNK8EP//B5c8q -92fRvBL8fEknfKTHs2heiRouZPXbPP58Fs0rUUP/510y6y5n0bwSNTw9XT18 -Q3EWzStRQxv2U+KEB1k0r0QNc7rKk94/yaJ5JRi3HzxCXpVF80rU8Di+bYr1 -uyyaV4L9YJ587Yv6LJpXgvfdZz36JGiyaF4Jfp6LjV7Gv7NoXoka9HvGWkFr -Ec0rwf6luvWhRR1FNK8Ez9fk9wV/9EQ0rwTXc0/Egpw+IppXooamjVqbRg8U -0bwSNdx+Wev7cJiI5pXg+oavOLXCQkTzSnD/SGvWN9uIaF4J7h/RrqYNjIjm -lWC+aPP949DpIppXgv33PfP5ha4imleC+fntdueZXiKaV4L9hTirqGKhiOaV -qCF1FLN35XIRzSvB+/Ba3XZfg0U0rwTvOx4O1esiRTSvBM/vXWNTnQQRzStR -g/FoTQ2bJqJ5JXi++rbT6SUV0bwS7P+n7szZtkFE80qwPz7SdmO/bSKaV6KG -HplJXN5uEc0rwec1ffZp40MimleC67n9elnuSRHNK1GDU6C+e58LIppXgvXh -ucR0E4hoXokaxkwJ8OpyW0TzSvC8On2pSH8oonkleH+/ufBE01MRzStRQ3LJ -9/KQahHNK8F+7MzPqbXvRDSvRA3VuvktHg0imleC94tTFlrXNCKaV4L9+dFa -x1G/RTSvBPePO/cgp7WY5pVgv2exfWObjmKaV4L9nLHh5iA9Mc0rwfw48uCj -x73FNK9EDcdPrXMYO0BM80qwvk959m6rqZjmleDzK6or/DVKTPNK1PB19IdL -3mPFNK8E96uebv25iWKaV4L9zlflJL1pYppXgue1eO/lQBcxzStRg/npxAXX -54hpXgnWezM3o96+YppXgvv1s/OPID8xzSvBeqDap7q8SkzzSvA+Fqf43SVM -TPNKMH/1GWu8IFZM80rw8+65P/tgkpjmleD5tUrJ5jPFNK8E6/mI1DpbhZjm -leB92L6ZSdkspnklaihb2n9Xca6Y5pVgv/ZcX1tnr5jmleB+Hdlh1YwjYppX -gvnKzvR+5mkxzStRw58hOf/dKBTTvBI1vJovSmm+KqZ5JWqozen3cMwdMc0r -wftEQ3KX4EdimleC/cjhigm7nolpXokaesa7+pRVi2leiRrMPNouaf1eTPNK -1DAicrCrRYOY5pVg/Y15MGCBRkzzSnD9HUyfZjSJaV4Jrk/buQHHtCQ0rwSf -36zo52U6EppXgv+eP9H/e1cJzSvBej1gkL2BgYTmlWB/MU9lPtZYQvNK1BAQ -aMnPNpHQvBLcn8ldUlePkNC8EjXs7LmrKsNaQvNK1ND+T7uW7bYSmleihs6+ -i+tOTJLQvBLsp04Ws9dmSGheCX7fMs+fpW4SmleiBqOG//q98pLQvBLMd2mL -f39cKKF5JdjfRnVRcv4SmleihrNNs178CJLQvBLMn/njH/8Ol9C8EswvPh9j -muMkNK9EDSbLw+7+SZbQvBK8nwfUX/+VJaF5JZgP/IKXflVIaF4JnudjHfIb -NktoXgl+3jYvUt7kSmheCfYD8fXNT/dIaF6JGnxF83rcPiyheSVqWNzaHM6d -ktC8EjXo9kv/u/uChOaV4PuvDngoBQnNK8F6+7nBLOKWhOaVqOFA/UB97wcS -mleC9wEzg3TbJxKaV6KGNbcrYw0rJTSvBPtBh3WNP2olNK9EDfPWdn5f9lFC -80qwH7q+0etIo4TmlWD9KR4wKeW7hOaVqOHhVtg9t1lC80ow/xSujTLRltK8 -Ery/dF5SqOkopXklWD8qowNBT0rzSvA+Vnk3Q9RbSvNK1HD1Pz8dt/5Smlei -hpvuMxq7D5XSvBI1NDDpNk9GSGleiRo+e/Z7tcFaSvNKsF9dYFjjaiuleSVY -38QptjqTpDSvRA1bU7w/X5kupXkleH499zaEu0ppXgme74xV9kM8pTSvRA28 -1tGqMl8pzSvBzzd8zc11flKaV4L//sE53nSVlOaVqKFuVKr/wzVSmleC/ZnW -s17h0VKaV4L5Jfh0ux6JUppXgvmtQ2/LU2lSmleihtwP7Ta6SKQ0r0QNz0Xr -hr9XSmleCd6v6xK5+C1SmleC521Nm49d8qQ0rwTzS4cuHfP2SmleiRp29Ng6 -1+yIlOaV4Hr57b557pSU5pVgvZxuMm/iBSnNK8F8JO7f4foVKc0rwe8TIn0+ -pVhK80rUsP/cMrheIqV5Jfh5c/Iv25dJaV4J1uPySY8KXkhpXoka+my15Ua+ -lpKH46A8N2PA7vdS8nAcHNI3mq/XICUPx0HpaX7bOl5KHo4DwyNtaj7+/Dev -hIOzp6cOdW+Rkofj4Euro4Fn28rIw3Hw2838cM/OMvJwHFysvlEb0V1GHo6D -pOBFuo96y8jDcfBoimaEaX8ZeTgORltG2yaYyMjDcdBK/NL6oZmMPBwHfUx7 -9OpnJSMPx8HVNd1fBYyTkYfjwM/9nuiUvYw8HAdLHEb0+DFVRh6Og9eThseP -d5aRh+Ng85tTRbEeMvJwHORMOP/47DwZeTgOLH+YXFItlJGH4+DAdHX0YH8Z -eTgODPg2LZ6BMvJwHDjOdPPICJWRh+MgbPTVwFPRMvJwHAwdOnXGywQZeTgO -epreqWtJlZGH46Dh8bgpg8Qy8nAcjPRMnT+JlZGH40ArUjl84WYZeTgOjLJc -j0Vtl5GH40CSeOCNZLeMPBwHW6szbuUekJGH4+BgcbnnkWMy8nAc6Kri5efP -yMjDccDv9Q+8UigjD8eBeUXcp+sgIw+Hsd6e9jeLZeTh8PmF3rl2rURGHo6D -KYWP9S89lpGH4+C70/7m089l5OE4WD1wZNz+ahl5OA4sXrmkZ7+VkYfj4BjU -G6V9kpGH42DggcYJwY0y8nC4f3rbv/P4JiMPx4GX3SXd0b9l5OE4uF7pWthd -S04ejgP3oJc1qnZy8nAcNGpNSS3uLCcPx8GLRcHbcrrLycNxkPZp/NDA3nLy -cBywpxWDbIzl5OE4OB85S9ZqiJw8HAeXN3svuTVcTh6OgxWmG7aLzOXk4Tjw -/1hpO32MnDwcB27TDOxa28nJw3Gwxcckp9BBTh6Og3XqOo/VjnLycBx0zpzg -189FTh6Og44z2t2+6yEnD8dBU68hieHz5OThOOiaFZVisFBOHg7Xt7ai9IKf -nDwcB58HWwR4BcjJw3EQpz1vqnq1nDwcB5caR/hlRsjJw3EwsZPySu84OXk4 -fP5jF3kdWCcnD8dBSMeooVbpcvJwuH7Vp8wKxXLycBwcvcb5TWDl5OE4qMrU -u3txk5w8HD6vi6r5Ntvk5OE4eHrcr+eJnXLycBzMW+PybdA+OXk4DpY+zPyx -4bCcPBw+z8Wq3i0n5OThOPi01tdr5Tk5eTgOrJmjR0uK5OTh8PnJinqPuCon -D8dBjO7SLaJiOXk4DnaPjTepvScnD4fnx6EGxpTKycNx0MVq9bLMp3LycBx0 -q2rqXP5STh6OA9Mqv0KjGjl5OMw/O9YtX/JeTh4O18vHVHf3Zzl5OFzvPmNP -VjfKycNxIL2QNqPXNzl5OA7avflYPrNJTh6Og4Ce9m5rW+Tk4fB57/ctOKCt -IA/Hwc5LfTqUdlCQh8Pz4+0+6auugjwcB0Pcni/Q11eQh8Pn4Z7pbdFHQR6O -g5l1oy1nGCvIw3HgHVH01newgjwcBzrjvgQHDVOQh+Ngo8eBu9EjFeThONh0 -8dL3RCsFeTjcLx5tuWQbBXk4DpiH884lTVCQh+MgoVTiED9JQR4O98uLSDbU -UUEejoOHzg15fs4K8nAcOEfeDnJ3V5CHw++TVsWP91SQh8P8ul7Lsv98BXk4 -Dvru6jy41WIFeTisJ7nlxVXLFOThsN4sGNHrXICCPBwHhXsbuolXK8jD4X4z -/XzaO1xBHg6fd0bTz8ExCvJwHKhdNa8/r1WQh+PgePvD/seSFeTh8PWGfE0L -ylCQh8Pz3fWArYlEQR4O68nQrRkvFQrycBwshtyl0o0K8nCYf4ZKSmy2KsjD -4ffLH3P1da6CPBwHPuGRE9J2K8jDcaAqM5o4aL+CPBwHa/+0gkuHFeThcL+Z -/Loy+4SCPByub3rF+HdnFOTh8Py6x4wIu6AgD4f5+NqBjb8uKcjDcbBK3yE4 -8ZqCPBzWN3HPM3+KFeThOAha2ewfc09BHg7zx5/761QPFeThOPDN82leVK4g -D8fB4OOx1SXPFeThOBixpcVodJWCPBwHux7fOLelRkEeDvfX220Hvr9TkIfj -YLnf/C/unxTk4fA8fH2euV+lIA+H9dD90+ofnII8HAdmU/x3TfmuIA/HQZuj -xkbSJgV5OPy8KV9ePvirIA+H61F6qKpTG5Y8HD6fq0P7TWvPkofjYO96u5y4 -Tix5OA7Kdl2ZdagrSx4O139lps2THix5OOyfElfNaTJgycNxELvdPs+oL0se -DvuJbVX64waw5OFwf0QZnnUbwpKHw/rp+ijWbxhLHg7rW2DZ4tARLHk4rC9j -fy+Ps2DJw3FQP32gKHE0Sx4O6/+ivjcSxrHk4TD/Lb/ZI2YCSx6Og7GRXHSw -A0sejoNhj9d+WjCVJQ+H78/bBcyYwZKHw3qc1FE9yoUlD8fBqdlXE7u5s+Th -8DzEj9VVzWHJw3Hwy84x7+Y8ljwc5sPud//b4suSh+PgzumtJ/0Xs+ThMJ92 -ZkeMXMaSh+NA6ZS5Xb2CJQ+H56ncu+l4IEsejoOVHrXTAkJY8nAcTD/SFN83 -nCUPh/moU3J2SRRLHg773Vcum6PiWPJw2A9VTIg0TGTJw2F+05hZFCWz5OFw -/4Z+L56bzpKHw/WvjLX6nMWSh+OgspMyPF7KkofD9RnaJ60ty5KH4yA8j1si -3cCSh8P6ZPNDp3M2Sx4O+712OrFZOSx5OA5mR7Y+0pzLkofDfpopzgvZxZKH -w34z0dz95R6WPBzm120DLjkcYMnDYb5bFPt692GWPBzWs/peBc3HWPJweH5v -VDFzT7Hk4ThouXk4et9ZljwcB8ss/Ly5ApY8HAf9Dr6rtbnIkofD/Gal3y3u -CkseDp/HhPLXZ6+x5OE46J+j7Vp/kyUPx8GaDOm8vndY8nAc9D7k/Gt6CUse -jgOX3sMtQh6y5OHw/Hnq/FI8ZsnD4fOb89D98BOWPBy+X9SS0Vefs+ThOOi+ -ae+u0pcseTi8H/xO3lBZzZKHw34xtKpdbQ1LHo4Dz1F5TTVvWfJwmB8bjgVW -1rHk4Ti4/0ozp/QTSx6Og3vnvM9AA0seDs97fnHGoUaWPBzeH7yH3JHzLHk4 -zCddFscEf2PJw3Ggn7BEOe0nSx6Og8QPPbr1+c2Sh8PzYrhcU9fMkofjoHjM -ru3zWinJw+HrLXJquKClJA+H9Q2GBBq0UZKHw3rufahHhLaSPBwHzZGuH0ra -KsnDccDtl74d2F5JHg7X68GLdlE6SvJwHIj1jrsVd1CSh8PzU592qXsnJXk4 -Dr4alzsv7KwkD8dBDej/2dtFSR6Ogx8W10s+6SrJw+H+MPEsNOumJA+H+XfP -9BuBekrycHj+Wul/3N9dSR4O+69Po4fW9FCSh8P8vNAkoVdPJXk4fJ4nlnx0 -6qUkD8dBW7nfqngDJXk47Bd/7G8+0FtJHo6DbKfDe8r6KMnD4f3G5pNPk6GS -PByuR2mNcf++SvJw2L+v0+Uc+inJw2F/3E79cLGxkjwc9leyNgVr+yvJw3GQ -v+H2gU0DlOTh8PP/Ktp5eKCSPBw+7zYLd1wepCQPx8Fjj5E7HwxWkofjQK/+ -yJ6XQ5Tk4TiItvE59M5ESR4On4/2+eP1Q5Xk4TB/pE053WiqJA/HwX7XtNPq -YUrycBw4rfhx7MtwJXk47B+sB+359J+SPBzmE3GmstZMSR4Oz/O+A5HPRijJ -w3FgZ9HB9c5IJXk4Dm47mBsVjFKSh8P8Mz/vZb65kjwcB9phlRKphZI8HAcb -6oL+C7NUkofDfoF9WjDHSkkeDs/XqTxLa2sleTjcn+1cs7uOVpKH40BzKfDd -R4wFD8fBs+gEQxijJA+H93vXTjYbxirJw+F+fLJznJ+Nkjwc1mP9G/3MxynJ -w2H/vf7vu58YCx4O++mZVTIYryQPx0FmYV2PNFsleTgO/j4/GDvFTkkejoOX -RscLW01Qkofj4Ft86tMijAUPx0FR0Ol7YROV5OE4mFF3b4uJvZI8HPYry33s -nmEseDgO1n+sP5PGKMnDceCh6dZqlIOSPBzWA+U846cYCx4O97+HW5e4SUry -cBz85y4q6TNZSR6OgyeWG+cVYCx4OMy3JlonPaYoycPhffz9svKPGAseDu9b -RbOuJkxVkofD+2eCR1SXaUrycLj/17fmtmEseDgODqu/Wpo4KsnD4f1q8OWx -RzEWPBwHH6erm82nK8nDYf3rMiTzJMaCh8P+7dKXByNnKMnDYb9s+aH8AMaC -h8N8+XZvtrGTkjwcBwWYUDZgLHg47F/KSl1az1SSh+NgW0voxDUYCx4O+38n -2/cvMBY8HMYWtyczzkrycBycfHJ6bj7GgofD/flja5/WLkrycHg+fvdULsJY -8HB4nupunS/AWPBw+HyWOcm6zFKSh8N6cM2u6xKMBQ+H96NfE+xPYCx4OKxX -hxoMfmMseDjsh43qt01xVZKHw/5iSkWxCGPBw3Egu+q95R7GgofDfrC2k24H -NyV5OHye+ZuHTcVY8HAcvDie834txoKH42DO8ktTTmIseDgO6p7mT6rFWPBw -HLy5yVfruivJw2G+PjLbYDzGgofjoOfFVQ2LMRY8HN6/Xr72TcNY8HCYv44u -9N+DseDhsP+RyrSvYix4ODw/yl52FRgLHg73g3J/u0aMBQ+H9XnAx+VaHkry -cHh/25g1rxvGgofj4Mxfy5dGGAseDuuX7eaGwRgLHo6Dju8Wy4dhLHg4DrQW -TLo4HGPBw+F9uORTpCnGgofD8zG4Y+FAjAUPh/ux39zM3hgLHg7Xc1J8ZSeM -BQ+H9/nX5gW/8fMKHo6DXIPhvT9iLHg4vO++NGwuxVjwcBzY1xX5n8dY8HCY -bx/CrK0YCx6Og8s/as5HYyx4OOxfdzzL88BY8HB4333koz0cY8HD4f0kZkjd -H3xegofDfDmPm/wAY8HDYX3qlNBnG8aCh8P6qZsSsAxjwcPhfjx7edRwjAUP -h/39GHVgPe4nwcPh3z/w3OgwxoKHw/2jNdphOcaCh8N8PvDWs74YCx4Oz+dt -t1eluH8FD8dBn9yNnikYCx6Og9qRnnajMBY8HN5vvnsrnuN5ETwcnr/5/rMS -MRY8HN5fDk2M6Y+x4OFwPw4+2vEKnkfBw+H6/Yhp54Ox4OGwH50SuEqN51nw -cNh/G86ySsdY8HAcGKfVL9bHWPBwHNjG6XG7MF8IHg7vw2rpu+EYCx6Og1tP -ho0/gflG8HDYP3YpUFlgLHg47KcS2mmdwHwleDish60/hg3HWPBwGK8byezC -fCd4OHy/0kMre2AseDjsb15afE7FfCl4OKxvaVuKv2B+FTwcB6/On/nphbHg -4fC+vWJB6kXMx4KHw/OqWLW0L8aCh+OgZPTZTXGYzwUPx0HnwF5G5Zj/BQ/H -wY1ZQZphGAsejgMLXbbvWqwXgofjwP/zos13sb4IHg6fl3XhAn2MBQ+H9wP3 -xDBfrEeCh+OgvEhalof1S/BweL7b3Vr3Cuub4OGwv3mlG2WIseDh8P06zjw7 -G+uj4OE4+B60wC4L66fg4Tho0h7R4QLWV8HD4fn5vrPve6y/gofD+0TKrkhd -jAUPh/fPzgbdRmP9Fjwc5uOBX1SeWN8FD4f5JUyvSyTWf8HDYX59ujpYgf2B -4OE4OObOddyH/YTg4bA+6Uk/FGC/IXg4rLdRZlq3sR8RPBwHY9rf9CrDfkXw -cBzMTZv+oQL7GcHDcTCg4tCpaux3BA/HwaQRNWersR8SPBzeP5/VNFZgvyR4 -OA7ertqztAz7KcHD4fM9NaDDbey3BA+H9+Vzs98XYD8meDg8j4Wjv+3Ffk3w -cBzIHW7YKLCfEzwc9kNd/xyJwH5P8HB4Xxv1yt0T+0HBw+Gff1hlYo39ouDh -cH8+3DWsC/aTgofjoNPFDJ+32H8KHg6fb1nfgnPYnwoeDu9XGUEO6di/Ch6O -g0Y29qsr9reCh+PgdItzWU/sfwUPh/nYqvHFCyMleTgO3r0Mbr8V+2fBw+Hr -/S1dMAf7a8HDcZDaNOxFR+y/BQ+H5yEjLfYK9uuCh8PXO6meEIL9vODhOHD7 -lDLEUF9JHo6Dn01Oo67jfUDwcNgfd1/oswLvC4KHw3p0uXRvO7xPCB6Ogz1f -Lnbdg/cNwcNh/9wyNscO7yOCh+Ng2klfh1K8vwgejoNzZtO0l3ZUkofjYKZO -t3cqvP8IHg7r+cyXr6LxfiR4OOwvl9/Q/Mb7k+DhsL45NAxMxPuV4OFw/42O -CWhqrSQPx8GibqJbEXg/EzwcByvHiKLLWljycHgfH7ZXeu4PSx4Ov3/o2XvK -Xyx5OLzPp3S28v/OkofD83S/9VVzDUseDvP7HXW4Bu+bgofD/lNt5XQC76OC -h8PnfX/YpGV4XxU8HPbPmtE+XfE+K3g4vN93vcyeecOSh+Og4c3wt26vWfJw -+LycPni8q2TJw3EwaJL/y9AXLHk4vB9+6r72G96/BQ+H+VQaYBWO93PBw+F+ -2Fb9t+4BSx6OA5uLqldz7rHk4bAfvmXw+MItljwcB0kPqst73mDJw2H97Ff8 -PhBY8nC4/tO82l64yJKH4+B1n36WzQUseTg8P+cPrrQ9y5KHw/tZpvTAmpMs -eTgOshx9G3OPsuThcH10Tk28fpAlD8dBhO3w9a/3suTh8D55YNnHr7tY8nAc -DEtsM7n1DpY8HObn2Sdy2+ew5OFwPYbr/dDezJKHw/UNf+bWpGTJw3EwoufJ -fR9kLHk4vP+FzP5xX8SSh8Pn4xs15XA6Sx4O9+f4J6KkZJY8HPZr9SNvuSSw -5OE4GFsztUkvliUPh/Wyx+vBjyJY8nAc5PW8NSl9DUseDvOH7u3ZlkEseTjM -n9dPez5bwZKHw/2XvdApwo8lD8fBuE07R+gsYsnD4X0xdO7fTT4seTg8f3Lf -y308WfJwmP9atgRku7Pk4Tg4tFn9t6MLSx4O+7Pnbkkx01nycHhf0d37sXIy -Sx4O+5uZr8ePs2fJw2H+8f8RJhvPkofD+0ObOvbFaJY8HPaT+Qc39LVgycNh -vtjoEOdtxpKH48C1/ZnJsqEseTisn106fCkYyJKHw35nu1t8ZV+WPByu16Yt -dd8NWPJwHATf+WbZsQdLHo6DXn9SfXvqsuThOBCpfP16d2DJw+H+8d49rYc2 -Sx4O//7vGO12LQrycHh/366Tp/6lIA+H9+uOyXrlXxXk4TgIMeu66Fijgjwc -1osfn1OTPivIw+F5SHFJcnqvIA+H+7k5dHanGgV5OFyP6zm/b75UkIfj4K5H -5/jopwrycLi+87s/6F+qIA+H/eLVX5qr9xTk4TiIz9P/4lOsIA+H9dClsOgz -KMjDYT/oP9knokhBHo4Dw3u6dzVnFeThsL8+lNIh+ISCPBwHiWmtDasOKcjD -4f1uRPOvKXsV5OEwnzyrPbgnT0EeDt9fz2To760K8nAcpD9lwmZuVJCHw34n -7YR4vVxBHg73u+pbSGmWgjwc3te8cwa0T1WQh8N+ImNI3pgEBXk4DgafGfrB -N1pBHg77vX3Wv+NCFeThMJ8cfFvBBirIw3FQkVOeusNfQR4O758f9n7LX6Qg -D4f38dxmy13eCvJw+H4LRTabZyvIw2H+i/naNtVFQR4Ov1/1t9wVjgrycNg/ -Wk37M9lBQR6OA/eSnYMNbBXk4ThYUnC7+1trBXk4DibfSLuLFwHycFhfknZO -9zNVkIfjoP20elnPgQrycBxU203IuWqoIA+H/c7i4OBl+grycHj/SJvf7m8X -BXk4rCe+n1co2yvIw+H5XaetMNRSkIfD5xucvTa3SU4eDvfb5g3mvb7KycPh -6y9QH8pSycnDYf03PvpZXScnD4f3vfG3OY8aOXk47E91Z8DhCjl5OA4MOtrO -+V0mJw+H98GDx45NuS8nD4fPL+HCw7RiOXk4rBeWUecvXpGTh+PgcHab5fUF -cvJwHPA7RS+7n5KTh8P6vHqUgdVhOXk47Lc9hhg57ZGTh8N6/Cyvbl6unDwc -3r+uPo9btFlOHg7vJ4a6Txco5OThsJ6Nyfw5O0tOHo6DbyfF7xyS5eThsL9L -WZkzNE5OHg7rhybCQDtcTh4O1/eMzuKKQDl5OA52PEkP3b9MTh4O81mZi0vQ -Ajl5OHxeufd4U085eTisn5tX+1fNkpOHw9ffeXi3yFFOHg73W98/h0cwcvJw -WM9+1SbfsZGTh+Ng6fHawQss5OTh8PPOzNz0YZicPBz2gxFLygMHysnDcdA7 -ol3t+z5y8nCY70frX/HuLicPx8Gc2WZBNzrKycPh/aCX5qNJGzl5OLyvp/Ue -l/xbRh4O86GPq0+ZRkYeDs9P31mz+jbIyMNh/jQq11/0TkYejoPz4XtPbqmS -kYfjoJuBdODdJzLycPg8n3sF8Pdl5OE46LmyOqNHsYw8HPYzi5riR1yWkYfj -wHM6O2PiORl5ONzfO9Iapx2TkYfD+0zFzVDHfTLycJivIh0f2O+QkYf7fz3W -ame+WUYejgPVg+9GBnIZeTgOoor+6/YjXUYeDutr0KG3DxNk5OE4cChK35IX -KSMPh/X8wJMRK4Jl5OE42LyoYK+Jv4w8HAfJjEeral8ZeTj8PG/uO8jmyMjD -4fk39l1p7SwjD8dBUDfrqLLJMvJwWP8HbQhaZSsjD4f5r9sl55+WMvJwWI9V -Tb2ShsvIw+F+Md/5sHmAjDwcBx2PtURE9paRh+Ng4LE4nbddZeThcP/Xhcqd -2svIw+Hzk7ppH/z7z8NxEOiUGfL32z8Px8HxebIHLqp/Hg73W8zrQRvf/fNw -WK9b2oQ+rvzn4XC9KtPPty//5+HwPrpN82PMvX8eDu/fYR/HLLj2z8Ph/Wdy -6/D4C/88HJ7/XXXHlCf+eTi8f1RNqs/b/8/D8bCt3W2zfTv+eTgeZn4zD9uz -6Z+H48HDdeLlHOk/D8cDSM/riVL/eTgevrYODA2J++fheHCrH1HpEiolD8fD -a//KOYNXSsnD8dA9ybuKWyglD8dDGKOIvjBXSh6OB++Dq4fEOEvJw/FwDr58 -GDlZSh6Oh9ubu92oGiclD8dDnXNFQZq5lDwcDzOMvO4MGiolD8dDme/274V9 -peTh8PNIbk516iElD8eD4eyvZ0s7SMnD4edXzHVybyUlD8fDdK9e2ne+S8jD -8ZCvFf7BRiUhD8fDBfMD33a+lZCH4yHh4R+LVi8l5OF48B94bbt3qYQ8HL7+ -Hadxh25JyMPxEHlLo8NfkpCH42G2eHA36zMS8nA87G2c6h58SEIejgdP95KS -HTsl5OF4WJdimXF7s4Q8HA8LXv9a90kqIQ/Hg8+c4xfbpErIw/HQzrdmYq9Y -CXk4Hky7DtcZGCIhD8fDpf+G9xviLyEPx0PH7uKk/vMl5OF4OFbx07KHu4Q8 -HA+HZlnYtEyTkIfjIe3nl/Vv7STk4fD7/Pdn6lVLCXk4Hmpbhs7ZbCohD8fD -/VWO4NdPQh6Oh53W42XDekjIw/HQ1LHuUp2OhDwcD0WZdnN3/BWTh+Nh0CgL -T5evYvJwPLRPvn5d80lMHo6Hv79+7tz4WkwejocN/d80mj0Vk4fD9U/ZdO7S -PTF5OB7O3xz1ddpVMXk4Hloffn789jkxeTgeqvSvfJx0REwejofK7n32nd0l -Jg/Hg/qN6Yf+2WLycDwc/GN5Ok0qJg/HQ4RBVoeaZDF5OPz7lknq0dFi8nA8 -2OTEB6YGicnD4fNR18bfWSImD4fff+xQk/ZeYvJwPHg9vhps7ywmD8fDAKW1 -Z4iDmDwc7ofzOm+yx4jJw/FwRau814X/xOTheHh4auSPx/3F5OF4mMReynyn -LyYPx8MSjdndxg5i8nA8LH9kcV3zV0Qejod3Z0RRao2IPBwP5i9+1b3/KCIP -x0PxwDmGT6pF5OHwvJgF97hYJiIPx4ORq8mzbbdF5OF4iNq3ckXEJRF5OB5G -GJrcmXpKRB6Oh+aV3i26+0Xk4XhYY9K2W9k2EXk4zF8lNn/lrIg8HA9dLrV9 -ODldRB6OhyBneZo6VkQeDj9f8bdBW1aLyMPxsD9mzcmxfiLycDxMPWdt8chL -RB6Oh5ba9P1LnEXk4Xh4E3PcoJ4RkYfjYXjXtqKQ0SLycDxcXV73+/MwEXk4 -XO8VhTFL+onIw/HYD39peagnIg/Hg/WQv1vHthORh+OhsCpo+pamLPJw+PrT -b3TlvmSRh+Phzu6c71PeZpGH48E9Q95K+TyLPBzmowZHq6clWeTheNhqF6Ps -cTWLPBwPejMq+jufzSIPx4P2Esv3aw9mkYfj4ZHHrPf7crPIw/GwJ0Lb5K4y -izwcD72P2Bx6n55FHg7zR+Tj6N+xWeTheDhSX7yh4+os8nC4Px93b91jaRZ5 -OB46bIK7+p5Z5OHw/HtXf+nqlEUejoefLZnR2hOzyMPxkGteu5y3yCIPx0M/ -H8PrFUOyyMNhProWqCzqnUUejod9Yv1nmzpnkYfjYcLZZVsDWmWRh8PXH3W8 -aszXTPJwWH8q5hz88yGTPBwPfrIrrS5XZpKHw38/yK8++lEmeTgeLiZeWv3f -jUzycDz8We8pf34+kzwcD99Wgdu6w5nk4XjQscguMs7LJA/Hw+YxyeUX1meS -h+NB1jRyl0tGJnk4zF+j5w99GZtJHg7z74u7QUuDM8nD8bDF2CX6zeJM8nD4 -72VFzgvnZJKH4+H0n5/cY8dM8nA89Nr3LcTBNpM8HO63/ofuHByZSR4O68ma -Xm07DcwkD8dDQ2fn/1bqZ5KHw/P5cZH95faZ5OF4yIuMnN7ldwZ5OFzPoxec -533JIA/Hw+5+yzy212aQh+PhxudziyqeZJCHw37gRXOc3p0M8nA8OLpvODTl -YgZ5OB6yg59q1hzPIA+H+/+P9eLs3Rnk4TCfS03485syyMPheQoeceZxVgZ5 -OB6eXnx6sC4+gzwcnr+gwopvqzPIw+H+nBHv0rIkgzwcD4lat9q3mZtBHo4H -e3PP7lrTM8jD8TB6QX34r/EZ5OF4mNVpnkXDiAzycDwkQZhHRf8M8nDYX7QZ -UH21ewZ5ONyvazxe5rfNIA/Hg+R0K/ekn+nk4Xg4nmM9zas+nTwcD8E9f181 -fZVOHg7r6+SIe5rSdPJwPDwIvRFQdCOdPBx+/v+M96w9n04eDvez3bVkm0Pp -5OF4+FTwTefL9nTycPh+2t2m7FSkk4fD/ql6zVjnlHTycHgefZM/cxHp5OF4 -0GopWLFxRTp5OMyPZ7aeNvdJJw/Hw4vnJ57eck4nD8fDK8fs59726eTheLBY -oIb3Funk4TAf/1mdvXpwOnk4HjTb7/mpe6aTh8PPO+3BiBCddPJwPJjsmfGn -rimNPBzGWkYV81Vp5OFw/3mPKrn7Oo08HA99vWJfWpelkYfDfmxhk27OzTTy -cDzc3Hgt9Nf5NPJwPAx7X9fe81AaeTjcT53YqiPb08jDYf7a9Pl7szyNPBzu -j09jFjsnp5GHw/7Ss7DfpvA08nA8fOxy1/aFfxp5OB5iRxdfMZiXRh6Oh4w3 -fU7PdkojD4fPeyTTT2yXRh6Oh9SRhToXR6aRh+Nho3bvxI/908jDYX1/9yNV -r3saeTh8Pj21Bo/VTiMPh/317w/Lvb6nkofDetF/9dzwj6nk4bDeWS/8IX6Z -Sh4O60Xv9fN23E8lD4fn5b0q8eiVVPJwWG8b/SMKTqaSh8P9U6JxuJKfSh4O -97/P3sarm1LJw2F+uyUTQWYqeTgeQjc/0i+KTSUPx8OqPdt3nAxMJQ+H/dKo -ESP2LEglD8dDz6o7d9e7ppKHw/W+XJyU4JBKHo4HK6+0ecusUsnD4f7dEzLf -cUgqeTjcP8ZaG0x6pZKHw39/+2p7LZ1U8nDYzw12KH7xK4U8HA/LnI2fHK1P -IQ+H/e+ndvaJ1Snk4XgYOPl055mPUsjD8SC2e+/U41oKeTgejBeHtbw4nUIe -Dv997Wib7XtTyMPxYLDP8LdPdgp5OB5UUww9e4pSyMPx4NBtnNuDuBTycNgf -GmVoUoJSyMPh60/s72K9MIU8HA+jelguq3VNIQ+H9agTP1nmkEIejoe4lfu/ -WVulkIfjoTOzM+PF4BTycJg/smyb43qmkIfDv9/77Yre7VPIw/FQcazbgzM/ -//0+HPbzjdNtXT7/+304PE8RhoW1lf9+H46H/jeHzo188O/34XhYu6x3L234 -9/tw+HwfHeiw/uS/34fjYVrWtvFG+f9+Hw7Xs8uNk/kb//0+HA9zv7SKN834 -9/twPIy57rDrUPS/34fDfscqYdTwgH+/D4f9659C8/0+/34fjoeX37qcGOD8 -7/fh8Pt0yynYOuHf78PxkLJIPFd31L/fh8N+u4fh+pT+/34fDvOfeGMs3+3f -78Nh/+Ho3Gtp63+/D8dD44/p9ds+JJGHw/uxgdHUNfeSyMPx8MGgoytzLIk8 -HA9y5fABnZRJ5OGwfssOPi4LTyIPh/dttjQu2zOJPBzW35y2Fl7jksjD8XDd -4lan7kZJ5OEwXyw5MuRe8zrycHgerwdsWFezjjwcD0PS7geb31hHHo6Ha8ug -pGrfOvJwuJ+uTb2dKVpHHo4HNjs+ZmTQOvJweP9JzflaOmsdeTjsr25/9Qqz -WEceDvOBZ9N53R7ryMNhfbxlNfLQt0TycHi/859U5vAikTwc5p9R7648LUok -D4f90HNNp4AdieThcH/eWVb2IymRPByen6GTzTL8EsnD8XCvtMC827RE8nA8 -TFmu82uraSJ5OLwv2m/Y1b9jInk4/H5xD5m9DQnk4TDfNLqrhz5KIA+H/ar9 -nZIDpxLIw2H+K26uH7opgTwc9gd7ngbujU4gD4f9eJGr3wCfBPJw2P8eS23Y -ZpdAHo6H9Op9g/WNE8jD4X00pP0gWasE8nA8nLXXa93m7VrycPj5Gqa/ii1e -Sx4O3//BzMeqA2vJw+F+4cu/LZWsJQ/Hg9nACyueBq8lD4fPq6zOfobbWvJw -PLhZBOYUWa4lD4fvr7dy/Qj9teThsB/82ndy3vd48nD4fVrV3epaEU8eDr/v -77F2KRfjycPxsGvGziJ+Rzx5OB5c39xZ4p8cTx4O66dnrNMzv3jycDw8uyRT -zpgWTx4O6/OFFo+LpvHk4fC+HPD+0KiO8eTh8PkHBp/Nb4gjD4f9+t1qucGj -OPJwPIzX2jFbfiqOPBy+3hfT/tqb4sjD8aC426tbQnQceTgePvfvO/mbdxx5 -OB7+u6NdtsYujjwc9k8vC6rq+8WRh+OhfP/YpFWt4sjD8XDgcO6zj29iycPh -/s3s3yqwOJY8HK73V90+qgOx5OGw3hU9sQ+XxJKHw9fb9VXxKziWPBwPi5d3 -Nk1ziyUPh/XjRPmQrlax5OF48NTqu3+Hfix5OLzvHi+9M+pHDHk47P/vfD98 -vSKGPBz2k72PBfpciiEPx0OfdiOGavJiyMNh/tlQ/JtNiSEPx8OKKe87WPjH -kIfj4Uv3bmvKHWPIw/Fgs/SdU/zwGPJw+Pne9Ckc0jmGPBwP7WQplWVfosnD -Yf7IaFua/jiaPBwPlvqHztmejSYPh6+XJTryNTuaPBzGQytKT8dFk4fjoVvf -T1OjFkSTh8P19OtvOoGJJg/Hg8+x39ntB0WTh8P9PLfX2efa0eThsD5PHJB3 -9EMUeTgeaorfRGbeiyIPh5//COO9/FgUeTi8b/AWAU7KKPJw+HnL7ty0iogi -D4f5crOlYqBXFHk4XL9MzJvjo8jD4X3/cfbHrn2jyMPxMD94cVHXlkjycDwc -/aGM7/kmkjwcvt/++04DiyPJw/FwZUDJDOuDkeTheChdtmCrszSSPBwPCw+s -9AkMiSQPx0PIxPqjrEckeTjMJxF/zlwcHUkeDvNV+G32i0EkeTjsd/qzS4f9 -jiAPx8PkH0dnrnoVQR4Ov49aGXzqWgR5OMyX8659bLUvgjwc9ocHX9bME0WQ -h8PnE8pGnw+KIA+H533wwZK+bhHk4bDe/m7dWmoVQR6Oh1aPNtpp9YogD4f9 -ccOybUm/wsnD4f2scb1Du+pw8nA81Od6uG++Gk4eDp/Hufr6EXvDycPh/eH+ -5TEPssLJw/HADbB1jw4KJw/HgzLow8JhbuHk4XiYV7Ak5a1VOHk4vJ9beVYf -6BVOHg77zZasTVFNYeTheAjXKb3r8iqMPBz2Hw8Mdo28HkYeDvsh64W2vfeH -kYfjYabXqYudJWHk4bDeu4+b3ikkjDwc1m/d4a30Z4eRh8Pvq/+gg+nYMPJw -2L/PSs6aahhGHg7re/nJ3OC/oeThML9deBW8800oeTgeSh5uN6q+FUoejge9 -MOl9kyOh5OFwvzn67YljQ8nD4X2nQ92NFxGh5OHwvLmoPKZ4h5KH4+HkuMVh -hRNCycPx8MjL2tFuYCh5OOw3ncO+3mkbSh4O84P5UofiJ2vIw+H6nreo7Ja3 -hjwcrr/hFa2olWvIw/EQv3bTH85iDXk4zIc7FuiLmkLIw/HwfHHVepubIeTh -8N+bf7/cShFCHg7XN/Zg7dt5IeThsD517+JYOzCEPBwPe096j/5Vv5o8HK6/ -48tvZudXk4fD+hPZVB6XvJo8HH7fj7a9385cTR4O99eNMZ0Deq4mD4f5/Hvu -L52aYPJwPBzeN/6/W4eDycPh+ibUf9gZFUwejodvnQ4mZDsEk4fD/rFvvNXh -TsHk4bAfbZsyp/JZEHk4vN//bBz+X34QeTge3s3p0mrL6iDycDz4qSwHDBof -RB4O85/3nScl2kHk4fA+PcolYFNpIHk4PM9uTiYJuYHk4fD8DfH1SgsIJA+H -/XKlmePh0YHk4bD/z49guFaB5OGwHr/6IfN+sIo8HA9Ll0jianJWkYfD87VJ -x128YhV5OPw85+b7zrFeRR4O8wEfXmffahV5OB6m57hMcHkQQB6Oh1729QfW -bgsgD8eDS4VP5IOVAeTheHD+eKhh0pgA8nA8LBfxvhWtA8jD4X5TuHXZVLqS -PBwPP3bUOIfnrSQPh/fTMXf8IoNXkofjYdD1/3Zss11JHg7r0/6Rju91VpKH -w/ox6fvBuc9XkIfjQfP1YLdP+1aQh8P7y6CAO/mRK8jD4Xk3nWOUOmUFeTj8 -Pj4Zc7O6ryAPh/3oV304XbucPBwPt/W679c+tZw8HO6HjRlzE5OXk4fD/dsl -tGc/9+Xk4bD/PnzH+l3/5eThePjaOaGprNGfPBzmI4ugs5/AnzwcD22ZwKPD -lP7k4fD7BzAjlUv8ycPxYHt2d8oAS3/ycFhf7tt8rdDyJw+H+e9e9qNLZcvI -w/EQ+d1h0d09y8jD4f1IvvdzS+Qy8nA8ZMYawhLHZeTheChu/96kwWAZeTg8 -P3tGrcr/5Ecejoex8TrlaRf9yMNhfh256phS7kceDutBpNnku4v9yMNhP3Op -c+VIKz/ycDwwt49cu6LtRx4O71cOWUzC86Xk4bAfdP+zY8XhpeTheCgbOm3o -usSl5OGwHoliBt5wX0oejodOs9JejBmylDwcD7q1Fpue/VhCHg7vf5f679hX -soQ8HA+zKm7a7dm5hDwc9tPGqy48ilhCHg7re4mpr9mMJeTheCioagg633cJ -eTi8z5XW+b95s5g8HA9PGrMaCmSLycNh/T+3vFk1djF5OFzvz5dMMmoXkYfj -YUdnX02ibBF5OPy+L7ZpXtgsIg+H8aUlZ7LfLiQPh/vbyQAK2IXk4Xh4rzyS -P2HCQvJwWL/Xij+ZfFpAHg6fp+5W48jsBeThsJ4cGnPfdOoC8nA8VI0ZnTCJ -9yUPx8MFx6cF13b5kofD82F5q/0hN1/ycDz01e/79cff+eTheFhZ5qZ94vh8 -8nB4n2ptCw8XzScPx8PDB7pH5nWdTx4O6+NNn1kzr/qQh8N6l//rvwNhPuTh -8D6k3fdOyGAf8nA8bOo5/uDup97k4XjIGdLV1UHkTR4O85V1np2znTd5OOxv -ogbz17/MIw/Hw5siff5A/jzycDycKDO62OQ1jzwcDxmVevcvdppHHg7Pd3pT -fv1VL/JwmK8ntFySRHuRh+Nh9/zA7JwRXuTh8M9N8w/3futJHo4Hrw+DI3W2 -eZKH42FR8brgVR6e5OEw3xe6dp7QwZM8HP59L5uS5GtzycPxECF51X9c/Fzy -cDxUz/qQ5Gc9lzwcD3+YhsWtVHPIw+F6W8dbdz8whzwc9rsHO67eunQOeTh8 -vtZ/Ezf2nUMeDuvJi+xnbV7MJg/3//8fy7//tHE2eTh8ve7aho7us8nD8RD7 -gH3du8ts8nA89Ow1ON/vngd5OB4sFF3vDxZ5kIfjYdtV/1sLHD3Iw/HgzX/6 -2aWtB3k4HrrXGNyzuelOHo4H35Xdd75McycPh/vDKL/y+xR38nA8ON0a+Uam -7U4ejodfe1ea2krdyMNhPnXM2umm60YeDtf3gOrj/A2u5OH+f997cmmBgSt5 -OFy/bWsee+6YRR6OB3WbqgrHIbPIw/EQd1g/0+KoC3k4zO873qT2GONCHg7r -WcnDOPUVZ/JwPNxy7KF3x8mZPBzmo2Wy+m1PZ5KH4+Fp7qGDq/xmkofjIcZj -0bvRaifycDwMntndpnmdE3k4Hj7qbRhzQ9eJPBwPq41aQrN2ziAPh/XlDETP -tJxBHo6Hc9dDSjvfnE4eDuuFV0mbR/Omk4fD+2DTgQ3rGxzJw+F+rKpompvq -SB4On9cF0/N9+jiSh+Ohlh1nW3NyGnk4vP8PS/150GkaeTjsV+fZLIp8O5U8 -HOYH3bFPJq+bSh4O709jvl3RN5xKHo6HvBnh6z6fn0IeDvNxoXHIzblTyMPx -0Kb3g3f5msnk4XhY0DpvdObGyeTheOj6uOFwyOjJ5OGwP+w8Qbng2STycJg/ -dw0c5h43iTwc9ptPrU459ZtEHg77C221v9N1B/JwWD+7noxxC3AgD4f7Zau0 -94KuDuThsL99z755FcKQh8P6djzNpcc1e/JwPLTOP3HUs6c9eTjsZ0sPjtkf -NJE8HNZb3ZO6bW9OIA+H923zjDnhxhPIw+H9/eJ4/S/xduTheLjbtY1nbIUt -eTg8D/WddPVsbcnDYb0Nn2Z2IXc8eTjszzfZyFZrjScPh/uxtrSNZcA48nC4 -n26O8W792IY8HN6HB7OTa+1syMNhP7TqRciDg2PJw/Hwuu7o2lsGY8nDYT+5 -S7+pRDSGPBz222Huq6t/jyYPh99/w76ZTaGjycPh+oYN+zXwozV5OOzfvmQ+ -nrPUmjwcD9/fP+7HVlmRh8Pn2c2xT7mPFXk4zB+2+mrjCkvycDwElwyDsPmW -5OF4aN7Ob7xXbUEejodCo+HBw5ZZkIfD/p8dHKT/1Jw8HA+yd+Lt1R9GkYfD -+0XB2zaH/44kD4f3J9+p/WL6jCQPh+fh0i/7yeNGkIfjIc1FL0/H14w8HH7+ -lCqX2yn/kYfj4fevKNu1R4eTh+MhcK6T1cDKYeTh8PtH5Fee6zKMPByeh3Zu -hWOmmpKH42HdsdXDd6wbSh4O67dRquPHSybk4bA+Dz86q0fLEPJw2E9sv9TT -cNoQ8nBYLyPeL/mpGEweDuv7Ore7h6oGkYfD8zleenX4qEHk4fB5ZbqyEWkD -ycPxsEtiNye1agB5ODxPYS+1PMYPIA/HwzX9kAdnrfuTh8M/b/VgpNWffuTh -8PNtTzLser8veTi83xYsMHDYY0Qejod8WeacwhRD8nC4HgNG5oes7EMeDl/P -0DZuztze5OHweW9sq54/w4A8HA9nvs//HjOlF3k4Huyub12aO60neTgeFKc+ -RhS56pOHw3z56VzRjUU9yMNhPeDtFx+K6k4eDvPv2oNDFmzUIw+H7z92zor7 -Bd3Iw+H5/7ZtxJPiruTheNBvsyvGabgueTgNJD1Z+/ZZTmfycBqw3Dfjno9B -J/JwGjDot+bA8d0dyMNpwKIuR//UOB3ycBoYbrX7ll1VO/JwGpgavloyTNaW -PJwGam+WTnKfoU0eTgNvr3rVSLq1IQ+ngUrfjgEn3mmRh9OA+1nLuo03W5GH -04D+wJbXhkta7AUPpwG325H2NbOa7QUPp4FeWaf6Gc/+bS94OA3sbzQ5ERv8 -y17wcBrYHl/ee0PuD3vBw2mgpWRKSMc33+wFD6eBeaJVh5ImfLUXPJwGfq8O -3CM/wtsLHk4Dactnd3k4irMXPJwGqmIXPT4pbbQXPJwGlscNb5Ve02AveDgN -tN6vB3a+n+0FD6eB5k/Hc341fbAXPJwGOqu3J7288t5e8HAaqO528ez3fW/t -BQ+ngfLaGdeWnqi1FzycBo5mxNyYe/a1veDhNLBi0+uGszOr7AUPh+/3akKd -qn+FveDhNLDk8OTcFstn9oKH08BHx5ZXr9eV2wseTgNdD0iYeO3H9oKH08C+ -z+zLxSkP7AUPp4GtkeEmJ/zu2gseTgOfWt9dFn+w2F7wcBrIy93UaqrrdXvB -w2ngoOREBy7vir3g4TRg+vXM9irXQnvBw+HnGaZJv5d/1l7wcBpIjtvdtcv6 -k/aCh9PA+sJlT5T9D9sLHk4DI4b+MHo3fK+94OE0kH71xCYHnzx7wcNpYLxh -zxtvTbLtBQ+nAevFaUnrjVl7wcNpIKyfoVf6k3R7wcNp4LpN4KRQs3h7wcNp -oEh8W++pcYi94OE0YDJRet5l6yJ7wcNpQOde6ZJjXZ3sH9z//39foRX9ty3n -//8VMv9isUxr4PfWKjhekP8lyL0H8y+20fpT9/846H3vF7fbqODNuSO9Vi00 -YGbZW7A52irY8S7a+PZ9I8Z8y3THoLYq+PZ59hpbtwGMnnpR84R2Kqi6OzPH -4tMgRjMj+qxuexXcuOYbO/GsCfN0tzyoBuO5yTOivE8OYwqa9g46raOChWsX -fo+tNmO2zblUkdZBBcZXQhdn25kzCUfLlZ4dVeBZqdaZu9ySWdS2frppJxWc -TnE5P3uaNeOwqHXLT4yZAdp11yeOYQYV9Dl/r7MKnn7Wn7bL1YbR7ma5OreL -Ct4udUiqixnP1AXMGBKiqwKv8Z2Z9YV2zJ1riyuZrirIYK6PzO1hzxw2jNmg -100FfQZdiNOa4sDIIhRObzG+2RKxfYloErPm/r5W5/RUkJPw2vVTxWTGw+Ry -QWZ3FRTYmK7IsZnKWK97EuLdQwWGOytOh+yexvR8Xm/yn74Kxryta7vSYDrz -w7xN9W+M5Z93mSfnzGAqRIabHvRUwfxpF1sKTGcyF2stnXf2UoHFQOdpna87 -MztsnVqHGajgxITbD+MDZjFJG5cUTu6tAvPK9oFaRm7M0oaYUP0++P0XDOYf -BrszU6expnUYO6/r2tZ0tgczNG//qwJDFZzdcqs8a+JsRufH5c1iIxW4Zv+Y -oh41h/ns9tTFty+uZ6fWdouHzmXuH2xoM7KfCoaYft9RPtiTOa6lffEvxmkn -Nnm4mHoxyvlG4aXG+H2aXW3vWMxjws9YDc/vj+v11XGCo4M3M7fzzJqIASqI -b3a1K/b0YcYuX7pl2kAVtG/92XBq2Hymz5VYV4NBKni0zPnKtQ2+zO9eyraf -MLb56aUzsWgBU73mwKWiwSpo1Xp35dkPCxm4cyVCNkQFk81CDIcZLWZ2D3z2 -3yITFVwCn/KZ/y1h0uJVteZDVQBWnSz/3l3CLC/XztEyVUGZy/fsY8FLmekj -+rqXY1zZIy/Ku7sfMzzDuv2+Yfi8Ty+c+LfIj+n0auaV6OEqcIkKWJS3Yhnz -Zaxf1Iz/8PvevjtjXE9/ppSNG2FopoIVFsuDS275M6c/Kt/WYzzA/fu4eWuX -M5smHdx2eYQKaqq6GVdarWCit4EHO1IF73cOkHqpVjDemmc6S0epYFP4qsY7 -h1cyti5fwMocX9+ufZFlUADTd1/bGG0L/PN6lY9y1Crmb3PfUc8w7rT208R3 -X1cxNV6j3x+wVEFe3Y4nI64EMjdOOOfGWakge0SWbJUkiNmns2yOszWeT6df -5Tk+wUzW0viO/UarYKzNgD6XzVYzq4rWX/uCsWldy92yViGMc49DsVfHqGCG -feTcly9CmJHBV803jMX9Ymg5tPzMGqZr8fO6ZTYqUA5a6vVqRijD9WvcMWYc -7teLxf85F4Yy5dHtPNuPV0HW8He3zM3CmHOP+nWuwBgsHWuleWHM1mFjbhy2 -VcEr6VKLxT3CmfgUl/gEOxVIarYEHhKHMwteLrN0naCCH7fHOgZqRTD21ms/ -9p+ogvQ7MXG74yOYAbINOzmMZ3omF7l+i2Bavz/kdcNeBefuL4WwsEjm3cRr -XTYzKsDm0L5jYyRzK/vFzRUOKliUoWwwCIliDjY2rh03SQWhXXOTt32JYiQz -2lt3nKyClu7NJ9aHRjOrdxt/rsS4U/gSi2ZNNOPWNGb3sSkqSOQWFVTFxjCW -c2Z5J01VwW2bxCbzlhimx1H/rh7TVLCuxOzE98xY5pt2wq1Bjir4rXdbYtEt -jnm+cGPiV4w1s7ZMrt4WxxSePzz61nTMX3ml0j9D45ntXa/Xb5mB+/33BiPF -2XgmMaAif5WTCraO/7x//ZS1zOJrah+7mSr4rPXwTbsna5lJhjp6XZxVcOHp -wHTV8gRmSET/O68w7t3pHDPtVwLT7v7YpJMuKvhYMvleF0Ui83GI69jUWSoI -0Q9/4ThkHXMvcblqjqsKWp9+aqy+uI45+ixhr4mbCk5OavDo4JnEKMw3+f7A -+PWoAUM3NCYxoaIj3e+6q+DPi7emxdOSmdm11+9u81BB5soN12I3JTOjbV8m -B89WgcNhq6K3b5OZXhs5G/s5eJ6CH9e3HZ3C/KrXaew6VwUvFoVZvE5PYSqn -Dthfi3Ft905+a56lMJd32Cw844nr8Vc2//ywVGbnd1f9DC/cDzGVmjNrU5kU -txUlXvNw/xWUtg94lMosO5iYOsxbBW2fTQgtG5zGTNPaPL4JY5v779tpYtMY -0/lH1SU+KmDDsvbdfZjGdDhz48CO+ZgfEspNvEzSmfpOlYvW+KpgWXLY0q0J -6cxDf77npAX4541/LZVP0pmTlzs86L5QBRd7dQ2bODKD2dBrYPo7jLcPMPu5 -MzODiVwzzu78IhV80SvbVVCTwXjdceOzFqtg+b3Yqel2mcy4gSsP+SxRwb42 -kkv/nx9iGL9uidlSFRx1X/HGTpPJ/CnbbNCM8dB+/uxQ9yzmldmxhw/9VHD/ -6KPc4mNZzNX0mxm7lmH+Mqx/27uziNlTXTkh3F8F1d5fJg4IEjEZYzWaKctV -MNq8fXrlPRGzku14pOcKFTja+bAzzcSM08eBfh8wjmhsHrdaJmbMJo3vU7hS -BfM2aM+f8kXMdNnmXioJwPe3Dy+57y5hGvmVWQtWqaBjzaQVHc5KmMfOSfaj -AvH82vi3+WUgZc7szf7WgvHTp7B2e4KU2dx87OjjIBVM7Wd5UF0rZWK9ipft -CcZ65yBZ+nO6jJl/osowarUKwidvTD59XMZM0Pn62DFEBXu/dnhl3EvOGC/t -JO69RgX5P3bPnrhOzrQqGuTwGeNnhdoFXT7ImTfdbX9cDFVBl5jHb1l3BXMz -yOO4PEwF5TY7Tt0oUjD7bwYsXxyO+6mU/3nQhGVE/ZL7WkZgvX4zewOznmUC -o7eUt45UQdhza6+sZpaZ9ei45AnGj/hkXYcxSsZ82K1J+6NUoJ3JvtYPVjJ6 -KdU/Y6JVECMO6zh5j5LRVHw94RSjAlnxrLW3XyqZp1adVxrFYj6tm2a8r/t6 -pkA62FiFMZsQ/frlzPVMzjvbp1fiVHC36fvhgLT1zNqJs2XKeBXsL6gIdr20 -nlmYvWqK31pcrwYzPdm39QzTmNxknaCC8We/pQ0038AMmrH1VNtEFUzqYntK -d9UGRnv3iYDnGH9/9j19zp4NTN2vW/0PrcP8VzakvrF6A3Nn9qtn8UnYD+UX -PHrbeyNz+Mg3uUuyChru7jA2n7uRkWl3mWacogK3Y1dvPGY3MiELh/xpxLj9 -eu0jJSUbGffzdmeupargTM6Mq311NjFWXecEbkxTwfWSFY03p25i9AMCBy5P -x3rQafSQmymbmB9XU16MzVCBgVHyTEPYxFT0yWF1MlVwfkdP19t/NjEXw086 -vsT4aY8iwxLbzcyOktvNR7LweVeNzDWJ28wkDXl9NlGkgqAxI648K9jMLE38 -HuQmxu87Pij41ffNzJRnXQYPlKiguGb7hgljsxkTc5OXPMaPtQL7clHZTHvR -hPU3pXi+3RK+/T6XzXyqmTMjW6aCKO/IDgu/ZzP3xwe1rJRjPhd/maJvs4U5 -viH1/HiFCkb8l6ocGLuFUdbnrO7EqiB10bWX6YVbmPCpp4ZUY1xlMl7X/vcW -Zu6OO5XHlbh+88N6zZq4lRn7/fWG5PUqWHWm4dWppK1Mb7cfTrM34PrAsDnB -17cyTQd0tYZsxH//eceihLY5TFWroRe+YfwgDhpqZ+QwV3wmrrm9SQXrpb8/ -5spymN2n5w7N2ayCkt4X7Y+V5jBpnYKrA7NVEKdZWtG95zZmuX/apglbsP+6 -5br3gc82Zvrlbc66W1WwslelpDpvGzO81+nWNRh3ZnxjJ7/bxnRac7fwVI4K -AqKMvf8M386obteEpm3D/XUrpGen0O3MowE/TT23q8Cs1/rtIee3M6fiur4e -movPc2Dx06HN25mNZUOzf2IcFz/thPXUXCbazH7WvR3YX8qm99gszWW80z21 -c/P+3w/+bphZnsvYVgdfXL0T+4czMlNPox1M37Hp4cwuFQQ+HHD8zLIdzF/F -9uF6uzFfHn4RtOLoDqbmw+maNxh/qX3kvPrbDua6w70tZ/NVkPSm7/g79nnM -3pxa18w9WF/VpcYxojwmk//Z1nuvCvQH//wQV5bHBDh3uzx8H9a3gJyk0r47 -Gee9ppG/MY5IPV8av3InM7LZ3uzBfuwf2rrcij29k+nq5fUm7wDWtwUezvea -dzLc8dU5oQcxHy1dsK9gyC6mvH2G++RD2M/sK3h+ZuYu5tyS3Pb6h1WgEzTq -dEXoLmZL4Zkr7zFuq2v13/Atu5i47iVRBUdUUDTbckz+5V2Mb9CbEeKjmD9W -bL0z9d0uZuLNX2/nH1PBr5rSim6ddjP9++ltH3FcBS+vTlrUzmo30zp62Oy/ -GL8JcXM28dnNvHvIdCg9gf36Jd/s1cm7mVum867uPon58U3x6NcHdjMHk0Ni -Ik7h/k/7bBT/aDcjqcgYNe20CrYdHjzJ/sduJthqx/teZ7Dfunsnx6x/PuMq -PZv7EWPfi6OMJk/PZyzelcwpOquCPdcPFKWsyWe6T3zbUXZOBR/Eaas/b8ln -vm5uurbwPD7fwV2HJ1zNZ55/0YszL1DBPZ3Nb8Z+ymcKpw+30LqggmSjhaxh -9z3M9l0OH8owNt57dIip3R4m8de8vL2FWO9n39qywH8Ps3j2Gs/o/7F03mE5 -vl8Aj2xZiSRJZJSVvrK5NaxsMrPKXonslRmyRTaRUKSlnU477b33eKt3PCub -8Du/y/nzXOrtee5xhuvzXp8I3O+pioqIq57M/I2LxuxIDmLqlqnMQz3ZoDZP -4nWisB8ysgqTqj1Z27XBR5UYe67mxqV2esEag9PHfPjw//7wwtq0sS9YStf6 -pmvRHHTzszL4su4Fe7v119MNgP3kjuWHZl16wa7FaK4wjcF6aq6wiQt6wRx1 -jLu2ieVg5KnhfpsrX7Al+8wTCzDuVWxxbExHLzY2beXxV3FYD+2Wvxs81ov1 -NnT870g8Pv/9S1Mt1nux78cvKKwTMH9u+q13wdWLlRY8edYvEfvNTtlWn4O9 -WNSokJU8xh2m6L26VOPFnlzI6BaThPNv5z8TZ3V5yU5X1yfdTOZg1aeLzSMm -vmQbJ7ac2PgR63tOTuKkzS/ZjFs9zcxSOFivkL92vPmSDVUaq9qlcjCsoepG -5oeXrKOVhWcxxo9zYZ+N4iVTPlq12icN8+tAN8vW2q9YxhfHHsfTsf97s+F7 -keUr5rfg4sf5GTjf+YxwKXB8xW6+euqsn4mfD99rfj5+xZzUQsdJGLfi49Vm -p71iy1dlcnFZ+PeeuhZFfX/FJgTKXtzO5uDy05m2q4a8Zn07/7bdkoPzh75w -Ss/mNWvZqNVzQi7ep/eHp3Y885pVRg1P7ZjHgbC84Hw//9csppfl6TKMZ9p/ -XrCi8jV77rB6gm8+rod73NVwDW92LnmvcLKAg/3axuMtJ3uzLQMuvVxUiPPj -Ts3pn7d7s9lHPNYOLOJg2vENj9PvebPhuaFanzE+dverZXqyN+syPCstsRjr -9+73wz599WbC2YYzd0twfupxaLr5EB+WU/574vZSDjR/9DkVssyHBZn1kiaV -cfDfn73lS877sDvXRrzWKMfPX7PCunewDzvUaLm+EuOLAQ+j1WQ+bNV0297+ -Fdg//+k6SrPXGzb5/r6M05VYn1+dvGo94w3Ta750bmkVPu/e4BzvA2+Y2txn -kwdX436ePSaMfvmG1XqGNX/F2Hube1l54RuW0JLl/bEG51uNFFf/9m/Zy2WN -Gx7U4rwdlSf5THjLLr77o72rDvvVYfvbpm9/y3a07501tZ6D33abI3o+fMvm -bRjp0k2G80j5+rZn0t+yUeFWU2sw7rVLp6z3n7esu+aaz4EN2E/PmmGSO9qX -Ne9wenOuEc9r29s/gux8WUG8q/3yJg7GPA0aHuXmy0L7PdcZJsf+3WFhpCLR -l90/EJ79A+OmUd0fs+++7Fhm9oU0Bb7/r4eJEcbv2NqhTdMeK/Hnl7gbrVn7 -jrFTf784qDjo2MPjw6Ab75hBSW/f6Rzm6wMrDvaIf8fUTUdt0uRx//VXzh78 -9R2Tuc7Qrcd4QNfJw9Yb+bHkujW5wQIHc567d4I1fsxnyv5LF0QONjz6U2d1 -w49duXN5+iqJg6V6bbzFeD/mwD//ZtyM9eql2YK4b35s0ayIdy0YT7nSrb68 -lz8z9cjZnPmJg2elL84rR/szrR9N/Tw+c/C5a/92Xaz92dfFavl7v3Dw0ejD -evNN/qzYR/uy5Vfsz8rirlw86c8i1Edb9PrGQeA5hwu19/zZozUzfzRgbG72 -Z87CIH/mHLzWP+w71kffd5mZGf7MruuBra4/8P6tiexm1+TPLLde6b/mJ95v -u3Xt26kHsMExngUjf3EgXs72+6AXwNrrRF75i7HT6jnqFycEMPneXMucFg66 -/vrza9PSAJaWKv/5/Dfeh7lDbts4BDDfQa0C9//B/qi4OXH5pQB2/Xif7TP/ -clBU5X5554sAtrdg9IA+ajxcmGdZdQsCmM2oWUVyjJdFDI1MLw1g4y6suxbZ -iod2+/fp6HwNYH2qD8y42poHH6dFnw/1CGQ/J1xtWafOg7s9N0M+IpCV33wR -ZNKGhxX3nTrsnh3IohWRO1q3xZ/X1xinvimQeVjmGeRjvPdt5cc3zoHszCNF -sVc7Ht549Yjc8jCQbfrS6sah9jzsHJDabmxoIJu5QGfWnA48ZFYPf9kzL5AZ -vTL507cjD8KqVW5thUDWWW12sArjel+njx07BzFu5fpd0Z148PN9wAYMDWJZ -AQcH3ejMw4QSVfNsyyAW0OlaqZ0GDxbrL5SfXR/E3DZ63fyvCw9b9Fy+Zh8L -Ygeioma37Yrv66E+2eReEFvRK/9vIcZWA3VePHsfxCY6KENed+Ph99XK4YNz -gphucmuHo915iD62Iz2CC2K/9fsOnteDhwjTXGf7Tu9Z9eEx5XqaPCS9Npre -b+h7Fpcz203AeO6Zs+2bLN+zF8YbrGN78jBdU8pK3PCeuZw91MpNi4fBq8+4 -BZ94z7aVXwvb1IuHzx1nzgt98J5Zm73cM643D/1PzfmcGvqejbj2YUgHbR5O -pdw7L+a/Z10b8ytKMF7/bNrPoc3vmchUt9/04WH1Xqt5e7sFs9x76vNO6PCw -eXHggfQRwSxY6qu+sC8PCe2vO060DmZ3rU0jBujy8KdD9biwrcHsiOecvc0Y -lxzyjpt9PpjZtmwYltCPh0WD+S7K58Fs6rLDVXf0eLhyw0/zaUww03933X1r -fx6qGrjUTZXBrFX7V/Mn6vMQqv7aZEpLMKtbH92m8wAeHjuWTR3cN4QlhhVE -lmM8bPM5lf6EEPaqB7fvnQEPP5MejxuxPIS57mhjfGogDyFGBr2t94ewXfG6 -NYsH4b9ntjt/5FYIW9Dvv3uDDPG8vZxxIsI/hJkcsF74BeMF8/O+a2SFMM1M -u3bJg3F9u72QHLkQ9nnIkQ/3hvDgJPOxa+gcygqdb+zfMZSHVodKLHYbh7Kw -4lfDpwzjobDPgOtt54SyB2OgtosRnt+M3dP9toay466F96sw/jbDf9EOl1C2 -vo5bFGDMw9eqvPBxXqHMfErbDmeH83D3VfwBrYRQNuhOP7AZwcPR5dtPqteF -srb8fweHjORBvtQ3Q711GGucOXfkd4xz3h9c28sgjKU8ta9PGcVDzOQ3QydM -D2Nvvh95+HA0D8UrRw/ZtT6MXV18c8luE7wPRg1LAk6GsT0+rzuyMTzUHQl4 -1f5JGFusHhPT3ZSHiti9/fd8CGNj1xQdqsW41bB2AY3lYax3MD/q/X88dD81 -b9XeljD2vUu7hvNjeZg616CHRr9wVrpF7/EKMx6Mw9bnhk4OZ1Ew1sZoHH7+ -8xr3/bbh7EmfeZ1/YVx023mlxbFwdmrvxrj08TzkJnTvYfAwnNmnHj3yZAIP -P3rvjOgeGc6sBt0ycZyI57/fxgU9ysLZkOPejeaTePirlZ408Fc461gQ86Tn -ZB4ClYf0ZuhGMOXI4mUyjM0mjZ93eHIEy3ARNEKn8KAzq2RelG0E86tql3Bx -Kg9G7Ubo9DgewW5O6H9s9TQetvZp8Tn4KII53TQzHcF4UE/XalFFRbBlinny -3xiv7je93f6KCDbecpNH1nQe+ICZ8Z3/RDCdR8dWPDPnYVOtMOJ9/0j26/Ot -rk4WeJ/8W03dzSJZ5XyfRCtLHnTTp3PjNkSymJexx3tb4Xm95Ti+x+lI9vxv -8X9NGHtpLO3V8iySnVspKsJn8PCs+fWpb3GRbEtA++eXZ/Iw+vUYJ/X6SDa7 -k/6qtbPweae9adBvG8WMN47rPno2DxudcvPnDYliGlHzk9Xm4PsH2o93nRXF -eK3NJ3MxVmr27lC8LYpl7z5u9sKah7OrvaaPc41iQUluqgNzMR/+TC598SaK -3dF/4zlrHg+de47NHpQRxQ4djlutM5+HJw5P+gbxUWxVTkkPJcZLXSKClnT/ -wCYbSx+jFvAQ5jzpTmvTD0zvbIdT1xbyMGlkVljc0g/sb5n++A2LeDDoOqyP -24EPrGbseH7MYrzvCz69dbr7gcVfXeClvoSHfckVjpvCPzCvhs1rCjC+9+fW -2s1lH9hFdqLnq6U83BnyYdfB3x/Yjnu3Uw/b8JDWv+29u/rRbJ705rT1Mlx/ -J82Sj+bRbJR1/IR+y3lYsvayUadN0ay7Z6nAYWwdp3XW1iWaNf+SXsIKHmLH -LqmKeh3N8m06rru5Etfnk2z8qLRoFuI7oNfGVfg+SkcXPy6a3Ws3IX3sah6y -399KmN4d2NH1C8+2s8XnuS1X1pgCWxu2ZVIxxronNL/eWgaM9Tgpea/B89/F -u3zpYWAGO+68PraWh4k3Zt03fAhMPf7t+vnreHD44zK4bTQwmW5Cb/31mM9a -/hz9Ug0seX9ZhoixAf885WerGOad0XwubgMPcfO/B53pGcMuD+k05bYd1st9 -c45oDo5hu50NPm2252HlxfW9fcbFsIXFE3zGb+RBs4f6xTmzY5jpmEV2HTfx -MED2K1VcFcO0XLf2KcN45H89yp/ujGFfa09mvd2M5+VUx7DlJ2JY8WR3l5Nb -8Hy88rHtdT2GRdz2nbpoKw/+peHxZR4x7BGX8NlgGw/Pn/1SeQfGsJMzy998 -wvha6X/5zgkxbMPTT/aJ27HehA8+uLYwhll879T37g48z6luWRZNMcxw8cCc -bTt58OVYxeifMay9z8SLk3bxcHK18qGhRiyTt17MNHbzEP9keQeD/rEszXbb -1wqMDzwx1x9sEst83zv7+jnwUOq2s8LEIpZd73J30+k9PJzu5sasbGLZ3i3v -dJc68mD5/YDF+i2xbCkk5hruxXp9Oaf29OFYZtan4tJXjDlbe723rrFMe+/n -6R/3YT1YWs9XPIplP1I6f7/vxMOOIE0bbb9YVj5wkN/O/Ty4dH89Z2VsLIs+ -NmnL1APYL6xYl+6RF8s88hfrdTvIg106XyzKYtmZkdvzqzE2bK+5a/b3WLbJ -5dTlwEO4Xt+cz7zqFMdmVt21OHcYz0+d0KerXhwbNsHvx7IjPLTd2mfE8dFx -rNPNJP+hR7Ff2O8XJpnHMZW8YusPjIWlOyIdbOJYpsWX/mnHMH7x2+TTljjm -/1Cj8NFxHg6v0evtfCSOuX0edNXhBA9dko5v1bwSxw7Mn2w1/SQPR85mGvg+ -iWMrXi751cMZ6/GVBPOFAXFs4t/tgXUY3zXpnvA9Po7prjy9PfgUD9t72nt5 -F8ax3/73Blw4zcNykx21dvI4VtXRv2jlGR6Ci4sOGbTEsVj75GvGZ3kY9X6V -fVPXeOYZWTmjBePwmMdPQgzi2Xmtry0Z53jwWLLY6MrYeLZtd5f3T8/zcEbL -4O+2WfHMOslw514XHha2D+83f3U8G6E/ZaDlBR7mn0k4OWF3POt6eGmJ1kXM -3//xBsNPxTMxe8eNBoy99KpbD3aLZ7lGZ2aFXcJ888iy/5CX8ez9mft/Lrny -cGJ9rOOo8HjmXuYfbHsZ38eh1bep6fHs8NiPu0ZewXo/5I2vTVU8W321atBf -jH9N2nxzX3M8m9rwtTT7KubXkIInd9smMH3W9dbzazxo/HiWG98ngbW6N3jO -/uvYn4U7GH0bnsDqxClqM2/w4Nm2+ukYlsAS59iEat/kYe30+6ZOSxLYq+c7 -HeQYVw+aWhm5OYFd+nVmcOQtHq7G7H7a+UgC22nzoPyKGw/DT6Tvs7+SwOb7 -Britu81DU++fNjFPE9jodinWJnf+34+5WwwOSmCa66tbtXbH++9iPuFmUgL7 -HPotLA9j9Qv3TduUJrDC7t0cve7ycDF8yqiTXAIL2z5k6KF7PBy//HHoX7VE -9iBuauXs+zy8jCnRvaCVyI7rLrvT9wEPM5002vcelsjW7d81T4Vxd60W2ZvJ -iWx6xln16Ic8mO6wDJ69MJENHPIw4voj7O/dju9V2SeyNs6Be+0eY31QN9e+ -ezCRNRalDPvvCQ9B5408Z7smshSTmqo2T3G9JmX2aPUkkb259N29EOO1D5LW -xwQksqu13Ra89uAhdZ/3JZfERLZn8tC2R5/h+WnSuLK0JJEtvj0tau5z7OcP -uWwcyiWy/7hlTnqePLwTfTRat0pivWbuNhYwrjje9VydVhL79uRcTcwLHhof -WCWlDUtiJd8e3rvlxcO8AdVZEVOSWNSioIWbXmJ/PNHpsf+iJPbEO7XduFc8 -DNx2fbjvpiR2qnXth/avcX9cEg/6H05i9rY/9pdgvCTB61jElSRm9b77iDfe -mC9ewbg0jyQ2pMuwuuM+2H90ff6i9n0S67CFPVjwBvvbEWWxailJTBG9fPGA -t9hPXv7uOrgiiaVrO3RoxrhQ40LLIimJvXM8D/G+2K81afY82zaZ3Ux5dPDO -Ox7cfIemReokM6eB70du9cPnmb7I4NfIZLbsWFr9BH8eXlT01jS3SGbj82sf -dgrA+Uu77YOry5OZzsifS8oxflHkGVy9I5n9Ot+j07tArEfrjtlNck5mFZXD -Yp2DsL5b9HzwwC2Zwfjphxe/x/5ub7Ntq9fJ7NmNFaMHBfNwa/RTT4eoZHZW -7tDwGeMeOk9312Ynsy0WLo+TQjCf6x8KWSNLZrMfPra5F4r935U3Ryt+JDPj -z+877wjDfvsPhGzq+pFpzE+PmxyO+797/NbmgR8Z71V3pEsEvv+Q2xddxn9k -2X9+mlRhfCRzhbbBvI8scIVmk38kru969e5xGz6y2/5GT89E8fBgpPae7Qc+ -soMdzZfbfODhUrXmGG3Xj2yl/couQ6Jxvw8fWJL25CObHLkn4RvGafM/ZJ0P -+sj0tC4cSwE83122ec38+JH93fXE9GEMzi9RzVldKj6ymsRg+a5YHsaHlswt -kz6y+P4ZHtPisB/1v9fLr10K8zpUv6J7PA82QT5Gl3RT2IXsX11rMW7Sdz23 -3SSFbTfqmRSUwEPGO/+Bi2aksLlnjE+cT8R8ueeu2tTVKWxkmfnYFUk8NCeH -9DXZk8K6j12lHJbMw4iDLruNzqWw5iuOz39iHHLtxJdh91NYvuzCqvSP+Hwn -NP1GvUthIdOedn+SwsPktpHuk+JT2L27Icl7UnnYNV31el5xCjsqZpw0T8P8 -4NG3dhOXwtbMkZn1TOfhPRc+7WzrVDbteYuqHuM5s6dGvtJOZQN+9XwRksED -G6ptkzsilbW2GW57MRPntZXhbdUtUpnsrYXm6iz8e+p30ieuSGXJbVenDM/G -/Xje1+vgrlTmvW7vqd8Y39GIuBx+OpVdDr04PisH+5Odtcdb301lu7t78B65 -PNy2bH1g8dtUtnB7qNe+PB7OO1xwfBmbysbEZa6xyufhUP+qna2KUllP3Yae -vQt4iCw/sNFelcq+OP1ObcS4l2vo0pRWaawoXetMeCH2A+u6TRynncYiBo+Y -eLkI672isZv3iDT26KSluKYY74fWm3wDizR2smj1q1ElmB904856rEhjG0z2 -rVMr5WGK+x2dwbvTmMWlS71yMe6/7+otvzNpzLDWI92zDOejz92V7F4aazc5 -7OyBch5cI130C33TWJNb1qRZFTx8j7AZsS8+jaWqGqQ+lTzcZNVdtErS2NsZ -f14rMHbirsZE8mns2pNeG6KqeNgbEzt9e5t0tvfbCO1r1Txoa1S59uubzpYu -sspcX4P189jshwWj05mZt+35MbU8rNPc4HB7RjrTbu00Rb0O+yfjC2qrbNPZ -j9Wun/IxNj7cf8GgvemsLOiZz8t67J/buCz95JLOPmiE2x2WYb9mqtcl5VE6 -e7o5u491A35elMWhF4Hp7HR0Y5ZuI9a79zbXzn9MZxu1/7pwGLuf81+wqzKd -zXTsPQ2a8P4Kge9Xfk5nw1JGfrkhx3zbNSzKulMG6zRwxlt7BfZPNrobLQZk -MNXRNRvHKrF/ch3/go3LYJl5Tn3bqXjwzt9y3GJeBvMfcTmnCOO0N62brO0z -2K3zzy96czwk1m6sW3k4g+2vDGfHeKx3L2p37LqWwZaPz/k6T+Ahel/h0fMv -MtiEG02+/UWcB4zcu72IyGC68r+bRIwdfx0a/DE7g/021+4XJ2G+3p8TJjVk -sKoHo/LcmnmYcOhb4oDfGSz20wzXzZ948Js+bc6ynpnMc95a8/Gfcd7c9GPa -daNMdt5r//cOX3hYk33MM5Nlsq1/LvuVYjz3o7ZTz+WZbM4Kzy1vv/LQV9Hf -Z+2uTDbcP0Lv5DceAobXWvmeyWRdOubmL/yO968sgLW+n8lEO/llgx84T3pU -uK/xy2S5EWqWnzC2PvJ+ZlRiJnvfs8/PhJ+Y36PPzTUoz2Tuu0YHuP/ioavB -o2eXmzPZ4cSZ27a14P54z5rxq0MWW91/nf6k33ifw/NMHfWz2JRDBwo7/8H9 -6nxli8Isi/XPvnK1AmP9oMjy7fOymJrRCyu/v3h+dX1v8vZZrPZ05K9TagLY -dfI5ffhIFksszQ1c0kqAwY9+vW5/I4u9+k+x3bC1AOtnKdQfvcwiHk6AIWUf -rpl9yCIeToB9zh9m5OdlEQ8ngGHw6MGHFVnEwwnQYbjv7Rtq2cTDCXDW2vxV -Y+ds4uEEkJ88ONtcO5t4OAFeRzgcfDwwm3g4AUIfzR3dMjKbeDgB7juO2rt2 -YjbxcAJsHDVhUqxVNvFwAsQdvXRx6KJs4uEE+PHSYvUN22zi4QTg/c/6/tqS -TTwcvo/lrlPb92UTDydA1nvdnJIT2cTDCRDbMeDxvEvZxMMJcL7Zuj72djbx -cAKsSG/vOdEjm3g4AUY8a1sc9CabeDh8/qH2p01Cs4mHE2B7/KTHfnHZxMMJ -cOLcW6MxmdnEwwnwdWi+YXBJNvFwArzSzrwyWZZNPJwA43eErE8Qs4mHw/Wu -e/1wYUs28XACpDXHTKton0M8nID9qc6s3T1ziIcTQO97RuDf/jnEwwnwa9R3 -59vGOcTDCaDmHPh2+Lgc4uEE6B/ee3yieQ7xcAJY2E7Ts5ufQzycAMtvWKz9 -uzKHeDgBZptM+fJ0Uw7xcAJ0jbGss3DMIR5OAO+9ewc3HcshHk6A0YYV4dcv -5BAPJ0DqxidPJrrlEA+H+7k9PUf2JId4OAGc+51cfNsnh3g4Aa53S9WdEZJD -PJwAPmeTRn2PzSEeToDILZcu+mbkEA8nQPXSYUM3l+QQDyfAXrewDvqyHOLh -BOiou3B4mZhDPBzu91W1q/dbcoiHw/eZXDN8dYdc4uEE6GvQtp2eVi7xcAIc -Vjjr1OrnEg8nwAJh0ybv4bnEwwkw0Cy5ft/4XOLhBKhxCL83zTKXeDh8vpb5 -JzUW5hIPJ0CDyt2tfHUu8XACjPrpVfhuSy7xcALsuXplxtl9ucTD4edF2Vau -OplLPJwA5vMMn5q65hIPJ0Dx26/nu7jnEg8nwOnftTcUz3KJhxPgXacfH1J8 -c4mHE2D3gtmd34TnEg+Hf0+sOngtMZd4OAG6JWX+ccrJJR5OAJslg57ZVuQS -DyfAz0Sl7Qx5LvFwAhz8azZyzJdc4uEE+Ovarad+qzzi4QS49vx8525d8oiH -E0Ax0kurlU4e8XACvI07OuKLYR7xcAJYvdBcrDTJIx4OPy/+8Km6KXnEw+F+ -xYaFVczOIx5OgKtNFd9KbPKIh8P9Nfs0uXhDHvFw+LyL1M8W78ojHk6Axpt9 -0koP5xEPh/v5e4pm1bk84uEEGDfz6HLZjTzi4QQIzyi5wz3KIx5OAHXPTZnf -XucRDyfA2rOD/qgH5xEPJ8B/pf0HacbmEQ8ngE7suskDM/KIhxMg96/CamxJ -HvFweN800qbOluURDydAaad2huukPOLhBMjPeP7lwO884uEEaFv4yv96x3zi -4TDfLNFd+qZXPvFwAjwboF720SCfeDh8n/RNM5tG5hMPh/Xh+gy3jpPyiYcT -IHiJD4yYmU88nABjfe+mLF6STzycAKvqe/gdXpdPPBzmG1U/h2c78omHw/3Z -EtUm/WA+8XACdH75yfH7mXzi4fD8vk70H3I9n3g4XE89s7jlD/OJhxPA0c7K -8+KrfOLhBLjo/GVxVFA+8XD4/KbW6RLkEw+H9aHWsrtRej7xcPj8r+p07Yvz -iYcT4OFsY+5RfT7xcALMm9TnTImYTzycAAUevsXav/OJh8P7vlDBr+hYQDwc -3s+kjLj7vQqIhxOgacKKJRUGBcTDCRB/7vzDgaMKiIcToPvEFY+2TyogHk6A -bW6pSwJnFhAPJ8CuUxVRLUsKiIcT4OPDq8Wz1xcQDyfAdJNiT/edBcTDCRAy -PqJfw6EC4uEwn9qamI8/V0A8nACVzeO6ud4oIB5OAKN2qecqHxUQDydAwFzZ -07HeBcTDCbDO6Ny6K8EFxMMJsOH9s2hZbAHxcAJMCZgQPT2zgHg4AbLdLG0f -lxYQD4f9gnOU26+GAuLhBLgz8/Fm208FxMMJcHNnVWbU3wLi4bAe6x/P0Nco -JB5OgGSrXevP9SkkHg7Xb8frMwrDQuLh8DzlDjNbMqaQeDisjztk+yOnFhIP -J0BZXgEbYl1IPBzmuzWS263lhcTDYf5pM3qP2sZC4uEEmHnkfNaePYXEw+F5 -0FEGVh8rJB5OgLuflmkvvVhIPByub2Lkz6TbhcTDCWDW0sN2yrNC4uFwvULm -jwvyLSQeDu9r7EbX4RGFxMMJEPhg9jKvpELi4TA/OYq3B+QVEg+H9Ud/4azH -VYXEw2F/YbZyh66qkHg4AWLEP78efC8kHg7rARv3U7dtEfFw2G9Ei/ZPehQR -DyeAx4cBYwf2LyIeTgCHSWE7XxkXEQ+H57fudftR44uIhxOgn0592xDLIuLh -BDgiX72JLSoiHk6AJK0/A1LXFBEPh/e/c/TE5duLiIfD+8a5vq07UEQ8HNaL -KzaH9p0pIh5OgM/bO91rfb2IeDjsL8Ieatx+WEQ8nABvVn3LHfK6iHg4Ab7V -dJZHvC8iHk6ATneS5y2KLSIeDvdna5+Whowi4uGwHv1Vfj5ZWkQ8nAAvA0ab -9WksIh5OAFuX7IjAT0XEw2F+NIs8u0CtmHg4AVYPKb+q1CgmHg7rgZN+0SWd -YuLhBDjQ5LjWaEgx8XACrPkb2T/VtJh4OKy3MmWfXayYeDi8v4eUc7rNKyYe -TgDfGV5+QSuLiYfD/H5NffaqzcXEw+HvW4nd1fYVEw8nwNQOyzq8PllMPJwA -c0bpjlh8uZh4OKwfkcOP/rpbTDycALqb94gvXxQTD4f13S3zytKAYuLhBLBe -P2Z+q+hi4uEEyLnlPNo/tZh4OAGU3h5jNhQVEw8nQHPOscU96ouJh8PnlX+7 -Ei8WEw+H+ezMr5qDv4uJhxOgpHzXguGdSoiHw/Xlx2RX9y4hHk6AobYmm+8O -KiEeTgD3Y/M7LzQpIR5OgEMrHWLaTy0hHg7P29tDZ2LnlBAPJ4C9bP7C48tL -iIfD9zUoMJywsYR4OAHem0hqX/aUEA8nwBf38zWBx0uIhxMg6OKBhL2XSoiH -w3yh8nw1xr2EeDgBjq/5dLH5eQnxcPi+A2duee9XQjycAEumnpx6KKqEeDj8 -PNsTGpNTSoiHw/NSZZjzt6CEeDgBzlUuu5RYW0I8nAC9+kumV4QS4uGwfmsX -pS1tKSEeToCT3xoX9+tYSjycACYP1eNlvUqJh8P+x62jnv/AUuLhsB8xLFl7 -bHQp8XAC9LRcfHbWlFLi4bCfu7fostacUuLhBLh8Ktipdlkp8XDYb3ZYNynA -vpR4OMwfzUMrTu0pJR4O71+AuGrx8VLi4QRw2n3n3cBLpcTDCfDpT3Px5zul -xMNhffokK0x+Xko8nACmrtYvH/qVEg+H9SqkxcoxqpR4OKz3/Yv9Z6SUEg+H -849uWKNuYSnxcALsjzjU2FxbSjycAAYRkm+qUEo8nAB9rqlN9GwpJR4O92vN -SZfjHcuIh8O/rzbj9vLeZcTD4XlrO2rDmEFlxMMJUO6r2aRhUkY8HM4DXJqR -fEoZ8XCYz3eZDkqaU0Y8HOYHl/65nsvLiIfD+mS9beyZjWXEw2F+Pt44y86x -jHg4nJfcnDqZnygjHk4ArfC6IwauZcTDCVCX3vV267tlxMMJsMgubXm9Zxnx -cAJ8xzVM8i8jHg7PU+niDO8PZcTD4Xnflnz8amoZ8XA4X6YOTt9bVEY8HO5n -b4vw5fVlxMNhve0jmU+RyoiHw3l7UftNA/+UEQ+H/aO0Uq9j53Li4QRIrA/f -KWqXEw8nAEv6Pa/YsJx4OAHONH6LjhlTTjycACsXHAPvaeXEwwkwKHj1PLe5 -5cTD4bzvum3jiZXlxMPh+n462Hrb5nLi4QT4nbRi6NJ95cTD4TzqVp41zbmc -eDh8//uFP4yvlBMPh/1fTm9P7fvlxMNhf9mwO6XNy3Li4XB/J73d0RxYTjwc -Pk/ds3PVUE48nACST/9eWenlxMPhfKDZrBNdUk48HPYLC5U3fBvKiYfDejav -5NDjT+XEwwlwxds1/apaBfFwuN9RGVdOdqkgHg7P73XHkD19K4iHw3xcN32W -3dAK4uFwv0dpTF06toJ4OOyfHj69M8O8gng43I/OHxdMWFBBPBzOK72XbBlu -W0E8nAAaHTqV9t9WQTwc5vPayFeaByqIh8P8uP6/jHZnKoiHw/qunDz717UK -4uFwvXw8eosPK4iHE+DH4RHjZK8riIfD/kPm/aI0uIJ4OAEujatbnx1XQTwc -1v/tzzcnZVUQD4f1yiQgKKq8gng47D8/F88KklcQD4f1r7BW3+drBfFwAvi9 -uTvxmXol8XACbFGLuXWveyXxcJiftA2NbuhVEg8nQILy8s8LxpXEwwmwaQS0 -OTW+kng4AVKnn59x2KqSeDj8+ZleEY6LK4mHE6BFs8Fu+7pK4uEE+GXSdaL9 -zkri4QSwrKubanu4kng4PM+DRjrYnK8kHg7X95lf8vxblcTDCbA5yWT2rKeV -xMMJ0Mr/ADf9bSXxcAJkjLUMnhReSTycALNq1z4em1RJ39cWYc7vS56j8irp -+9oi6J25nDisupK+ry3CVcMhrQZxlfR9bRHEhXo2ej8r6fvaIkwdOjZKu30V -fV9bBO3zI8dralXR97VFqPmVFqdhUEXf1xYhtSBnTftRVfR9bRGqtFqpt55c -Rd/XFkHXSTeoZVYVfV9bhEP2tTu+2VSRv0SEHxraw5rtqshfIkKizdEmlUMV -+UtEGJGf7t14rIr8JSLEVGRur71YRf4SEdYdnz6k4k4V+UtEmOFUU170vIr8 -JSIMnLb3cq5fFflLRDDo4Tc6I6qK/CUitCpZlZycUkX+EhH0J5ssiiusIn+J -CFfuiMlRdVXkLxGhz9+VI0LFKvKXiLBj1tBjAb+ryF8iwvljGiXL2laTv0SE -yFcHGnM7V5O/RIR7Xr6NCzWryV8iQlPmreL0PtXkLxGh62r18Dn61eQvEaHt -6ooLSYOryV8iwp7oP5aWI6rJXyLCw6UzVGBaTf4SEa7HXXOeMrGa/CUidLsZ -1hLGqslfIsJLAw97s5nV5C8RISBooH/AvGryl4iwaaNe/cil1eQvEaHAaPdv -71XV5C/B56ngfw7eUE3+EhHko53Lnm2pJn+JCI6BPx/r7a4mf4kIN3Ommt93 -qiZ/iQgLigwTtI5Wk79EhHjF5cE3TlWTv0QEo+4LN3W+UE3+EhHqhlk7u1yt -Jn+JCBc/rTzQ6nY1+UtEaOy8YsbxB9XkLxFBS6nPf/WoJn+JCHsbrznsfVVN -/hIRQsc6Jyp9q8lfIkJLXZq0+X01+UtEiK1b2FwVUU3+Ejyff2WJq2KryV8i -gmbttp15ydXkLxHhT/egmnmZ1eQvEWGa9GRoUn41+UtwfRLaTWFl1eQvESHs -TYJeWE01+Utwvz0D00yaqslfIkJrFx8rb76a/CUibOvicsHgSzX5S0Qozurv -dv9XNflLRADzBVt6tK4hf4kIdsHc34sdashfIkL96sJ1at1qyF8iwobpNacP -9aohf4kIlYsbt/K6NeQvEWHnqthumwfWkL9EhP5/Zx0uG1ZD/hK8PylLPRaP -riF/iQhRuh9cks1qyF+Cnz9xjfHUKTXkLxHB16Kja6BFDflLRDg97tGLoXNq -yF+C6yepDj9aWEP+EhHumOS17bG8hvwlIvS4MsT6/Joa8peI8Ox4tNUP+xry -l4hQvXLf513ba8hfIkLtMp2V1XtqyF8iwuQLrg5LD9aQv0SELlWPxiUdryF/ -CcajhvpNOFtD/hIRbg9SK/K5VEP+EhE85/952+9GDflLRJD6fB99zb2G/CUi -NGfHr/v7qIb8JSI0PB0/wdGzhvwl+HzXjCKrvWvIXyJC7vk9DYv8a8hfIoL7 -3KqwmJAa8pfgeTu/YIzJhxryl4iQFH5rwdP4GvKX4O9bn+7WNbWG/CUiqB8X -9h3PriF/CebDPYGHFIU15C/B+z/kab+VFTXkLxHh4CnXDYl1NeQvEWHMtDkW -pooa8peIMOSPX8wTsYb8JSJcm+ZW2ulbDflLRFBbm3zt4O8a8peIcNJ9QHmN -ei35S0Q41t4pdl6nWvKXiKB8c2tqaPda8peIMH/90oUG2rXkL8H8U37xi6te -LflLsJ5Etxv9eVAt+UtE+OLs8XONcS35S0SYedRoRaJJLflLRLjVuN9y5Pha -8peIcKRmWfTtqbXkLxHhgN3TpF+WteQvwfMx3dDW3rqW/CUidJoYevTjolry -l+B61BkbjlpRS/4SEZ6GLV7utraW/CUi+Gv+6fZ9Yy35S0QoNG69aM2OWvKX -4Pv0NekV41hL/hK83+Wr1g06VEv+Esw3sxcbu5yoJX+JCHm6TYeaztaSv0QE -c0GYYe1aS/4SEWymTXJ7c6OW/CUiXNp0b53G3Vryl2B+flz2ctfjWvKX4PO1 -K9iR7llL/hLcz0fLvIf71JK/RISyyaPsXP1ryV+C9/vF6JtNIbXkL8F+wMl4 -wswPteQvEaH3cMHGM76W/CVYz40XNPxNqSV/iQhbA7WVttm15C8RwapB1z60 -sJb8JSJwH42tNStqyV+C9W+a1vNddbXkLxHBReP1tiR5LflLRNAoCX6kL9aS -v0SEJ8O7TD38tZb8JXifdp2Ynd1SS/4SfN+Ggg9D1evIXyKCSYLs4cmOdeQv -wf3pcbwmv1sd+Uvw/H/YetW4dx35S0RY6n7oiXO/OvKXiDBo/tGe+QPryF8i -wuD905RDjerIXyLCMOdbhsdG15G/RIS78VbRGWZ15C/BfuHwoFD9KXXkLxEh -P6Sly16LOvKX4H098iQ2dnYd+UtEcH5YmN1jYR35S7C+le+bZLesjvwlWC/L -p//2s60jf4kIn/t17fPHro78JSJ4tX59ae62OvKXiPA4r2zRPYc68peIMD7s -4K76/XXkLxHhZ8SMstHH6shfIsKrj70eHT1dR/4SESz9g3wTLtSRvwTr8SBV -167X6shfIkKv4MvRy2/Xkb8E17t8XeSTB3XkL8H+SRrTpsGjjvwlmL9H59wd -8aqO/CV4v4M6HNjnW0f+EhGCnF88CA2qI38J1vMDju1bwuvIX4L1dM2ECBZT -R/4SEdaXJQecSaojfwl+3kmFKiG9jvwlWG/f79zRLq+O/CUi6GT1NppVUkf+ -EqxXrUKHXqiqI38J5pNN2puTZHXkLxHBWmpd3UZVR/4SvG8uVnctmuvIXyLC -7/qXl5y/15G/BPOb/+fgyD915C8RQdj7ve+3NvXkLxGhs9vx0DGd68lfgu9r -P9d1Z4968pdgv6w5+tYL7Xryl2D/Ei5llOvVk79EhMML1k7RMqwnf4kIFX6T -y62N68lfIkLG4+VvT5nUk79EBI+oQ2+Cx9WTv0SEs7e3Fcun1JO/BN/nZvMY -Pct68pdgf6peFbJwTj35SzBf6H/bfHphPflLcD9+qU8LXFZP/hKcD9wzp9ba -1pO/BO9zpv6mHvb15C8R4fn2GD+2rZ78JSKs6H5Ef7dDPflL8H4v0gy8v7+e -/CUinHlgsyXxaD35S0T4NKPDZPFUPflLsN+4+mVU3wv15C/Bfvt6/XTLq/Xk -LxEhc9HjPTvd6slfIkK7iMbwW/fryV8iwo17l/uHP60nfwn2S08WPar0qid/ -iQjJG/+MUX9bT/4SzMcb11QOCawnfwnWTzVTjzlh9eQvwf7t5MyDO6PryV8i -wujOduuvJNSTv0SENiGzV79NrSd/iQgdDyRsTsuuJ38Jnt9BD0/JC+vJX4L3 -/bSbd7uKevKXiLDfbH/VwLp68pdgf5CqbjBNXk/+EhFUAR12rxTqyV+C85nF -nLi9X+rJXyLC5tDL+q6/6slfgvXuP/ezz1rJyF8iwi4YxYW2l5G/RIToWbq2 -GV1k5C8R4ZyHQXpNTxn5S7D/PNuefdGRkb8E8+ONW4HtB8jIX4L9/byLg3WG -yMhfgvfL8J270QgZ+UtE4HektJloKiN/Cc53K546zJogI38J3u9OX3JtpsnI -XyJCyoXrJnZWMvKXiLAq6j+XXdYy8pdgPT/6MvfgIhn5S/D+HnurdWq5jPwl -IrxZ12nuxTUy8pfgPPzm3P7r9jLyl4jwILPq+p1tMvKXYL+tV/3wgYOM/CVY -H3Pm3H2yX0b+EtyfbjWnnh2Vkb9EhAtV21d4npKRvwTvl+lrnRcuMvKXYP9b -vT7J84qM/CUiLHtts+b5LRn5S7BfNLEufXpPRv4SEaZ0aMMePZGRv0SEcM8Z -l+6+kJG/RIRTG3JDb/rIyF+C9eDs7hRXfxn5S/D+TSiNOhMiI38JxrfLbhyJ -kpG/BOc5t7FWe+Jk5C/B/N/ndcHGjzLyl4iwUvpuuSJTRv4SEVyz5Vfn5MvI -X4L5YcnUwEmlMvKXiDC3JdjPuFpG/hLMRxN7n9dpkJG/BOeHDQPGtFfJyF+C -n+/rHvhJkpG/BPv/mZM7VH2Tkb9EhEWTsk1SfsvIXyJCtrP28ED1BvKX4N// -mvvlfscG8pdgv7c14fqpbg3kLxHB56zvt829GshfIoKFbOEIa90G8pdgP99t -94iRBg3kL8H64JD0tevQBvKX4O+v1HMVRjSQv0QEp87/yTJNG8hfIsJQv4/t -fCc0kL8Ez8uyp9ylaQ3kL8H55tuhe5utGshfgvtzrGe76dYN5C/B/sXX1Exn -UQP5S7D/m+FuKC1rIH+JCMdlf3KTbRvIX4Kfrz2SPbZrIH8J9ssLa7bu3dpA -/hLMR1zZXKvdDeQvEaHVxAp5L6cG8pfg+drsNb3hcAP5S3C+u/F5QfDJBvKX -YH6Kde159lwD+Uvw5xuHXl3o2kD+Eux3ay5H9L3RQP4S7O/3H3xQf6eB/CUi -XF/8arjvwwbyl+B91Krctf9ZA/lL8PxYlq6Z9KqB/CX4+Qttf/5520D+EuzX -7gywigtsIH8Jnndb+YRzYQ3kL8F+PH9/kVV0A/lLMN/qnunfJqGB/CVYTydn -a8SlNJC/BOeTwf2fncxqIH8J1osI49KJBQ3kL8F+Jf59yKfSBvKXiDDp61Gz -t9UN5C/B+eLz5GUbGxrIX4LndV6Ilo6qgfwlOA8ceL0vQ2ogfwn2g/nZu099 -ayB/iQix4Vwb098N5C/BemP/YVxt60byl4gw6tvvVjc7NJK/BOfzK7s3T+va -SP4SEUYOSl2v6NlI/hKst69zhNs6jeQvwX7km7nWNP1G8pdgvs0vTpMZNpK/ -5P//H7K01xXjRvKXYP395CiYmDSSvwT7LztuZb5ZI/lLRCh577Lo4ORG8pfg -vGrzM7+3eSP5S0QwvN1WFjyzkfwleN8CNzsvnddI/hLMxxVFz4XFjeQvEaF+ -lM4C1xWN5C/B/X7X4DxobSP5S0R4t+T3uEj7RvKX4DzborNv8bZG8pdg/T/x -Y3TD7kbyl+A8c2vlniNOjeQvwfvR9GlU5yON5C/B/e9zcffDk43kL8HYO8fI -+Fwj+Utw3upx1T70UiP5S0SICzrY2/J6I/lL8N/rrK0ybjeSvwT7napExbIH -jeQvwfNf6Nmh4mkj+Uuwn2/weGTv1Uj+Ejz/fY94Nfg0kr8E+5VdTQbb/RvJ -X4L1LcmnuyK4kfwlIsj+HnPcHtlI/pL//z3t6Y0xjeQvEWFt30knNyY1kr8E -79vyJ0aVaY3kL8F5cqia+YqcRvKXYP/TQzc+s7CR/CW4fi4+76zKG8lfIsI8 -+fbW4TWN5C8Rwe+y1ofhjY3kL8H+zW9zxSNVI/lLML+c72Ov0dxI/hLML6Ob -5hz91kj+EpwPPlx3a2hpJH+JCDNMU6cubt1E/hKsXyeXzIpo30T+EhH0zta9 -M+jSRP4S7M81Jzhd0Gwifwn2M0InN6V2E/lLsD9M791lgV4T+Uvwfeb1rn43 -sIn8JZjP2yR06jqsifwleB4Pl17eObKJ/CV4X/W17JNNm8hfgvP/+uFXDCY0 -kb8E56UbpR2OTm0ifwn27y1lhdkWTeQvwfm2Uv558Owm8pdgf7Mh2u7I/Cby -l4hw1aNnv7QlTeQvwXr1znOg7som8peIMMB12P7ta5vIX4L9+pA13ULsm8hf -gv3Q1u/NatuayF+C9cEwVM96dxP5S0R4bbjk2s19TeQvEeHooLOWRYeayF+C -/VmG+hTdE03kLxGhKO7qwbVnmshfIoL37VLp8YUm8pfgfJHs+bb8ShP5S3B+ -y3vupXOrifwlmA8MbpbZ3G0if4kItl2Hzb/2qIn8JVifFAN+JD5rIn+JCB1+ -jq389bKJ/CVYn/fq/Rr9ton8JSJ8O+a2wD6gifwlIriZLSq6FdJE/hI8L34a -t2Mjm8hfIoINd/osH9NE/hIRPjZue6GT1ET+EhFcXI9+sUhrIn8J9hPBew7s -yG4ifwn2o1NaDbpR0ET+EhEeNTa2BJU2kb8E67dTeavCqibyl2D/cOOuydf6 -JvKXYH9Zn3NBS9FE/hIRLne0ajdGaCJ/iQhvr770mfu5ifwlGH8OcNr0o4n8 -Jbg+If03HPvTRP4SEfpZeDncUJeTv0SEkO+/H3t2kJO/BPfftEb1vouc/CXY -z01tvzpBU07+EhGKbYfV52jLyV8igmlSy6WKfnLyl2B99Jli3WggJ38J9u8j -PQYJQ+TkL8H7vK1K68twOflLcB7ZGdjvh4mc/CUi7JmSM/GXmZz8JXg+iz/t -+DVJTv4SEYxNc9/9YHLyl+A8a2rY5quVnPwlIsRHPNsuzpGTvwTvl0fryqYF -cvKX4HkL+LO+aqmc/CUiaAbN5/NWyslfgud9w6sLSWvl5C/B+rEr3jjUXk7+ -Evy806sKvbbKyV8iwhZzg0u3dsnJX4L7PyPX/MReOflLsN/ub/h380E5+Uuw -/1iWCfOOyclfgudxx8UzY07JyV+C+aeo40yt83Lyl+D90ejU8cslOflLRFji -ND0175qc/CVYf3ZtuujvJid/Cf69pSMtLt+Tk78E88PRJV83PpaTvwTz04jL -npOey8lfIsLCd9dmd3slJ38JzptTtepr3sjJX4Lr3SNvf6C/nPwl+H4rz/w4 -FSwnf4kIH6aUOM6PkJO/RITq6msl2iAnf4kIZnarTavj5eQvwX/Paz7y8qOc -/CVYb2Z389uRISd/Ceb31C3ZI3Ll5C8RwXKNf7mqUE7+Evy8389zfcrk5C/B -eub1xX9LtZz8Jbgec9YfHCCTk79EhPxCd4NiuZz8JVifzJYGXOXl5C8RQct6 -rqH5Jzn5S3AeazY70vxNTv4SXJ+Oqe+etcjJXyLCwI3R8QtaKchfgv1hdkrI -j7YK8pdg/9bf0+V5JwX5S0To27OV6ZxuCvKXYP1wehDK9VSQvwTrwZ/BvW/0 -UZC/BO/fntXzTPQU5C8RYbkzvzLTQEH+EsxHNfcm7RiiIH+JCGtmdhfVhyvI -X4LPY9v58MPRCvKX4M+nTc8xGasgfwnm1ylbf8ZPUJC/RIQ+S8yabaYqyF+C -/d07u9A6cwX5S7Bf5J/PdpypIH+JCHf9/F78tFaQvwT7c9dpqWcWKshfIkKX -Xu3COtgoyF+C/Z8sateVlQryl+C8f02nsctaBflLRDjhkTv4qp2C/CX4+2+e -DO20RUH+EnyfyWOV53YoyF8iwvnKOXt+OyjIX4Lv39890MlJQf4SEfLOZQU1 -HlKQvwT7kwdv9646riB/CdaHxjrFx1MK8pdgPyMN7z/uvIL8JZjf2eROzy4p -yF+C/cHKj74drynIX4LzNlxq73hLQf4SvI8tZr3z3RXkL8F+8dbZYrOHCvKX -iHC/g9H8O08V5C/BfqiufF+zp4L8JSIw72Wz579WkL8E62P1nHSvtwryl4ig -6O74+5e/gvwlIujEnSxdGKwgfwn2TydG2D8LV5C/RISI9NFu4gcF+UtEqJnM -dk2NU5C/BPurFT1UF5IU5C/Bv/duTY/sVAX5S3D9c4pKe2UpyF+C/ceiibNW -5SnIXyKCZ9z4ZQ+KFOQvwfMbdUm9pExB/hKst4WyRb2qFeQvEWG8b+spC+sV -5C/B/a9wj3dpUpC/RITv7Vc1RKoU5C/B+SD773NeVJC/RISECvPv/b8oyF+C -f7+koH7eDwX5S0Q4t3ff1sO/FeQvwfOwPPPks1ZK8pfg/DDFw+hjWyX5S7Af -rniyRdVRSf4SEfp/OTW2a1cl+UtEWG31++ZITSX5S0Q4eCP1hHVvJflLMB96 -Pv26qa+S/CU4r1r/9+t4fyX5S0TIXT3F9dZAJflLRJj1Zu9zryFK8pdgftFx -tQgxVpK/BPuli1O2J4xSkr8E42rWK9tUSf4S7B+7zZ1RMk5J/hLMdx16fa+a -pCR/iQi3k2xG1E9Tkr8E69fs2GqZhZL8JTiP3e6hJZupJH+JCCNCWxJqrJXk -L8F+OGFic9kCJflLsB8qcH6Qt0RJ/hKsFxouUR+XK8lfgvXlhvqKyNVK8pfg -+9/02/ZmnZL8JZivZpmL9+yV5C8R4Z6wR3V2i5L8JTjv5jTb7tqhJH8J1vtJ -p6cucVCSv0SEoWdyr5vtU5K/BNev/ZPFvQ8qyV+C7zfm4enPR5TkL8H+yvF0 -/+wTSvKXiOAxtcNQ79NK8peIoK7beN/5vJL8JVhP3QoOLL2kJH8J1rspl8MN -ryrJXyKCEAQbP91Qkr9EhKXPjJ3gtpL8JTifhZxtuHhPSf4SfF7/M1ELHynJ -X4L3a2nVp54eSvKXYP+1d/HlAk8l+UtwPxpunL79Skn+EhG83JcVLHqjJH8J -9rv7V5zv5Kckfwk+39EFN2IDleQvwfoX2Pz5QIiS/CUiOI9sCRgaoSR/iQgb -Og9KKvygJH8JzqMH9U3OxirJXyLCNS9vfkSikvwleB/Kjqjlf1SSv0QE1dbZ -mw6nK8lfgv3Sy4w+fbOV5C/B91eL6xeepyR/iQg/lBUOy4qU5C/BfkhZ0kUo -VZK/RIRj1x2+n69Ukr9EhAkHbUb2rVWSv0QE+7lWb97IlOQvwf7141fHSXIl -+UtEkIINTySplOQvwf5f7pK5UFSSvwT76VmFGws/KclfIoJuQtrk1d+U5C/B -emc3YFnZTyX5S7C+mVx9t+qPkvwlmM8Xp80saKUif4kIm7669VvQVkX+Epwn -13qMSuigIn+JCFuLvI+N11CRv0SEQ/HbW7/upiJ/Cc5vN+/H9uqpIn+JCHvj -m0NP9VaRv0QEE/mo+iYdFflL8Dx7tZ+xQE9F/hIR1N6MK/EfoCJ/Cc4D0Qee -djdUkb9EBMeQk+67h6rIX4Ln40jnD8nGKvKX4LzeurCH/igV+UtEmGh7z81p -jIr8JSIUPtcwTxyrIn8J5u+OXB+tCSryl4iQmfNJZ8NkFflLMF8sKbPwnqYi -fwnm209rbwnmKvKX4Oebjmv/3wwV+UtEGPukv4fTbBX5S3DeKMuxDZirIn+J -CBc8Ok1ULVCRvwTPc8TZcYZLVOQvEcGqw/clq5apyF8iwkbngVcvr1SRv0SE -rkbRdRG2KvKX4Hkc5LKscZ2K/CUi/OdpVtPdXkX+EhFOixfOj9+sIn8J5vMd -E8xtt6nIXyJC6PnWPY/vVJG/BOtd+rMf9x1U5C/BfrQm59P7vSryl4gw5ugC -tYz9KvKXiMBvztOrPaQifwnm70v95n4+qiJ/iQjta2Uu6idV5C/BfH1GmdXt -tIr8JSJkucsNdc6piIeT4Lvdo3P6F1TEw0mwjsVwA11VxMNJ8Ph5j/WDrqqI -h5MgW3t+0YAbKuLhJMixHL1M101FPJwEe+r3F2m6q4iHk+Btduma9vdVxMNJ -8Om7Xv33hyri4SRYtvXXzsYnKuLhJEhgJp9yn6mIh5NgfsyRw5EvVMTDSbDY -/M5Pj1cq4uEkSDKbfvisj4p4OAl2D58g2vuqiIeToFvaJDvmryIeToLI4rap -fYJUxMNJoDZ2hZEQrCIeTgLjYtWJuDAV8XASOJefS7oVqSIeToKj+ytabYhW -EQ8nQUfx/SjjWBXxcBKkRqbMleJVxMNJMNeudEVwkop4OAnML3gtPpiiIh5O -gnRJPn5suop4OAkCzmzpKGSqiIeT4NHE0oSXOSri4SRYObbNdtt8FfFwEvT2 -8/ysUaQiHk4CrzaHt0SWqIiHkyDw3fQPW8pVxMNJ0Gnmh29dq1TEw0lQfMZX -632Ning4Ceqn5Ggur1cRDydB7s4m8XODing4CTYPDfK9IVcRDydB1CtplpFK -RTycBHdmbIqO5lXEw0lw5What8WSing4CdbUNE6q/qQiHk6CgeOOTtv1VUU8 -HL7vckudL99VxMNJsMOiTerRXyri4fC86J5c+Pu3ing4CVwH73t9XI0jHk6C -quRned9bc8TDSaBzJTNrb1uOeDgJjPQjHja254iHk8Bp8ZBxqztxxMNJ0FW7 -4HGKBkc8HO7vxYs5Zt044uFwf6pbZz/pwREPJ0Hm6Xb32mhxxMNJIIrLhm3t -zREPJ4FPcZBzUh+OeDgJKjvUPRqoyxEPJ8Gfgy9PHtPjiIeTYMm02EE5+hzx -cBJM9vjqOmggRzycBCfadAraZ8gRDyfBqR/RD6KHcMTDSXB/bMn09kYc8XB4 -f271ezFvOEc8HK5v7/nx10ZyxMNJYMWNepwxmiMeToL2O1xMOppyxMNJ8K1D -n+PmYzni4SQIcXh98uA4jng4CZK3tYx7PYEjHg7PM1fsWTiJIx5Ognw99YRW -Uzni4SR4YTHd3YhxxMNJ8Ctrmc4Cc454OAkqNnact8eSIx4O1ytpwMirMzji -4STYrrKLfDWLIx4Oz/OnW1+i53DEw0kQZni0NHcuRzycBP2/VWyrm88RDydB -Y+fzT8WFHPFwuL9jxp34uZgjHk6CLnZhaq1sOOLhJLCNzx3WZjlHPJwEl97O -+6y+kiMeTgKPJ5yd2mqOeDgJHOaccPxhyxEPJ0GGa3x/YS1HPJwEXxwu7qxZ -zxEPJ0Gcye1l2XYc8XC4/pP8yiI3csTDSVA06HmL52aOeDhczxCz0ItbOeLh -JDiuNO20YztHPJwERxT2n2ft5IiHk0ClcX3/wN0c8XASfCg/demHA0c8HP67 -VmvTDEeOeDgJyp6V73q8jyMeToLnz0on7NjPEQ+H67Ep6fZ/Bzni4SQ4uGuH -849DHPFwEqjvufkt8ghHPByur027lqPHOOLhMJ+Mu3153AmOeDgJpm1p58Wf -5IiHk0Br87BZnqc44uHwPigSHW3OcMTDSXC6xmdA63Mc8XAStNvpuezteY54 -OAn2lR/ruvQCRzwcvk+MmvXXixzxcBIMK/nTzt2VIx5Ogq39LK1Mr3DEw0lg -EPZALe0qRzycBO+6503acJ0jHk6CC7HhknSDIx4O79PjfoanbnHEw0ng7ZeS -3ek2RzycBBcnXf968w5HPBzmq/DJt3vd5YiHkyCt+vHrO/c44uHw5x+fM9V8 -wBEPh+/TG0wuP+SIh5Og89t+z9Uec8TD4Xn6vuv8vicc8XBYH3OdS6qfcsTD -YT6bPfjx3Gcc8XASzPIamRX4nCMeDvOF43aH3i844uGwvu3yPnLQiyMeToJb -d6OF3Jcc8XAS9Bp5NGv4a454OAl0vXy1T3tzxMNJ8OO9cXKuD0c8HPYPC+PL -B7zliIeToMFrzoqdvhzxcJj/htwwC3zHEQ+H9/fU9oNf/Dji4SSQPX3dxyyA -Ix5OglD/Abp7Azni4fB59L2OewdxxMPh57l3nFL5niMeDvNHm4Eru4VwxMNh -/3EnLmtKKEc8HJ7vMHi2JYwjHg7rYT8+/Uo4Rzwc5pv3Axf5RXDEw0mwM8jU -ODOSIx5Ogts3Pq2SR3HEw+F5aDu5Ui2aIx5OggfdqoK1gCMeTgI7IbjKMIYj -Hk6CWiP31WNiOeLhJLh+cfngSXEc8XBYv3Uyp7F4jng4zAcm+V7TEzji4bCe -bZ2+aloiRzwc3q+HihUTkjji4STY8NnHY1QyRzwcnu+KVaYGHzni4SS41jG7 -c/cUjng4CV7ubxj+C+N/PJwENnYHb9amcsTD4eevXjU5KY0jHg7Pl9n2YS/T -OeLhJNDocmrFmQyOeDgJ5sw5nLw6kyMeToLBD0YcGp3FEQ8ngeXPQ5vVsjni -4SSQ3pndzMD4Hw8nwdXZ5j/cczji4SRQPD/4aE0uRzwcnhdZwJH+eRzxcBJw -57Kul2P8j4eTYIj52wr3fI54ODwvwwbbzy/giIfD83Vbu59aIUc8HNYnE7tO -fhj/4+Gwf22uGr66iCMeToIOBvucWxVzxMPh7y/6qu6F8T8eToKvW+aGWZVw -xMNhP3J30cNqjP/xcFgvtsneHC7liIeToJWfvEmjjCMeToLfN6cufozxPx5O -ghGusXVG5RzxcBKMsV/+NBDjfzycBIbjK86Mr+CIh5OgzZHxV8Mw/sfDSdBP -OfODWSVHPBze7xdcDz+M//FwuL/du7oaVnHEw2G/y7saumP8j4eToGDprGr1 -ao54OFwPnyFRuzH+x8NJYBGkEZqH8T8eDvvncxVZZjUc8XDYz7ueaHsb4388 -HPanl3OW8xj/4+Ek6O4ZHWdVyxEPh/djhvHsuxj/4+EkOMd+ymQY/+PhJNgS -qvXQpI4jHk6CTSM2bDmE8T8eToL4Q/EzIzD+x8Ph+mQMnvwD4388HN7/CAeL -sfUc8XCYH4xdbHdi/I+Hk2CBvc35Jxj/4+Gw3raOi87A+B8PJ8GrTmHtfmD8 -j4eToGeB/poBMo54OAk+9637YInxPx5O+h8N9x1X0/8GAJyUiKgkGUmDSLRT -yTlSkpSVVUQZISKyV2aRUMieoWUkM4UnFaWkvfce956rc0o2v+f3us/3z+fF -9957PuMZvu/XgQmdIv1VGEs9HA8aBboRBzCWejge1M/s0r6AsdTD4e9xz4+N -wVjq4XgYkqlh/QpjqYfjISPXIScNY6mHw3ks1Wr9J4ylHg7vu1tD71yMpR6O -h20xRlE5GEs9HNbTiQNnZmEs9XA8yLzfKErBWOrhcH55OOnUC4ylHo6HhyHL -J0RiLPVwPDSsz80Mw1jq4Xhwe7Jv9W6MpR6OByNL1x/LMJZ6OB4sTO2P22As -9XCYP22nKqljLPVwPOxaaxn6BddT6uF4mKQ8Uj4VY6mH42G8SoP/WYylHg7r -feTuIg+MpR4O7/eWQv3RGEs9HPb7h5q3tuJ+Sz0cnn+XOw+iMJZ6OB5uvvhb -vBJjqYfD/rUv1zEUY6mHw/zzZ+WPT3jepB6OB/MXC7/sxVjq4XgQvUvMG4Ox -1MPhfdQ4fjMbz7PUw+HzGT9b7Iex1MNhP1xk/mMAxlIPh/s7R/ZIDN4XqYfD -fmnBkC4WY6mHw3zQe+2sfLx/Ug/HQ+APUZAnxlIPx8Njk5vR7Xh/pR4O6/eY -PQ/8MJZ6OB4qk3acFfD+Sz0cD5qzzrj5YSz1cJh/br7t0Y75Q+rhcB7+9i9w -BcZSD4f9nNwcUS7mH6mHw/v5JcqAwVjq4bD/nSPvFIX5S+rheDCc5DOtP8ZS -D4f7ZfBZ1RfzndTD8aAy3jj5I+ZHqYfD9YkKs9PGWOrheHAMkVzfgflV6uHw -/NnM+PwB86/Uw/GQeP5qgQrGUg+Hn9cmeuCO+Vvq4bA/6WPldgPzu9TD8TDH -5mhJFeZ/qYfjwcExZ8xQjKUeDvdvwwiHuVg/pB4O+++Vm8yOYH2RejisL6sz -OuKx/kg9HNYXvYl7KrFeST0cfl71nRwZjKUeDuud9cQOXaxvUg+H/dqG/PJp -WP+kHg7nV+0Lp5dhfZR6OB56nAlQ2Ir1U+rhePjpFe5yBOur1MNhfsuuXhSK -9Vfq4XiwOrZq9GWs11IPh/0TjE+4jvVc6uGw3lhMHXAD673Uw2E/oxc75gr2 -A1IPx4Ofq3+Ps9gvSD0crm+PyCvHsJ+Qejgebvxy7tqG/YbUw2H+Cvfv74n9 -iNTD4fpGj653wH5F6uGw3zm3eds47GekHg6fn12eIo/9jtTD8XB7+L/MOuyH -pB4O64GO56mX2C9JPRzO72Vn+pzAfkrq4Xhgna9PXoT9ltTDYT85+NJoTezH -pB4O89uS0PcN2L9JPRz2kxkXht19zpGHw/MR8VrHE/s9qYfDefLJoNoh2A9K -PRwPO10fzc7C/lHq4bD/9725ac9jjjwcD+d2/WRGY78p9XA8bDxQ8DYL+1Op -h8Pfp85yvti/Sj0cD8pb5n/sd58jD4f3w0Fn/j3sf6UejgeTlvRAa+yPpR4O -92vSYu8s7J+lHg7717jWL4uxv5Z6OOyX3c9r197hyMPx4F+x9c8q7M+lHg7X -d/31gIZbHHk4/PP8CdHLsb+Xejhcn/3MjiKcB6QeDn//+vbWGdc48nD/r48u -/57jPCH1cDws/nY4QfMyRx6Oh4Hh0YOO4Twi9XA8WG6oGNiM84rUw2F/t9fq -wTScZ6QeDufjlXUtV3DekXo4Hu64C+8kOA9JPdz///0oxIrBeUnq4XiwDshx -OYHzlNTDYf2bVPUn5yRHHo6HD0NLHFVwHpN6OOyX9tVMmIvzmtTDYX+xeOiD -E4EceTgeom2jU97i/Cf1cDys2vnY7wvOh1IPx4NayaLnww9x5OF48G1IPmMX -wJGHw/4+VfWfN86fUg/Hw9Tpu2UCcT6Vejisr+WDL9/C+VXq4Xg4ckMl5QXO -t1IPh/Vg/qV9Gds58nA4j3nmphf5c+ThcD6uaIyo3sKRh+Nh5aEeig2bOfJw -mN8TZ8s14Dwu9XA8HL70K7ga53Wph+NhbqXp9SKc56Uejod3vO7kDJz3pR6O -hxzh96oXazjycDg/RLWr3VrFkYfjIfS4husxL448HA8rXr8f4r2CIw+H/f5w -1dXTPDjycDhPGFpPGraUIw+H+cbcJ5RbwpGHw/w3rGTT60UceTjM90ee5QUu -4MjD4fn5YJHgPJ8jD4f9asdZrQFzOfJwWC/S/vbPcuHIw/Ew6s3j3UdmceTh -eIjrV+s9aSZHHg7X40paQbMDRx6OB3vFixBmz5GHw/15FzrOchpHHg7PS65I -qZzlyMPh+RrYsG3nFI48HA/PFZ8sUJrMkYfD+zw8PO6uJUceDvOb/cejZhYc -eTjMbwtDCsCUIw/Hg0L5iKsOxhx5OLx/IxPLMyZy5OH+P4/dDXMw4MjDYX2t -Gw4wjiMPh/k3beVKMz2OPBwP34yS9tzV5cjD4f21WyevpM2Rh8P8WPNQfqcm -Rx6Oh90PcveUjeDIw/EQM1zNc9Iwjjwcft+atBehQzjycP+vp9oHmlQ58nA8 -XFq667m5CkceDu+Dz4BlhwZy5OHw9w2fuCWjP0cejgfhulaXggJHHg7rhcS0 -0VGeIw+H61f2eOphWY48HA913xr7vOzJkYfD9XmuYdPyV0wejofPLjFlKr/F -5OGw3n4urrX6ISYPh/3P0O65y7rF5OFwvesWj9vTKSYPh/N8nMv6cx1i8nBY -XxrHKUVzYvJwPJg+YzUS2sXk4fC+jW84k9oiJg+H/Znh+rWZjWLycDy07te7 -86lOTB6Oh39x26ZlVovJw2F+s4q2T60Qk4fD879uWMzLUjF5OPz+Dbqbo4rE -5OHwvjuPunA2X0weDvNr1zqN3Tli8nDYjxm4yy79JCYPx8PXm3OdLD+KycNh -vi6LkSh9EJOHw3o2I62jMUVMHg7XZ8q/Oc9ATB4O+9+OxH4Br8Xk4bC/279E -Z/orMXk4zN8tVhd6vxCTh+Pho3rKqtQnYvJwPOQ1Lji5N05MHg7nefnF/Q0f -iMnD4XkcO7G5KlpMHg7nofKp6sfvicnDYX662n17QoSYPBwPi0pfHv58Q0we -DvOxmHvlc1VMHo6H31q9ZshcEpOHw3no2cpx4efF5OF4CMnZ5akTJiYPx4Pt -uSeiB6fE5OF4kFc5kGkcLCYPx4ONq9Pv+EAxeTg8f65BByccEZOHw/lMPnLR -3QAxeTj8fjf1A2r7xOThcF4znNd9ZJeYPBye99Vpb8XbxOTheDhY2Fw8d4uY -PBzmh/kTmce+YvJweB4fK3cp+IjJw/EQC32+e3qLycNhf+ISMvPJSjF5OB4G -66u1/l0uJg+H93G0VoHDUjF5OKzvg8YpBC8Wk4fD+5YRG5LhKiYPx8MrLV13 -mbli8nA8rPk7aOskZzF5OJwfHX4WrHUUk4fD/q1lccA5ezF5OHzeykf+iVPF -5OFw/tE9/LjSRkweDufhzwvNf1qKycPh+et61HOQuZg8HNb/N4MG6xmLycPx -cM2j32aLCWLycHifh48caDtOTB4O/3uXoi6H0WLycNjvLE3SdNQSk4fD/v3d -0jP2GmLycDxsUrSzsxkqJg+H+bzpr7XhYDF5OJx/e4/coaEsJg+H9c3WqKu3 -opg8HA8vjn54JuojJg+H61289WWWrJg8HA8nF2f8iuwhJg+H/fmMpYf2/xaR -h+OhqOe7GXO+i8jDYT7tGTp7RJeIPBz+ed2K8MYvIvJwPLxe/nF4tEhEHo4H -rcXedd4tIvJw2C9k5zWNahCRh8N5pC1qbHG1iDwczqPfj8UElovIw2H93qSw -zqRYRB4O76+ocFVZnog8HA9hZoHX9maLyMNhPZiSrDz0o4g8HPYzumNS49NE -5OH+P48tezw9WUQeDufNL/JlhUki8nA4X/zMsVnxUkQejodHpssqGp+IyMPx -MPP+wmdrHonIw/Hw9q53el2MiDwc5otL1qpu90Tk4bAfsThwJeuWiDwcDwVr -atysronIw2E/76A4P+KiiDwcD64mCYd7nxORh+OhITlMtOa0iDwc3v/3ziHJ -J0Tk4bD+z7u+Wu2YiDwc9vMvLLetPSgiD8fD5qCixKd7ReThMB9eHm/5a4eI -PBzWB9ku0ZStIvJw+Pxj2or2+orIw/FQe+fD12frROThsD9aN3NW2yoReTjs -R2IMi9VXiMjD4e9vtrxg5y4iD/f//98y+uT6hSLycDw8/ZzwLHiuiDwcD+t+ -PlOJmiUiD4f1bXTN3bcOIvJwPBxa/Mcnz1ZEHg7zIVe8usZGRB6Oh4kXzc62 -ThKRh+NhmHutIDYRkYfD+3/uXqB4gog8HA97vs11aRkrIg/HQ9CFxw5VOiLy -cDjflp/b+nmkiDwcD3ohWbmJQ0Xk4bD+5Y7zilAVkYf7/zx/WOfYQBF5ONyP -oJghqxRE5OF4yNLfMcVGTkQeDuthGpxX6vGfh+PBaO0Kjdqf7eTheLh3yrAk -9ms7eThcr2H9U/w62snD4X4uza8yFrWTh8P16OOlL2lqJw+H+WHGpah7te3k -4XD/HrotXFLRTh6OBzP2uGnv4nbycFj/t/aZFpfbTh4O53Gj5IOuWe3k4XiY -XHrhi/C+nTwc1jtm++lTye3k4fD8xE330ElqJw/HQ1tH59Jnz9vJw2E+sfcN -nvq4nTwc5ue1t1rTY9vJw2E+CN+/w+leO3k4HlyCu00zbraTh8N8c/jvKNsr -7eTheHBggqc8P99OHo6HKz1DT+ieaScPh/nmorzcmRPt5OFwvp7XHtd1pJ08 -HObTfqbBCw+0k4fjId6g+lz8rnbycPj80W2f+vi3k4fD+bDHQvOlvu3k4XhY -76CVHb22nTwcPq/GvPO8Vzt5OJy/3rQGmy9rJw+H94nnHm9b1E4eDuvl8HW9 -4+a2k4fD+dbC82SjUzt5OB52lNayg6e3k4fj4b5Rt44t204ejocnio8mrbNq -Jw+H+bdyyN5g03bycDw4P7CXRE1oJw/HA28wIyxZr508HPZHz0xXF2q1k4fj -QWwzam3D8HbycNj/+ehe4Qa3k4fDeTXY7Y8wsJ08HObf8PLQzr7t5OHw/hqm -LfjSq508HPbvD81mNv9pIw+H90fNeVPptzbycNjvahqkvefbyMPxMHLSd6dH -ojbycJgvDhTJnG1qIw+H+S63XeRX00YeDvNxsmfvWWVt5OGw37zpNX9UQRt5 -OB4O7Bma2/GpjTwcD31Gvgh4/aGNPBz+fvW1XkeS28jD4byV6LF9emIbeTge -0odmJ8k8ayMPh/ObartZ0sM28nA8hM8W1W6KaiMPx8NVq77JGrfbyMPxIFI+ -kJ9+pY08HPaX9cfUfc+3kYfDeSho+VnF023k4fD3xc2YGhPURh4Oz0f5Ye2p -h9rIw2F/vNLVKn9PG3k4PB+7/h5dsa2NPBzmozFZcq2+beThcD/my7zesLaN -PBwPnimSqHbPNvJwmB+GVX1c7d5GHo6Hqvrh2uWubeTh8H4e+/XYyaWNPBw+ -r+vnHS8c2sjD4fkObPXXmNpGHo6Hn7a3ogOs2sjDYT8S7jK4yqSNPBwPqfud -Es0N2sjD4e9tFy6eGN1GHo4Hj8S7j0pHtpGH4+F4KvzVVm8jD4f3K/fe8XXK -beThcH6KTHSOUWgjD4f9uszqWU292sjD8bD/dt9jI/60kofjodlm8M/Z3a3k -4fDzQ4XYfV9aycNhvVg68NK91lbycDg/ela8+1jXSh4O+82NGWPbylvJw2G9 -X+CU3auwlTwcDxE/iuOGZreSh8P+z6k5V/9DK3k4HpRnCEaToJU8HA+FPXbm -Mgmt5OFwHrshxNnGt5KHw/7MoymHjW0lD4f541yekdWdVvJwPIx+OrlgwrVW -8nA8wKzYlxrhreTh8Pn7R1b3Od1KHg7P34dXjl8CW8nD8bDXb2t3bkAreTi8 -f2NWtD7c1UoeDufXCcqagVtaycPxkNw9/KqbTyt5OAF0tAZ7jF3VSh5OgKY9 -59cIS1vJwwlQIGv2/OWCVvJwAqzqOuO8y6WVPJwAg144GJg5tJKHE+DDl+8L -REwreTgBxhyZmX59Uit5OAH6hVYdmWXUSh5OgKody052jW0lDyeAXMXm6kta -reThBJh/L3W/5bBW8nACbOrd26dApZU8nAAuu1vurO/XSh5OgFvvhxn86tVK -Hk6ABTILex3/3UIeToCel1z0lb62kIcTYIvd29vnuBbycAIMU1/lo9LcQh5O -gDuHfx4NqW4hDyfA2lnThZ4lLeTh8PMu9366NaeFPJwAeUKvjOr0FvJwApy1 -kzNxSG4hDydAQ0UhH53QQh5OgJptbB/5+BbycAI8M1Hd4RnTQh5OAMsoXZvn -t1vIwwlwstB+qdyVFvJwAjw1nFU492wLeTgB2DtK0ReDW8jDCdAe5ldSdriF -PJwAM8scVw3Z20IeDp+/cLfzXP8W8nACRC1vPnd0Qwt5OAFUiv2tn61qIQ8n -wMaRCrY1S1vIwwnw7s65aLkFLeThBBjl8WeLnnMLeTgBPHMsbtjbt5CHE6Bi -oMlED5sW8nACWKU3aG8xayEPJ8BEM7v9Bw1ayMMJMGuiE3NSt4U8nACZY796 -h41oIQ8nwDJzu+9nVVvIwwnwt7dF55n+LeThBMjvyltyXLaFPJwAX39r6O/7 -3UweTgCvdJ11G7qaycMJ8DH6y6BF4mbycAIcuhc60aaxmTwcnv9t6q80KpvJ -wwmQdOjqs58FzeThBDARjLQLsprJwwmQdk+QiUptJg8nwGPrP4t3JDWThxPA -X8lP2/ZpM3k4AVKcfFfJ328mDydAcOHQER8jmsnDCcCvvO4SeKWZPJwAHru0 -fzBnm8nDCbB3bbFm54lm8nACzFvUlhJxqJk8nAAOtqcbXHY3k4cTgPn783CX -XzN5OAHus+siLqxrJg8nwMOLynZmns3k4QSYsNfcO3txM3k4Af7ZD1ZcOaeZ -PJwAClZfLQWHZvJwAvgFjRDtZ5rJwwmQOKdIV86imTycAP3vbmwJmtBMHg7v -a4iJWe/RzeThBDjed0//gyOaycNhvlC/vOXroGbycAL0edm62rtfM3k4AY42 -pLXkyzSThxOg6E7IL+ufTeThBCjuHXH7Bt9EHk6A3mN9Kv60NpGHE6DcxCV2 -SW0TeTgB1LxfDIwraSIPJ4DeEFXFnjlN5OEE2Pe85M7sD03k4QQQsrcXXXzT -RB5OAMMB3ncqnzWRhxNgiKPOQI0HTeThBDDaPn6Y250m8nACDE/89z70ShN5 -OFxPH13VtLAm8nACmClo9e483kQeTgD3hQevaxxsIg8ngCObW2G3s4k8nACL -v99OWbOpiTwc3o+OE65H1jSRh8PzU6UZem1ZE3k4AXIS/u6Od20iDyfAsY9p -KilOTeThBNC8O2jZZ9sm8nACOBuGLi62bCIPh/khqr53uWETeTgBbCJebCgb -00QeDu+TSlJQoUYTeTgBXsyKWJal2kQeToAMOW3xm35N5OGwnnh2Tnog00Qe -ToCY1nzbCz8aycMJMPDywX77OhrJw2H9qIMLHi2N5OGwfkUubrKubiQPh/lj -lsqPQUWN5OEEGPr4TUFrViN5OPx8i/E7X6U0kocToDPDsOnYq0bycAKIyu9o -zn7cSB5OgNpNq8aqRDWShxPAd+jsnvnXG8nDYX6Rm/Hg1PlG8nACvPlmNn76 -yUbycPh9rjIHvx9qJA8nwOaR1x9E7WokD4fnude3J/M3N5KHE+D0ErnwH2sa -ycPhemu9W3BtWSN5OAHmqOp1Wbs2kofDfHZ90vaimY3k4QSQNHaXbZjaSB4O -83/ORt2/Fo3k4f6//vcWhUxoJA8nwNJvj7aq6TaSh8N8UH19z7VhjeThBDj/ -/rjfSOVG8nB4308EL7om30geDn9fzbuJan8byMPh8y+Z9+tkVwN5OAG+eK94 -+6e9gTwc3gdPtT0b6hrIw2H9PXLXqKikgTycAAl/Jjdaf24gD4f1UKxy8Vpa -A3k4AexWr5r1M7GBPJwAB/w39FoQ30AeDvO1nu+7mKj/3g+H5z3sUdCv6/+9 -Hw7vU+buJTPP//d+OKyX3SMszgX/9344zKez2rXLDv73fjgBDqtaag3f+d/7 -4QTIeuFp6ub73/vhsP4/T1l6btV/74fDfuVl8rWPbv+9Hw7vU/C777/m/Pd+ -OAECxhpt1Xf47/1wAlS+2dtvoc1/74fDfuj+kPS9Jv+9H06AFSF7o26M/e/9 -cAJcmawT92bkf++HE2BnyenaUtX/3g+H+TZ9GtOh8N/74QSofpue2avnf++H -E+D5+9jjg77Vk4fD/bTav0eTqycPJ0BrQWGEXkM9eTgBcuNmyRqU1ZOHE+C2 -y8HbBjn15OFwfy4N3j/ufT15OAHWi55c1k6qJw+H/cNg2Z9D4uvJw2H983x1 -q29UPXk4XK/zQaHfrtWTh8P+8bfep7qz9eThBNi1ccmijOP15OEEkHWsML5/ -oJ48nAAWR3d6BW+rJw+H+/3oe8san3rycJgPhYkZUzzrycMJEDryi6zKonry -cJi/o1Ru18+qJw8nQETczptxtvXk4QTAatBr96R68nACrHG+8JmZUE8eDs/H -Sx25njr15OEEeOV+JQbU68nDCTBaoePtngH15OEEUF6r6WgiW08eToANTXqO -zT/qyMMJYJ2nmHLhSx15OAFGLihPsGuqIw+H/eeeq4ZceR15ONxP69XjzuXW -kYfD/nWnc4z5hzrycAIs6bPlSWFSHXk4AXzeds7wi68jDyfAjfW/NvaJqiMP -J8Db6qe616/VkYfD5//jsnXi2TrycNjvP/u35HVQHXk4Afb3la102F9HHg7z -48irf7O31pGHw/7UXCFt3ro68nCYLxOvGuZ71JGHE+Cy3U37Oa515OEEuH5t -fe+PjnXk4QR48HHBLpapIw/3/3qbfP2JaR15OAFeCwP3aI+rIw+H+X7WI6Uz -I+vIw2H9slFY831QHXk47KenXdqzvG8deThcX8v8Be/+1pKHE+C726Rvo7pq -ycMJsG2O85r9bbXk4fD8Gl6NKK6uJQ+H+eP40ycGhbXk4f7fv+lfDvhYSx4O -75fzFbect7Xk4fB53e98G/6sljycAMkmoh1rYmrJwwmgoaRRcf9GLXk4nA8y -2/S+nKslD4frVyHvZXiiljycAOPXmgZvPFBLHk6A7qkToyL9a8nDYb+IKbFq -XS15OOxvU55nKS+vJQ8nQFtiffk011rycLifjzU5P8da8nD43zvO6n1tSi15 -OJxn/s3UTzOpJQ8ngLxJb482vVrycAIc1PSL6KdRSx5OgLKu/X/1VWrJw2E/ -lTd++wz5WvJwAhhc/6aa8r2GPJwAvfqLj36pryEPh/fLZN60Idk15OHw+fzm -LLR6WUMeToC+rsM/LLxdQx5OgJbrX69tPFlDHg7Xf/n4+v3ba8jDYX/ybfCN -EytqyMMJ4Go0vOzMzBrycALUT3t4NdS0hjycAFvbnSQnNWrIwwmgtTWg7JB8 -DXk4zH+p9Wu28tXk4bCf2j70mkdFNXk4XN/ywqN276vJw+F5Ui4YqxtXTR4O -N0epJvTfpWrycHi+X+W+LzpcTR4O80FDSG7kxmrycJhfN8klbl1UTR5OgPi5 -VietplaThxPg/T0zl1/jqsnDCbDnrVLfhEHV5OEw3xp1pW/+U0UeTgCuUeWc -dksVeTi8r+Me+efmVpGHw+9LVvXfnVhFHk6AH+KIKxp3q8jD4XwTlNL5+lQV -eTgBfiqIghbvrCIPh/sZeduT86wiD4f1z2l30AGnKvJwAii+Vendz6yKPBzW -v9gRzWc1qsjDCbDwoauxmnwVeTgB/qw/zJ/vqCQPh/nr0iGjgeWV5OEEuDvE -8vvR1ErycNgv/74yv/tBJXk4zI93Hs5cdaGSPJwA61IOtWQFVJKHw/XeNcnC -aH0leTjsb5b/YUPnV5KHw3x6RWmgeHIleTgBVkteP7QbXUkeDut11rIxFwdU -kocToMPL7UjztwrycDg/P9PPMa6rIA+H6xm5WHV3ZgV5OJzXVHd4vH5aQR4O -73+l/vNf1yrIwwlw69u4MZMCK8jDYb48YZG0aXMFeTicb3jtgIglFeThsJ+q -zt2Xb1tBHg77lRXG8Fe/gjwcnudv9vP0VCvIw2E//HA44/ynnDwczn+6RaG+ -zeXk4QSYHX1rwcmccvJwmL9s35y/l1BOHg7Pe9buZa9vl5OHw35EzvxlTnA5 -eTishxEej2v8y8nDYb5eudVVvKycPBzm31EyD75OLycPh/2p6P77XxPLycNh -P66s+eDvkHLycDhv2gzf8LdHOXk4AZYH+A341VZGHg7P08Z/t7vyy8jDYb4Y -98pYlFRGHk6AxkM3P1bfLSMPJ8Dcv2nbc06VkYcToKvLedqbHWXk4XAevbVh -cvSKMvJwWC+NvX1DHcvIwwnwqfVs43bjMvJwWO9Huz1cMqyMPBzOv/uMCy17 -lZGHE0A3594aNXEpeTgBsj3mbeILS8nDCbCjqvbnxzel5OGw/z+lon4rspQ8 -nAB1s17k+58pJQ+H+fLBw0nTd5WShxOgor/YVdWrlDycAOGxO8zqZpaSh8P6 -92p1832TUvJwApzaWbNj2/BS8nACxDpod1rLlpKHw/4M9qz7Jy4hDyeAW7pj -47uiEvJwWK9j//offltCHg7Xy1BrtG1UCXk4AXo+mCH390wJeTjMr1ojtF/t -KiEPh/357KUntnqVkIfD359e6KjvVEIeToBFShs21ZqUkIfD+/5oUJ/w4SXk -4XC9ppfoOMqWkIfD+TA2L+eHuJg8nABP/hkPiy0qJg8nAKRbK7u9LSYPJ4B5 -z2mpvaOKycNhPbGJZ56eKSYPJ8CyF3Jnl+8qJg+H8/zG3Kw+XsXk4TCfWb74 -GT+zmDwczvetmye4mxSTh8P9WZS5tefwYvJwOG8ciSyL6lVMHg7X+8EPPxdx -EXk4AYzXZc8QCovIw2F9eM36XnhTRB4Oz6flkQ6ryCLycHg/VWpqK08XkYcT -wMwqziVgZxF5OKxX21c7aHkWkYcTQOng0fIUxyLycDifjogdutq4iDwc7o/p -sUFyw4rIw2H/wJWX3JMpIg8nQOCnYzscRIXk4QRIT9/+r7mgkDwczmdrHh8O -el1IHg7rSfFcpbH3CsnD4forHU/IOFVIHg7riejayfU7CsnD4bxjJb6ssKKQ -PBzmh6bWzvszCsnD4X4OGBHrYlRIHk4AU63R2V/UC8nDYb/a/cr3bM9C8nC4 -vlzkNfP2AvJwAtzrl+NTll9AHk6AaaoGbfuTCsjDCXAp+vNYnbsF5OFw3lpV -bf0xpIA8nADe3ZeN/LYXkIcT4IPD4uHqywvIwwkw7OSR/u8cCsjDYX+w7s7g -DYYF5OGw/ii5OqqpF5CHE8BkucOLlB4F5OGwP/nquMWvLZ88HH7fCuakZn4+ -eThcX31ttdzEfPJwWA/k++gevpNPHk6AlW3D081D8snDYT+mHaEs2pZPHg77 -scBvgyM88snD4XxzLKzZ3SGfPBzer9l/wwcb5pOHEyBT0miRPySfPBz++Wa5 -ytAe+eThBBjx3fLSvLY88nB4HsVbDqjm55GHw/ym+Pp2WWIeeTgBhlyzGXz7 -Th55ODzv203EPiF55OHw+Sy6rSZtzyMPh/23x0/l3svzyMNhvvbJCipxyCMP -h+f178C4+4Z55OGwH+lx4uph9TzycFiPQNlrWc888nA43wy4NciqPZc8HPbv -vvbp6gW55OHw88frh/5KyiUPJ8CUwxcP193NJQ+H83Iy9yTzVC55OAEu+MSY -v9yRSx4O5zX3lZpRK3LJw+E83Jp19IpjLnk4fB6F53vCjHPJwwkwYU8ftZBh -ueThsH6VfNoQ3CuXPBz2Q1dnag8tyyEPJ8BpvxsWo+/nkIfD7wuZzVrtzyEP -J8CzqTPXLpyTQx4O+2f1l2W7tXLIw2F+GvfzXWTnZ/JwmA8WPZpV+f4zebj/ -//teTMSwS5/JwwkQt+u34OXzmTwc3vcf/LonNp/Jw+H5mqFk3H/gZ/JweF4L -1XdtrssmD4f9wsM+GyqfZpOHw/uZrT7JNTCbPBye9zVv+xYuySYPJ0CI8X2l -FeOzycMJoP4+e3fXn0/k4XA/Kub5n8/9RB5OgEdRt8azdz6RhxNgt55XXtf2 -T+ThMP9s3XXuueMn8nDYr/RXuH1o+CfycDhfWe8a5ybJIg+H52Pu6mU277LI -wwmwvU/c+vHns8jDYT0r2XVozNos8nACXLXRLpxgnUUeTgCPEc5nbRWzyMMJ -8EupVOxVm0keDv9+SqvqmaeZ5OGw/5xSP/ljYCZ5ONzfGT7nlNwzycPh+U5c -57JmQiZ5OAFKSuWvpffIJA+H6xH9LH5S4UfycJgfZ2q+ex71kTwc9lOuk//Y -7v1IHk6AYP2plytnfyQPh/V3eUzGMe2P5OGwn5SZBFO6M8jDYX7rL3ksm5lB -Hk6Ajw/V88uvZ5CHw/MZ77A4eUsGeTjs/8tdtj+fnkEeDp+HdfJKHJpBHg77 -oYc35uZw6eThMP/3/Lqr6106eTjMtyGMkv6FdPJw2O9veebs55NOHg73W750 -dTqTTh4O99fa/4zhoHTycNjviC/1imn5QB4O19v93nfT1x/IwwmQsZ+JzA39 -QB4O+2G/BfYBaz6Qh8Pnz9XqN9X6A3k4zNfjDEwHDfxAHg7rsav91+8N78nD -4X5UBQbzCe/Jw+H92bHM4M+p9+ThcD1m71Edseo9eTi8r0fq9822fE8eToCx -IY03zyu+Jw+H89gVjQRJfRp5OJyvFXf38EhIIw+H9azI6FnNqTTycAL0aMoe -sHNVGnm4///7+VhnHas08nD4e8euj24ckEYeToC/A/p4JjWmkocTIPTA57io -xFTycALYZxUXxoSmkocTYHFlSP8U71TycDjPXHt0vsMmlTwc3of1zvfNB6WS -h8N+KOjj6bC2FPJwOH+drdwvm5xCHg73Xzjz8vSFFPJwAvR2mbbXyDeFPJwA -er8j+7TbpZCHE2DQ4Q83k4alkIcToOrf34P3+Hfk4TCfPZDlojLekYfD/tOp -Xjf15jvycHh/In6s/7bjHXk4/L7fvf7az35HHg7/PFxF58Hod+ThBMjVPDN8 -wp9k8nA4f1rZ6GcUJpOHw35XbH52/4Nk8nC4XnrCBZejyeThsJ+eYrvPclky -eTjs971nBzJmyeThBEi1uvVjRf9k8nC4n94mFtYfgTycAE+9OlaIdwN5OAGs -2uN/FesDeTgBTixYH/6z4i15ODyfmWmvFp96Sx4O+01Xw24J85Y8nABnavJK -kzvekIcTYOL88x3ZEW/Iw+H9Cx2ZrbbwDXk4ASxCy/5elX9DHk4AjfUdassT -X5OHw/l47bwoD9/X5OEEOD8qbtrVUa/Jwwlw7sShfUMKk8jDYT80UL4tPyiJ -PJwAx+Oma3yenEQeDp9XrX9c345E8nCYn5IEs8C7ieTh8PP/LXOwd0skDyeA -89w9e+0HJJKHw3nEaYZvUOor8nACxFeffq64+xV5OAEid4skJRNfkYfDejU+ -41lzYwJ5OAF0irzuWF9NIA+H6zEvY3HhvATycNiPRtVsftYngTwc5gtrr+AK -eEkeDs/nz0oHp50vycPh+bmaOL2n4UvycAI0Z1uP7dnygjycAN+27Ap1uvmC -PJwA0SXrtaoXvyAPJ8DjH3UHXiu9IA8ngOR1mEvzx+fk4fC8GPc1XXbkOXk4 -zBfFCUUjpzwnDyfA1xqhcuK3Z+ThBBD2ijtC4p+Rh8P+QxMSrTY+Iw8nwO+8 -9Y3mes/Iwwkwbne++uH6p+ThcD4ySO+leeMpeTicf479m6fo/pQ8HM5rXzXi -XdSekofD+6EZn1Ob/4Q8nABHDcw90s88IQ+H9Vd/sIKMyxPycNgPbnwQFKbw -hDycAEcaZxzfkRFPHk6Al6f1ID4wnjycAGG5AbV20+PJwwnQUb/n9gTZePJw -+HyTrRM2pz4mD4fzz8+SCoUjj8nDCbB88bq0f9Mek4fD/Kb6S39Or8fk4XA/ -G6zOdQbFkYfDftLhyYseCnHk4XAeurTdMj3kEXk47B+4a2cdlR6RhxPAR3+G -beD5h+ThcB7tdS3l0NCH5OEEOCubc8Hm5gPycDh/XNGemjD6AXk47F/GiKZ1 -P7hPHk6AQ4PPjv5udp88HJ7Pn5f84E0seTgBPj2+9shlRix5OPzvjyzZeDcv -hjycANvcYizfL4shD4f9bkvo3fjWaPJw2H+3W9pt2B5NHk6AH8u7YzplosnD -CZCV5eznHBZFHg7r3THecOeoKPJwWE975Bzd/jiSPJwAnjp9FGfaRpKHE0Au -4te6zvx75OEEYFfMmLxjzT3ycFif8rPGFny/Sx4O64t/XGm/U3fJw+H9nLiy -S0v7Lnk4rM8Vt/SGJNwhD4f5O3nXKG72HfJw+OdKs87ca44gDyeAy4HcMdMC -IsjDCWCQMeJamnoEeTgBHBZERE58eps8HOavbPMeh2ffJg+H+e6j8prk9lvk -4QRY4l9+ThR0izycAFvY2y5yY26RhxPgi0Pe/ZHBN8nDYX/3cE6TWuYN8nB4 -v4yul3b3u0EeDvvz72HDU+ZcJw+H5+Fo75n7wq+Rh8PzvqSix7iqq+ThsH5k -9mjNGnOVPBzmu9wD0eu2XiEPh+tdv4+XSb5MHg5/f8vMnTcGXiYPJ4CT5epO -xusSeTi8/5GHdFufXSQPh/nx6sXP1xUukocToB/bkLhq5QXycNhPxvMvp7wO -Jw+H+X1B9GVD9XDycAJMHuViNWXHefJw2C/2P7Pdp/gceTj8/KOnTZIsz5GH -w/mDa3IzvX6WPBzmS8sn5cW9zpKHw3x65mpMzMYw8nDYX8tUJ8eVhJKHEyBg -zvxRnH0oeTgB9LdxV7LWnSEP1wnvqr5ETnY+TR6uE/p3+weamJ0iD9cJ+yJT -vBNGhZCH64SXJS8PFimfJA/XCRdSC9XPygeTh+uEZevnB3T3PEEerhMO6pop -9et5nDxcJ1h7u5uWygaRh+sEm7Phy7wVA8nDdUL234R+b4cdIw/XCVHqjz61 -GBwlD9cJBbFBk8R2R8jDdUKDU3hHwYrD5OE6obBtR3TUwUPk4Tqh5oTRCL/I -g+Th8PtN9+lvjAggD9cJIW2zC2RlDpCH64RHg8d9T9qwjzxcJygsSd15p2YP -ebhOOPpjrVO2x27ycJ3gPWrE4VnNO8nDdcJn149ORrt3kIfrhBWz17afUttO -Hq4TMkeNebfjrT95uE6QuXdp5h+/reThOuH5tBp1s4lbyMN1wuV+kW+WijeT -h+uEJQNXfvoZ5kseDj9vcci4DS4byMN1gsVKi5L8kevJw3VC4oRpA5b0Xkse -rhNmPUlitGTXkIfrhEhZU6WF6qvIw3XC1bFDVg6z8yIP1wn3xuhcMuq7gjxc -J6i/TbMRv1pKHq4T2lftSXtxaQl5uE5wfuryrObeQvJwnfDeKkr2Zd188nCd -EBDcX/uU81zycJ3AnoCKNdmzyMN1QrOm7YFOzxnk4TohfozHB+8FduThOkF8 -y3xPxlyWPFwn6FhHv9BOsiQP1wl+Z4PUxrWZkIfrhBNmbtELEsaTh+sEt7Rv -oyX6OuThOiH025zgIDd18nCdoHFAWelnz37k4Tqh5XGxobvDN0bq4TpBVpDM -XNqviDkR0lOrW4aDiZ76zdfypjIbmtRL03txsEouqFBB04FxYYzOXJbl4EDV -3cgCJRfG8OIMhw1yHKSpas7y3zGfUe5Y/semNwfjr7WaxSguZjoddzwbIM9B -ruP9yV+XLGOKbp/aUIux6hZT7yATL+blz7vaT/pwULkizXDpzdXMFdfXZUf6 -ctDuUXlswe51zL4HBaELFThwWO4zJ/fDRma5nGiGXj8OInMUWlTT/Zipy2X+ -fcc4sFfNnCoff0b75dAXmf05+Nzk6cHN28HIKhn7XlPkoPBA0iS/gN1M8zpH -3U0DOEhqOWca2r2PyXi3ooIdyEHn+NJ1kz0OMrHDdp5VVuIguOfCFWPWHmZC -/E/PbMBYOGo/8/qVo8zmT/d6PFfm4GQvR7HJj0Bm3ug3LwNVOBgTPzduz9ET -jOmBwk1LBnEgE2LgLM+EMINLRKP1VTko6J4tu0HvDPPNsFfVL4xjVmuUDNcK -Y8qODzufPZiDvM8P3f+ln2WS6oxn3VTjQNLxJWTsifPMdeuZMluGcND2aGbC -ijUXmIBznq+mqXNwsK8Hv9HjEuMl3umnOpQDj/ivaupbrzD208/oNWN88Xl3 -3ti715gxNyKrXw7jIHuGY7h31w2mz7c34SeG4+/PqJ/9Kf4W0z6nyHnpCA50 -nAb/mPbjNvMpWtxrggYHMxQPxf+ce4d51FM26S/GIwtnvl/06i4T6j58a+5I -3K+kdd6JJpHM1qcm4yI0Obhvc+f+8TdRzIL+TrX+ozhYPv5wqK57DGOxxuvi -dC0Otr52/NrR5z4z9O2u2UO0cX2Oi27MzXzA/FILlWvDeMZp+UmRNx4xVZuj -XifqcGB1Qzxa3+4xAxlv/UN0OchJGBpdPTWeua1VrL98NAfFtknZtx2fMEf2 -cHWGYzjouJ367K77U2ZNgezlnnocnOu5yld95zNmhsGIuQUYt2fK/Jxw4zkz -7pip/L2x+HvG285Ry33B9Kt2ertjHAfTwsWuMooJjMRi5XZHfQ5+sf9qtRe+ -YnLP7DYYNp6D+TFX3kVHJzJPWkMbRBhPGtzraZr8a+a8bfSVNwYchCpeXR21 -9Q2z4wrMOzOBg3111TsPtb1llnQW9/GayMEgFaNkW4tkxtpZAiaGHJwPr+35 -5U8yM+Ke3E5ZI7xvDqWva7PeMX//jJhYjHG0izDa8FYKU7vIrCnKmANl/34b -hD2pTGrcrGu7TTj4UvrvybRlacy9PqtcZ5ni70mK1rC0f88Eee1R0DDj4KtB -eWq7yQdmfWLYOwnGSUWeL7eOTWdmDYrZlWyO9+PRP5lW3QxmwsZkw7MWHMgn -+8auHPuRGfi+pHnVJA72VrrG/jTJZHiNL9fNLTkoXe70Pd0+iynY0XuhvBUH -h5qKD9d7fGKe52j0L8N4zQAf45UHsplLY81TY605CDPxaFwe+ZnZc8h5z77J -+Pe1OrZ1FOUwy8pXGc+24UBl0x33i4G5DGO6t1VzCt6PKNME9TF5zKiQszd5 -jL8GDTc//jGPkWmKWZTKcNBy/HUc75fPNE55pxjO4v180iTjNaKA+XChNM17 -KgcDdubL1WcVMNFfvuy1tMX1OzMu5GBAIRPsKG+qMI2DUWW/1jhOKmJ8b49s -r8B4plHqiumdRcycn+a3H9px4DTba9qRp8WMsavLkgB7vC93RxYo7iphBj1Y -PXDedA56WccUdEwtZb7K7vug7YDn6+ggGcsBZUyJx7n9XRgvmlGg/qWmjHn1 -ItbswwwO/m5M/qz1opy5OjBFdNER/37DoJKK0Apm/7qyiPUz8Xl3eteN9atk -VrzrcJvsxIH347jLqguqGNthfZQVZ3HQI8Y+OtammtH118yoxtiZK47vHlfD -9P5kEfDYmYPGmFfjZQbUMq26sy0Ou3AweePUq5tP1DKZ+9dwrrM5iE9asW2m -fB3zoHjf3dFzODhzROdweFAdc9rw/NJvGB/om3rKTaGe8Tt+X+XjXA7uuN9a -fvVMPTO/LuXjlXkcXE+Ykeg5pIExsy4/uHE+nofem1fH3W5g1M7xkxhXDq6Y -fx15amIj80PU58vABRzsfFIX8+9NI1NhPyqyDuPNeatS+89tYt5cn+TxdCEH -Gybn6yU2NjE3u2erHlvEwRH32DDFfc3MoTneWYsWc3D4w5lX/dVamFXR+w+P -XcLB+vhZi948aWGm9wy3+olxqeunEaPntzJ67g86stw4WOummzyzq5Xp+zQ1 -6ro75kvnYN7ochsj6lexfPNSDpJll3jWTm1nPq8WBtsuw/w/QCHRQ9TOPH7T -N1vFA/PHCDb20SURc1ZN62gjxmZ+L8tLHcXMts2Wk18s52Cgw4LOul9iZlHG -HCFoBQdadkOu5MRzjKXW2hg3T9zPGi3/KB8JM2zPAc/xXhy8zX82avOYL8zv -/PAhfzCuOWBoZ9D0hake//Dz55WYnx8F2Wx17WCSj6Ydu7WKg/CnO+M+P+9g -7lRV2GxdzYFrauqMR0N55phFZ6fdGg4W/y3KGxTAM2vPKNwf7M3BhbVjhso0 -88zMVq2VLRg3XLZu3TtbYMbbWg19tZaD0/vv9TmaIDCKV+bmBq/D/PWnt52G -bifzRVgbtGw9Ph/0W+EU1snkzQpgJvpwsLFgo86AHl3M07sXvv7D+Ijfl4Ub -/LqY8D8PH+RtwP28tzppdX0Xs2vR+1V3NnJQNjBmyJ+FXxn3uMph2305uBl2 -d8KkrK+MTZ+uPIdNHCyRdYLB07qZkV79Tqhv5qBKuHDqamI30yNRe2o7xrZO -55ZkmX1j6lWsvyX5cTBLeV5N1ONvTNqGeY9ObeHATkNcYzrxOxOZtm7Niq0c -6KWfGLL14XfmuMbBEcb++Hm3lkxbM/EH47PjYoHMNlwf/dDhSvE/GJecR8GF -GL8M9PPYbv6TMRz7wTZyO/YrxtPeXUz6ySgfqvq+cwcHak8X/ttt94vpLOuK -m7mTA/GiXjkjs38xRSb91w7fhfWqJrb5+JLfzMuTOiM5jKdPfvorsek3c7nR -uujtbg5SrYNTXm77w+ydMj8kdA/eN/u7kkNyfxmPC+vtVu7lwLjvPpMRl/4y -7JeDP033YT6ffJY9YfCP0Xa8FC+3n4OrU30/ZKX8Y2Rvx60rwfjzNufJ/hN6 -sM0/PmjGHMB6pOL6z3dPDzZjfnXxngBcL/fBgYszerCx97+ecj7IwcottaON -h/RkQ2QVp488hPX/6hGz39492U0eur+/YGw9eK584sue7NwXk5++O8zBNYl6 -54a+MqzJQFefc0c4uNxk4jxomQyrus5Ha81RvL9Tt3nHx8mw35IPlVocw/oe -YxY+Q7YXWzb08pk+gRwE9HYZX+LWi03a+tihHOP6JUP2ej3uxV7PSv9zPwjv -5/lNxS3ysmyAbs2z/cc5KNn36PQGT1nWa3/3hjkn8D4cetrFvZJl7YoVdbSC -Obh9tXyJn6ocO9pwdLmAsb9+z35dm+VY+eM2YWkn8TwUH3Xf80mObat1dbwQ -gvXD3+6CrH5v9pPVhn9rT+H9FV/pf/54b/bR2cMvrE5zoG2xvp9+a282VHTZ -t98ZDu7O7V+b7ijPbrWP163CWGXDypJNsfLsgusZFY9CORgyKXPKKMU+rEV3 -zdmDYZjv7uisqPLrw6rP+TZz/lkOuj3zAqOK+rA/owb01D3HgZHi638Bk/uy -lT3GJHzFeNcQN9V1EX3Zt25TNqef52DhxcQBXgoK7O0nC8ZcDsd8EvvCcqO/ -Anuk38YqnwscpKgvqAyuUmDXrD5y3uYiB317ak1/69iPnfHmyqwBlzg4kXPy -ovzzfuw4tScytRjr3f/gvE67P9tv88dX8Zc5+LT/5sW60P4sl17rd+QK1vdL -Xm+39VBkc0Z911t4lQPfEx9662xRZON3D6wZc42DW9M707h6RfZc/pgL3zG+ -7zVSuXjhAHbHeMYl8zrm8/Dey6o+DmCXHF0oe+0GB8cGhJXLsgNZ66qNSb43 -OfBkhByX5wPZERZHt7K3MD9o5mcsU1Ni/56+Ok75Nq63Z8zlu/OV2NqWJ7X1 -GLsGn+sXcEaJTZmaefFZBAcGaalvxJ+U2LuX62YH3sH1des1V6KgzAYK3+WW -3OVg3rwxK4/OVGbXzVJ6M+4e5k/fqnNxx5XZWXf1tv3C2KXu7lG/DGV2wh9m -fHYkB9VD3hSk91FhBy5aVH8jCs+Dj96oFzNVWP6R72W/aOwHD97tY3NShS2Q -PzZ3Wgz251ufjnfPVmGfe16TV43F8+AUpqesNIi9+Orp2yaMA55aXvFyHcTu -Vsna/vI+1s80Ly3ni4PYpRvqDU48wPpSdsg9r2IQOyXtR4P7Qw7++GXV86NU -WU0N5asGjzB/Kk9c/MhblZXZMXb+X4wNjBctkH+oyjZ+ZvvmxmE/P+rhjj+d -quwHvcXJtx/jeRKWuIdNHsxGH9y00z8e99/H5mrqkcFscNmxidOf4H7Xdxee -/zSY3WhyvUntKX7/lMYIOTU1dvbJZ9daMe6fP+KWmqcaa9SY5Zr4DPd7/va1 -GbFqrMqUBoWQ53g+3hw4N7xbje0K//nO4wUHZ50C3ypNG8KWSJR3G77E/XEN -Pxx9egj7asY4o54JeF7ttuxuqBjCXr01tSUf43FHrtqkjFNn9/9YfOPuK+x/ -18xbM32XOrti/uaFOxKxP1UJCfFNV2dt7wf2d0zC/Lazx6zJQ4ayOrI3Uoe+ -xnwwUdMqbu1QVs7j+R4RxllbVL98TBjKtjz/ZPzmDfZLPTNkQxSGsR8HNLae -fov9/KAq5e5lw9gHa3/d9ASc52yfPOzzeBh7OlllsUkyB/lbvTan9RrO+g3V -HyD7Dvu9TODGLRnOzt9q+74I49DzHmD1cDhrlrVkX1QK9hOGgXu6ZEawarp+ -prtTObD/zT53dxvBft8X1O6UxsG9mc5yvo9HsOVFN26PeM/Bpql6PQz6aLCv -J75YIsF4ba785EueGuyNoOyByR84yLxW4/TklQZ7qLbxQ1g6B+Wt7ml7Bo1k -V1n93r8qA7/vaO1kwXckO/3sIHPzjxxsSQibPvTjSFZPpC/uncnBcVOn7R26 -mmxf+2l3SjE+0H1z+a5Dmqzompt7bBbWz6kN5+OrNdnsr37K+z5xEMecWTh7 -5Cg2bvbxDJds7D+H9Bt23WUUGxZ1M0DzM94fX12v6ftHsf49XlrwGB/b/81/ -xsNR7CK3z1xKDgfuW/ZfvVM1irV80nT3fC72W0tCRrkP0GKH9fuz1DuPAxON -klkbWC329yrVQZb5+Pv3cmtK/LTY6tfjM/sW4H3onvzyRoQWmzzY7lAFxlE5 -/Y6/K9RiIza5Wz4sxPn99+FRU+W12aPpW74cKML8PfxuxjBrbdZ71InIucUc -aG6anTHPV5t13H3LQ7sE553MKWubbmmz4/NfqnZhfPi8AldUqM0qjs/Jel/K -wdin427r9NVhvxxpPnyxjIOMQHHm5yk6bF7lH6v15RwsqDkYX7JVh31qPpi3 -rsD5VOi4ykTrsOGnDaL7V3JgOF8nr1e1DruzxW5FNcYW9eUX9VR1WbepS9Ue -V3Hw7/MLi1gnXXby5a3Zh6qxnzli0nXykC6rIZw46lqD63fznlp2gi7bY9bt -yaNrOUjj7Bp9O3TZ+jsJQjfGE9w17mwaO5pN+50Tk1HHgVyrxuUcz9Fs5MIW -zyv1HAyPVuwfdnk0e/zR3yEbGzjofBRq+zR/NOsjr5YzpZGD7wp6ARb9x7DO -nhMCBzZhPk40UBjuMIad+Mp+Sh3GtyPK9LwOjmGVVJZ1PWnGefCorUbfpDGs -4ON//2gL9lOCq+Gg7jFsUWrwykWtOL84VUYEGOuxL0dEDB3bhuct6tDr2b56 -7OXtr3J/YDyz7EPywRg9du/n3KCsdg4eLlXoGtysx3rotTLXRRxsmxV4ZYDO -WJY9+O/rJjHOx8vmfvfxHMtqlak9nMphP5TvNm/8jbFsL5OJq1UkHET4bW6b -UzmWbQqePrwRY6tdVrJlw8ax6Q3L8p9/wf7y0uSmD27j2FibbSeCOnA9Wwpz -hl4ex4aEn5zqxuN8XMLK55eOYzdJIr7pC9hfGJz9/EVdn507I/HRb4wvdL1e -5++mz5rcylvzuZMD070h6h5X9FnVH60jbnXhebONHvWwQp/tntejcMtXzK/C -2dRVGuPZ0tghJ+26OeDcY+0OrRjPJvYynDb4G37fCr0GuYjx7LVlDj+aMT7S -odHIN45nA557PE74zoFir/3Hp481YL0GbF8b/AP35+gAlZ4bDVi7tSEjl/3E -/OW6M0X3sQE7OvlO0YRf2P+YTqp43GXAyg9NCvmHcdq76Ov3rCawbVvy7fJ+ -Y//h13NWr4AJbFZm28+IP9iv7p6nm5k2gX2o0/PJtr94PvKP+PxUmMie2ae+ -3uEfByFjli8/P28iu6XIcJR6DwnIfTo49dKlieyCiTNK2jCekeA9r3ftRNYi -aPnppJ4S2LtzTV61niGrXrt9+ikZCUzXfthD28+Q/Wl56vfyXhIoc3XUzE0w -ZCvD7j41ksXPUzr9oquHEfu2PclHRk4CT2S7VF+ONmJv2RVoFWJ8+VeEU5yT -EXv4Wnvpvd4SyB68cGfVZiN29deeoTvlJVBwLT7RNtyIdZg9dMbMPhK4/8fZ -rDLRiB0XZfR3WF/8vtToXg9rjdh+PRyfizH2OW/q+Ky3McstWbHxrYIEfo+d -qSUYGLM58Tt0QvtJIM3J5JG3qzEbr3C63Ku/BC5k6w5Q2WPMnlt1L8xUUQJt -wXaeXbeM2e2vXzvKDZBAzbIbqYoZxuziwYX/ijF2HGqyePkXY9Zqk+hF9EAJ -xIS0WjWrmbDD02U27VGSgO32S8H3GBP2j+aw0c7KEuh/QG7dNW8TtnaXcaWG -igQ+WtV2ZJ42YVPyHM99wfiPxgc745cm7F19T6d3gyTwY79tQE6NCRt4ZGfP -c6oSqDj28d29PqbsusrTCasHSyDUPGXcM2NT1sk8crOFGv750n1FP91NWYPT -b8b0GSKBxWHmDbuPmrIDWgqryjDeYaq+xfiRKdvBis/fV5fAsRWTr2uVmrL5 -l3o57x8qgcdcxDEnGTP2OT+s15xhEui5kXV8YGDGXnQySRw1XAKrhkpkZiw2 -Y3ffmblFwPhW7a6CYYfN2KW/PcemjZCAt+uFEr2HZuyUhbtqwjUk4HCvzWBT -qRmr+ejMhbUjcb8jZXiulznbUz7KxUoTPz/ZwzbK0JxtWPFWtt8oCWwUr5p8 -Zak5+z6hKKkS4wHPfX5/CDJno5S5rY+0JNA0/tntCc/M2WAfWf2D2ng+mjc5 -fq41ZzemDq+bp4PP8/O5YoyiBTt7hOklHV0JRH8NHfDW2oI12u405yvGDyo6 -1yqus2BVPnv1Th+Nn6cJFhfDLdiuMbvfXBqD5/kWXFyaasEWB4Ru89GTgN/t -R1HuvAWbUBo13mYsno904yPnNSexV4yhXnEcrv+gCoe+syex+4KLL9dgnFM5 -duCrfZPYFQ3c3Hh9CYR8Ovb9zv1JrK2NXJ8j4yWQXuqomVk+idUJHwELDCQw -jX1+c7yCJSsnMd0xZoIEAg7+DM+wsmRbHGZN+I7xC3ezkbfXW7Ifb65s/DhR -AqVpPgueX7Zk73/fffWqoQSOtoUtkMu0ZE/NC5vvayQBee1g67Cfluzm2Oi+ -rLEEXHlNbbfxVuy8XsnJSiZ4/v/20/NYZsWaLSvZWY+xoUrn6uunrFi155KJ -z0xxf/p7fxsKVux3xd7Nx8zwPjXV/cjvsGLLvTWuLzaXwKF7OUEZ2tbsazBb -MM5CgtP50dc/F1izN9Sd+/3C2NXA9un6IGv24JZVKZ8mSSB2rGnQ4ERrdmXm -nt03LCWQt8Hf9a/YmrXXOWvkZyWBDll5U91Rk9kx+2JabK1xvb5WTw1yncz2 -LUq+MWgy7qdB6UW9oMmsaELpwiaM5Q8lzZdLmsxmB37p/9JGAicfTgkZ9WUy -G1fTO+34FAm8d/q3fLeODRtmOXKvOyOBiMyQpoFLbFj/MHMTA1YCrP9y68YQ -G3Zhu3PbH4yr3Ep3dr+zYSfZrb6VMxXX18MpweGbDTv02t7Ft20lcFenY3CR -wRT2V9fZAf7TJJA0kI+9vXIKW+0S+97eTgJXbD3DHlyawiZHvtunZi+Bp6dt -Gr5+nsJG/Cs1bcXYe79f4n45hj26pKP91XQJfGPzjextGNY7Xj7ipIMEDsbp -eM70Z1hHBU03jxkSEDuorDwVy7D6qyyUDB0l0Kwxaa5SPcP2f+2S3mOmBIoa -1Ozzh7KsRHXNgXyMs8u1FhfMY9lc333md53wPOQ/jRgUzLJPP5wTb5+F+UlH -deq5FJYN17x/Z4azBLqitzvO/8WyO3eluA91kQC/fK/P2GFTWbe8MmXR/+Mr -cwPSLaayk/X5jNezJXDRwHxzoOtUVuNIn4On50jg4ebLDmv8prL/KjQnec6V -gN72BuXVp6aydWaTJMbzcD16bK46HDuVTT01+16v+RJ4dezU83fpU9l7zWuW -FWH8cXRg5Kimqexxdv+gKFcJ6DwMf31Txpb1uXQ+c9cCCUSGSnqxo2xZZ/7+ -IaeFEjATJRz/O8WWneiUajlikQTG71VZXL3UllW6U/6Fw7j65oC91bttWeEX -HwmLJaC0+EWPfxdt2cIFfZeHLZHAeus+XewLW/bFw1GDV7nh8+/77nm70Ja9 -1Nvyk5k7Pl+rn6tOpy27Z8WcI72XSiCoYm7Je+VprEeCt3UpxpnTfb8fN5rG -ssoH+JhlEoiKDE3ZOGcaq+UTHr3XQwJjFPbabtk0je2V+mCFy3Jc/971By+c -msY2DU9T01yB6/XKL6TywTQ2fVtFdgfGLz6kb7b7NI2NyRaOpnhKYJHrfoss -0TT25BgFm/NeElgqpyH272fH+gZoda5ZievbY+y1KePt2DmllrGTVklgdL3q -/LGz7FgT47lefVdLwD5lzlCzDXasavBa9QqMfSdY//E8acd21x/IebBGAv90 -xveNu2/Hlk6+EHjAWwJ2QzY7jvxkxyaefzhl7lo8X80zPjwS27HXuLQurXUS -8K8Wn/JUtGcPOFTe78Q480lwrOlEe9bzZufK9+slEB5gpzd2jj077bvCsIs+ -eN/SJg5k/OxZ3Xnaees2SKDvajff7WH2rHys1XHrjZjfbrx3/vzEnm2Tmcf2 -98V8r7whwbHQns1auq67CuMfTy2SGr/asw+fBTyM2ySB/cUKnhFDprNnFC+u -PrQZz+MIiD9kNZ3d4v1ouKufBJwOjEo6vHQ66wrv83W34N+35QPv7Z/OmqtX -nejG+O/PVs32m9PZIVu6pmZslYBp8LPQuSnT2R8f+32/7I+fp9bdUNo4na3U -1onbsA3v24DpukfkHdi3e629p2yXgLnOxGVz9B3YW4XzNAbuwHw43fTCFBcH -9vCE9YW1GJ+JzKp0+R9N5x2X0/8+fiNbqBCy98gM2ScjaWjRTnsv7b133dOK -SCh7liIUl5XNm0LKzEio+5wjJEm/6/M71/fP6/HOfZ/7vF6va7wfz9fjGbiW -cUtPFJ2NUEBFseuSxG1rmbVvd65KjVSAW/9NF5+eX8tMXXSmzSJKAc5bB7gZ -1q1l+m65VTQlGtd/w801HzvWMk1fXnu2YdxrK7jvG6fHPFr1c/T9GAUMvLLw -SayuHlO0p//zvFgFDAuz2BLrrcds+zFBEhCngND4ZUX5Ej0mbP3SNTrx+Hvu -dF30oViPsTps3q6SoAALr6J5Bs/1mMWd3mc/YFy1dd3x6j96jIZ1kve5RAXE -58PRhDHrmI6iXWMzkhTw4F+ntvGadczbPkU11sm4Pqu+ODPe65hrLrel01MU -cGaA6ZwN0nVMYfkb3b8YTx3372RWyTombfCvvw9TFfBn3dn69y/WMV7+yqX7 -0rA/8Bj7wuHfOsbg1kTfoHQF3PHkczsm6DOaY5aNX52hgDl6N+aAvj4zIHJD -7eBMBVzqNe1w4WZ9hnvsI2/AWLXkePcTO/SZqmnJeheyFPDVstL8Wbk+U5qc -+y8rG39/t29549/rMzkvi87ZiRTw/Ebyt629DZjI+Xf8ZooVcOBQo97k2QaM -reTthE6M15/0L39lYcAsb/hV91iC/WK1lcn5WANmDDNga4EU16f/+/4lhQZM -112T9ENlCnBYvKyj6p4B84Fb1mWtXAEbZ4qmDf1uwFTqbyxT34L18mjj7tjh -hsyRAt+ALxiLN7ra9lxpyGS1J08q34r/vfJfYImXIeO7cfcr8Tas58Eln1Pk -hsz6U8XbHLbjfi8LuB1xwZCZ3fOuwZwd+DxPVIfL3hkyqo7vunbLwf6xNvzT -3d5GzI+y1gvVGKdXeiyYOteIeT5oYOChnQp45FqoctzGiLngPXlKxC4FRNm8 -TzZJNmJ2X1/+Zl2uAtzHPNoy7IQRE6thsWPEbqy3nT30ejw1YhxC/YyaMH6k -NvrEkA4jRudhSvcrexRwRWffLf3J65nxk/dckuUp4NaCXgUHTNYzSglng5z3 -KsDQ+47uqKj1zOeau1O18rGeDTW4VFGwnrk7p/6t0j58X0saeyU+WM+cyPqd -8xzjsVtbFnr+Ws9I3g80Prof9/vOYpPgscbM5qVTekQfUMD1BHvbAwbGjNn2 -FRWGBbh/F05w/BFqzGg1W4SMKlRApOM0D999xsyQtf7TWYwlxhkRPe8ZM635 -qfVXD2J97mOwp/KHMVPbumfX1kMK+LkmpPboGBOmwrTExO0w9nMObYuLDEyY -/GP3ei48gv2B4+2bL8NMmMRu7y/3OqqA8T8rkmYcMGFc7NpCazE+H3Q6ct8D -E2ZN6SDNE8cUsO+OW7H2bxNmsvLUD7HHFdBe+Wj4HxVTprcHs9v4BOa3sLSU -r1NMma9XLM3GnsTz9OzY8OblpswD9YDe3zH+ldPnXdeNpszpwDS4cUoBTiV+ -dVN9TJktd/PCd5zG/e6/o79LoikTMr50pucZBTw21Yk+lWPKWMTc/7ioCH/P -zIlT+p0yZbSfvt/Tt1gBNzS+D425YcoMn/nH/BXGz0It1nfUmjLtaSp9T5/F -/fG85eEWzpR5/WbqtYQS7G/H+Oct6mXGgLZOpFkp5oPNdhX8KDPmgNxq9oRz -2E9N2DD38nwzJuVLQMMPjL/XvP+329CM8ViVvvfWeQVcdds2VeRixqzbs3fj -rjIF6Lz+XCyJMmOm/yjt53MB+znppvwCOeby9Q+uL72ogHf/fPm7R8wYxaEP -UcqXFDDuW+rp7mDGPP73Z85bjKvWDq41eW7GnLVSbSwqV8DcJYmhp5vNmO1F -0/YlVyhg+LhpqWN6mDPhfVZabryM/VWWd7/CkeaMtYu18uQrCtiklaO8eL45 -s7R8881WjIcr/5PUG5ozowZnxNwFrN/zv8ryXM2ZTr/8eXuuYj/0Y+9Qnxhz -pr7y3Be/a5ifb1pOMthmztwY/XD/iusK0FxrBMtOmDOHIj5aDbqhAN81RZ9X -3jBnMh63D3iPcf+S0wdsXpoz3tPUbpXcxP7xpD+X0mLOGCZPj0urxPUOUq65 -2m8DM/PlyvlWt3A+8DhqPWjiBmbQfJtvU29jP6e2ITJ42Qbmuziw4A/GxeNH -Lv68cQPz9FOGzYM7+DwO/fMD/Dcw51fsG5R/F5+3ePLx3ukbmF07z9/efA/n -54leTufyNzDR3MP4lfexHr+8fSO0bANjr/9pgdoDBVgP065Z+3gDs6Lgb9NH -jJ0XHtg748sGZmy72sHzDzE/H/82fEK3jUy3jTPsMh8pYFaXX8YzNTYyn06u -UrX9D+e1l7mMwfyNzO0etndnPFaAys/jiqj1G5ljDkGJHRgvHt7qUOGxkRGV -ZWr/90QBZVPWblNJ3Mj4D9qv2F+lgPsqttKo3I2MiXfZoeBqBajXKsx/nN3I -zL3+yH7NU9zv0ksfkh5sZNQ0GtSGPsP+09R/9fiGjczPkI57nzFWMygOfda5 -kal5MDj54nMFuJydHr1nuAVzaZLmYlEN5oO7EZahWhZMXvxqzv4Frn/dnP5O -6y2Y+BrbI7NqFfBqfuNeB08LxmlOsEOXOqz3c6YPCEyyYFZlZQ2pwjhoRpzj -9j0WzMT3+x8UvsT9lOq8/f45C6bn0gspYa9wvt5ndmbIYwumcdt/S/Re4/rU -Xzsb/NWCudfUwA97g/vh9NgD75UsmZO6/45+xTjX+3ms+xhLRpo/xKniLZ6H -UN117YstmaBWTXXpOzwvM+71OLjRktlguuaRY70CtjdeKnPcbMksOGaXNvc9 -7qcOT6dZ2ZaMereQZd0/YD0v1eg26JAl02ab3fIU4w6TEfuUrloyL0sOHD/8 -UQFj+hQs7v/Skrnc/6Jz5CfMF/2/VU/+Zcnsc388zKAB60PMlMCNKlZM0pXP -/2l8xvPmnTJoh6YV46remd6McWTtxLJGPStmbeDQFdCI5/PqDA8TVytm6t2Z -P+VfFDC929kxd+OtmL7jdU+6fMX86H//k8VuK6Yp2t51/jcFWEHapZ/nrJhH -1SEjejZhPchv3Hf0iRVTpCl6UoPx+byfOwOarZitaQWZx5oV4DXv6EG9PtZM -6JuLTIwC1/vqz9tak6wZS+0nv4zY//3/kIfdtVZaM4vkjadGc/h914fZ6m6y -ZjS+dLpxGNsFXnrgE2XNdKxUH3mdV0BpumxT4Q5r5u3uWdXbvmO+rgkYwBZb -M9dadLPdW7BfVZ1Wv/6RNVNotGml9g98nruZNfDVmkk7FPq79088f17mLWt6 -2TCe/0Rn6jCeuWjNwtcTbBh9q0KPk7+wP7MfWpCpY8PMKLo0Kr5VAfpV0Yv0 -Ntkwyn2qnpr8xuffPKp9WLQNwzl/EY1rw3n+beG3jhwbpupSl9UtGA89fKrf -rxIbplRt2J+bfxTwYdhT+65PbJgcv9nFOe34eS7H341R2DCRlWu9vP4qYHP8 -5V1m/WwZ29EOY5Z0KMB8yfb0nKm2zLKIsOf9/mH/MO/MCYWuLTP6sVjyGmOV -qsw+tq62TJdpB9ec6cR+wXTHgReJtsz7pPL2xC4srCxZFeaTb8tU1lWdNe/K -wtbbv9MGVtgyR7S+ek/sxkKXycOf3a61ZQQejoWnszpdt7XaMgIPx4JJny7z -g4fYMQIPx4Jvgc9aNy07RuDhWDh0IiPP28yOEXg4Fq7k5monb7ZjBB6OhZTC -V8NOS+wYgYdjQX41fWXzCTtG4OFYGHL09lnmnh0j8HAs7P3vjv+hRjtG4OHw -ebxPhYzuZc8IPBwLhu5bK49PsmcEHo6F5b5SN4M19ozAw7Fg86bYrMPFnhF4 -OBZSa3tKbyTZMwIPx8L+WVtG7N1vzwg8HAsW7sa/s8CeEXg4/L6LC6Zmv7Fn -BB4O/15j0Ym9HfaMwMOxsFFTJ/nmyE2MwMOx4LNi3ul/SzcxAg/HQreNTbON -7DYxAg/HwvFRa3ufit7ECDwcCxPbBi0at3sTI/BwLMzi1K8evbiJEXg4FgzK -xhxYXbuJEXg4FjJONrzkf29iBB6OBb3nE4NKhjkwAg/HQu/3IufMRQ6MwMOx -MGPC7VOB1g6MwMOxAFtiLP0iHRiBh2Nh3V09+5hdDozAw+Hn97oJey84MAIP -x8LaGclJ1S8cGIGHY2FUiXLByDYHRuDhWFhR8W1c1HBHRuDhMO66p+uXxY6M -wMOx8ObFtpV+to6MwMOxUD1/3ftuMY6MwMOxsGP/hI8n9zgyAg/HwiQdkZ5P -hSMj8HAsPHv0uv/S146MwMOxoJEfMn/MP0dG4OFYmGZg4iga6MQIPBwLlUOe -PRs01okReDgWpl5o7tg3x4kReDgWdBIv1Cxa6cQIPByuT5qZ60szJ0bg4Vio -Ofhkf4aLEyPwcCy0pxrLl4c4MQIPx8Jned3cjhQnRuDhWLjQlpp2a7sTI/Bw -LMjO2YhyDzkxAg/Hwr9459Xh550YgYdjwe/pgVP2t50YgYdjIap15D2jF06M -wMOx4Nn0ZNfaL06MwMOxkBhVMdzgjxMj8HAsxE1+ZmTVz5kReDgWvi1VmRcw -0pkReDgWtl13uSud6cwIPBwLH5MvqF5cgSPZ/+fhWDBX+qfcbOLMCDwcC7fF -GuXTnZ0ZgYdjoevYX8ODg50ZgYfDOGHz9BspzozAw7HgP8WjadQOZ0bg4fD9 -mJ9zTD7szAg8HL6/X/NTuTJnRuDhWOhz46KN111nRuDhWKgfN+TdlzpnRuDh -WLDTHqIe1uTMCDwcC6J3qf/6/HNmBB4Ov79kRs7RgS6MwMOxMO/vw9em41wY -gYdj4dNVzWfdtFwYgYfD31/RI/bKGhdG4OFYqHVSq0qxdGEEHo6Fuqf9a8y9 -XBiBh2Ph2I9D2TOiXRiBh2Oh1FTWpCx2YQQejgXNmeF/2/a6MAIPh/kuSekS -e8aFEXg4Ftxb301kr7kwAg/HQq9vp5f9rnZhBB4Oz7v3gK79GlwYgYdjIWxu -XtCU3y6MwMOx8LCIl6zv68oIPBwLF3scsogb6coIPBwLph3r7pyf5coIPBwL -49X8P7XpuDICD4f5KHDvybUbXBmBh8P31+Qyaq+7KyPwcCxY912t3RHhygg8 -HAsH2qDNI9uVEXg4FrJ2WLvV5bkyAg/Hwky3zHCrM66MwMOx0Dfn9uw311wZ -gYfD399DJAt46soIPBzmxwVrdvT+7MoIPBzmvw3OOifbXBmBh2PBed56uU1/ -N0bg4XB/G29OHTTGjRF4OBZU780Y+WSuGyPwcJhvAj5Y7lnjxgg8HNaTYy1a -m63cGIGHw/0mO3/WyMeNEXg4Fo7UJldpxbkxAg/HQv8PJ7ZOlLsxAg/HQtuJ -pO+jC90YgYdjQb3GlB9/3o0ReDgWLI+5SOfcdWMEHo4F2z4dd/ReuTECD4fn -Pd5lvxfrxgg8HAvr51Wpbevmzgg8HAsvvmWMvz3EnRF4OBaWqVXcV5rmzgg8 -HNbTM4UDjJa5MwIPxwLrGvg1z8SdEXg4FtJCTR1aXdwZgYfD83A1wNsu3J0R -eDgWcm5873s3y50ReDh83pwuq3T2ujMCD4f7oemC8rUid0bg4bDeqS32N7zp -zgg8HAv5P3Y7vq1xZwQeDuuJ6s8Psd/cGYGHY+F5hm3bhE53RuDh/lffX+Y/ -VfVgBB6Ohevvk6ulkz0YgYfD/dxqm2O+xIMReDgW3K57NYwx9mAEHo6FPRtO -X//l7MEIPBzmR7Pp02vCPBiBh8P887tG41qWByPwcLh/1c/klO71YAQejoUG -tYO5xcUejMDD4fv/eGTChUoPRuDhsD4POap1p9aDEXg4FlZdl96pb/ZgBB4O -+4ndq+qVunkyAg+Hz/fmcOzcoZ6MwMNhv+OwJ9dzuicj8HAsDI79u/DICk9G -4OFYiJ5SuIEz92QEHo4Fq3duilWenozAw+HvKVXruS/GkxF4OKxfOvG5SnJP -RuDhWGBObT4SctCTEXg43B9FZ2Y1XfBkBB6OhWuSbrMCHnoyAg/HwgDVaQfb -6j2Jh2OhNeedTPrLk3g4XI8uH1jNfl7Ew7Hwvbzh+tMxXsTD4X67lt8rfb4X -8XD4/PJLFTr6XsTDseAwr+GdkoMX8XAsBGvfC64K9iIejgVpWb+gYxlexMNh -P2VmVJeV50U8HOaradNPhRR7EQ/HQtJXptHjlhfxcCwo3ZyY7frSi3g4Fib4 -+G3x5ryIh8P8MeTI38ge3sTDseCa6Hxvywhv4uGw/nyd2FYy25t4OBYWDYnI -eLvGm3g4XK9VdSGDbb2Jh8Pv035YYb7Zm3g4PD/a9512p3oTD4f7u4+Rw7dc -b+Lh8P3wN0p0z3gTD8fC5pJCx2M3vYmHYyF3q7XT0Dpv4uFYSDcxKhWz3sTD -YT2Z+smmfw8f4uHw99WmmewY4UM8HOaTXMm2KXN8iIfD/DXSeuINXR/i4Vj4 -sWV5Fy87H+LhsF87uHXS0CAf4uFYuBNxdOvDdB/i4VioOv5AV5rnQzwcC8oW -+sutz/oQD8dCU0/3iBl3fIiHY2HMXZfvvd74EA/HwsCRySebW3yIh8N80Pqv -8FUfX+LhWNC9q1LzdIwv8XDYD4Wzq58v8CUeDve79d3Gd4a+xMOxULzi4Y0f -zr7Ew2E9959UrRLpSzwcC1P2/B68SOpLPBzutx/eGZ4HfYmHY6GHeu7U/Zd8 -iYfD/atW8Kv+sS/xcCz89N/Lan72JR4Oz/fw/SpJHb7Ew+F+jCm3faPmRzwc -CwneHXfWTPcjHg77o2mBtqU6fsTDYT8bOEJ5ppUf8XD4/M6db077+xEPh+dL -NvrR4lQ/4uGwn2gPefFwtx/xcFjPBv1u9yn2Ix4O+8sT+xaq3PEjHo6Fx4Ps -06++8SMeDvvdHyO+RP70Ix4O92/ILfsl/f2Jh8P5YKjuW6UJ/sTDsRD+OCrg -xWJ/4uGwvv9b3fucqT/xcCy8c084vtvTn3g4FvgFShuy4v2Jh2NhZ48jnYk7 -/ImHY6GketHppJP+xMOxENgzw150w594OBZu+dj1zq/zJx4O37dW7JmLvD/x -cFgv20+YvOkdQDwcCx5XjjX0GxtAPBzOI/VzgldpBxAPx8LL6W1sknEA8XCY -D2+d33TPPYB4ODzfq0dc1IgLIB4O6+XWx51h2wOIh8P5s1fK7NoTAcTDYT9o -VaOreyOAeDjsP/Zs1rlUF0A8HAs99b+OXPQ9gHg4Fs4sfv/iSp/NxMPh+zuk -CDIet5l4OOyPJxR+ali0mXg4fL7jsrmZppuJh2Phl0xnwzyvzcTD4XlOX7Hu -U8Jm4uGw39g7YMCBnZuJh8P+cvW8Avczm4mHw/O5ako3rdubiYfD/u+q54w+ -bzcTD4f94gofjcZfm4mHw/rz4PFnz16BxMPhPJxyZbyTWiDxcDjfKM+/bD8m -kHg4FuY7fTq3aUYg8XDY7+Sl9nPVDiQejoWjqtcr/FYHEg+H67HU6E60SSDx -cJiP4D9NiV0g8XAsZAa0NhZ6BhIPx8JdPb/2KyGBxMOxUBDyzOVNQiDxcPg8 -ui9GdRMHEg+Hn79s2IzpuwKJh2PhdFftLMuDgcTDsSD2fLowoyiQeDgWLvlK -51VUBBIPx4Lxgq4xP+8EEg+H/c/g2wO0ngUSD4f9SWpkU2h9IPFw/6u/J1XL -mwOJh8N59O6vhB5/AomHYyHE8du8jT2DiIfDfqmnxvQjqkHEw2G+7j7E7d/o -IOLhsF+96fHWZkYQ8XB4/l/v2X1RO4h4ODzv/VdsH7UmiHg4FhTzn91LMw0i -Hg7zj/PnZd/tg4iHY+HBjd+NLt5BxMOx8LtU9LAmLIh4ODxvJWO/mCYHEQ/H -gr37wiWPpEHEw7Hwdrs+mOwJIh4O84XWs/BnR4KIh8N+wdLc0bE0iHg4/P1L -F0U0Xw0iHo6Fyav+ViQ8DCIejoVYTmX20Log4uFY+Mt9vV/UEEQ8HNZ31dYt -Ji1BxMPhPLDuYML3ziDi4fDzjzlsy+0fTDwcC8OC5PfXDA8mHo6Fwo4Dk39M -CiYejoVxT9oKjswLJh4O5xX53xU4/RMPh5+npNw2wiiYeDgWvNXF/9VZBxMP -h/P58xdX892DiYfD+hRset8jOJh4OJyXlxo3z0sIJh4O98ORGZO6i4OJh8P6 -ZaATVLMrmHg4/D0v6/87cyiYeDjMb/PcGPHZYOLhsH8xGH7FD4KJh8P6uMzM -wOxBMPFwLJxYZft+cW0w8XB4/i8mpk5uCCYejoV9IwfOVm8JJh6OBaNexu/6 -dQkhHo6FxQtSc5SUQ4iHw/2c9Muk64gQ4uFYmPr5c99uU0LofjYHlQm5lT3n -h9D9bA5CUw3iB64MofvZHAxYv0hrpHEI3c/mYKhp/ntNuxC6n81B5vjz2Su9 -Quh+NgcHIsqm2YaF0P1sDkrGvrgcnhxC97M54Pob6e6UhdD9bA4W6OteKc8L -ofvZHNR3tkz+eCyE7mdzsDsyPW5QWQjdz+Zg/LBpV3VuhtD9bA4mOPVsDn0S -QvezOehUrO126k0I3c/mID9B5V/jtxC6n82BzpfsN1PbQuh+Ngf6Y54f8OsZ -Svez8ffNHby2VC2U7mdzcEvf7W7n2FC6n82BStGHaSazQul+Ngeqt065FywN -pfvZHIzq8yq6bV0o3c/mQKqS7rnRMpTuZ3Owc+u1aSWuoXQ/m4PBtgUwJCiU -7mdzsMl0yZTY+FC6n83BkMhchwZRKN3P5uBf80PXjbmhdD8bn6/xw/xbh0Pp -fjYH7y98+m9paSjdz+bA6s7LeeeuhdL9bA627H5gN++/ULqfzYH2g4p1Ja9C -6X42B22qZ1q1v4bS/WwOPJcf9LjaGkr3szm4MW/PNqMeYXQ/m4Nn3+Wxr1TD -6H42B1d2JI4JHBtG97M5uGfoGt1rVhjdz8b3vWmOqGBpGN3Pxt87/bXJSv0w -up/NQfX0Tbc+WIbR/WwOWpsPNGW5hdH9bHyeEXuuagWH0f1sDr74L9SpTwij -+9m4vpcdvbdKwuh+NgdKP7os0dsTRvezOQga3K2o82gY3c/mQE9b/275+TC6 -n83BDtGllJibYXQ/m4Ncy0WvV1SFka8E17OloLrHuzDylXAgrn7h9rg5jHwl -HFjEnZfmt4eRr4SD+ZKhJoF9wslXwsF/pU8KddXDyVeCz1d+JXv0pHDylXBQ -EXO2a/u8cPKVcHDzc0LPlzrh5Cvh4IjS75wrxuHkK+EgrelL0SH7cPKVcND0 -cLy53CecfCUcnP3mHxkfGU6+Eg4e5m0fF5geTr4SDmYYORi6bw8nXwn+vkh5 -y6aCcPKVcOBeqlC3LQonXwkHhw8tOW9zJZx8JRj3mP/A/kE4+Upw/+zfZeVa -F06+Eny/VhMt/RvDyVfCwXSNLZXRv8LJV8JBz+85hSKlCPKVcPCt5VvzPtUI -8pVw4GO4pqBsbAT5SnD9FphfqZoVQb4SDmZ1vcXwyyLIV8LBx4HGE9UMI8hX -wsHdpznei2wiyFfCQcNTA2VnzwjylXDQclhVWRIWQb4SDma3bPeoSIkgXwkH -60LC1dktEeQr4UDzu82oSfsjyFeC/97wXZjD6QjylXBwzv/o6D0VEeQr4cDP -wmTIy3sR5CvB/a0SaDW6NoJ8JRysul743u1zBPlKOJDnJJWe/hlBvhIOfjzK -v9fePZJ8JRy8K5eON1KNJF8JBx8uv7+4b2wk+UpwP+pOl/6aFUm+Enz/1d/z -TJdHkq+EgxWttz+cMowkXwkHy820nAbYRpKvBNdfXjEw2CuSfCWYj0O+sC/C -I8lXwsHUu8v/rUqLJF8JB3H+SxcVbYskXwkHXda77BlbEEm+Eg7AZc6k7UWR -5CvB83VhXHVfiCRfCdYXz6KC1IeR5CvB/LBj45auryLJV8LB28jUvKSvkeQr -wfxjUXpNqS2SfCX4PPGW/7J7RZGvhAMv85cmg4dGka8En1+nuGT/xCjyleD7 -qlwzZY5WFPlKOJD5djl6fWUU+Uowf9010rI2jSJfCQeNafJbnEMU+Uo46Lth -jqPYP4p8JRxk89I/02OjyFfCwejZyjkPsqPIV8LB+YY+s4Nyo8hXwoFzl/fX -hx2NIl8JB/OCOOMb56PIV4L7a6n0cWBlFPlKOJjir6o77mkU+Uo4uDi+6tjT -91HkK+FgTOrYv9l8FPlKOJh0y3jxmi7R5CvhYND0kw5dBkaTrwTrdUeaN4yK -Jl8JB/G7p1glaUaTr4SD4Z51E9cujSZfCQeFzz79p2wQTb4S3M9uMosX1tHk -K+Hg0t4RJYc8o8lXgr9X/e6nsPBo8pVwYB5a93VdWjT5SjhY5CyG0dujyVfC -QenOEc6tBdHkK+Hg0KsrD6qKo8lX8r/3VdxZdDWafCUcnHozuXXLf9HkK+Fg -v8PGorA30eQrwfoa7DvVvjmafCW4HsPy7HX/RpOvhINPVoMN5vaLIV8Jfp9u -F8WYETHkK8Hf3yVbV2VaDPlKcL8U1a7vsSiGfCWYb8VDlP6ujSFfCeaHKmf3 -nxYx5CvB9XzwLoB3iyFfCQffH50dxYXEkK+Eg5rFvwL45BjylXBwIfy2488t -MeQrwfzXptPcvj+GfCX4vlbFDO5RFEO+Eqz3L8RVgyCGfCW4vmbZE8c8iiFf -Cdbjdem95ryOIV8J9iteWyJXN8WQrwTrpdOlUJv2GPKVcFDAKrcF9Y0lXwkH -2+tyukmGx5KvBPfX903S41NjyVfCwb5BPrn3tGPJV8KB44irY5vXxpKvhINt -HS7jVC1jyVfCwdqzG3Ytdo8lXwkH1ku2JLuGxpKvhIOAA8Ney1JiyVeCv//v -x4NXtsaSrwTztS/7nD0QS74S7PfUZwdOKI4lXwkHvebm+ttcjSVfCeaXn2Mf -bPkvlnwlHDgdL8t88CaWfCW4//ON9vdRxJKvhIPi6Q8H6nfEkq+Eg46iiU+z -+8eRrwTr095lXx9pxJGvBN+v61/jITPiyFeC+SVFv3PTkjjyleDfp/X6fVQ/ -jnwlHCT8Vl/4yzqOfCUcfI60Pa/rFUe+Eqxfx0+G7YyII18J9huLvwZ+S48j -Xwnmz9dNB1fmxJGvhIMkS/GA3YfiyFeC+dM///iP0jjylXBQ2/IrzOxmHPlK -sP+95elfVB1HvhIO7O5dlah8iCNfCQemzf9Vh36PI18JB92mOC+r6xpPvhIO -5kauuLlSJZ58JXgevs72OjE2nnwl+Hlb2yeqz4knXwmeN5F7ayoTT74SrI9/ -Zr/6YRxPvhKsz4M0Hns4xJOvhAOmx6f/6vzjyVeCv3+4wQvTuHjylXDweJdS -wx1xPPlKOFCuefBzVV48+Uo4uDPXRglOxJOvhIP7Ax0GLC+PJ18J9rf1kkGX -78WTr4SD9DG5vZi6ePKVcLB1/Szu+pd48pVwsKu17da6tnjylWA/WXI483Hv -BPKVYL4Z83qu7bAE8pVwsObTavg0JYF8JTjPnE+YGaKdQL4S3E/rtaK66SWQ -rwTzXVBn/jbLBPKV4PvmkvdM9kggXwn2RwdsfcvDEshXgv2/57h+5mkJ5Cvh -YOPMwIiv2xPIV8KB1pfXJ1MPJpCvBOtDbueRsaUJ5CvB/Kzn5X7lRgL5SrCe -9jhWv6k6gXwlHBRxpsM73yeQrwTnt1nNvQu+J5CvBPdXZKrlhK6J5Cvh4HbZ -0KRfvRPJV4L18ndg8r1BieQrwf7i/TPrfcMSyVfCwZmxGd3CxiaSrwTrrXtl -nOHURPKVcJDq+fjO+DmJ5CvBfPK+of6PdiL5SvC871x7u5pJJF8JPo+RZsQp -vUTylWB9elDNZpgkkq+Eg9NfIma7WiWSr4QDsyjz+YxjIvlKOOhjlfdXwzOR -fCX4flwl6W0BieQrwfqVZv+4JjyRfCW4v44yL87HJ5KvBPulK755OemJ5Cvh -QFExWCNCmki+EuxfDvibW+ckkq+EA3v/ozpL8hPJV4LnZ+qvDyMPJ5KvhAPD -+vQlXU4nkq8E8/uxrFUfzyWSr4QD4z2af+5cTiRfCQdlT/McT1cmkq8Enze6 -Z9D2h4nkK+GAL9uuGfMskXwlmB8vB8ldXieSrwT379Vbuw0+JZKvhIOIznJ9 -reZE8pVg/1QRuWfkz0TylWB/pzNB2rMjkXwlHPytezeGV0oiXwkHIfXPjF/1 -TyJfCT7/mUnD7wxOIl8JByuPfo8tHZlEvhLMR1oOkQcmJpGvBOfla5l9ZJpJ -5CvhQHRry6y4+UnkK8F56urOT77LkshXgudz7gVNuzVJ5CvhYObBgd0MjZLI -V8LB5aMXXZduTCJfCeaD549NNO2TyFeC8YOAm6PckshXgvl3zkkY6JdEvhIO -wk7tZ7qFJpGvBPPTb5dVP2OSyFeC9eR+71uNKUnkK+Hga+PhO69ESeQrwX57 -hNm6J9uSyFfCge6cEatv7UkiXwl+X/fBZeWFSeQr4aAq2KSg+EQS+Uo4OOjx -qtvRkiTylXBQ+fDu8/zyJPKVcDBi36SROTeSyFeC/VHt38eS+0nkK+FgdYpd -S1p1EvlKcF4+YZQU/zKJfCUcZHnVRUZ8SCJfCfb7tcovAr8lka+Eg5xJbJ5P -SxL5SjgYGptW6daeRL4SrF+Da0wcuyeTr4TD9v7LYtt+yeQr4SBY/WqShVoy -+Uo4OKHhOMlMI5l8Jfj+dt4Zv35CMvlKcL2aOyL0ZySTr4SDF9md49dqJZOv -hIMHHx6MW700mXwlOD+FuwXrrE4mXwkHVvdvqK4wTCZfCQcDgz93WbYhmXwl -HAzrcX/JErtk8pVg/z7V+8oi12TylXCgmlmepe2bTL4SzC+vLu1eGJJMvhLs -jz46sAtikslXwoGlX37agpRk8pVgP6q32W6BKJl8JVgvLG4FLNiWTL4SrMdx -Oy8v2JNMvhKc70qf6S4sTCZfCc5zv4J7aJ9IJl8JB/eMnP5olySTrwT31x3J -+MXlyeQrwfqY+TVmyY1k8pVwoFTqprTsfjL5Sjgwiv9ycXl1MvlK8Pknue9m -XiaTrwTn+ZbrhSs/JJOvhINly5qrV39LJl8J9ptOD6eubUkmXwn2r/cM969r -TyZfCfbLLSaLDLunkK8E+4dNVxXr+6WQr4SD5znJ10zVUshXgvt1aczpDRop -5CvhYBwvKbGckEK+EuyX/fY+spmRQr4S7Bd8RR32WinkK8F6UbFohdPSFPKV -YD+wME3qujqFfCXYf181afIwTCFfCQfehuEWPhtSyFeC/V3Ns9v+dinkK+Hg -ZpDByiDXFPKVcLBF6wyE+qaQr4SD8DVvdCJDUshXgvu7tuh6TEwK+Uo4+D2/ -c1VCSgr5SvDzj+yHZFEK+Uo46CH3XZi+LYV8JXjebBccytqTQr4S3I/JVX0k -hSnkK+Hgl2yoi/xECvlKMNZ4emJbSQr5SjBfPnj1Mac8hXwl+L6tuvbbfSOF -fCU4jyWqjNx7P4V8JRxEabwasr86hXwlWD/6zf5V8DKFfCXYL6yquXToQwr5 -SvC8XN/vfPRbCvlKOBDnWTYeb0khXwnu55brRqfaU8hXguvbelp8pnsq+Uo4 -WNj0/lBxv1TyleD7GD85p0QtlXwlHEz8t8LunEYq+Uo4mPb9+/fzE1LJV4L5 -NaWn3YUZqeQrwfq1dvmWi1qp5CvBfvqjzbZLS1PJV8LBUdXRjuWrU8lXgv1b -nsH3csNU8pVg/7Fpn0HFhlTyleB5X/XSvcIulXwl+L4MKlZWuKaSr4SDkZmd -L8t9U8lXwsGqMc7zykNSyVeC9XJt3qpLMankK8F+QM+378WUVPKVYH1LyMgo -E6WSrwTzmf2xsnPbUslXgv2ha+aukj2p5CvhwGPayynFhankK8H9amPlevpE -KvlKcP2Ki9edKEklXwkHdd3znx0pTyVfCe4f7f96HbyRSr4SDhavbX21734q -+Uo4aNa5bb6nOpV8JRzcMGQ9cl6mkq8E89f+0epbPqSSr4SDDEl3Z9G3VPKV -cHA8wnBVWksq+Uo4qHhRcCG+PZV8JThf65y7FtE9jXwlHEy2mGkX2C+NfCWY -n6pKk73U0shXwsFu0Y8FThpp5CvB+dl7e7DVhDTyleDf+y1daDwjjXwlOG+c -lMWv0UojXwn2z5YL9ZcsTSNfCeaLkupts1enka+Eg7uj+9lPNEwjXwn2swMT -9g3bkEa+Eg56D35i198ujXwlHNhWHZB2uqSRr4SDh322LPjuk0a+ElyPrcuN -Pwanka8E6/cm3efPotPIV4LrH6p7/1ZyGvlKsJ/7Wzu9LDuNfCUcGGjlfz+8 -NY18Jfg8mzXH5uxOI18JB10nji5OLUgjXwn2r6/6FQYfTyNfCdZLHUmL49k0 -8pVwMF518X6jS2nkK+FgyaxDhxddTyNfCc7rEg+liffSyFfyv/ylUjagKo18 -JTj/pyyq+F2bRr4S7Hd3BKi8r08jXwn2T5/WlN77kka+Eqw/vwwLzvJp5CvB -euynVpvblka+EtxPPadbJnZNJ18J1vMDo9Q9+qSTrwTnF+2Y4YYq6eQrwfz3 -7pHt7OHp5CvBfPO08IXquHTylXBwzSNV/nNqOvlKcL7p/yehZk46+Uqwv7SS -HLywKJ18JZhf/1S279JJJ18JB/6V01Mi16WTr4SDp8dXLrIyTSdfCQc+5bJh -C6zTyVeC88jU3HGqTunkK8H+eU29qcIznXwlHAQkfy28uzmdfCUcNIYtHHYw -Ip18JZgPnuqeiEtIJ18Jvo+wXbaWGenkK8F+2vzUhFmydPKVcDA1vZtSj53p -5CvB/tN4SFtdfjr5SvD9K7t0KTqcTr4SDpbquqmnnk4nXwkH6/NzllqdTydf -CQdBH4J9pl1JJ18JzgPdgw/8qUwnXwkHMar939x7mE6+EvzvehdG7X6WTr4S -7E+7NG/yep1OvhLM71fG5C34lE6+EpyXp1U+69qcTr4SDrYNMOv98Ec6+Uqw -n3FeOHfn33TylXDQZfnn9U5KGeQr4aD83VO7qf0zyFeC56t0szWrlkG+Eg5s -1k1ZeU4jg3wlWI/MfdSiJ2SQr4SDOcWHHyyfkUG+Eg5i/fR9umhlkK+Egy8l -V75cW5JBvhLMr++C1iWvyiBfCQfHQh6mrDTIIF8J1qvhS3M7zTLIV8JB/NEJ -6RU2GeQrwf5oDKsX6ZxBvhIOPh5TejXPO4N8JTgv591jmgIzyFfCQXTU4cCD -kRnkK8F60fTX1y4xg3wlHFx/OHe2SmYG+Uowf48/WlYpyyBfCfbTo653i9qZ -Qb4SnEc16pVn7MsgXwk+/1H35y8PZ5CvBJ8v4YyN6HQG+Uo4uJAxasfi8xnk -K+FgTI1yesPlDPKVcPDs7OtpWyszyFfCQXfZl7hlDzPIV8LBhoGShE9PM8hX -gnGvCZqSVxnkK+HgSXSPJK2PGeQrwX4wXxLz4lsG+Upw3n2uNjy2JYN8Jfg8 -5azl6PYM8pVwMHhI5Dzolkm+EuxfXnc75tA3k3wleL5WfSr7q5JJvhJ8n4Uh -9rnDM8lXwsGfbV23zx+XSb4SDm7X1ds9mppJvhJ8v8EexR5zMslXgvtH9G5L -h3Ym+Upw/2Tu/7OVySRfCeaL7r8aJ+tlkq8E++97s20vGmeSrwTz84c9hgaW -meQrwf4iK+NS7aZM8pVwkDyLOejpnkm+EpyHYwb0/OGXSb4SnHfMdF7Hh2aS -rwTP793RU3rHZpKvhIPR81veyVIyyVeC52tkz95DRZnkK8H+f82+3N1bM8lX -gv3xiR7bRu3OJF8JB2rpCXz+gUzyleB66ZmfHX0sk3wl+D73XK/KK8okXwkH -+j6DTYdfyCRfCdaHmuwp2yGTfCUcLFjtZal8O5N8JXhe9RW1qY8yyVeC8/vN -TWf+PMskXwkHifd/VQe8ziRfCfZjJb/X1H/MJF8JB68HFHSaNWWSrwTni+I5 -Xa+1ZJKvBPvzk/VrZ7Vnkq8E948p/zC3Wxb5SrDfm7NnW/e+WeQrwX5u9Igd -vipZ5CvB/Djz6H9PhmWRr4SD08XxOgvHZpGvBOv76ucfd03JIl8Jrt+lhott -s7LIV8LBj/mfK6wXZpGvBPeryoBv55Znka8E+50f8pUqulnkK8HzWnnwso9R -FvlKOJh9Ns7++oYs8pVgvZ9hojHMLot8Jbhfvxq2+rpkka8E60HzoebL3lnk -K8F5LErarhyURb4SzF/DF462j8wiXwk+b+ED86MJWeQrwfM7Lynne3oW+Uqw -nmsmNyyRZpGvBOfhFR1M0o4s8pVwUOU8Zn9lXhb5SrBfqxms1PtgFvlKcL/O -7OO97kQW+UowXy2d8iD9bBb5Sjj4OzF3+o2LWeQr4eCNbWZSx9Us8pVwUJ87 -6tGCO1nkK8F5oilR2e+/LPKVYD9yvHbZ/udZ5CvhYEi0sU3V6yzylXAww6yH -U7dPWeQr4UAzdILxnKYs8pVg/Y14ONa+JYt8Jfj+daY8S/uTRb4SfD89Nnqd -6ppNvhJcv/XhNVW9s8lXgv/++5kxvwZmk68E6/XY8SvU1bPJV4L9hVXz7IWj -s8lXwoGXz9zv5pOyyVeC+zNROdlfM5t8JRzsG7L/VZpWNvlKOOj1t2fnniXZ -5CvhoL+dY8OZldnkK8F+qqhSdm1dNvlK8PdWWfx+bJJNvhIONJqmj3pjmU2+ -Esx3KY7tjZuyyVeC/W2Yspx3yyZfCQelf9a/aPXNJl8J5s+CxU/ag7PJV4L5 -xaYxoiMqm3wlHExyD7r7NzGbfCU4n3t9u96WkU2+EswHLn7OP6TZ5CvB83yq -T0HTjmzyleDzdn+R9D4vm3wl2A9Ef+t4VphNvhIO7DKt1G4fzyZfCQeO3WbD -ueJs8pVwMGBU6r8DF7LJV4Lf7+/1SATZ5CvBevu1aUbIrWzylXBw5Nu4wdYP -s8lXgvPADPXUJU+zyVfCwebbLyNHvMwmXwn2gzrxbGt9NvlKOLCK6f+pqjGb -fCXYD13fZnmCzSZfCdafyrErk35lk6+Eg0e74MDGjmzylWD+uRgTNklJRL4S -nF/6O11s6SsiXwnWj5fhPqAiIl8JzmMv76ZlDhORr4SDq9NdepuMEZGvhIOb -putY1cki8pVw0MSkaj/VFJGvhIOvFqPebNUSka8E+1X7Ee+Ml4jIV4L1LStp -Se+VIvKVcLAryfrrFT0R+Urw/FocbAo2FpGvBM93mveKiRYi8pVw8L3ryVdV -diLyleDzTdt8M95FRL4S/PcPz32f4i0iXwkHDbOS3R5tFpGvBPuzrs+HBoeL -yFeC+cXvbE+1OBH5SjC/9Rk2tzhFRL4SDvI+99xmlC0iXwkHNZnx0z7JReQr -wfm6IY6P3ikiXwmet83dG5XzReQrwfzSR7lv/kER+Uo42Ku2a+OMEyLyleD7 -cjlw81yxiHwlWC/1JlktvyAiXwnmo6wxfa5fEZGvBH9PgKhmdaWIfCUcHD7n -Ctfvi8hXgs+bW3B5RZWIfCVYj6tX/lf2QkS+Eg6G71rCz3wrIh6Oh+q8tLEH -PomIh+Ph2GANW5UmEfFwPDw++313/HcR8XA8jDjR/V3j7//zlfBQenbNZNNO -EfFwPCi6nPQp7SEmHo6HdpPZx4f0FxMPx0P56xv1Iapi4uF4SPBzGPDfMDHx -cDz8t7pFc8oYMfFwPMyfG74kdpKYeDgeumTVaT2aISYejofhU9SGjponJh6O -h6ubVd94LRITD8eDi+m9zOIVYuLheHDS0VRrXSMmHo6HtyunRS82FBMPx8OO -98WXIs3ExMPxkLvs/JNSKzHxcDzMbZ1U0bxJTDwcD0f0uPAJbmLi4XhQ/969 -08JHTDwcD2sNTMzSAsXEw/EQNP+qT3G4mHg4HiZPXrOuLlZMPBwPQ6bcaehM -FhMPx0PTk0Wrx2eJiYfjYaZFsu1KmZh4OB66hsqnbdohJh6OB40M41Nhe8TE -w/GQHXfkffYBMfFwPOx6nXYr74iYeDgejlZWW5w4JSYejocBzdGS8yVi4uF4 -+H7QzefKRTHxcDzMro36ch3ExMNhrFLY62almHg4XL/AO9eu3RcTD8fD6otP -Blc8ERMPx8Mv/cMdZ2vExMPx4D9uZtTh12Li4XiY88YoNeeDmHg4Hk7BN42U -L2Li4XgYd4Rd5seKiYfD/TNsxUezn2Li4XiwXFoxYH67mHg4Hq6/NL6o2lVC -PBwPpr5175p7SoiH44Htujq5sr+EeDgeXjj47c5VlRAPx0PKl8WTfYZJiIfj -QXZWOl57tIR4OB7Oh64Xd5koIR6Oh8s7rJ1uTZMQD8eDx5StezJnS4iH48Gt -8eUSvQUS4uF4MNFVX9ptqYR4OB522kzKvagjIR6Oh3iuwcx/rYR4OB76py9z -GWUkIR6Oh77ret6+ayYhHo6HP0MnxgVbSYiH42FgRliS+iYJ8XD4futrH19w -kRAPx8PXCXO8LL0kxMPxEKVktYbzlxAPx0MFq+mSHiIhHo6H5f3kV4ZFSYiH -w/Vf6GB5JF5CPBwPAX3DJs9LlRAPh+/vdfGMi1kS4uF4OHmNd1kmkxAPx8Or -dJW75dslxMPhepU322rvlhAPx8Oz0y5DzuyTEA/Hg9Vmo5/jD0mIh+PB+VF6 -69bjEuLhcD0dm4d1npEQD8fDlxg7S89zEuLheNBiTp68f0lCPByun/jSMM2r -EuLheIgY4Lwzs1JCPBwPBxZGT6q/JyEeDs+PzjtY8FhCPBwPyvP8XdOfSYiH -42HQqz/9q+skxMPxMOWVy0WNdxLi4TD/7I13d/okIR4O35fNlAEHvkqIh8P3 -PXxh0WtWQjwcD6ILKeuG/pQQD8dDz/eN1QZ/JMTD8eA1ZIVJTKeEeDhc78N2 -ZUeUpMTD8bCvYnifx32kxMPh+bE2XfljgJR4OB4mmtTYDx4sJR4O18M03XrO -cCnxcDwYNMyfu260lHg4HqxDLn2wmyAlHo6H3osUfr5TpcTD8bDN7Mjd8JlS -4uF42F5e8StunpR4ONwvZj34RG0p8XA8MI+sziUskxIPx0Ps42yd6JVS4uFw -v7wIlQWulRIPx8Mjw6Z8F0Mp8XA8GIbe9jU1lRIPh78n5dX3xRZS4uEwv27p -OneMrZR4OB5G7u8/oYujlHg4rCd51ZWvXKXEw2G9sdcces5LSjwcDxcPNg3K -8pcSD4f7bcrXs9bBUuLhcL3T/vyeECElHo4Hzrjl7dcYKfFwPJzuddztVKKU -eDj8vIk/UnzTpMTD4fkeeGTJpGwp8XBYTybvSquTSomH48ER8pxF26TEw2H+ -mZx9X3uXlHg4/H0FC66+zZMSD8eDTXDospQDUuLheGiu0lg+/rCUeDgeYv52 -gYrjUuLhcL9NartifkZKPBy+39TaxR9LpMTD4fk1jdAMuiAlHg7z8bUj29oq -pMTD8eA9WMcv7pqUeDisb1lDSv5WSomH48HXs8Mt4p6UeDjMH38fxDc/khIP -x4Ndvk2HQ7WUeDgeJpyOfH2/Rko8HA+aOzs15r+SEg/Hw/4nN87tfCclHg73 -14fdR359lBIPx4O7i63C9IuUeDg8Dz9q0g83S4mHw3po+sW/lZcSD8fDjNVu -+1f/khIPx0P3k6M1RH+kxMPh8yYp6h7+kxIPh+/j8bFX/brLiIfD9bk6eZRu -LxnxcDwc3LI0N6qfjHg4Hqr2X1l/bKCMeDh8/57p2k/VZMTDYf8U573hj7qM -eDgeIvesyNcYKSMeDvuJ3a8GLxorIx4O90fYiFKTiTLi4bB+Gv8X6TJVRjwc -1jefKsdATRnxcFhfFra7R82REQ/Hwze9cZlx82XEw2H9dxh5I3aRjHg4zH/u -N9UilsmIh+NhYSgf7qcjIx6Oh6lPYr7Yr5ERD4ff/32p17p1MuLhsB4n9OVm -GcmIh+Oh2Pxq3CBTGfFweB6iFw5o3iAjHo6HtqVr829ayYiHw3yoenf6TjsZ -8XA83Dm7q8jNUUY8HObT/jLNma4y4uF4kOun7+E8ZMTD4Xmqtv5z2kdGPBwP -nmb1ul4BMuLheNA78Sd6ZLCMeDjMR/0Sc+6HyYiHw373jdGOsCgZ8XDYD9Uu -Cx0RJyMeDvNby4w5lxJlxMPh/g38VbkxVUY8HL7/l5HzvmbIiIfj4WU/eXC0 -SEY8HL6fycNTeshkxMPxEJzPO4m2yoiHw/qk3dq7f46MeDjs93r2jszIlREP -x4N5aLcTHXky4uGwn2Yq8wP2y4iHw34zbrZpXaGMeDjMr7vHVugckREPh/nO -IfLtgeMy4uGwnn0bWtZxSkY8HJ7fG6+YjcUy4uF46Lx5PPxQqYx4OB5c57hY -82Uy4uF4GHX0Y712uYx4OMxv8wYPiroiIx4O12NZ9dvSazLi4XgYk6tk/O2m -jHg4HjaniaxG3pERD8fDsGOGbXr3ZcTD8WA0bNqcgEcy4uHw/Fn0bpM+kREP -h+u34ZHp8acy4uHw+8Kc5l+tkREPx4Pq9oP7H9fJiIfD+aA9cevL1zLi4bBf -DHzVs/6djHg4Hixm5f9590FGPBzmx6ZTPi8bZMTD8fDgTcuGx19kxMPxcO+c -dQk0yYiHw/NeUJl2jJURD4fzg/XEO5LvMuLhMJ8oO0b4/ZQRD8fD4Fgnue5v -GfFwPMR9Vhs0vF1GPByelxHuLQ0dMuLheKhcsH+PVRc58XD4eQ76TRe6yomH -w/oGE33Uu8uJh8N6bn1MLURJTjwcDx2hxp/v95ATD8cDf1j0YVwvOfFw+L4e -vugZ1ltOPBwPWSqnTSr7yImHw/PzLaVCtZ+ceDgefoyuNtzUX048HA/vYPDf -g8py4uF4aJ1z/f6XAXLi4XB/TLK4OGOQnHg4zL+Fejd8VOTEw+H56zK48bCq -nHg47L++zJ/8Tk1OPBzm502TYocOkRMPh+t5xqlRf6iceDgeekhcvKPV5cTD -Yb/YerjjyDA58XA85OgfL6waLiceDucb7S82f0bIiYfD9/H43egxI+XEw2H/ -Hj+A1xklJx4O++Oe3CPH0XLi4bC/EncvixkjJx6Oh4Ktt49sHysnHg6fv+3S -vuPj5MTD4Xp337T38ng58XA8PDGbue/hBDnxcDyofDtRWDdRTjwcD+HaNsc+ -TpITD4fro3T+9LfJcuLhMH+krD7LTpETD8fDYeOUs9xUOfFwPOh7tJ5STJMT -D4f9g9b4wi/T5cTDYT7JSpfXz5ATD4fn+dCR0OeacuLheFg6p4/xnZly4uF4 -uK0zW6Nslpx4OMw/tvl1BbPlxMPxoBT0Mls0R048HA9bG3ynB82VEw+H/YLs -WdmGeXLi4fB8FefP1dKSEw+H+7Oncc7A+XLi4XhoqfD52IixwMPx8Dw8dgQs -kBMPh/O9cT/trQvlxMPhfny6b5GLtpx4OKzHg2+Mmr1ITjwc9t9b/n38jbHA -w2E/bfBKDIvlxMPxkH6xQS1liZx4OB7+1RyNXL1UTjwcD3Uapy92WSYnHo6H -n9HJzy5hLPBwPFzyPXsvaLmceDge1jXc2zlphZx4OOxX3G2WPsdY4OF42NL4 -rSSFkRMPx4NZy6Aus3TkxMNhPZBbjX6GscDD4f43M1GOWiknHo6H6aaZ94ev -khMPx8PTudusyjAWeDjMt5O6FpmtlhMPh/P4J9fqRowFHg7nrUvrr8aukRMP -h/NnrFmYsq6ceDjc/1u68bsxFng4Ho5zP+ZOWisnHg7nqwmXF57EWODheGjU -4zpm68mJh8P6pzwxvQhjgYfD/q1C8XDmOjnxcNgvz/1cfQRjgYfDfPnhYM5o -fTnxcDyUYULZirHAw2H/UvXYqJuBnHg4HnZ3Bi7fjLHAw2H/r7/k0wuMBR4O -4zm3VzGGcuLheCh6enZjAcYCD4f7s3XX8G5GcuLh8Hy0D5E7YCzwcHieGm6d -L8NY4OFwfVz1xcrr5cTDYT24tnSgE8YCD4fzUduyFWcwFng4rFfHmtTbMRZ4 -OOyHNb7tXm0sJx4O+4vVtZWZGAs8HA/iq9Y772Es8HDYD9b3G9DHRE48HK5n -wY6pazAWeDgeXpzO/RSDscDD8bDBvWJ1EcYCD8dDw7OClfUYCzwcD+9vfn89 -wFROPBzm6xPm6osxFng4HoaUezc5YizwcDh/1b21S8FY4OEwf53c5FaIscDD -Yf8jEitdxVjg4fD8yIcurcVY4OFwP8gP92QxFng4rM9jG927msmJh8P5bVuG -1SCMBR6Oh5J/c+s0MBZ4OKxfS3Y0TcBY4OF46PvRUTIVY4GH46Gr/cryaRgL -PBzOw/e/hE7BWODh8HxM6HtxHMYCD4f7cdTG9GEYCzwcvs+V0S/7YSzwcDjP -v51d1o7PK/BwPOSpTxvWiLHAw+G8Wzei4zHGAg/Hw4qGS27nMRZ4OMy3j2D9 -LowFHo6Hy63vzodjLPBw2L/ufZ5vhrHAw+G8+5+N0jSMBR4O55OIiQ1/cb0E -Hg7zpRW/6iHGAg+H9alf7PDdGAs8HNbPAUlerhgLPBzux9LLs6ZhLPBw2N8v -4Hy+4X4SeDj8+yM1GscxFng43D9d5+u4YyzwcJjPx916PhJjgYfD83nb5M1j -3L8CD8fD8LxtFkkYCzwcD/UzLZbOwljg4XC++WUtrcHzIvBweP5s3dbHYSzw -cDi/HFseMQZjgYfD/TjhZN8reB4FHg7fX2tETxuMBR4O+9HVPt4cnmeBh8P+ -e8T6eakYCzwcD6NTvjkOxljg4XhYEqXC78d8IfBwOA9zoo/TMBZ4OB5uPZ26 -+AzmG4GHw/5Ruax5DsYCD4f9VGzPrmcwXwk8HNbDbo1B0zAWeDiM42cy+zHf -CTwcft/jY55qGAs8HPY3dXO+JmO+FHg4rG8pOysVmF8FHo6HN+dLfltiLPBw -OG972CeXYz4WeDg8r1Jv55EYCzwcD/fnl26Pwnwu8HA89PcZqlGN+V/g4Xi4 -sd63ZSrGAg/Hw5wBspExWC8EHo4Ht68OO+5ifRF4OFwvrYv2gzEWeDicD0zj -guywHgk8HA/Vl0RV+Vi/BB4Oz3fPW/FvsL4JPBz2N28GhI3AWODh8Pv6GpSa -Y30UeDgefvnaL83A+inwcDz8UdLscwHrq8DD4fn5tW/kJ6y/Ag+H80TS/tAB -GAs8HM6f/dUHzcf6LfBwmI/HKZotsL4LPBzmlyAV5VCs/wIPh/n1mb+fFPsD -gYfj4ZQp3/cQ9hMCD4f1SUX0uQz7DYGHw3obNqPrbexHBB6OhwW9blpWYb8i -8HA8bEzR+1yL/YzAw/EwtvZY8WvsdwQejoeVmu9KX2M/JPBwOH8+f8fWYr8k -8HA8fPAudK7Cfkrg4XB9i8f2uY39lsDD4bx8zvxTGfZjAg+H5/Hi/J8HsV8T -eDgeJDo3tKXYzwk8HPZDA/+eCMF+T+DhcF6b9cbUAvtBgYfD//7Ze5IW9osC -D4f789H+qcrYTwo8HA/9ytNsPmD/KfBwuL5VI8vOYX8q8HA4X6X56qRi/yrw -cDywssgfxtjfCjwcD2c7DauGYP8r8HCYj+exL15oyImH4+FjnV+vXdg/Czwc -ft6/x/YbsL8WeDgekv9MfdEX+2+Bh8PzkJYSeQX7dYGHw88r4pYFYD8v8HA8 -mHxJmjhisJx4OB5+/9GfdR3nAYGHw/5YdZONB84LAg+H9ejy44M9cZ4QeDge -ChXlAwtx3hB4OOyfOxfmLsV5RODheNAtstN5jPOLwMPxcG6GrpJzXznxcDwY -9B70sRnnH4GHw3puUPcmHOcjgYfD/tL9Rks7zk8CD4f1TadpXBzOVwIPh/tv -foTXn25y4uF4cBiUeSsE5zOBh+PBc0FmeFWnjHg4nMenHhSd+ysjHg5/f2Dp -PXmbjHg4nOeT+s9z+yUjHg7P04NuV2e3yIiHw/x+hwtuwXlT4OGw/+Tm6Z/B -eVTg4XC9H0xd6YrzqsDDYf/cMt9mIM6zAg+H8/3Ay7KS9zLi4Xhoej/tg8lb -GfFwuF76n80+vpQRD8fD+JVudYEvZMTD4Xz4RTXmJ87fAg+H+VTkNS8Y53OB -h8P9sPv1v4aHMuLheNAub36z4Z6MeDjsh2+pP7lwS0Y8HA8JD19XD7khIx4O -6+eoyk8+ICMeDt+/rmWPC+Uy4uF4eDt81NyOMhnxcHh+zh/1XFIqIx4O57N0 -0ZHNRTLi4XjIWGvH5p2UEQ+H76d38fLrR2XEw/EQsmTalrcHZcTD4Tx5xLXx -x34Z8XA8TI3rvqrbXhnxcJifzc/k9cqVEQ+H72OaSqvSDhnxcPh+g5+b/JHL -iIfjQXNI0aHPYhnxcDj/BZi3PsiUEQ+H62MXtvp4qox4ONyfi59mJiTKiIfD -fu3bzFtGsTLi4XhY+G7NH5VIGfFwWC/V3k74L0RGPBwP+UNurUzdLCMeDvPH -gNvmc31lxMNh/rx+1uK5h4x4ONx/OZv0Q1xkxMPxsGj7Ps3eDjLi4XBeDNz4 -b7uNjHg4PH8Su8vDLWTEw2H+69zplWMqIx6Oh2M7uH99jWTEw2F/VmOSEKEn -Ix4O55UBBxtfrpIRD4f9jcHbxYtWyIiHw/zj1hokXiwjHg7nh+4NshfzZcTD -YT9ZcHTryDky4uEwX2zTibKeISMejgfjXiWrxJNlxMNh/VTuoygbJyMeDvud -PSbRL0fKiIfD97V9Z8MvdRnxcDz43fk5t6+ajHg4Hob+TbYbMkBGPBwPmc12 -LsP6yIiHw/1jfUBXTUlGPBz+fXuEUs9OKfFwOL/v6Z3PtUmJh8P5um+iSvUP -KfFwPATMGOhwipUSD4f1ovVrcsJXKfFweB6SjBL0P0mJh8P93BFo3u+dlHg4 -fB/Xc9tv1kmJh+Phrln/6PBnUuLh8P3aqj4c81hKPBz2i1fbWq7ekxIPx0N0 -/mCFTaWUeDish0YXL30FKfFw2A+6rbIJuSQlHo6HEfcG3G0plRIPh/31saQ+ -fmekxMPxEJfSbcSrY1Li4XC+0+xoW31QSjwc5pPn9UcL86XEw+H3q0ya3L5L -SjwcD6nPmCCDbVLi4bDfSTmTtUUiJR4O93vzz4DHGVLi4XBes84d2ytZSjwc -9hNpE/MXxEqJh+NhQsnkz3bhUuLhsN87pNUeFSglHg7zydEPtTIfKfFwPNTm -VifvdZMSD4fz5+eDPwscpMTD4Tye1zF3v7WUeDj8vk2Z2jvMpcTDYf6L+NEj -2UhKPBz+vtc/8zzWSomHw/5xnu7fVTpS4uF4ML2/b4L6EinxcDw4ld1W/aAl -JR6Oh1U3Uu7iIEA8HNaXhH16LlOkxMPx0Ev3m3jIOCnxcDy8Xros9+oIKfFw -2O84+vm5DpYSD4fzR4ptz3/KUuLhsJ7YffWQ95ISD4fnN15JOqKrlHg4XF+/ -nJi8PxLi4XC/7dg6e+gPCfFw+Pn23LGMZgnxcFj/R5/8yjVIiIfDeW/xbd7s -nYR4OOxPB6yD47US4uF4UO+7ZEN7lYR4OJwHj546tfqBhHg4XL/YC49SKiXE -w2G9mBt2vvyKhHg4Ho7ndHf/ViYhHo6H7/sy61SLJcTDYX32n6U+77iEeDjs -t80maugXSoiHw3r8PL/BKk9CPBzOX1drohx2SIiHw/lkxIBn9lIJ8XBYzxak -/zbPkBAPx8PPoqyPOokS4uGwv0vyzJ0cJSEeDutHS4i6UrCEeDh8vyW9HWt9 -JMTD8bD3aWrgYVcJ8XCYz6qMjHztJcTD4Xrl3fs+xUJCPBzWzx3+bq/WS4iH -w8/fd/xA5loJ8XC430b+Pa7JSIiHw3rWVp94R1tCPBwPzqfrJ9jPkRAPh89r -kL7981QJ8XDYD4Y4VfuMkxAPx8OwkJ71n4ZLiIfDfD9/8BVrVQnxcDxsMJ/h -e6OvhHg4nA+GtjRO6i4hHg7n9ZRhixLbxcTDYT60MbapahETD4fnZ+T69SOb -xMTDYf7UqB7s8FFMPBwP54MPFu18JSYejodB6qJxd5+KiYfD9ayx9Pr+QEw8 -HA9DPF+nqVWKiYfDfsbhT7TmZTHxcDxY6MnWLT8nJh4O9/feFFb3lJh4OJxn -am8Grj0kJh4O81Xo2ocr9oqJh/tfPe7ac/YOMfFwPDQ//KWhLhETD8dD2KXp -g1pTxcTDYX31PfbhUayYeDgedC6l7swPFRMPh/X8yFNNDz8x8XA87HAoOzjJ -TUw8HA+JjFmX13Zi4uHwed4/0BFvEBMPh+d/tJ2nlqGYeDgefAdphVWtEhMP -h/V//FZf7yVi4uEw/w2qMPw9V0w8HNbj5j9DE6aJiYfD/TJ736OOsWLi4Xjo -e6ozJHSYmHg4Hsadiur9YaCYeDjc/w2BEv1eYuLhcP1EJkpH//0fD8eDj356 -wL+f/8fD8f+vhvsOq7l/4wAe8siMZGVkZ0VDojxHVqQoGmSmjLS0tKS0T6co -9PSzMrLK3rPHbYtEtsgoW+Z9ske/+7nOu/7r0vme7/fzvT/3cL2uD+2emF7s -8K7Gw0m8hT/uvOJZjYeTel1dJ/D6gxoPJ+v1IPFwvZs1Hk7m0dXqrxaXazyc -zN9Bryymnq7xcDL/DKsdHHW0xsPJ/t/wYlfmnhoPJ/NH2dDKdVtrPBzT6r8u -9t6SU+PhmMZ87he0KavGwzGNH/f3v6vSajwcE6UdbqaMr/FwTFW1fQIDIms8 -HJNjZZ8HDoFp8HBMj2c9cO4yNw0ejkkvdlLZp2lp8HBMQUOWhh11SYOHY5qU -59813D4NHo7pEL1/aTwsDR6O6eI/Tc+WDUyDh2N6YV96JKFfGjwc0+i2boWd -u6fBwzHdmLLmy7F2afBwcj+qcyPsmqfBwzEZTKg6WFI/DR5O7n+pi52TVho8 -HNMot5bahV9U8HBMubWCX1q+U8HDMR3tt+3z+qcqeDim6Ku/TLTuq+DhmGZ1 -Or1mUokKHk6uX2g3MP+CCh6OKfSCWocLVPBwTBNSuzQ1P6CCh2Pa/GGEk1++ -Ch6OydWpqChnvQoejikmzjTp4j8qeDimqY+/x7xOU8HDMbk77z5RJ14FD8f0 -15Qnf7eMUMHDMRnp9tTpFKCCh2Mq6NWzfddZKng4pgZ6qbGGk1XwcEy7Sr+Z -NndSwcMx5Y81saweqYKHY0r49n7ZU2sVPJw8T69fI06ZquDhmMqruzv/Y6SC -h2O6Ms+WPNur4OGY1psPSu/RXAUPx/SjwYuCFzoqeDim48nWLjl/UuHhmDr3 -NXF1qEqFh2Oqt/jMGfXrVHg4pj/fv61f8TgVHo5puWHFh963U+HhZP3jsg4V -XE6Fh2M6fK5v1chTqfBwTLW339198VAqPBxTmf7JV0N3pMLDMT3Qa7Pl4IZU -eDimjxVGLw2zU+HhmPJ+me5PSEuFh2MKaZVS/8niVHg4+XvT2I/9w1Lh4Zgs -V0X5xPumwsPJ+/lYHlXokQoPJ88/oHu3em6p8HBMbtdP+SnsU+HhmDpmmrsG -2KTCw0k8HNapyLZIhYdjOlnrZsujvVLh4Ziu7jP+et0wFR6OaWhGQfIz/VR4 -OCYPde9LH+qnwsMxzb5mckb9RwkPx/TsgHLBR7USHo6p373vL56/UsLDMZ3v -5Gxw66ESHk72S2+/5iduKOHhmNqO63Zn9UUlPBzTgi1z54QUKOHhmPoYdCsc -sU8JD8f0e+6k6iZblfBwTPO71W16Y7USHk7yV5HlnyUZSng4psYFda8OS1TC -wzH52i9J+BihhIeT+zv/ufP//JXwcExbw+fvHeCphIdjGnHI3OSamxIejqm6 -PHGrh70SHo6pInx3q8ohSng4pp66dZUB/ZXwcEynZr/4+aaHEh5O1nvOsXCP -9kp4OJZ++H311WZKeDgm865/Vg74SwkPx3SszHfU/36kwMPJ9Ued1f30PgUe -jqlw46ovw5+mwMMxOSUt0cq8mwIPJ/nora3Z7aIUeDimldbhmc1PpcDDMTUb -XWpofzAFHo5J28P0+cK8FHg4pmvjxz7fsjYFHo5pU4h2t0uZKfBwTK13WOY/ -T0yBh5P8EXo97GdECjwc047K88sb+KfAw0l8Xter3XxmCjwcU/0suqTvmgIP -J/t/0sP3unYp8HBM36qTw7T/ToGHY1rbr3w2m6TAwzG1dzc4U9o1BR5O8tFp -n8zjrVPg4Zi2pOrfyWqUAg/HNPig10pvrRR4OLl+391lFlXJ8HBSf0qd8369 -TIaHY/JMP6n174NkeDj5fGfPyrBryfBwTCcWFfj3OpsMD8f0a5nrkruHk+Hh -mD7PI8eY7cnwcEw6JtnHO6xLhodj+sdi8c2jy5Lh4ZjSfxhvcEhKhoeT/NV/ -cvf7EcnwcJJ/713ynemXDA/H9L8ODmEVM5Lh4eTz6cftpzknw8Mx7f/17dN1 -22R4OKaWWz4H2Fglw8NJvBnmF+YZJ8PDST2Z37Juw07J8HBMbxvZ95qrnwwP -J/vz1XTFv/WS4eGY1oWGjmr8MwkeTtZz51H7ie+T4OGYNrb3Gr+mPAkejuns -m0PTS28lwcNJP3Dvd2SzwiR4OCZbp+X5w08kwcMxZfvdVs/fnQQPJ/H/y3xG -9sYkeDjJ52nd+HBWEjyc7Ce/PgeupyTBwzHdPnE770VUEjyc7D/fY6Wf/ZPg -4SQ+R0c5VHskwcMxLap1oV4dlyR4OCZFP1e9WqOS4OGY+k+tDP4+KAkejmls -w4kmb/skwcMxxVLQ+FLDJHg46S/qdHx4Si8JHk7idf74+7l1k+DhmFT7tZxi -vyXCwzHtXmU+0q0yER6Oya/Fz1NGjxLh4aS+Dgu5rC5JhIdjKg486338bCI8 -nNx/rw6bFh5OhIeTeLY+vdgyPxEejun1kc8679ckwsPJ92k3Hb5+aSI8nPRP -D+cPsI9LhIeT/Thl8ZtPIYnwcEy1qo/MWTEnER5O8uOBlfv7uSfCwzHdu7vn -9gX7RHg4pke22XcnKRLh4ZhMpn6k5yaJ8HCSj3/5Z/t3SYSHY1Kvuez5sUUi -PJzc78jiPgE6ifBwTN02jf714kcCPJz8Xqtt6eR3CfBwEn+T+hZdepwAD8fU -zi3ivvmNBHg46cem/Wiy6lwCPBzTuRWnA78fToCHY+rx/EU91/wEeDiJp4YZ -ZTvWJMDDSf7KevPl95IEeDiJj9cWM+wXJ8DDSX/peqx9VnACPBzTq8aXrO7N -SoCHY4rof/5kq4kJ8HBMSRVt9k+wS4CHk/dtPKR9qnUCPBxTvPExnRPGCfBw -TCu0Wy96ZZgADyf1/dnX+GZ6CfBw8n5a1OoyQDsBHk76658vZ7t9iYeHk3ph -6O8S/CoeHk7qnfm0r6n34+HhpF60XjYx50o8PJzsl+fvFu08GQ8PJ/X2w6yQ -I3vj4eEkforUNidz4+HhJP7dN384lRUPDyf57UK6kpLj4eGYAv+5pn88Ih4e -jmnepjU5e33i4eGkX+rbp8+mqfHwcEwtygovLRsXDw8n6/3v+dhom3h4OCYz -t4SJXmbx8HASv5sCJtt2jYeHk/jpUGt5t5bx8HDy+Yun6tXSiYeHk36ui835 -e9/j4OGYvOw73NpZGQcPJ/3v678Uix7GwcMxdRq2v9GYa3HwcEyp1s/tmp+O -g4dj6jAjqPre/jh4OPl8eX/LNZvj4OGYWm0x+OmeHQcPx/RuuIFrC2UcPByT -TdOBjsWRcfBw0h+2TVLH+cbBw8n1/zZ0MJ8WBw/H1Le5qVf5uDh4OKlHDXlY -uk0cPBxT5Nytn83N4uDhmBoNWZ90r0scPJzkjxSr35Et4uDh5O9bP53Tul4c -PBxT6a6mxQe+1ZwPJ/38h1FWDm9qzoeT/RRicKz8Qc35cEyG57q7hBbXnA/H -tNCrdUttqjkfTt7vtW31l+2tOR+OaWTK6kFtc2vOh5P1bHx2b+6KmvPhmFze -a0UZJdWcD8dkccZmQ35Yzflw0u+YRfft6V1zPpz0r7+O9dvqXnM+HNP9z433 -dLSvOR9OnqfpqiMrB9ecD8cUNz3VpUnfmvPhpN9ubrAszrDmfDjJf6krIrhp -zflw0n/Y2recWbvmfDimD19HVa5+GQsPJ/Nxq7Yj5l+OhYdjetmqwbghu2Lh -4ZiWZPbs2DAzFh5O6nd63vUbwbHwcDJvZ5REZrvGwsNJ/V1V18RtYCw8HNMZ -kwsN9drGwsNJvvDY0fXy7xh4ONmPZ7yXxzyJgYdj6ppwxa/f2Rh4OKbTXlRU -tiUGHk7i6fSIi8nKGHg4pozsqHBj3xh4OJl/4ldVlYyNgYeT/upilVuQSQw8 -nOQD1x+HmzSPgYeT+njBzDj/8yJ4OJnvZg29YXNvETyc5J++z07ePr4IHk76 -obvqht45i+DhJD4LvW58jV0EDyf7p/uw3kmei+DhmC6XHOnXdOQieDim4bN1 -vq80WgQPJ/OiYvkGwwaL4OHk+SKvDtn8NhoeTvLNB6eP3a9Fw8NJv6ooLNq2 -LxoeTvLf+d+V3bOi4eGkP9h022dzWDQ8nPTjx8d5dnSPhoeT/ndX/NvV1tHw -cEyJD7d00e8QDQ8n82hAvc7pWtHwcEwHFc1q13m6EB5O7u/tqEcR5xfCw8n3 -F4+5/m7bQng4iRe++XmmaiE8HFPvTkfn3PZbCA8n7+vGC8Vox4XwcEyOJj6r -jpsuhIeT7282d1kf/YXwcNIPVrUbtu5LFDycPI/Wiwu6pVHwcPK8PwdYx52I -godj2jB6/XHOiYKHYxpXUegxa3EUPJzUT9cIuzueUfBwTHcK0jNHj4yCh5P6 -fLR6/AmjKHg4mZe9n+f3bRAFDyfv38fvYO7bSHg46dcvPVzS6lokPBzToFo5 -E5bsi4SHk+u9NzLUzoqEh2Naeqll0+iwSHg4pjeG7YZ9nhQJD8fUq1D7xnzr -SHg46Z/uHymrbB8JD8d0c+uA2HlakfBwTNu2r73zqiICHk7iN9lQy+d8BDyc -rHdVkzbvtkXAw0m9O35LEayKgIeT622oWvrdLwIejmnG7EZGCY4R8HBSP/bc -7KprFgEPx+Raq93WHP0IeDiZd3eXFPb9Gg4PJ/1/4ZftZ0rD4eGkn2y9y8e9 -IBwejqnNX326q9eFw8NJ/ll+/mdGXDg8HNOc4c/rm8wKh4djeq/XdP5N23B4 -OCbLmc/sonqGw8PJ/VW0Oda1UTg8HNNf6XEPbrwPg4eT/JFUtyTxehg8HJOp -fv4hq4Nh8HByvRTljqrsMHg4+b17acn+yDB4OKam7V6PWDA1DB5O1tPT0Gjw -kDB4OCb3XT+z63UOg4eTeHZpefCudhg8nNTnvzuu2/lyATwc05PzFaHJlxfA -w8n97xgyafauBfBwMm+wibdd5gJ4OLnfG4XnzEIWwMNJvvzHdGkntwXwcLJ+ -yZI3By2Ah5N5/3r2K912C+DhmCb7zTiuWx0KD8e082tmVIuKUHg4+b6tV+w6 -nQ+Fh2M62bFotHleKDwcU4nX1JX2aaHwcEzTts119wkIhYdjCvi7cmfG+FB4 -OMknIb8OnOgfCg8n+Sr4Ysb7VqHwcNLvGGbM7PEzBB6OadjXnWPmPQqBh5Pn -+Zjpt+90CDyc5MuJp19pbQmBh5P+MO/+k4nKEHg4eT+BGWGHfUPg4WS/d8kr -aucYAg8n9fZn7dppZiHwcExa11ZY12oZAg8n/fFbr9Wx34Ph4WQ++7DM5q+H -wfBwTJVrxzv9cyoYHk7ex6HKyj6bg+HhZH648q9FcUowPBzTp45WTmG+wfBw -TJm+L6f1cAyGh2OaeMQj7qlZMDyczOdmrg+3tQyGh5N+szola8GPIHg4pmCd -kksOj4Lg4aT/KG61wfhMEDyc9EPm06xabw2Ch2Ma47bvRCNVEDyc1HungaMa -BgTBw0n9btJTS39CEDycPK9+cX2jAUHwcNK/j12cMsIgCB5O6vvNvWv9/gTC -w0l+O/rIb31FIDwcU9HVNW0fXgiEh2NqFpR2pduOQHg4iTdbz02RGYHwcDLv -1H9x9l5IIDyc7DeHd+OHTwqEh2PaO3BG0LHBgfBwTNfczG2tOwXCw0m/aR9U -VVg3EB5O8kO/mTbnb82Hh5P1PWzyoOm6+fBwsv4GJ2stmDsfHo4pamHWr08m -8+HhJB/mTNVX/giAh2O6O6NsmeW5AHg4+Xy/L/9qLQ2Ah5P1jcgrfzoxAB5O -6pNeY9vyTgHwcEyb907q/73SHx5O1t/2/ufeh/3h4aT+hP64GbnYHx5OnveV -VeunY/zh4SS+zlo08m7hDw8n+fzL2u86T/zg4Zi2bxnU68J2P3g4Wd/oypfr -F/jBwzF9bpgXnW3jBw8n/WO7KLPtDf3g4aQfrRvn/OCOLzyczPffPvTslesL -D8f0zLmx1v/8feHhmDzfmXbsPMgXHk7y36TCW0XavvBwMk/3dfDOKvGBh5P9 -7GjXLXqtDzyc7L+uU9wSvH3g4aRfftDbdnt/H3g46f9zQ4Z80vKBh5N6/Ohr -+qTiefBwTDM9VJFPVs2Dh5P9laXjlDpnHjyc3M+hyVOczefBw0k+4OAXCq15 -8HBMo1Y5DHYo9oaHY2qpqNy2cLU3PByTQ6l7aPFcb3g4JvtX+W+HWnjDwzHN -VvKU0tre8HASb0sdG2eVzIWHY/qa88Q+eN1ceDiZTy0KPUP95sLDMXU+0ytn -tdVceDipT1uNbZ/rzIWHk/ox9Euey9058HBM6qq8pq+3zIGHk/mls3dhbugc -eDjZ70bObeOHz4GHk+dxT3JJ0ZsDDyf9aJU+7S+fDQ/HdLGZ3lbtfbPh4SQe -ViS5LFo8Gx5O4rdxYIv2TrPh4aT/3l5o/sxwNjwcU1Wj6B83PsyCh5N8ZOJ7 -8DXNgodjqjvEZ2ePzFnwcPL83kOMMz1mwcMxWR3cGNfRdBY8nNSXK5ZVpbVm -wcNJ/rucfa3ghhc8HFPoF5vplzZ5wcPJfLRk85vqUC94OKbkCAPysPWCh2M6 -X+95t7etvODhZP9s6jsv97UnPBzTgCidmwknPOHhJL8az9uVucQTHk7qQWjv -YZdmeMLDST9T0OiBsZknPBzTkIs7Tp/U9oSHk/nKJmVI9N2Z8HDSDzr9ypmz -fSY8HNON7iO7xyyaCQ8n9UgZ3ums00x4OKaGYxPuWXSdCQ/H1KTcJOvOVw94 -OJn/CgxzthR5wMMxjS09Z71pvQc8nPTTHeYdvRbiAQ8n9b3IaErv0R7wcExH -yt76Hm7nAQ8n81zJi1kVFTPg4ZhufUh5eyR9Bjyc1P9Ds3+/GzADHk7W+01B -t6Ty6fBwTDmNpqgXpU+Hh5Pnvbdafc9yOjyc/F7gcSD76TR4OIlvu1Z0JGMa -PBzT88wduYMHT4OHk/q9MPV1t9dT4eHkfTZZ2SE0eyo8nNSTfIsrRiOmwsMx -lVn0jx7KU+DhmI7a3j5yesMUeDjZH6YX6uU7ToGHY2qn367q65/J8HBMc284 -au/ZPRkeTuap2lZ0dfpkeDimq8VNdkzUnQwPJ/XxnPvYMafc4eGk3uV+77Ut -yB0eTuYh7XaFAV3c4eGYsloMytt4exI8HNOqrrrjbJST4OEkX5mvs7a3ngQP -J/3Ngi585v1EeDimiuP6vC13Ijwc054bbU/8cJsID8eU9KDZlRMNJ8LDyf5O -/JFbecoNHk7y9eDqAlWYGzwc08bJPtmr+rjBw8m/G+Vub/3UFR6Oye1ll1Cd -1a7wcEzTz8f4zRvvCg8n+f7YuEaD67vCw8nfu1kWLT7tAg/HFKJ6ZDgwygUe -junh2JexnuYu8HBMv4a8naH1zhkeTtbbPMpcb5szPJz0u3kN/FfOdIaHk/dr -/mfRinbO8HBST+5l36lzbwI83H//H8vPX6+YAA8n19PTNrB1mgAPxxRRnPG4 -deMJ8HBMLVp2yfW8PB4ejslkqe6VLsrx8HBMq0/NujDVdjw8HNMkfv2tcd3x -8HBMek9aXbY85wQPxzRlrt76+wlO8HASH21zH3wZ7gQPx2R3wbgiXdsJHo7p -++a5RlZpjvBwkk9tU9Y7NnGEh5P13fbu1eTl4+Dh/pv3bhVMbTUOHk7Wb/X8 -6645Y+HhmD7WKSu17ToWHo4pcrt+sslOB3g4ye85FfHNLRzg4aSeFV2N/HjS -Hh6O6YJt82aFdvbwcJKPvNIrV98eAw/HdHttft48zzHwcEzh46c/6//RDh6O -qcsYPcvfMXbwcEyvmi23ONvEDh6Oyb9tdWDK+tHwcFJfDlDYGNPR8HBMh84E -lDQ6NwoeTuqFW1GdaxNHwcPJPPhj2/Jlb23h4SQey0p/uMTbwsPJ+zpqdLhN -G1t4OKbyjIFWT/aOhIeT+b9H/Lc8u5HwcNKvTrScHvp0BDyc5IcmA24NixkB -Dyfzk8Xnk/oGI+DhmNaNDo55c3g4PJzk42MdAs65DIeHY6rTuvhZrnoYPBzT -1Nrr+ievGAYPx6R7/e32gP7D4OGkP2w0OHPqnaHwcJI/N3Tq4RQ5FB5O+s3b -Zvvs2g+Fh5P+QvvjLLszNvBwUj9194Y7etvAw0m8rExrPVXXBh5O+tvnGRWP -AobAw0l9253g0Py0Ah6OqXbunp2uLRTwcNLPluRZbPX9Gx5O6m2TvU3qnhsM -Dyfzdr8k5+AOg+HhZH4/MUj/fZQ1PBzTJd06rhGlVvBwsh8qGzZpZmUFDyf1 -Nnhk76NrB8HDSX+eZZnuX2sQPJzEY3lJHVPvgfBwEk/nLCbVvm4JDyfzcJeM -YeXWlvBw0g/NuxdQnDcAHo7p8YudCy+0GgAPJ/3kBv0fRUoLeDjpt4Oc/B/+ -7A8PJ8+/fMuYH4H94eFkfYN6fO/0yhweTvq398nXnWeaw8MxfXl+vX1GmRk8 -nLzPprZtbrqbwcNJ/rDS/9ih1BQejsmvqAcFTTaFh2P6vYZXXH5oAg/HdKxt -T78eXibwcNL/Z3Tx1b/dDx6OKf1Z6pqHL/vCw8l8ceRpne1/jOHhZH6aMqJ9 -eBtjeDjZDwXfFcMG9oGHY0pwaLZOZ0pveDi5/7gyh4txveDhmH5+X2C1cGdP -eDgmHxc7s04PesDDyfOH5D441LgHPJzsh78cj1mMMIKHY4rZ5d8zJ6Y7PJzU -77bxtq8KusHDSX3uuXNs8+qu8HDST6wpaGEwsis8nNTLkOce35Z2gYeT+h7j -eCm/rDM8nOzPQWmnevbtDA8n7yt5XEZIQid4OKYNKmvn+LKO8HCyn4Lu1xo/ -qCM8HNNp/YDig+aG8HDy71rFxma/2sPDyf2tiTXQvdIOHk7m2yNTW9lsagsP -x5Sbnux8LM4AHk7Wo6NxbsDcNvBwcj0Dq0hnl9bwcPK+V9T9OHl0K3g4pgNf -Jn8JH94SHo7J+szKmWtHtoCHY1q671XI8XH68HCSL18fOn52enN4OKkHrJiR -v0APHk7y78K8rlNXNIOHk+8f4DznypGm8HCy/z+v7nPrvC48HJN+nQ3hdj2b -wMOpKfbWwqd3VjWCh1OT6ZbRl91bNYSHU1Or9vO37d5YHx5OTSYvVunvG6gD -D6emnmYbL1iX/QUPp6YRwf6qHul14eHUVH6uZKjTaG14ODU9PeX2RNW0Djyc -mh5MaeC951kteDg1OR00fbHinBY8nJr0O1U/NvCoVmg8nJocL4Yqnoz9rdB4 -ODW1TNnXvsOEnwqNh1PT1g/d9kT4fVdoPJya1kTdbL187VeFxsOpqbpoeECD -is8KjYdT00TlvPzYwVUKjYdT009/n01LdrBC4+HUlDB7QuOrfT8pNB5OTWUR -06/vTfug0Hg4Nc2O7KmV+OStQuPh1FR7azOynvJGofFwavr9eveq7z9eKjQe -Tk2NPq6JvX/yuULj4dT0sOmJg1+2PFVoPJyabpaPPj1zT7lC4+HUtDMp/KzL -wccKjYdT05ysx28PjilTaDycfN+jwS/eGZYqNB5OTR7bh62tNr2j0Hg4Nb2y -rX70OOamQuPh1KS7TTUkSvu6QuPh1LTlTcb9GXHFCo2HU9PK0OBuezwvKTQe -Tk2va1/yiso7r9B4ODWtW5ulNWLcGYXGw6kpT7Wn/qd1JxUaD6cmo6oDa8rG -HVNoPJzcTw914uXcgwqNh1PT4siNuo2X7VVoPJyalh3zupVpuF2h8XBq6tP9 -a9tnPTcrNB5OTYmn9mTZuK9TaDycmgYZtDj7tFu2QuPh1GQ+IyF2WYcMhcbD -qSmovYFb4q1EhcbDqemMpc/QwN5RCo2HU9Px1IvNbncIUGg8nJq6/Z122GHl -dIXGw6lJ53KJxy5dO0Xxlf9+qkgLP6tX/fdzbEjN7/8HlJz+EA== - "], {{}, {}, - {RGBColor[1, 0, 0], PointSize[ - NCache[ - Rational[1, 360], 0.002777777777777778]], AbsoluteThickness[1.6], - LineBox[CompressedData[" +v/7+yz1G15KHE2FVQ8iBnvq15OGwHpR7Oz/oU0seToQbHy84DOhaSx4Oz/tF +9+UP2taSh8PPV/FhTM+mGvJw+PwrFv2+VF9DHk6Eb1vXxf5V1JCHw/Owvqfz +rqoa8nB4/559YpRfWEMeToSov5NrzT/XkIfD+5DrdvtBYg15OBGmbd4091d0 +DXk4EU4e3NVyaXgNeTis18P3fAgK+O/74XC/Xwtx++393/fD4XlKc1ox+8Z/ +3w+H9+WPfhOuu//3/XBYT+cq9IpO//f9cCK46Ewa3PfIf98PJ0J65Prx9nv+ ++344vP9fx6+6vum/74fDfuVN3INU+/++Hw7Pk/uHn78X/Pf9cCKcGmF0wNDm +v++HE6H0/bEOyyz++3447Iee90o+Zvzf98OJsM7jWMDDEf99P5wI9yYPCX0/ +4L/vhxPhSOGVyi86/30/HNbbZGtLldZ/3w8nQnlsclrL5v99P5wIrz8+u9C9 +oZo8HL5PsxPOA/lq8nAiyHLzfIfXVJOHEyErdG6rUUXV5OFE8LE97TMqs5o8 +HL6fOz1OGHysJg8nwg7ly7t6MdXk4bB/6NHqV6/wavJweP+tf/u4fUA1eThc +rxtung0PqsnDYf/4Z/inKq9q8nAiHN29YnnKhWrycCK0mlUy7vnJavJwIkw4 +d2SD+6Fq8nD4vkN+SrfsrCYPh/VQHJMyZX01eTgRPAd8a9VteTV5OKzfAd18 +qudWk4cTwTf0yKNQq2rycCLgbdDSaWI1eTgRtsy79dlydDV5ONwfb4a0bj6k +mjycCG9X3gsC3WrycCIM1VLFOneuJg8nQtdtA2cZt6omDyfCrrrhsySNVeTh +RDDP7hR/61sVeTgRBiwtjppWV0UeDvtP5/tj+eIq8nD4Ps03G1zPqiIPh/3r +kXlBpklV5OFEWNFu/8u8mCrycCLsjFXPdAivIg8nwsMdv3e3C6giDydCbPkr +fe8HVeTh8Pn/2h4Y41VFHg77/Yh/K965VZGHE+FE+1alNieqyMNhfRxwvynj +QBV5OOxPTbUSF22vIg+H9TL6/ticNVXk4US4O+3R9AVLqsjDieD9YEeb1FlV +5OFECE5depRZVpGH+/99G+f9cnwVeTgR3oldnPUMqsjDYb2fG6J9dUAVeTi8 +vyy0tvzsXkUeDvtp6zvOa9tXkYfD9Z2Us/RDUyV5OBF+2k9sGFRfSR5OhEML +5m05Ia8kD4f7d+x934LySvJwWD8uvHo5Kq+SPNz/+zfDu6dSK8nD4fmad88+ +M7aSPBw+70q/hr4RleThRIgzVjpuCaokDydCf+3+Jc8fVpKHw/kgTT782/VK +8nC4fiVtN4y9WEkeToSR28a77z5ZSR5OhB9TxwQ8PVhJHg77RSyJZdsrycNh +fxv/Or3r2krycCLIo6uLrZdUkofD9xk2kHeYVUkeDv/+rLltHkypJA+H88y/ +2YaJxpXk4URoa9xmjXx4JXk4EU4PdPDt0L+SPJwIRfUnmgy7VZKHw34qe+Th +mW0rycOJMMq7QSf+ZwV5OBFaduTOfauuIA+H58t4kXWvjArycPh8DguWmb2p +IA8nQvslfZOW+VSQhxNB6v39we5LFeThcP3Xjqw+cbiCPBz2Jw09Hl5cV0Ee +ToQlRn2Lrs6uIA8nQrX1i/ue4yvIw4lwQDHn66X+FeThRBh84FTRmbYV5OGw +/iVUbzkglJOHw37qcO8Ha0rKycPh+hbnnZv2sZw8HO6nrrkj9EPLycPhy9Gu +8Px3p5w8HO7vt1kf813KycNhPajxyHq6u5w8HNbXva2jDywvJw8nQvhCs0tm +U8vJw4nw0d/E9rdBOXk4EZxjtdtHdS8nD4f11qg+ed/fMvJwIvC13a7rScvI +w+F5NQg5mJVVRh4Of1+czkGn6DLycCI0cr73+j8pIw+H841bvPrd5TLycCL8 +0lK62R0pIw+H7/Opz3p+fRl5OLz/5ji5nZxTRh5OhE6x3dp0MCkjD4f337N+ +Eq/+ZeThRFj2Ysm4nm3LyMOJ8HeHi3BDVUoeDuvXnTNGXYpLycOJ8KTXpJ/n +EkrJw2G//Ofe4h/BpeThsD76vZi96VYpeTgRtsefkaafKiUPh+t9dOIEox2l +5OGwv1n7l3kuLiUPh/X0nnYXbnIpeTgRNn9992La0FLycHhfp68edrtzKXk4 +EVQb7M9KGkrIw+H8HGGYOa6qhDwcrudTOx2ntBLycDiv6TiuefeqhDwcnv9S +w9e/H5SQhxPhcYPBsImuJeThsF5enBCzd18JeTicbwS9U74rSsjDYT9VnnU8 +x6qEPBz2K+vGQZNhCXk43M8N0xcN1ykhD4f98Iu+lvP+FpOHw/lPP99zj6SY +PJwI8wMfL72UWUweDuuX1fsb/lHF5OFwv6c7rX7nU0weDvuR1qZvMt2LycPh +fei7JqziYDF5OKzXGw8s4VYXk4fD+juoRfD3GcXk4bA/VT7/+HtMMXk47Me7 +Dgxu6lVMHg7nTYu+u5qaFZOHE2HtKYfOv+VF5OFwP+3+51OfU0QeDuuFwdtx +ypgi8nAi1J55lFr+pIg8nAgLmxIPZ14uIg8nQn39POv3jkXk4XAefbxrcuC6 +IvJweF+O27rHc1YReTgRPsm8ag+PKyIPh/f9UPsXK/oUkYfD+ff4uLxJLYvI +w4mgn+m/pSf3hTycCBlrFu0V8r6QhxPBsazyV+r7L+ThsP+/3E338dMv5OFE +qJobmXPw6hfycFgvg19MnHH0C3k4EUo6ckt0NnwhDyfCzWeOJlWzv5CHw/vv +7WbJc+Mv5OFEuHykwvFQ3y/k4UR4ZqOnNm/1hTwc9mfgvP0fV0geTgT75Fm1 +H/ILycPhff2s6aBLbCF5OFyvsYOHWgUUkocToXnwzNZNVwvJw2F9HdxP7+3R +QvJw2J/PX3XxwIZC8nD4+ZPzZhnOKSQPJ8Jy7V17K40LycPheQ/p3u5m30Ly +cLheMwqHzGpVSB4O58Nn2ZmNXAF5OBFe/hvX51l+AXk4ESDZvKt9bAF5OBFM +m1sntAkoIA+H94lFuOWrqwXk4URYHdnaa+3RAvJwOM/vzkpvt6GAPBzWs0mR +v8JnF5CHw/letm/0SuMC8nD4fpanHWjet4A8HM4bZ58WBbQsIA+H6x3c6GDL +5ZOHE2Hc9oyZYl4+eTi8H96xPbfe55OHw/056azK7Gk+eTg8n90qKkuv5JOH +E8HELNT21JF88nB4Xx3ebDN4fT55OBG0T58rjp+VTx4O59N+z3pvHpdPHg7f +z/jz3Vv3yScPh/0DX1zo3yKfPJwIrp/OO9oo88jDiZCcfPifJDePPBzOZ1vC +XNze5ZGHw/ukYKH2CP888nC4/toXolIu55GHw/tE+eDSDsc88nA475hxd7XW +5ZGHw/pQJ1M/n5lHHg7fZ+d+z2yN8sjDiTB+8NCMb7p55OGwX/3xdo9X8zzy +cLi+/NMHpopc8nAi+HfI3FmUk0seTgRrnVHyEzG55OFEuBP4ecSQJ7nk4XDe +2lRunuqRSx5OhK0/7ho5HM4lDydCko1dX921ueThROhz6WzHDza55OGwP9ju +12PX2FzycHj/aC+Z1VM3lzycCMZrbSLjm+WSh8P+5Pus/Q7yHPJw+PvWWV4a +mJNDHg7X11CvZ1Z0Dnk4vA/attN38cshDyfCRnnfZFOPHPJw2I/p+XZVHsoh +D4f9mGtDD981OeThcL45f02y0iaHPByer/lNN3uMzSEPJ0La19oJOb1yyMPh +n+9rXerZLIc8nAj9fk66s0ieTR4O9yO3/6ROTjZ5OKxvnd75FEVnk4cTodcD +ix4+ftnk4XC/Hzbmdnpkk4fD55vww2zi4WzycNh/r/nVtc3abPJwWK93prsV +2mSTh8P92tQl9PnYbPJw2I80u3jfRTebPBzeR9B1w+rm2eThcL7p/Li7mSKL +PBz273umJ+vmZpGHw58/0tDzd0wWeTgRprjcdql6kkUeDuflOP5l2uUs8nAi +3NoZZPrGMYs8HM5rKzcODFiXRR4O52FZ+rl7s7LIw+HzaL12vjYuizycCKOd +2/X06JNFHg7vr8JPu9xbZpGHw37o/my93kWZ5OFEuOLwcMLQ55nk4fD3ecxn +ZicyycOJEDF19rZlCzLJw2H/rPumyGlwJnk4rE8Gvz48VX8mD4f1YHnI3NKP +n8nD/f/f94J8+9z5TB5OhNCjf8QNOz+Th8Pz3ihsf2nxmTwc7q+Z2uM6dvlM +Hg73a57u0X1VGeThsF940W5X6asM8nB4PjN0Jy5xzSAPh/t9S2z7vBUZ5OFE +8Bj3XHvdyAzycCLofsxwqv/7iTwcvo+SRQdvZH0iDydCSMDjkczvE3k4EZyG +b8iuP/yJPBzWnwNHr7+e9Yk8HPYrHbV8zvT9RB4O5yvzowb2X9PJw+H+WLh5 +tcWHdPJwIhxuF7pj5I108nB4nxUePTNsWzp5OBHuW+jljTZPJw8nwpp+87ys +OqWThxPht/YXbkNlGnk4/O/jZTpXX6WRh8P+c0r15FTXNPJw+H5n7ryuvTKN +PBzu7+jttltGp5GHE6HwS9sHyc3SyMPhegRGhE/MSyUPh/Vx9sAPrwNSycNh +P7Vk8l+rY6nk4URwN5x6t3R+Knk4vH/XBqWc10slD4f9ZIuJMOVHCnk4rG8d +v4a1SkshDydC6gvdnGLvFPJwuD/Dbezi9qeQh8P+v9j28OsZKeTh8HnYnA3R +vVPIw2E/9OLhwkw+mTwc1v/m34/Wf0gmD4f11sNS2/BWMnk47Pf3R8xz2JlM +Hg7fd9svm5Mtk8nD4fs1P3h1bPdk8nDY73B3WgZJk8jD4Xqv9P85/l0SeTgR +Uk5YPs3yTCIPh/2ww9Lpp7YkkYfD588a3GGqeRJ5OKzXBqPGd++SRB4O7+Ml +07//rPlIHg7fR5mruxD1kTwcnh/H1aP+Xv5IHg7XY76zTr9NH8nD4Xk9W318 +/qSP5OFEGOFR++hGp4/k4XAeu9c/6mt1Ink4nK87OTVbE5VIHg7vs3yjiIrL +ieThRGhWl9H5yKZE8nD///fzEfOGmCWSh8PPO2JHYG3nRPJwIjR1brc+pjaB +PJwInic/hwZEJ5CHE2F6ekFekGcCeTgR7Eo9OsZvTSAPh/PMg5AbKosE8nB4 +HnbMe27aPYE8HPZDbqlXrsnjycPh/OVVeqJVXDx5OHz/4tU3V27Fk4cToY2t +9TGjPfHk4UQY/udpO8W0ePJwInR3SXoU0yeePJwIZf+aTvsLH8jDYT0LbsUH +pHwgD4f955xq/YRHH8jD4fnxbdzR4PiBPBz+vj8tm6bP/0AeDv/8ZrchwUM/ +kIcTIWvg1b6j/8aRh8P508zCMCUvjjwc9rucqdeJ4DjycLhew8VbtufiyMNh +Pz3F6vik1XHk4bDf3zrf1dIkjjycCAlmjxvXdYwjD4fvc6vxBPNUIA8nwqsN +qnWcE5CHE8FMEf67wBDIw4lwcemOm79KYsnD4f5MS3xrdzmWPBz2m0vG/vhq +GUseToSrFdlf4lTvycOJMGbxDVWG73vycHj+PAdk9Fz2njycCBM8i5rut31P +Hk6E/jtUPddGvyMPh/PxtkUBa/a8Iw8nwo1Bodb3B70jDyfC9YtnjvfKiyEP +h/1Ql7byHLcY8nAiXAid0f/z5BjycPi8PTuGtldFk4fD+hQjmrg+iSYPhz// +32qb6fbR5OFEmLfQ+dj0ztHk4XAemTNzj1vCW/JwIoSXX3ndyekteTgRnjop +vxaOeUseDu+rkSkRktoo8nAiDMnf4Gd+P4o8HK7HohS7vEVR5OGwHw2o2BfR +Loo8HNYL8w3uJfCGPBzuz1+lNnOOvCEPh/vnfvSM5mPfkIcTQZJhPqK5NJI8 +nAgN+496znkUSR5OhMDCHYPL7SLJw4kQ1lh18p12JHk4Eb6+u2YrSX1NHg73 +y7j241effU0eDutFQVT+gCmvycOJ8L1CLB3TEEEeTgTxGKfyCI8gD4f9x0CI +NtsdQR5OhD/ZO2pNh0eQhxPBwClH16X6FXk4nI9GJbcc+PAVeTicf87/W9Rp +5SvycDivfe8fbtvzFXk4PB8DwzMrc16ShxPh3CjTNclXX5KHw/vXsIdWC9uX +5OGwH9wd7HZN6yV5OBHO1s684JgSTh5OhDdXhkO4azh5OBGuZZ2qnDYjnDyc +CKpqZ5/RrcLJw+HzTTaP2pcQRh4O559fhSVaZ8PIw4mw1m574j/rMPJwWN90 +fhsuaBlGHg7fZ43ZdbVbKHk47CdtXkY20wolD4fz0J3Dk5I9QsjDYf/AP/Ca +pR1CHk6EnYYzrVxvvCAPh/NoywfxZ3q/IA8nglerzFsWj4LJw+H8cU9vatTQ +YPJw2L8MU1r/CH5OHk6EMz28hv40eU4eDvfnrzsO8P4ZeTgRPoU9CLGd+Yw8 +HP79syt2P8kOIg8nwiH7oEkfVweRh8N+V+r5JFwWSB4O+2/FpGm7DgeShxOh +ce2PIHWLQPJwIqSnz3OYdy2APBzed+eFsUcGBZCHw/u0Wea5w2FPycOJsH5I +u06zrZ6ShxOhte/v7eocf/JwIrB1Myc7bvEnD4f3U076iNyfT8jD4f1yMPRL +h8tPyMPh+RyzsX6w3hPycHg/lzwe3ivKjzwc1u+4o4P4+X7k4fDPtede9Zf4 +kocTwfZk1jDrU77k4UQYldLvQaKuL3k4EWyW+j4d88qHPBzWrwzTZi7zfcjD +Yb1L7bolTvGYPJwIKw4WX1e6PSYPJ8J+5mPbethj8nAifLPJfj7A/RF5OOzv +Xiyo65n2kDwcni8j7y8/OjwkD4f9+c9rfeMXeJOHw/1wrs3s4zcfkIfD/b6i +pJlB2X3ycHh/pDWTpQ+7Tx4O613WycDtB+6Rh8P1rj4utIi7Sx4OP7909pGH +Xe6ShxNhzqTNassNd8jD4fl/ekZfFnGbPBzWx/u3P3tr3SYPJ0IHVhO9aeMt +8nDYT4YLb6a8u0keDuv70sC7Y3VvkocTYfIgW7MpjjfIw2G/2PHq4Z0F18nD +4c8/d8U4ZtJ18nA4f/B19uO9vcjDYb2c9LK4oKUXeTisp1fvBwXtvkYeDvvr +FuVxoYWe5OFEOLVg8SB+uid5OBEMD/H30rdfJQ+nhg9l355OnneFPJwaOv44 +6Gpscpk8nBqOP43fGjXIgzycGt4Uvjmd3/USeTg13ErI0/Vq604eTg2rdyw+ +9aP5RfJwajitb6LdofkF8nBqMN+6cvyXVm7k4dRg4XVz9dZOruTh1JDRFNUh +ts958nBqCNAN+SQddY48nBpyn7lN5KadJQ+nhpo5N1W561zIw6khT+4YGHD6 +DHk4NVRcNOrn8PQ0eTj8/eOPG+72PUUeTg0e8vm5rVqcJA+nhpAeBj9jdh0n +D6cGrRUJR/wqnMnDqeFc47Y5GWucyMOpYeugfi5zJUfIw6nh85LUOUZOjuTh +1LBu/jbF5Z6HycOpIW3QsA+OsQfJw6mhhf+d2X8dDpCHU8Nr6wpdkzH7ycOp +4W6Hp+9XcfvIw6lhRZeNn35d20MeDn+enYfBLttd5OHUMGHjhMKcATvIw6kh +erR15xVttpGHU8PclzGWg1ttIQ+nhqetxmsv091EHk4N90f02thn2gbycGrw +HzbkjlH7deTh1KAbm2jBvV1FHk4Nik3OiZF3VpCHU8O8V7YRFf7LyMOp4aNZ +QKs3VYvJw6nhlHtHvcvzFpKHUwO7CCVbMuaSh1ODZKDVSfX6meTh1BA+bE3S +1qXTyMOpgXts6pyykJGHU8MQ88BIvZhJ5OHU4ODl1tNAbkweTg0XTewDl0aN +JA+nBvvEhqFfDYeQh1ODZ8MCdzd7XfJwauh/sqv2r+YdyMOpQRpWMHalTYOl +xsOpoZX4dfaqDvmWFz2aD/7Rgodm9L9ddbpfklvyMHFJxP+YOvOwnIL28Vuy +VEgoErKEkGQnY6+oFC2EIllSCZElhBRJpX3f933fl6m7qCitVMqaLYmzREjE +b953nt/3ef+8r+d0zpw5M/fc0/WZ6+MlNa+qVHuDskeQCAMoIn/v0kVVpUsC +tqmfGMHA4YDaSs3FVaWS/MFBNJKBZ8G2hSuVq0q/bb+QO24UA38NAhQwiVuj +7p7oJDFT0Wzn/Xx0acFA7Ozs0QycXtX5o0DxOw7Wxx2OogxYz3ux/PeYZmSX ++sRztxgD04vcKvz9+vDBEZ+3zRdnoFhvuvqQ+V/RpoPD/vWT+P2v/TlDG4aU +zi6Qya8dw4De9u7lbQrPkMj4pSdDxzLQqrl30cyGHNRlvl3+1DgGmo88Cbxr +1ogfVpg83yjBAL/r2paz37px8tSL3pLjGZhZPtvLW+4JdrNx13hH4mGTrI2N +V39Ep+vihuRJCvtHd25pgdMEBrrHPrJ67cug5ddaTu2dyEDZkGvtqZVfkNTT +z3MXTmLg5PmwhfVeHfjnkuEvf5PY8V75ZdWwWtThPNW3XoqBfepmp1sSOlHJ +m6VaEdIMOPl3vu+c14fDVDSGnZnMwPW1Qd59bZ/xdZ9DRVumMHDK+KBkwNWP +2PTLRetJMuR9Sv+Y3h5ajlXVPOZ3kdjdMm50vncumhce/6pgKgN+V03m3B37 +BI/+Wep3R5aBx3Kd5UcXFqCena07jKaR9h0P2neln8d1iV+GL57OwJ5ft8r8 +lvE4fahIyV8Sl969qtfmxGHP/bJnm2aQ771EfG2afRI+m7NsQbQcA+MmGuqa +GLPYYIxmp81MBnoWLXO9EfUYrzpmGqA2iwHXy4onZ494gmTKbHUmzybfFzaE +12Z9wL+lPUd8InG5X1SQz98m/PJ0Ai6ew0BiY726dEc3hodlNm7yDPx2za98 +8pbHUbPaFh6cy8DBj77GWXdrkONl5s2SeQzkndacVmHfgY89EQkaOp+BX7m6 +0pdC4vA2xWm7npD4n0zfrMW+rWjBreWj4hQYGFATW/Jv8xsk/kqz7MICBnZY +7XkeNjcWsasOn9++kIF7zW4/oeM9bvK4pDh1EQNjX7jvH/zVhbO7Pd99JvGE +ecdza7e3YN/NicGligxoBuw7dAMXowvBoOuxmLz/WrUH5Re68d5vbaNNlcj3 +OOR+X+TRW6yyg4VlSxi4LDbNq/57F54WN+KiiDIDux3XXdLI6UB/B6cptZH4 +4XDzfr3yF7hzz4oPCUsZmDN/crGBcg6+n6EVemkZ+R7LGxJPS7zBcaOP6Gst +Z2DGR4+PbS8/4Numl8WmryDj6RbblT6sBlkUe1WwJBaT7Phd6JyFtSYm2Zav +ZKDjin+mCNuBF1uVL/FexcDtv3q3xox7iCWqnnYdWc1AppyW8r6YfNQ7nQtb +uYaBDVkHrUbrvMdPLozcPWotA0onTxR21rfhvMbpYzpI/HorG3jc/gEKVFh5 +P1mFgeFr3lT/6XmGL9/YcdluHQOLZdQj699lIONnR5bqIAYagmo9f2q14g3L +r3TLrWdg4+Syu18+d+CZbt4RvST2a5aftX7zKzzsQ9Ke+xsYOPfi2pfNg+34 +/fqKsX4bGVCWjDyf8yMLVfu3V5ptYiBNtHnU0XNtOJHjrqzZTO6X8SXXXbkE +u2wftVxsCwMPxJu8LS934pNRM3qek9glp/Lp5NcZaOfAyqi0rQzo91uGV07u +wEv1tfdeV2Vgp6virRjvWjQx9aiErhqZ//1z9DTbGvF3Ebvq2eoMxI9cNLAg +uxk9PeBztY/En5Ivbci3aUFF+ckrqreR+6mNKrRJxShE4t7ngO0MHFo4pHOV +YQu6at4RbaHBwAIf3TEi7HNsUsHvW6fJwNTkRzWxrdl489TRkmO1GDAIBp+n +b2qRvI3cw1ckLutjhsTsK8Qj61Zdz9xBxsersvJrLx7ibnmdVQ7a5Hv3VCgk +8g249uoxRl+HAZG5jzuWFYWg1Da72Lk7SX+1P3O2k2nD7kt8jX6SuFxx3FlD +o1hk7ZwyoWYXA/tfh/fs4tqx3pt7NcG6DEgUTP7Q5FqPV6g8s7fSY8Bk9Lop +6uJtWNqnd/UGfTJ/FOZ1fDgein99Hs1JGDBQ1LitOvvNM/xcdWb8GxLLXfpx +wUe7FJWGrT6Qs5v0T9NfuebUchzxQ2fSrT0MWBq8FWmZ+Qzf2Gn2aI8hA/mN +jseSJerwkcSrDgp7GZB8LqFmEf0Yqw31WztAYvWBv85dowHP35/KP9rHQNiV +w2+OpjUh0Zz7CWH7yfoy/9BYt4/V+LP484Onjch8+3s9+XzkA9Rw9KvUZmMG +ro42TJMaFoUyS0XrJxwg/dG4Z/4zsXvYW3rWzfcknhygOMZulSM+d3rNuvyD +DJzVXD9V0bkM7Xm48+ttE7L+SUVsCFwViNfMOp607xC5PktU9eX+MjT18rVD +i0zJ+2RPvHHHphr/eew3eZDEW1L6fhwanY1fLUpraDjMQJWRsrq/Wz0uv1l5 +K/IIWY8m+Nx8qvQYx7x8js4eZaDeyXnfg0mF6Naqb9+2HiP3U9kuHyKfi497 +iKVImTFwaa3525v3qpBG96zDH0lc/GlPdF7EPbxo81qZouMMePdYNs3uqsFj +g3c1uZiT8TNBoVjHKhpzX4/fNrZgYLzHiee9U6pws9b1DUqWDNh8DGCkhxfg +nFj/7/9IPKZc86xEWxb2G0xLbT5B1oNT4Ztcr9xGtnuqjsRYMWC1891bmfIm +vD/jxdTzJ8nfPwohdWUzRqP7mtVPCdfDGabid6acJuNvg+rKcdXReEjx7E09 +JP77qCD7a3AzfjtB5WeJNfk+qj3XjN3KUOUJ3fS7Zxhg92SERaaG4fhK82Mm +ZxkI+VmXqe3ZiJ2n209bakPW91ITbyXkjSwvBDwZdo4BUanGq0NPA9JuTHdp +OSd8/hKF6s3x50l9cnyhlUtYM5a88bL/4gUGHAaHHy08W4C/dfRlaFxk4EZf +mHnc6xrcumzMcVlbBszDlDrgcDkqcJ0zgyGxsmnTvrVfI3HQe5XWsksM1EUG +qFWuTUFX1uu5eV4m49thd+IJaMIH/C22Hr4ifP5Gzn5guR0DfXqH6q3aWvDs +7YFZI64yMPhorbKvXj0Wicowf0pipZhBhdVvHuOuX9VySdcY+Hq5aqPkiTb8 +UO9V2+XrDET88NnsV/wEJ6d8v7vDnoELZk3mx03vYTeRsWozbjDg5XI50G9n +CTp1QP4PR+J5J4eebfMoRbvy1+VUODCwSuWjWZ/DE7xMQt/Sx5EBLfln5q2K +lXiSueWsYzcZ+GNfMVFnVAX+WX6jfdUtkq+aT45suvAAd8gEeYx2IvmOlzxW +qR6DS85mqj8j8Zh2UWnO6AEKe/RgMOU2A98bt8i6nn2Mr8u/zr3qzMCagOFP +JPTDsenVHyd23mEg4ffyFQ15+Xhr29g5s1zI/D8zrVHVLxnPXTL32VcSV3Y7 +7j49DeNRzsir0pU8v+aCjuysZvypU3+7vxsDUY5MtJZ/Nq5be+Lf8bvk/mpd +lQ3Da3G6t0P+WncGxOdr7zSprseen4NOinuQ/nvooL2o/CE+q5ol/5LEBc/U +M1vkC5FB2MPn6Z7k/j+Omq+53YxX/Xjtbe9Fxo+K6Y1lumV4ys6fGnreDNhp +9A/7sLUeDySMGyrvQ763rPvB9SMK0Ish8wq/k1hiRmHElmTAZfvWn37gy4CM +vDN/7EYTjso2mBfkR9a/8PPyemaPsKO41UtLfwa6ms7US8wuxceOOvqiAJK/ +6oyWTLjahLeVBmuNCyT5Xq6RPbO3AC2Qzh7WSeJUeeX9pzPKsfjpmqKsIAZi +GhIeeqncQ8yDTmvHYAaCQiu22KzzR40z++fvDmHA/qpVtdbwWJx1SeL1vFAG +Zn2XHPJAuw77PJ7n30/i58XbpasWNuILizZo14aR8fdETWF2VyXee3O3SGg4 +A5vmndyT5PEQq7y0KjkZQb6Hw6Fy1Y4iPG3VzbMbI8n3Pb7nnbWiJ/7rHrJA +Mork57r3tt4XS1Hnx+zOtyTONVw42mtjNr63qTYgN5qBDx4/JtyvyUSxQW90 +nGIYCByTE3tb5yF2+to/Ym8sGW/G2fPw8UxkrjW+dEEcA43rzNovyRQgrdj5 +536TOH3R0dWtyml48eCGRfXxDGz7GbdhxXyMJfbseRueQJ7fKfVt+L5a3Jt+ +Msg6kbyvlatmza6H+MmoW7u2JJH6c5tFf96GZpx3KHTUpGQGnioNP3ElsQkH +FOWUfSBxg+3VnIHEVHRpwqPzBSkMLOxZ88DgewM2OvFW8U4qqRcd6ocdco5F +6yt/vdufxsCkPYHF8rGFWG66ZIhiOgNLRVXCL/yLx8MuKOj9JXHtu8SCdVcr +8PuGjaJNGeT6Q85zjcrLUPV8w/KoTLK+ywT9+7KxHCfan7pok0Xyz/DotEPm +ecil45aSWjaZzwkXqnYtzsdWy8I+SOcw0K4yrjtM7hHWcc0N7c4R5hPl94/0 +i3NJPh3p8HnOi2I0Yf07Mbc8BkZfuqMk61OF+/wGKg7kM8AFs6T2v4WespKX +lhSQ8Zhrv8HgYwEq2rZAeWghWf+SR2l+UcpGIZGbPj4mccuoEU3eL7Lx1V+G +4bFF5Pkzl1fIj8zCJnqnd18oJvPr0iWThV0paHOK05jtJcL2zBEJvy+DGbh1 +65DdzgOVeMSBvMufSey9QHVdnEYU/phXt7S0lIEfn5wnPVgTgGvGve92L2Pg +S7ZvdUdIEko9/jviEJD8wL3oWb07HrmXTzBcVs7AI0tR28NZachaZuE4kQoG +7j/nu+Z0h2K9s5urWklsf/jW82XXqvGKR3vtEu6R/dObxAV9SfextLz18kv3 +yXz0CVkCdim43+52j2YlA9od19Zd6S3Gz1rDo6ZVMRCp3PEy4GUmxkr5e1kS +3++e2/MoIQ+H366XKK9mIPmZhFXSpUR0o/N9tdcD0l8bnomJDm3AR9b+uXrk +Iak35qw0m6dUiNW8J65cWUPG54Z9Mv4TC9D8zwu/jKxlwHD2sUmb1j/Eoqpb +YtpJbN/feT5gQQL+HLpvf/IjBs5Uhi962VKH679bS9rVkfVVOmFfbUgKztBx +fqhdz4CU74JFYxY1Yq+EiOtyDSQ/vYv369HNRjZDClb1Ngj7f8++BuZeI9nv +TFIbY7nWH6/J/hDr20Tq2ditk3ekh+Op4oNGZs2kHvyYPv6ESCH+c2TSxDWP +yfh3tZOpuOaLX+FFtaJPGDi241SYofo9XC619cZzEpv8O/TOdkYBjj61f01a +CwNGt6T/6bSEoZsPznDXWkk9ol4g6VaVis1m3onf1UbqC8eEiG9DHuLtlyIP +zH5K6vVNB0QeJZB65HHBpD4SO1ytDxgwI/XIosZHVe2kfihTU1v3oxxzjl0O +AR0k3xYwUnk5uaj5xeBai2dk/62xs7E4Pw3nrJTqVXlO6vnWj6t7Qu5jP3fF +xDEvGGAUmDVDlhfgix+3mrwi8QLtwF2+Dxrwvk1G0pkvyfvVj7uy/HwWXhd0 +tv7GK7I+nuwf92drDJ7+9c5N/dcMeF77Vs2JlaIhWlHr5nYykFLZb+jyLAi/ +jSn8+oPEftkm7ped7uPKP41JD98wgHmL2KWRdTh+98dDwW9J/rzBX0oYkoud +0/9OtnpH1tc3WXMP9oZgy1HSjevfk/y9OjChbXsU2nFosZPEB7J/dHPa1v29 +ACsVqa5/Q+I3TrMPlM6uxuMnGPdldzEw8miaa2ZcDf5qaZNy8yOpB8ZBoEWt +H2q973J4TzfpvzEV28Oj8nHBtGgZhU9kv7v46azjjeU46HxR0y8Sa5QGK30Z +V4WvNDTdftRD1vepz/d835eJDszv3hD2mcxPzeNiFyuz8Eb7f99PfWHgiItz +ULxnIZrVIZ22iWGgmnWytntViYcvUzo6gSX9YdiwpCmkCH9wUZN9T+KibOgU +t/dFD94ZP87jyHox2bjQyDEHJaNzd27zpD7XaPTsXRWN3fxcN+3rZWB9e8eH +H3e90Sk2+ufCr2Q8OvsvLk/Lxbu2Faf/IbFSu5J86bZHeFlk87GGb2T/LH/B ++8vsdDTpV/e0yD7Sf1cTo7+dC0Q/dIe0nPlO6sNlU74FtqSi9uTJrlt/kP3b ++ZFYe70TKh6+ZIvUT5KvXlXObVmei0KN1X91kVhRU7q29+w9fD3vQGZhPwNz +l0vFLtP3Rqbjzh93+UXyLZ47bElCKNp63G2G8QCZ/245PzMV8vHc8pjWxb/J +98RbDvRH5+NRMiVu/0icH58td8kwE30683hr8x+SXw421klnxuFHtZ8GogcZ +OPGw6+LK8RU4bc7Q7HN/yf2fVGc6ZXlhD7spFur/GDA2m/xyxbL7+EzrkplT +hrCwKjRt0leuGhsobXv6icT35+U764dX4lW3D7qXDGVh9Ms14zsfZ+MpnefV +7g5jId/BeOz9id5oYM3dPweHs2Ax4vfuqpQK/MIrNkdZhIWmpoLLivf9UVlP +ieWwESyMaR2+ssi9HEdufTKrhcTZ90KPnzd9gB1Ce9rjRrLgs8ph0Eg9Ch39 +PtTz4ij2//KJuo7MNo3RLMzK29XWOicfL0hQ/jtVlIUNA43D3Zbcx+JDtud9 +IfGJ/aJPtBdFIWaviVWZmPDvG7MuzPEUZ+G15hF7XZJfs8Tcn5mOYSHhinih +lmwU9jkS57V8LAtiKg/bzEXK8XmMt48Yx0Kn9OTYD5ezkKFUy782Ejsk7hZz +2VOJ1576nJ8owcKuudVo8pI4LPtg2KnL41lwu2jjPTcqFw/KTZ27Q5IF08GP +FdqH7+FO26Uvpk9gQd0mZNWrE+X4XvN2H47EIS+jTjJ7XXDswkOaFRNZqLwZ +Kr8pKhU7OV4c6jOJheQZjXve3klA5i/cC49KsTDBSR2kT+ZizZXxp1dJC99P +0b103ujJLHxeUBtJtlR43MeWlx0kvh6XGBG+IQPxG7/4pkwRXv84cPiOqzIk +bj91+p5rPMrrnTp851QWLpWYjl6fkY8DNJcVz5RlYcuEIZ+PoUJ0KUbjzFcS +x2doF6uXFWCjP4cUKqeR5z1ZZ12Udgev32372m86C+1+a7uu33PFcuke/sdn +sOD6smJK454qPHRUgvZaORb2jvAf+ORfgN+ZlImIzxS2p6qwteTF/8QJkszZ +9FksxKQOGExfXoxdLEUW2s9mYURTjLLTyXJsdV/2je4cFn6OWZG8dWc+0pm2 +PHCOPAvlaVZGvVwOVj6vufP7f2KtcSbO0yvwhAbTkQ/mCu/fN+9SaeA8Fi5O +Eu8aoRGB2657nrOcz4Kvuonop5RCXNiesAgpsDBpY5PvnrNZOHgpvB27gIXC +6UaS6TFZyM6lLeg1iV0cZVdNf4SxyTtmV9ZC4f03oxGjHRexoDVbQTT6RDKa +4zcNDBRZcNSKjtR0CMUj2OUX5i1mYZ3I7jU15pH4o7rW4n4S7065OdvLtxjX +RBx+X6PEwlnxJS/M9xXhlP5LISFLWFD9Pr63dvkdfFfXS++kMhkPwytVvx5O +Q6eTE0U3LmVhffrrvK9Wnlh3eHn5+GUs+JXunPjZNQ+vMH568S2Jj3j7mrd7 +Z2HpPFYpd7mwvf1jR3bdWsEC2WCr+P8tx8/MpocZriTzv3aqlfblaIxhhcGC +VaS9H8zsPN9F4vApO8R/k1hJ3F9k+ZAYbH/myL261SxId36+fHNHKjpce/lS ++BoWchomuXzb74NU53grW68l+UF21dDvRuV4nl3Sx80qLDTnL66R1vVEoq3l +4RPXkfHS3eS5WysPf17cvvsDibfYrjI6Kx+L6p24MQWIhX/S/ZNmv/dDGa9H +VjqvJ/MjQldHUyEYea2ZcWX/Bhb+TgkcVmLgim28Vi5T3MjC4in5W/MVvPHu +nh2fBkksvlLJalSrL1q99Whk4ybS/vXPR9ilJmKZ0CuGUZtZqI73+OIzowT/ +7vMeZ7OFhfcKRzSlNmWiV9rJVapbWYiee3iUqV8+Ko+vsJNWZaEsp/WKo3kA +iv7XvrybxPnTsnJ1i1Lxzb18T5EaC+nV1p667RnYLGtUtKs6C485Ztj117l4 +u5jcvgPbWDCqGfd481w3tPDIqvFLtrMQarbYv040Bo3B2g+GaAi/Dzvp2LXH +JD5mxYvvfhODmk7arYzVJP3jdnzk9Fe5KKfa58t5LRY091607tOPw35yKTHb +drAQu/XTgJbZbXTR9t5+GW2S3ydIil+fVYL3NXdIfibxkQDvc2pDfNC6hb0P +sQ4LPxTdk88YBqDpjqPt3Xey8F206fbzwDj077nc6kO7WJjxfWdX16Qw9GbF +anapLgsLdeK+tYeV4/t3deKG65HvMV3UxHeaL47rOmbcqidsv/PGqxMT9FkY +PvvGRn3du8gy0LfW1kD4+47elBuau1mQdZg4vTgnEytp3l8zbQ8Z73Jzdh66 +EIzHxzzjGBInaqie369fgr/+7o0HQxYuzPw+LO1+PG4xED3otZesNxYdV91m +OKH8tJlSR/ax0Ho5J5hpi8WBI9fUrdhP8s/POIkJw+6iyyY7HUcasfBHTyL7 +fT6pRwrNVNpJXNIspqdVE4s3Sl7rTTJm4bzHVblYJgXPsvRLvHKAhaS8NiNT ++yQ0/H6qifZBMr6GrQ7+dcYPfZCtlJYzYWF8+I8nyikl+MG55/U8id9McP1y +4hpGSfVfb947JHxf13liyNdUGJ+8PuvbscMsTGvWrou/mIh3tq9JXn2EhU2S +V9bbO2TjZUt3mYoeZcFms961vTqReJLL8SnPSXzT5JXCJc88/OPttcbUYyxE +9fwT0cjyQu3r/J2umbGQZ9C1TekjxsW+aet3HSfx0WHswG2MQ5nKvlnmLKjY +bF7/910+uqb+IuUbiX2v6cT4bgnChyK+Ha6yELZvS7/Y1ABLFq4y246+vJGL +5XVnN5ufIO29rHXLqjUUj0pe66xixcJXv6PRItGl+NMw3Y1jTpJ8+eXDnGfW +2fiRkfmPlySe1Vrm7O4ShNNyr6dlnCLjvSbt6ruFCdhjbMDRG6dZGGkRYHSo +2hudMUuX1bcm47k7a37V1DysD1WP5c8I27Nyyss7P0hsNN7hKrMrA08+07fp +4VkWRPSPtnzMT8S/asT7g2zIfCm5cf/b61T0YvacjBPnWOjBd5RfWobgsisq +ZuvPsxDZ9tZJeVEZjmzRnS5xgYW2g+0GtyyisMNii5ZOEi/RWREfIZeBjzrZ +u2ZfJPXAcekXFl8xVn8dsOWmLZlfyw2s9ebfxAprMn7tvkTqCXm3HZ2mxVjM +qzpz/mUWzpiPuOMxIQ19+fTy+C8Sf1tllLD/bDRq2PJ9xqMrLFi9u7Lhq2Yh +zgwZ0xZqR8abrhUXZFGMffrm3D11ldRLxy/uWTvXC5/XXqe66RoL/dOfnze5 +exMZxuv9lrzOgnOwxsjNZj547T+L7Hckdhg46ic7NBHJ7r1hkWfPQnHdyq0f +rvujwczAmbdvkPEy1dxEtKoMvxbNfLrXgQUNrWSHradzUcXhB+4LHVlQfDnw +MW1CAI4peaX2h8SzE9dzH16G41uTfvypvynsf/OTY3MjbrFgYOzkUZ3mgTSr +5U+ccSL9LXVxVsNABFKUQ7O33mahzv3zbxPfUDTOVr9jkjPJlz+CnOOkMeab +LD27SHxQYWXLhqx0/HiBw7bCO8L75zoE/b3jwoJUZdjBsP447P88M8/IleSv +uD9FL2ZGIdsVD60Wu5H+P3W9+2tbFt5/9/WcfyTWDRxQOxaRgtd3/XjWdJeF +bSYi+HpPPpLbOM472p2sTyISq7p/F+ChgXM1znmQ+YrMrModI9E7Hg1R92Sh +6PF3yeFtabhKw6BgshcLX5BpmU5aJE6IPnHqE4n7XRePHaOZg+/8dphb4i1s +7wmD4BduPuT9FWI3l7lmY+20LJ+DvizoiQSn7UzNx0tG1mgq+5H5xUimljYk +4wkmnUOH+ZP6bPL10r+JgPsKfhY+IbF1wFLjKbM8cdt4Ceu4ABbmmqsFT3VJ +xoUW8+ZfDBQ+L/je+lfbg4Sxnexuv6nBZH7NH1Nw+08KOnjOascXEmuKSJ90 +SMpDm+odh5eFsDDlSL9C3ZlsNHteSLFHKBkvTewKsjvCItezz5iGsWA4WWPy +/IfZ+OPTGoXl4aRebT4Rebo3AdUov3ktEsHC/pLTPf6KqTjlTr9/G4mP9qcr +KJ3OxXffSugkRpL5senSYIZaID69bv6Iy1FkPZCwbTrxKBfr+m7AWtGknhN9 +NeX8q0y8nNltMz2GhXEnFeXHGiQhKfWTCzkSn/Atfp1RG4p/ht98Ux7Lwi21 +zT8Hdrnjjp8hgd5x5Hs6JW1Owz4Y78rZeTSe9OdcQwObe9k4PKl25KoEsn9Y +Iurq6OmP7Ye9LR2VyIKcgXlojHEIOmz061wHiVcYhL310IjDqrnjFVOSWHhn +s1+1KToFzRur8M4umazX+TnDJ1yMxKPNNgbrpLAQ9tbRr/VqCe4p26M7M5XM +nylGv7+bZOK6yadGfyWxythni8NCMlG69S24n8ZCBJr15Oz2OOxVE3rBL53U +8x34tKxnCbaZnbv4eAYLNbnPQrb+9cW7rzx6vyaTrF+Ljsq2WSbi1S1vQ8Sy +WHhYbfX0fGccklk8oPeCxIGtudIlbzLx71uSYunZpJ6MmTV2x6ZM/PKVQsX1 +HBaQuPvlHnk/BKs32ermstCtGzIQuyYRR3kaLpmTR+oX5c6sjiPF2PHTqa4+ +EmeM/C1SeSABmW1xCqvOZ+GkHpxuPe+JtoeEGQQWkHzM/p6640IcXtiXK25Z +KBxfY7Tr7q0rYiGgzjMWl/ghNu7dpbHFLHiPcFxaaFKEm/4OKL8uFl6fbTih +O7OEhTXVV7+u+BSNfTMXRDhgFkY5TtMqWxSHL4hu3mNQysKNvZo5MUtC0N7D +e8fOKxP+/bqS05U/Sfyq+Wtg+tMsPH3S7Ss1wMIC25vBX7JD0D+r8GUh5SwE +zTG1PSvuh95U5X2yqiD5rl2tbt59F3R/Rn3khntkvFtayiu7ZqO4i+8Nx99n +oS/616w6jyh8u+n3uLf3/7Mfm5WoX5yNLRZMrM6pJPvDJy3WKTE5WMth4dVb +VaTeM1A5Gb8gFS1+vnmFYbWwfeNX7Pus8IAFhdySOnemFH91s44eILFheXjw +yUtuuOXD7X11D1nY81569lPteJy/IWJ8eA0Lh4tN83siM3BgQP6D07Vkf+iX +oWfy3gtd5uuvbX5ExsOFA4vPx0RiY40PKyfWsfCspuzf7gQntCH6z5f3JA6Y +5BDbJJOFZ/6eGJtfT/Lv6b01JedS8DCDRUbODSwMlqsZmM4JwR9St0zY30jW +o/4+idj0ePxgxP6aRU0ssP5vh35Zn42TDp6xH2z6n3qkwHl1YzPpzyjb1SZd +cfjk+Eg28jELDYZJN7UuZOOdFgVxZ58Ir196r8FYtYWs/25Lf7odi8ITZbsm +SreS/cL+geGqV+Pxd5vB2o8kzu2MjCpZl4mf1k1yKGoj8y25pu7jpGxUPFdx +retTFjyW7l2xNy8Vh17byhu3k/pu6Fudij35+NrT/QlKHSwsn6es7fq0AB9S +PntwyDOynmrf7bf5nYy33Lkj9ZjEjbE2DC67i+XfRtbFPGdhqHzkg61Od9HI +dYWO51+wcFdWf0rj/mTU7dOosu0lC5m60mqRvnmo9ktX75RXLJgfS7hxY1wW +TlX7m9hD4uplkhtddIOQe7jUIfyaBduwX5pNRZnozE/Fye6dLDxZYTN4XLUI +6+9SbTB5w4L/xZfjD/yLRCuTjG4tfUvqo5uzxx34FIUmD7NBw9+R58/Pjao2 +vo1+7Xf51kLiwOtSpsZ7E/DznKjk+PdkvTXYXxmbGYlLxxSZ2n4g4/fMtkvF +djdRxLGmKZpdZP0b0yIbY5qFb5R9bJT9yMKjzth3IZLB+Mjkf04MiePTlE+E +9mRidWvpDdDNQunTrvRx4gFIoWbxd89PJD/b9+scm+qDxWarpR7uIfXM1Ncj +Vm6JwF8uGx9Z8ZmFzUtn/FGZlY4anthMHfmF1P8Gqx+ctsvFmYquzU9JHGT9 +53X3iUDkfSvaOYkh4+3NR/cBuXx87lXRxiuscDzsWd38YwdH1uPosPcxOUl4 +jWd32gyeBSd7/7ixiq5I9tO/ozwvvH5w8+Rp93pZULv/eNzASg/8Oljpic9X +4e8V39Rcjn0j9fnhWcO8faNwzI4Dm1f3sfD75twdh4yi0a24c/2jv5N6bXH3 +Qq+hhfj4X9eMZyRWzfmuc3O2N9YwjDFL/UH2Lyaz0uvtMF6UWTz92k8WjK88 +fLdMPgOPFX3csrOfzD/lkXc2kP0mb/rJddYvsl9+ULTqQH8xflw8ZOs3ErfF +dxqVcvEod+KUgcoBFna+iFsutaAA+1styfL/TepPceNU6z5nZFulbm7+h9T7 +c/+MuhiZg/fPOCinMih8H3TxfJv4XxZ2aP2IbMjNxjOa3O6+JLHS4nO73W5n +4SELYlUz/pF8eM2F99+TjN7eKPltP4SDfSGdP4+8DkNVzx5n6w3l4Mser/TB +9rs4YXmPhfwwDtzkPHSdl2ViysNx4DIjVCQoJwFTHo6DK6z+VbOvaZjycBx0 ++1uulRjqiSgPx0HUzRmzd+MYRHk4DnZWmt3tqYhDlIfj/q/9lIcTxpSH46Bw +1Mdvb23TBDwcB63TvnnM1fcW8HAc3F4nb3thXwKiPBwHGZt7t9f/TMGUh+Mg +yztmkuKZWEx5OA6CHzatSRifhykPx8HZM18j5BxLMOXhONhVMPoM05Im4OE4 +MA9X3ygblCrg4TiQlknxHTc2FFEeTtheysMJY8rDCWPKw3HwgP/1e43FbQEP +J/yd8nAc/Olw//a7MBpTHo6Dc9tsokzOZmPKw5H3k5CY6yGWK+DhONBY9meO +0pdIRHk44f0oD8eBd/zNVz31nojycBx8O9lze6llPqY8HAe2nOyY7JR8AQ/H +wXWLjMq02EJMeTgO3KcaDH5qKxLwcBwMv3DkuMKRDER5OA42pLvaHz4YhygP +x4FCXe+WTc8CEOXhhO2hPJwwpjwcB80zXj9dpRks4OFIfGvWPofGNER5OA7K +pp6vV4kJQ5SH42C12iLXhbapiPJwHNQcrH405WMkojwcBw2jB1ttV8cLeDgO +bNz5r/fm5gp4OA48n5hZxq9OFvBwHLhqaLb5RMVhysNxoDlKU5wpCBXwcBy8 +nqucUzS2EFMejswXcfE1s8fmCng4DioOpC+6d8RfwMNxcH+gIj9cM1TAwwnf +l/JwHPx+/2B12KRsAQ/HQe/kJ7e/s9mI8nAcDJytZGpzSgQ8HAe8Y4nbYctk +THk4DkYf+zPs+4g0RHk4Di50yz59OzQTUR6Og6btG+WWLIlGlIfj4NSDZct9 +/dMEPBwH9rNMPVXq8zHl4TiovR76/I58AqI8HAfV3Yt0NEryBTwcB1JoREg4 +Eyzg4ThYEKOl0WKbgCkPx4Hv1mzlUbEpAh6Og4jcja/+2mQjysOReE6iGXcg +G1MejgOv7JV/vudkCHg48j65w++9/OOFKQ/HwXelyi+KZmkCHo4DB5X50nZS +vgIejoOVE6csde/OFvBwwv6lPBwHPoVrhu0ri8SUh+NAMaLZBdbmCXg44fWU +h+OgZ6Rlm+XuGAEPx8GmtRe9DL3jBDwcB2tGvfJO5b0FPBwHhzrExRV/3RTw +cGS8LWjSt3qQhSgPx0G7t99ieZNMAQ/HwVFn9/3xXaECHo6DhyN39Q7NS8OU +hyPjTdRwMGZaEaY8HAfxYrm1wdaJAh6OA/3YlBz5RTkCHo6DA20T/02enY8p +D8dBndQO1Wvq0QIejgOt7JhHItVxAh6OgwKH7Or+sfmI8nDC96c8nDCmPBwH +H5e0K51+nI4pD8fB+cMqX1+9dEOUh+Mge5pH8LERUYjycBxsH9WTdJjLFfBw +HFimwtbHOqGI8nAcbHMd7G2IuYsoD8dBoN/F+380EjDl4cj3OSybkbWkSMDD +kfmgebHJ7m+mgIcjz/9SvEDbwlPAw3EQLlPbm/7WBVEejoMzUyo0GqJzBTwc +B/4Hn7y85+eBKQ/HQb1Z8MpR7+IFPBwHhyMf7XnnnIApD0ee33taLEchCFMe +TtgflIcTxpSHI/dvQgfWOEcJeDiSj5JVbt9XiRLwcGS9y/oXvB55YsrDcTA9 +d+jIuzf8EOXhOOiq41Tmdf1/Ho6sl2fOWs87nyXg4Tg4HrZspHaSH6I8HAeS +/caHdm3KwJSHE7aH8nAkn0fE5l7JjhbwcKR/HjSEyw0rEPBwZLzKlLbuTQ8X +8HAcbLlyV6PhZoSAh+MgucT/SYlpjoCHI+t/iaS5zJV8AQ8nfB7l4ThIWhgU +lxIbhSkPR9YfSflDV+6FIsrDcSDxwdLa7riXgIfjIH3MUZPQuV6I8nAc5N5o ++7O9LUfAw3EQtPw9t7g2RcDDcXD5yxOvJrUsTHk4DgxU7sVn+8chysNx0Jgj +r5lT6C/g4ThY0XwMqiryMeXhONhdrOUxgdS/lIfjQPXYjePKPtGI8nDC96E8 +HBkf4amqek9jEOXhODjx4nbniM3RAh6OA6cbyQ6XjDMx5eFI+5mssG+Znojy +cCRfLuEm61eFY8rDkXy6ZE7ojwX+Ah6OfL95rsGnJrgjysOR+TNimeqtRS6I +8nDkezgca3k0IV3Aw5HvmaTeG+0VKuDhyPve6zW+PjweUR5O2H7Kw5H83jR1 +W79koICHI/lxMe9eoZSPKQ/Hgc4ODz/HKF9MeTgO1p47V+UQGocoDye8H+Xh +yPyvu27+5GWcgIcT/k55ONL+fcOg5N1dAQ9H6q98p0L8yBNTHo6DztFfhpie +dxHwcBwkXN8SOmFVjoCHI+O9UkblmH6BgIfjIEV5X8An1buI8nDke3xLnzdy +VxyiPBwHcuIqe+1kPQU8nLA9lIcj+WTtrUeyjnkCHo58/46q3N9nvBDl4Tj4 +Vz3pnZFCgoCH4+D51iM2kzRyMeXhOPis44A81kQLeDjyfhvcjS2cUgU8HFkv +G8X0sGkgojyc8PmUhyP17/jQdvWaIAEPx0HsM8tPty9kCXg4Dga7JfvWnI5B +lIcj+efClfeOkwoEPBwHuhtlb9hdChDwcBy8GNoSUjwkUcDDkXpl1NaWL+Gx +iPJwHODUzHKL8TGY8nCkPukK0r6ZlIUpD8dBcdqN+dlb8wQ8HKnv5o27ogAp +Ah6OjE81v+uasgECHo4DMdcMJfENkYjycBwsTBFXYH5FIMrDkef5F9g0JIcJ +eDgyn/BRXK1/F1EeTtgflIfjYOpLAw3D/fkCHo5833NzkuQUCzHl4Ui+XGm7 +wmlUkYCH+0++sEmo7UsS8HDC+1EejuT3yK2GphuyEOXhSD3QDkWLZ0YKeDiy +P/ntvXBhhReiPBwH/VkwbnRxNKI8HJlfEfPmdKhkCXg4kr+qj/NHlFIEPByZ +vzf6Z3avTcaUh+PgZbzn0q6QLAEPx4Fy0nT860YypjwcB4xOncnOVR6I8nAc +5APvuiU8WsDDcWA8v2Piz8XRiPJwpB78frPP4m4EpjwcB5faT8jNsg8S8HD/ +U4/8l4cj9X/CVMNyBS8BD8fBp7e6dut+JQh4OA5GaZlIHmFiEOXhOFivvdD7 +/PNMTHk44f0oD0fyv0IM1tcKxZSH42D53qWBEuOSMeXhyPdWwE39Jwsw5eE4 +MIo419obVCDg4Th41x14MDE6WMDDkfVyndhOJ5c7Ah6O1G/e4r82SfghysOR +elVxXqyxi4eAhyP1HCzzU1yViCgPR+rBM9Yex0pTBTwcB0XKYvVt5rECHo7k +33zRpJFkflIeTvg+lIcj9c/jcosrb9IR5eFIPT53yiT7f1ECHk54PeXhyO/S +FsMslZIFPBwHfz9lDF8601PAw3GgtrJ9pqlBgoCHI/vNbXLDF1f7IcrDcVC1 +68yVwk2+Ah6Og3U3jg5Ux6QLeDjh8ygPR77fTVKmLo/ClIcj422mzVazTQUC +Ho4D0derdjrm3EaUhyP9l75/ykWpSAEPx0Gb51e31ftCEOXhhPenPJwwpjwc +2Z/CnVMFJT6I8nAcBCTfO3daJA5RHo7sx9bXbv34NxpRHo7kJ6NFi9DPBAEP +R9ab3Vu+/lB0FvBwHMS8GyvmnO2CKA9H6remv3WS+UUCHo7UF/WNVWGi2Zjy +cBzkMK35/PhIAQ9H3i/gJNZOixHwcML2Uh6Og0nSI7PmrXZBlIcj9bL/DqkR +l1MR5eHI/jt+5qj9b+IEPJzw7ykPR+qViKyWpltOAh6O5BsX59Ed9mmI8nBk +vXA5/WPnmHREeTgOQnzlRnTqRyLKw5H1xXrH1B1srICHI/nwTLrIobIQTHk4 +kj9G2ySsWlAg4OE4uLby7NA5krcQ5eHI89ecWWenlSbg4Uh/i5qXz/ydJ+Dh +SD0e4Bp59GemgIfjoM+299pe8MKUh+PA4u2ROSOdkwQ8nPD9KA9H+l8u3FLt +QJ6AhyP7Dw2HwPyRXgIejgMX9eJnp/1SBDwcGb/Xetd2FaYJeDiyfr1n47FO +pICHI/W4dn9EVXQ2pjwcqcf6HF9VPs0R8HAcbF5mdnybha+Ah+MgMXZ12XuF +uwIejszHkkHt0RXJAh6OA3kLsaPsrVRMeTiSf1ysRPb35mDKwwnfh/JwpP51 +s8IGm7Mw5eFIPvZ2lCgj6z/l4YTXUx7uf+qR//JwZH2N0wiTdYoV8HCkvYXq +95TEvQU8HHmf6NevR9+PF/BwpF6y3xDsbJyDKQ9H6q+TsRsen0lAlIf7n/+P +/JeH4+BsqP3GwvX/n4fjYMbRcfC0PwlRHo6Dn28XHyi7ny7g4cj8X8hOHXIx +HlMeTng/ysMJY8rDkfVSw+RAoliYgIcT/k7PZ/NQ9tjjvJ1uFqbns3nITbY4 +aGlB9of/PZ/Ng5Nrom/41yhEz2fz//f39Hw2D/FXN015U1SA6fls4e/0fDYP +X5R7h4yoLMD0fDYPfPztCXHv4hA9n83DQlMZ7R9ZMYiez+Zhk2+fSPOKLEzP +Z/NwQuvE1H+NmYiez+bBWypN/NmOIETPZ/PQmzws6dWoBEzPZ/NQ8eaC6tTe +XEzPZ/Ng2Txsxf1xXpiez+bhZVv8fJ1Nfpiez+bh+J8esZUjvRE9n83DnlMo +4XxFEKLns4XvQ89n8yCnGFA3/yBZH/57PpuHe0UxjWPaczA9n82D66XQR+rX +MzA9n83DuHrlwgPSyZiez+ZBK1dtReCSKETPZ/NwLVKrSfmpL6bns3kof/Ij +sXqzJ6bns4XPp+ezebg8PGROL45G9Hw2D229C6ykCvMwPZ/NQ1zxN+m/YfmY +ns/moe5MulZyeCGm57N5kHkY1ur8OxHT89k8nAt1mXuv+A6m57N5sNpXnxCU +FYXp+WwepmyuUXmYkITp+Wweti837V6VmIzp+WwezA5vti26G4Pp+WwemqTv +JZ2574/p+Wwe7A8NmT9PKR3R89mkvdMeNPDzXTA9n83DkU53Nnu/E6bns3lY +efWY8oShKYiez+ZBR8mwbMuueEzPZ/Ng8bthU4NrEqbns8n4PKQ5/MaMYkzP +Zwv7i57P5kHWxfDhhzG+iJ7P5mFwrtnjtc3BmJ7P5uH6ip+ihlJJmJ7PFv49 +PZ/Nw4XUhsglO7MxPZ/Nw9OwG2tQfxymvhIeisaan1pb4oapr0T499RXwoN+ +/qxPbSl+mPpKePBPUPvUn5mCqK+Eh2Waxgkxd+MR9ZXwcDdQ60vo/ChMfSU8 +ZC95GDn3nQemvhLh/amvhIdV60ZEf1vqiamvhMw37wOXN5D6kfpKeFBPVfMM +UwvD1FfCQ0SfRMM1zVBEfSU8VFWN1OrdkYGor4SHsbez149dGY+or4QHlPpj +sHZCOKa+Eh6WmwdFh630xdRXwoO70whDsblhiPpKeAi4srpmlI4/pr4SYXup +r4SHA3Z3XjtNysHUVyL8nfpKeDC8Jn9UszUXU18JDycTjy7SXBCDqK+Eh6++ +1d3Xy9Mw9ZXwkJKmdXZZlRemvhIe5s/5vb/xSCymvhIeSvo26kiS9Y/6Snhw +y5gTdVsqA1NfCfk+Ig+byvUzMPWVkP6zzVy6OScSUV8JD8WvFsRcvJiDqa+E +h9Xs0mV343Mw9ZUI2099JSTfWK6606fohqmvhIfQurCrPnNDMPWV8JCz8PQC +3jsSUV8J+R6zllpKe+Zi6ivhYUKH6hfHfzGY+kp4EBs45TmmNxNTXwkPS+9Y +/5m1zw9RX4nw+dRXQvLN2izFqYYBmPpKePid1p2VbByGqK+Ehy2Lvv+NUPBB +1FfCw3DH7TFLuHRMfSVkPjMbNJ1/RSLqK+FBl3lRHLvbFVFfCZnf6eOx9blI +TH0lPHzca+wQqOGNqK+E5Kddob+6hkRi6isRto/6SnhwkVsh1mcXh6ivhOTL +PTrBzxOCMPWVkOs10tcd31qEqa+Eh1iR9lpbu0RMfSU8ZBjWHrTTi8HUV0L6 +p9WhxjA4HFNfCWnvqIvbZJ8kYeor4WF6gk3FCc1wRH0lPKyJ+qPdVuuHqa+E +hw82cvuOPktD1FfCw+m5Mj1jl4dj6isRtp/6SngYWiYiN3NRMqa+Eh4S/v4I +2v09ClFfCQ+ll16mFq/OxtRXwsOsP72vIianYuorIffjBw8aPQnH1FcivD/1 +lZDn79nyd/vZPEx9JWR+O61Qb4+NxdRXwsMlS+c93J4sTH0lPLxgdfsT26Iw +9ZXwUH/RyFpsXiqmvhLh/amvhIfHt9UuVStnY+orIetRxMpls61jEPWV8GDc +PnmZw5J4RH0lZLyc9Q/oHBaHqK+Eh0gZSTclyzuY+kp48B2l+bDTvghTXwkP +7cdt+xrsozH1lQifT30lZP3M2DnZ3LgYU18JD9EZ1q5xM6MR9ZWQ+uConuiY +Mi9EfSU8+KiI2XL6mZj6SoT3o74Ski+mnZqT8SUZU18JGb89VvccuAhEfSWk +XmBkpsv75mDqK+Fh6mL3cZs+Z2HqKyHfp1B2TZ6EM6a+Eh7sQuUWqVZHI+or +4aEmi8/WWxyLqK+Eh2OiOEJdIhRTX4mwPdRXwkPUWIsZH8b6Iuor4SG1dWTv +lLFJmPpKyHo64C2jIJuLqa+E1B/MgiDdfSGY+kp4wMlPPZVb8zD1lfDw8IE+ +67c0E1NfCQ/mZ3ccCvldhKmvhIc0SbGWPb9jMPWVkHpuptR4BadQRH0lPPyV +PmmG6oIx9ZXwwB6ecutzpCemvhIeToUtve60JBhRXwkPLbZnZ96xDkfUV8KD +gs7M8SMTMjH1lfBw0/VNdcOUGEx9JcL3p74SHt6IHbRdUZuBqa+Eh/VxUkp3 +d+Rg6isRXk99JTys29M86FefhamvhLxvTMDaYRqJiPpKeHD2L9/06HoCpr4S +HsJ+do9XOBqAqK+ErOdu1p4P8nwx9ZXwUBmWo2WrnICor0T4POorEcbUV8KD +tmgcs/CXD6a+ElIvHPR/ERpWiKmvRHg99ZUIY+or4eH98SkXVowvwtRXwsPm +NV4zmkyiEPWV8ND3fWLE10leiPpKeICUH27e45IQ9ZWQ+Vy56m/90SBEfSU8 +pGebPD/6NgJRXwnpP+bEqJYqb0x9JaT+Ku9oFN2bgKmvhMyPIbzhowv5mPpK +eOhCxp16ivmY+kp4eK1/yjfsbQamvhIeJs1peu65JR5RXwkPiQ9RX+WwBEx9 +JTzs23Ft15JoV0x9JTyY+jwySmHCMfWV8CD+udN89+Y0TH0lwv6gvhJSr6sH +dNTlBiHqKxH+Tn0lPGzVeCOzKC4PU1+J8HfqK+HhdrG/suuEKEx9JaTeu732 +4JPxxZj6SngICbCr6S6IR9RXQvJ9vMT36oMpiPpKyPu++BA3Z68/or4SMn7H +m6jvD0nH1FdC+terVvrVhSxMfSUklhIJMrCPQdRXImwP9ZWQ8TZ1uKvTSQ9M +fSU85CX06fS5pWPqK+HBOlV9nUVoDqa+EuHfU18JD3sfrfwaNDkXU18JD+ft +J+2smO2LqK/kf/LZf30lPDwILb6lnuOBqK+E1DumRoefT4vB1FcivJ76SnjI +VFnpND86EVFfCQ+iZpPR6REemPpKhNdTXwkPzbafFFv0biPqK+FhwWHDTplN +OZj6SoTXU18JD4usvBZ0a0Ri6ish69u2qVKXFTIx9ZUIr6e+Eh5+bdsAOqZ+ +mPpKSL54Kt988UI8pr4SHpR3bUp68zUTU18JD3P6K/oWmGVi6ish/ek+ZfzN +famY+kpIvbm74GXd/lhEfSVkvyh1/fGsU6GI+kp4uJLb/lj2QCCivhIy//6s +HufkmYyor4TsP2TUZQKzbmPqKxG2l/pKSH14I/ipTm0cpr4SHnpEP437ku6P +qa+EB6VMl3ng64Opr4QHvYMfz4u8yMLUV0LWzxH+49vTizD1lZD5/MnU4m+A +P6a+ErK/O3ijSqM6GVNfCQ8jtAOemzdnYOor4eFZt9GpzrpITH0lZP9pKHHH +UiQNU1+JsL3UV8LDqBPHHirejsTUV0Lq2fCmMxtDkxD1lfBwJum6zMC4LEx9 +JSQfnjLfJ9oWjqivhIfdr8Ov7vVIw9RX8j/57L++Eh5Gm6moZBQFY+or+U+9 +f/6cYlUsor4SUj/pGvUN/vDA1FdC9j/tJW+ethVh6ish+XuZ6BGpn96I+krI ++iN36oAcqQeor4SsV8E2fx++j8LUVyJ8PvWV8DDgJnLYeF4mpr4Skm9WVM75 +rpSCqa+E3K9vcIiMbwymvhIyv7pD8EeHSEx9JWQ/EFA17Ip1Eaa+EpIPLEtc +9UY7Ieor4aGhWU9WfX4Cpr4SMv6rws68HeeBqK+EB795RmunWbli6ivh4eKY +F1tdcrwQ9ZX8Z38d83QCF4upr4QH2x1NEsPCkhD1lfCwreHntDKZCER9JaQe +t3NZ//F3FKK+EpIf3de1LPqShqivhNTPw4/9uL8jElFfCZm/ylfWB35NRNRX +QuqrNP8Q1dlBiPpKyH7Ysj+1uTgeUV8Jqac2eDlFz45F1FdC9m8rHp8xmheF +qK+E7G/MVZcFT8hE1FfCw5iJYanrPkUh6ish9Way4cIor3hEfSU8BE/uVrQw +iETUV0Ly4883Us27MhD1lZD96buFGeuKwhH1lfDwqLYp6vKFaER9JTz0DzZJ +JhqEI+orIfslcU21u/2piPpKeKiLOba59oU/or4SHjTUqlzzUyIR9ZXw8Ekl +/dh68VhEfSUk/3fa75mtfxdRXwmpz17v26waHoWor4S0b191rKFPOqK+EtI/ +ObY3VVcnIOor4WGjmeNsg69hiPpKeFjSqqAi75KAqK+E/C4r8iLoRTyivhIy +HkzcTGoUoxD1lZD891jfodQjElFfCdm/3LxhNhAQgaivhIerv+f6vrGORdRX +wsOdP19vnlKNR9RXQurx2sfrbOrDEfWVkP6olmoOWB2KqK+EjM/UH2bSjDOi +vhKyf8yaPUZ1fQKivhKy/qsfz8oh9Sf1lfAw8ewIb5uuGER9JWQ/HR3adi0t +AVFfCQ9O3d8Na1xTEPWVkPG8Zt+JZ9vjEfWV8PDOevCm3rRYRH0lPMzb8Dzi +gm4sor4SUp/+zZZNvxqDqK+Eh9697zccPheNqK+EjK/xrscOH/BC1FfCw0qD +qLPivj6I+krI/pvR8XoZl4Sor4QHj+OVN5/7RyLqKyH735qWCAfHKER9JWS9 +15zeVqcRgaivhOy3lX6YdWdHIuorIetbm1SoPslv1FdC8sfGCJUGnRREfSU8 +/BiZUL56cTKivhLy/iq7PtsbuSPqKyH72+cxpw9bZiDqKyHf51C/yjy/SER9 +JWQ8Txje/GtZFqK+Eh6skldfLFBNQdRXQvZXbXvlbaQjEPWVkPlsm+jFNiUj +6ivh4flHyauZyxMR9ZWQ/W9SV8v8v5GI+kpIPZn/vaPlZwKivhIeuK8Zn5Z5 +xCDqKyH5OKa0I4XxRNRXwoN67X259VkJiPpKyH5FIiBNRi8JUV8JqZ88DT8H +f4xH1FdC9jdb+yss1mci6ish+xl7gwdSL5IQ9ZXwcHag0bHhShCivhLS3vHL +kYZ1GqK+EvL7IZErNqlxiPpKSPs4608PX0Qi6ish60vP5WmTL0cg6ivhQfLT ++szhA3GI+kp4qLCr+ezVH4Cor4Tsl66PXBt+IgtRXwmprycMGOprBSHqK+Fh +pnFXW9nnKER9JTyseLbDs2tJJqK+EpIfnqluWrcxBFFfCQ/Zm0yTR/6JR9RX +Qua/8+a1ibF+iPpKeCic+EfMojwdUV8JGX8L32kZPwtD1FdC7s+LfJ35NAtR +Xwlpn5t100vFZER9JWS/eHrM0uk/EhD1lfAw25dXzFKKQdRXQvr31TXlPbJR +iPpKyP5vWrKveGAUor4S0n8TysOm64cg6iv5T73+7deY5fGI+kp4mNu19HKU +eyiivhJyvdjWBkOvNER9JTzc8uladlk6AVFfCVnfDFN3eZxLQtRXwsPI6P0W +f5/7Ieor4WFXz+M5e6ZGIuorIfksb8oh3Y+ZiPpKSH3ya7VdXlMaor4SUr9E +6sXvEUlF1FfCg6NrD9pUk4Cor4SHc49eOwYtjUfUV8LDZ6W9xyqM/BH1lZDx +jIaotqr5IeorIfXHzMkn3n0JRdRXwkPk8dSL/g6hiPpKyPdSyIrdPSEGUV8J +2Y9EOtks1k5H1FdC2v8u3WlGfSKivhLS/1emG6h+jkHUV0Lyo+sb29vjwhH1 +lZD+H8O+fuuWiKivhId8SdulWeWBiPpKeMg4t3bM1bIIRH0l5H73NhhEqUUh +6ish7wNlEmb2SYj6Skg+jlpa9OluEqK+ElJvp0Z7P/odiaivhIwffvQ1BSYK +UV8JqR9R3fYhdpGI+krI+BfNv64xLgxRXwkPR24FZo3PSkTUV8KDiHZ+7egD +8Yj6Ssh43XbE+PvjdER9JTyErkaGcDsBUV8J2b+uf3fD+HAaor4Sku+u3weF +DaGI+kp4eOLuM8twWTiivhIeTqYanXzWn4yor4SHtzo3DUt0IxD1lZD9xaZT +g52XIhD1lfCw6qBc/QuROER9JaTemBC/LXBZEqK+Eh40PSY7j9EORtRXQsaH +dPfbhcqpiPpKSH9F1lmJRMcj6ish9UTrDK/Pr6MR9ZWQ/ViPg4FrRBSivhJS +36RbrDhVk4Sor4QHf+9/F2OssxD1lfDw+FKZrenbVER9JWR+is+ZyOglIOor +IfPZ4/DjrbMiEPWVkHxsWr2/VTURUV8JqQ/rZQpfqsci6ish9S3yVhq5MBNR +XwkP4xcGjahYF4Wor4SHp0fWHt8RlIior4TMX++6/R6tiYj6Sni4xy7Bsfe9 +EfWVkPrL68BEw0EfRH0lZL83VdMxfEEkor4SHkxmmv05Qb4H9ZWQ+kXDPsBg +ThKivhKSz6/fbI2AZER9JWR+mg28sdCJQ9RXQuqT5Wcl5r9PRtRXwsOp9ubJ +N3LSEfWVkPUrbPfaMTJxiPpKSD5+aqOX9C4VUV8J2c/+eWadNzMJUV8JD6j3 +4R3nUZGI+kp4aFppoeIQFYuor4SMryMcDPjEIOor4UFlrZ/jhv2RiPpKyPh6 +ou24LDQRUV8JGZ/W2b9e8qmI+kpI/+mKZLz+k4aor4SHrOHHFnpUZyLqKyH1 +xN3viVIaUYj6Ssh+KPawWrVBPKK+ErKf2RpuY5+ZiqivhOwn7sTrDLkai6iv +hKy/Jp2HG8YlIuorIfXW79Y2t1lxiPpKyPr+clLsoYsJiPpKeNied+H+JJ1o +RH0lZD+lZXF/yrYoRH0lpD4qPfB5AueNqK+E9HfzzOUSRbGI+kpIfqhSsI+o +iEHUV0Lqv9M+MddaYxH1lZD5fzLioKR1EKK+Eh4OL/Xa3t4XjaivhAcJVf9j +p5amIeorIe21GVZzYWs0or4Skv/ErDxN32Qg6ivhwTlfYt9l3wxEfSWkPvY9 +X+yjmoyor4TsZ+c8djMMS0DUV0L2Q+EHQ5ZfTkTUV0LeN0di4OWFUER9JWR/ +HPKz85FBBKK+EpKf3bQtdkpGIuorIfkxuiKz4nYcor4Ssv/8t/n7zIEkRH0l +ZPxORSKtITGI+kp4sDE57zOjIhxRXwmZX66Xrxb2hCHqKyHr9aQi/clyyYj6 +Skj+1/HRTVCOQ9RXwkPVrMqtE3R9EPWVkHowJ2zX0BPxiPpKyHxsaWif6JuI +qK+EB/MjJT47ymMQ9ZWQ8WsxpyF+cyKivhIeGvuOv12oH4eor4TMz7UmU8Un +RSLqK+GhWzVmZfK3FER9JWS/O/pzjvuKOER9JWQ9CxcZ1tQViaivhLzvPbWg +7Q4JiPpKeIhXdltV/CEeUV8JWR/efSh9fZXks//6SngY5ifz6KuYF6K+ElI/ +7i8Rs9fwQ9RXwkNgmvFgj084or4Skr9CbUqcbvgj6ivhIddv+ZOEU3GI+kp4 +8FIaTH5v9v+Yuu+4nt73ceBGyc5KEllJCdnz5FRCS7JSZqnQEKLIKrIi2nvv +vZfq1NXQkpkZSYqMvOicSEb8rvfnvv36vv+7H696vc7rnPu+7uvO831dKQzp +V4Lnr4DG702lCQzpV9IB5kedNgS+9GRIv5IOmPfSbr+wNJIh/UpwPmndLD1y +J5Yh/Uo6QCPr9e4+Z5MZ0q+kAz5qX6ocNz6OIf1K8H55zKrMXZjIkH4lHZAw +ZY/N9bFBDOlXgueBlNgxCn2uMKRfSQeYlA771Do6giH9Sjpg/rUdcnpZUQzp +V4Lz/0xj7gPNMIb0K8H8f6XExNEOiQzpV9IBv7ucB/0Xr0i/Esw3uL8S2wdF +MaRfCe4H/f3jtsn5M6RfCeaT77OntrExDOlXgvM76MbdmbvSGNKvpANWb19R +xLQmM6RfCZ4fmixVDe/FMqRfCeYbhr+kXu2IYEi/Etx/TNWGSR9IYki/Epzv +T6KLBy6KZEi/kg6QE08Sxm71Y0i/Elxf8RIvfinGMKRfSQe8/lBrV52fwJB+ +JR3wN7juUhfOR9KvpANCiyMLlynEMqRfSQcYS+Q0WPV4MqRfCZ4/z3vevVMV +ypB+JR0gbW1apWPgwZB+JbieLCS8u3H/I/1KOuBcqb2HBBvFkH4lHfA4Y5G4 +9t14hvQrwc+feERiZf8AhvQrwf15cXFH/2UZDOlXgvPvcmugnGogQ/qVYH5h ++Cj0yoY4hvQrwfMjY+m+52QUQ/qVdIDmotopmZczGdKvpAOKIoq8tmrHM6Rf +SQd8s/cvshgRxpB+Jfi8TyWfm8RHM6RfSQdEz14+9dXPBIb0K8H1/idLNLWf +H0P6lWA8OH/1lf4cf4b0K8H5zYXcmnwgiiH9SnD/q3AaVboqgCH9SjC/6c+F +Tmp2Z0i/Esz3Dv0UXzAokiH9SvD7KMlemXYyiSH9SnA/nnpF73xCAEP6lXSA +w5CzQ0NKAxnSrwTzoTaptLGnUxjSrwTPk0LBuKxcd4b0K8H5bufeEXInkSH9 +Sjpg677Ro3T2xjOkX0kH/LBOStnulcSQfiV4PWMXdsouyGRIvxLMn1rHrHqu +HsmQfiUdoPZ77IGM7FiG9CvB/SMs4M57tziG9CvB9eQ6gDdQj2NIvxLMf2y7 +ao6rhDKkXwmud4VN5XJ74xjSrwS/j+aP5p3KGQzpV9IBYyUuatxWTmdIvxLc +j2pum+eejGFIvxK8vz+MzEYej2BIv5IOeDbguWqS6XWG9CvB88PIyp1D7EMY +0q+kA7g+Wp7n6gIZ0q8Ez9dWTL/As54M6VeC8XHRg+EGakEM6VeC8SZdZvC5 +O1EM6VfSAf6uqX13HkxgSL8S3O9bI1Y+wPyU9CvpgBVzf7b5hUQzpF8Jzu/M +m1mBTn4M6VeC62vvj0s7VSMY0q+kA+Rjy87ZeEQypF8J5j81K4Z7+CQxpF8J +xo/U0+2DjiUypF8JrnePGZtsh3oypF8JXm+ZmdcmiQSG9CvB/GH1kotfFwcw +pF8Jnp+jvUfvWenOkH4leB57dGdB3PNkhvQr6YC5+qprWh4HMKRfCea7QXM+ +bUtOYki/EsyPZ6yaM8I2kiH9SjqgQeeheefueIb0K8HXm+oMmw3iGNKvpAOW +tQq3mdpohvQrwfx72cx9G0ojGdKvpAP6zvEslquKZ0i/kg7Y1zX4iE0axrP/ +9SvpgBK43ZqgHcWQfiW4HnsMpTRSMhnSrwTjl8/3lum8D0P6leD+buIxgeuO +Yki/kg7YvuPXyyk3oxjSrwTzgfQlP+yehjOkXwnux43vTgUZRTKkX0kHTPip +1LS4J4oh/Uo64Hld+rntSz0Z0q8Ez9+jdDw2aKQypF8JridjNtGkNo4h/Uow +f5nnsidSKoEh/Uo6YJV3hggM/RnSr6QDrqwbp7jvRiJD+pV0gBQ3JoebG8KQ +fiUd8MjEAubuC2JIvxKMD1uq4jfeSGJIv5IOWJthHZ+8IZUh/Urw+edPVtc7 +7MmQfiX4efuDnn9/kcyQfiW4/ofvcF0+2Y8h/UrweYm+SshdTWNIv5IO+NAw +3KdgfBRD+pV0gKP21lE+57wZ0q+kA5S6D0l6fwpniIfjof1I8yJWKpohHo4H +8wv75+r+TmaIh+PhzZBhQ6SUY6iH42GQ1YGQOC8/hng4Hu5omm25PSaIIR6O +h91ummOKK+IY4uF4kL0t/NCekMAQD8dDdt+t4h/fxFEPxwM3qD1/ea0n9XA8 +WHbqTd28KYwhHo4Hh1AfyZlBMdTD8XAtmLsXFHudejgeQi4ZmTemBjLEw/Hw +XOknu9wtgiEejoeh6eX3N/pHMcTD8RDbleV86nQkQzwcD0LzxJDkmFDq4fD9 +HDPOX6mKpB6OB7NLFy/ZDk5giIfj4ViycdeJilSGeDge0jy7DCZxMQzxcDwU +vhjsfX9KDEM8HA+eO1uWPpmVxBAPx8PEMbnLXy5JpR6Oh9+NEWras5IZ4uF4 ++LKs83KtfRJDPBwPLafVZApHRjHEw/FQsnpbh9KmQOrheHhv2HxO3yKWIR6O +B93qJVULygIY4uF4qNNkiwvkYhji4XhwX+thKC/pxhAPh99X7YLa8GmRDPFw +PJi8W3Hu2JVQhng4vH8DK1+0WyUwxMPxIO7rZ7VqjQ9DPBwPyeWWSXXzIhji +4XhIqXUcId+SxBAPx0OPYtzxM7qx1MPx8ECrxfaRVjRDPBwPl3Iezdh6N5gh +Ho6HTgvJe4sdUqiH4yFQqv20aVscQzwcD3Cr42MPE8QQD4c/r1b2d/mNeIZ4 +OB4i64163kamMMTD8aAydcLn7spI6uFwPv3MvSPjGs0QD8dD288R2g9P+jHE +w/EQFpA6WWpDOkM8HA8WtcM13lxyY4iH46E74zDbnZ/KEA/Hg/T1vJPSZkkM +8XA87L22+bBBewxDPBwPpzyDop1PxTLEw/EQcOlja8KgWOrheLjtc3zHmAGJ +1MPhfFqit1ZfJokhHo4HeclfA83/JjHEw+H9ve372OtROEM8HA81jnKZcydE +McTD8fDk6a1zV1JSGOLheDjnONil1jeKIR6OB523A/t8PBlNPRzOh6PFZiZ4 +niIejodZovcd+uI+1MPxsF7Fqep8ZQhDPBwPTcFKwpmd4QzxcDxkPZ10ZvSw +ROrheFhxx0vq3IhMhng4HvQ/n53l+SaBIR6Oh++CuraudhhDPByP+XXaTJWu +KwzxcDw8VnxglvwjiiEejgfrPq7RU2cnUg+Hv3/JcdJag1iGeDgejJ65/OzC +8xfxcDz4itfXDsf4TTwcD59j89vs8PkSD8dDfL/80CDxTIZ4OB6GJAiXLE2T +GeLhcD0cDLjs1xhHPRwPP+PM2ZUt8QzxcDyUba7cfiY0liEejoekEfvk8ngv +hng4HvqPaTs+OSCYIR6Oh9bX7q+/WYcwxMPxsOzXC0+T6GTq4fB5PI2asqki +iiEejodJHl92OdwLZ4iH4+H0gv3bNxT4MsTD4XyeeeZoV04w9XA8FF+6/MlZ +JoYhHo6HM+1rvA/+SWKIh+NBvfvp6POqwdTD8fDO52VplVoE9XA4ny5vMbYz +9WOIh+NhdrLJpP3JsdTD8XD4a/GP74PTqIfD9xP9WPX1rSdDPBzGU5e65ZHf +oqiH42Gjo8tvTTkPhng4HoYHtfgva/ZliIfj4d47/Ym+6yOoh+PhYkOUf3Rc +FEM8HN6/bcatU5pDGeLheJhqZGX/ODOKIR6Oh5OlknO9rKIZ4uFwfT0Ypujw +Jo0hHo6HTJ2jnfOPBzLEw/FQtMfpbPjRfx6Oh10buorf6iUxxMPh532yzP0W +7Ec9HA/sUrma5yujGeLheDgvP9hADCIY4uEwPlZE7KueFsoQD4f7gczofuVz +vKiHw/2r/U3pyMgQhng4XA99fH/dvRrJEA/Hw7qNM6X8oiIZ4uFwv922/ry2 +ZBxDPBzOP6ulBUUXwhji4XA9dHstbsPzM/FwGD8Zfe9WwY8hHg7j0c+VwZHp +kQzxcDw0+xzNOhYSwRAPx8M4OdMnJ2RSGOLhePiRPOiCr04qQzwcDzsG7/ry +8EMq9XC4f4Y9arU9EkU9HO63WgF5nqtjqIfD9dbj8HCgeTJDPBwPDXGirwpO +0QzxcHj9Ev1WtWllMMTD8fBqLH/s07EQhng4XI/qcWc8PDIY4uF4eGRR6Gxy +MYR6OIzfaVfjNq+LoB6Oh6+Sus/UXqYwxMPxYBcwbUmzRDJDPBwPodPtYz++ +jWKIh+Mh51Tby+P10dTD4fsfeZThfyWGIR4O8x2Iceu0zWSIh+NBNccigimO +Y4iHw/1h34hbow3jqIfjIbxq2mSHfinUw/EwutMnXsUsgCEejodfTx5LtjnG +M8TD8dDxeWy/iFux1MPhekxN6IjTCWaIh8P9RUl3uaVxPEM8HMajyCUfTkgm +MsTD4X5/9va9OxMTGeLh8POKpC18v0cwxMPxcP3bqWsjNZIY4uF48HjqIjs3 +L5ohHo4HfshKJSnVJOrhePA3KapR5hIZ4uHweobnr4ic5cMQD4fxKX395T1n +PaiH4+GlwXz7Bw2x1MPxsGWL1cAEvXSGeDgeJHPWN74P9mWIh8PrPznn7f0H +wQzxcDi/jPd9iNqQwhAPx8MN2yaL3/khDPFwGN/M5ksv+ZzMEA+H9885f9Dt +pgiGeDiMD/VpKuIfg6mHw3xK4aJR1f44hng4HkSPUkPvGKVQD8eDWuXHiWrZ +qQzxcLifKMp7CwXR1MPxIDXKyfaFJMaz/3k4XA9+lvt+lMUwxMPh8w2odph8 +KZIhHo4HmcljriypDGCIh+PhUCVbNUI/gSEeDuev7qjuWuU4hng43B918o5P +6YpmiIfjoaC4snKbXAT1cBjfz7WvOT8ijXo4HpxnD+l09/CmHg5ff3Sj85SN +P/Vw+HnaJvMSY5Ooh+NhbXvE05eaEQzxcBg/0o7MDR8ewhAPh+v/YPaDC+uu +M8TDYfxxzM+sLYlkiIfD/Cg6p254fSRDPBwPA1doxmgF+FAPh/Nr233VUfMT +GeLhcH+w/LH7c1MGQzwc7rf77UvWRiUxxMNhvrCrMSiPT2eIh+PhQOy3b1dl +Exni4Xh4+NFprW1YJvVwPEwLtpEVt05kiIfDeJ/0ddCa/X4M8XAYHxYM2Sqz +3p8hHg7jh57E2/L0ZIZ4OMxvd75W8Z4eyBAPx8OCHEmnMdMSqIfj4Zb04Qnq +pgnUw+Hz+7FO/vOoNOrhcH3YGfooD4hniIfjwbtVXbXxfDJDPBwP968O37iv +2496OLy/+t2TlozIYIiH42G/IKswJSCMIR6OB02Pqu/qM2MZ4uFwf7Ecm7It +IpYhHg73o4hlJ09tiWWIh8P4zWVLnBsbyhAPx8Onu9vTVsXGUw+H+1uz5xqX +ZQkM8XA87KsPN0nrjqYeDvOT39ahnTsSGeLh8P5la7Aun6MZ4uF40DOUb7OO +DqYejoc5Paef3R+dxRAPh/Fk4n2xS8sSGeLhMJ/P/iQnedKXejjcrw+FeMTn +pDDEw/Ewv0K8sVo5iiEeDs8jt8cp/RFLZ4iH4yHaZnq9TWICQzwcDxkHFKqf +zUliiIfD89Lq+JxFN6IZ4uEwf0ow4tRHpjPEw2F+EauUrJYfzhAPh/mMyaiz +V1oyGOLhcL2uH/q+/VcEQzwcDx/S9jHfDoRQD8fDiZ8uv8Q+X6UeDuPLr/zP +fv0TqIfj4fiQCtdvW/2ph8P5Ydr/lY5nLEM8HN7fay6Fa2uCGOLhcP0PAivH +1bEM8XCYbw9rvZCfFc0QD4fnw7NF06OvxTPEw+HzLt55PFUxjiEejoclb230 +V60PZ4iH4//7/0EG7J0YyRAPx8OMz8fLRmN+TzwcXk+bdJvbh2SGeDgeSs8o +vhncmc4QD8cDI3X0l9UTP4Z4OJwfkw/XDJCOZYiHw/34zAjJvVuDGOLh8HXr +CSsWfMmgHg6/v/HSHqs/8QzxcDz0ic1xMlmUQj0cD8/uXpsT5pnFEA+H5yvH +iTfWjUhkiIfD+D2ij4HZrhiGeDgeFgdGur7+GUc9HM6/x41Hf52KYYiH42F1 +3c+Ce6fTGOLheOhSPnjYUy2ZejgegiX8l9osTWCIh+NBbEx40WbbFIZ4OJyf +lfVHGMyPiYfDeHTIaILT3wyGeDjMNzOtJ30fEcMQD4fze/fXHcPjkhji4XhQ +sLjQ6G4YzxAP91/+2T07LMKbIR4O94/YDwnnXH2oh8PnX9FvnaNMOkM8HM4f +pY2ZF9wCqYfD+W0tFum7JIMhHg7zB41JvKp4NPVwuD9+se3x84tliIfD53VW +w3IN5049HA97fpY7ReSlUQ+H6/2s/HvJkCTq4XD9FaUtstQPpR6Oh7nz2uwH +eMZTD8eD06Yhsvn1idTD8aBV8HDR8Isx1MPh5y1yEzPjfKmHw3x1tNvQwYF+ +1MNhProxR9tm9T8Ph/HnxwGjo7Jp1MPh79d5XzT/FEw9HOZD0+sszfbHUg+H +88dzzCzTKH/q4TA/dWHZI8VJ1MPheWzrBZvXPjHUw/EwT8vwtZIonXo4HiKO ++dicNIimHg7394gPJiNKI6mHw/hee1kpHc/rxMPxILEqW/p3Szr1cLh/+Tpr +OF/zoR6Oh91LN2z+Mi6GejgezrZcVRis+s/D8bD1VWSOq2og9XA8fDssF6H5 +MJx6OLwfPaVNKk8jqIfD+OGccNb+RgL1cDy82G68o1UynHo4zAd9assm3k2m +Hg7n0/wpt9X1U6mHw/3TZLJh9+dM6uEwv/+6eV/By1jq4fDz99s+kkjLpB6O +h/zYJ+6SM9Kph8P9w26RmHpxBvVweH5+c3fbRKkI6uFw/z2r6Bihm0Q9HA+b +p9/qd7M9ino4HjZVKhi1BSdQD4f57zZhw+zPkdTD8VDXV3g8yyqWejj8fJvP +exyMU6iH48EgRpgwKcSdeji8H/abGie6eVEPx0Nljfa9npU+1MPxYKGqcWOK +dwT1cDxcVWIdwvqGUw/Hg5xa3x16c2Oph+Mh3aXWxDw4gno4HsQVP1YenxRA +PRwPVRVelkflsqiHw/ttbS7z2DyOejgeZmm8qOs/NIZ6OPz+w6yVJb3jqIfD +ePNkRkPjtnDq4TAfrqsSd5DPoB4O7+e4lbvc12RRD8fDxJ4fa47WpFAPx0OQ ++M4dotVR1MPh+khU37xNIpV6OJyf42NSd85OpR4Oz/dCugS/PYV6OIzX66Y4 +LjCIpB6OBx8bwz6GrunUw2G8ynaqDp2SQT0czg8Fn2WKu9Oph+NBd6/aroRT +vtTDYfz+vGRYVGAc9XC4fzBn1qjFpVIPh/thuVrR1rNx1MPx8HGRffDu8Hjq +4XhoGrzJN6cymno4Hur1Fp+YMDqaejgeXB5LHAptj6EejofLT0sOn5iXRj0c +DwffOqindydQD4fnh3MJDS3x0dTD8dBYvXrSktBY6uEw/5KT/64/OJ56ONwf +tyrOWN4RRT0czg+Z6JcZVUnUw/EwQkjKHLE0lno4HpbFXczUvZVAPRwPymuP +X3sumUI9HA9jsnXvDP0bTz0cxt+/F47ESGVQD8fD2yMrWwsHp1IPx4NpiWjF +J7M46uEwHzlUW2u6zot6OIyvRo27lccFUA/Hw58Y64vqeD4gHo6HxPKWtSr4 +vImHw/jzu+J0wC4/6uF42HvpjmbtvmTq4XD/c04aPlI6mno4Hvo+DXhj8zCZ +ejgeRqlt8NaLDKIeDvff+FD3Ex3J1MPhenBvj3fsiaUeDvNzue8jx//ne//n +4Xgoib6qeVT0z8Ph/H/5MurZ+FTq4XgYu0Tz1SPPAOrhMF683rSTL46lHg73 +91PT/xTsTaIejoe/K7Ruex4Oph4O98P01wuuSKdTD4frdaL22R6VBOrh8PPz +AnVyfiVQD8fD8OkzXZZKJ1EPh/Np8pv0JRiviYfD89QahzHBW2Ooh8Pz71uz +BTMTU6iHw/thbDvQEPd/4uF4GFngdc5jZgr1cDykKt27sbUgkno4HoovOj1c +1B5CPRyeL06Vr5skEUU9HM4nm/I39u6R1MPxELV39zCt4X7Uw/HwRrzbwXCA +G/VweF70mFRlODybeji8H326X7WYu1MPx4PdmVetIzG+Eg+H+UX6xUQntUTq +4TD/9xsY8qUugXo4HmwsXYIOX06jHg7P87sTOkx3xFEPh9/3i6W/Yd906uEw +Xmh6HZQOjaEeDs8711YV5W3PpB6OB6szK08M2xFJPRyu7/M3glTLfaiHw/Om +8gPz+swo6uF4WGjg4953RgT1cJgvHbDM//HOi3o4PG/VLpLNto+hHo7///+/ +AfFwPOTFB23/nf7Pw/FgaHRQo31kAvVwuF+8vHF6dmgQ9XAYj6+LXVtuE0Q9 +HA+O3ZlhGhUp1MPxMCis7sxClUTq4XA9l/ovP+QdTz0czl8zfZ3+P+Kph8P5 +brzUNjc1nXo4PI+t6+smrptFPRyu15XWI2sao6iHw/1SY/vlpOUx1MPh+zV4 ++85OyKQeDvNX3wxLpzX/PBzePzZIc6h9OvVwuD8eLTaWL4+jHg7n84sA7VcY +v4iH40F/raS/ZXoc9XD4fJ+2DikOiaMeDuNJhv6FxW5x1MPxUJbueqAGz5/E +w/EwbPcmDdWWZOrh8DyQ3/rw3pAQ6uF42H7yjf2fAG/q4TDfnDzOredIBPVw +PGh4ZNs5T4+nHo6H3Pbl4Za/Y6iH4+HrwoFShonx1MPh/rl35Z8/JQHUw+Hn +61ezIzM9qYfjAYpjdl88lUw9HM7fbfOWueXGUg+H5/crkpdtViZTD4f5wi+v +Pg90/3k4Hq44DrI9Yp5IPRye/4dKvlhdHE09HOZjadMzHKfGUQ/Hw3OFTKkv +X6Ooh+Phtl7iww+NqdTD8fBgTXelmH0c9XA8OM96n7rkdBz1cDz8PrDjTe2F +fx4Ov2/qo7cNQgz1cHi/tUeP+bo2jHo4jA8/pS9VT42nHo4H3z8LmDPbQ6iH +w3xWIXW7t04y9XB4XvKNjv3TEUc9HObLZ2vdCp5mUg/HwyLXs43Oe8Koh8Pz +9GnD6F1D0qmH4+H10hO7VswJpx4O5+fXRYcmrkqkHo4HP4dMtmTJPw+H8U/0 +ir/ZFEk9HOYHPzIar05Ioh7uv79v7LzSZZ5CPRzmI98klBY8S6MejoeAzo+j +bqvnUA+H1y/cidFQSKMejofJ4rXnxh4MoR4On8/Vo40HskOph8N8ZueuPQZv +EqmHw3jLfnpr1x5LPRyuv0OLiteGR1IPh+vp2bXs0Fdp1MPh/jBDOp+9mEw9 +HM6ne6ZNV5ZlUw+H+Z7vJo+jmM8QD4c/P/uzpGVwIPVwPNydXZ6/an809XA4 +Pw64bfrSGkU9HA9G0rXGY4z9qYfjYcC+ek1N7Ujq4fD8NVnL/Buev4mHw/0u +Y6DWio0J1MPx0F/ELA0ZEEk9HH6eQn3bYcUI6uEwHuT87vpyKYp6OB5iHVSD +7HpSqIfDeLc2m7XySKQeDufT9cqmSs0I6uF46Lk9xVA5NIN6OB7OGFqvPR6Z +RD0cDybVfUuHnU2gHg7PH5FXdmUHJVAPh/FfskbcbmUi9XAYf3fJKL+8Fk89 +HH6/sYZHk5WjqYfDfCzK+2iXfwz1cDys3OEyXGd/GvVwuP/JflrcJO5DPRwP +76vra9v3pVAPh+etpE0b3RsiqIfjobncNyNGMpB6OB7s1x0zrngVRT0cD2Y+ +BUo7M2Oph+PhQt3J5NEPUqmHw/OAgYcZJxFCPRwPp21Mvd3kEqmHw3x1iWPf +wLh46uHwPOF3ePKmtiTq4XiI2/a5Us0ugno4nK/NsspZQib1cDxwI06PmtCY +ST0cvr9pRbr20ETq4XjYtWf0KcfKJOrheHBTHax6VyyHejhc7xNWtBuWJ1EP +x8N41dqhKu9iqYfjIe3C8DmGNXHUw+H6mK1l9/hGDPVwmG9cy5dLWZxAPRzm +A2MNuiYuyqQeDs+7vo+yE28mUQ+H17Prq/m7L/88HO6vy+vLzlZFUQ+H+aCK +sUlJUwT1cLgeLocvCetOpR4O411cjsKjoHjq4fDzfo5O3xwVTz0cD0piP2Z+ +qI2hHo4Hr7u1J6bJZFMPh/dn28DAUYXJ1MNhPDjqc8BaOoR6ODw/7cg14X9k +Uw+H+ws/K0UrOpJ6ONw/Jw2snoTPm3g4HpyWrygPxP2aeDhcj6Olmp2UM6mH +w/NSp6Hrgu3h1MPhfLR65HCzIZ56OMyPGyR2bzVJpR6Oh+Brw95Pqo2kHo6H +WpnRB39UhFEPh/tL+/gV+t3R1MPxEGMR9VdtRxr1cHh9XsMNxVWzqIfjoUF7 +rcmxyQnUw/2Xr6y32zItnSMeDuO3+5Ygqe+RHPFwuH9+v2gXIZ7FEQ/HQ3yf +m63f5/hSD4f5RcjsiPJZntTD4fnqhvirhQuLOOLh8Pkm7ezQm5DFEQ/Xmy8R +D4fPt63m7N6GTI54OB4+nHmmMtM2gyMeDvPf/SEdmTn+1MPxcOmzQaCaWAz1 +cLg//FxXIchVcMTD9b4/8XD4fN3n/onZnk09HOaD5U2GT3LCqIfr/Xni4TAf +VJj96ox1Okc83H/P923w4LeFHPFwOH+PqZRV52ZwxMP1/j7xcJgPHHQ7PXB4 +MvVwmB8NU/R39y7miIfD846mo77MtRyOeDgeNmaWt+uLCjni4XB/st6d/rks +gHo4zJcMRoRcPJPFEQ/Hg9jmxpdLHwZwxMP9d9475i09oJAjHg7jver5Pl3P +c6mHw/intWfET6VQjng4zKdjQVXRIpB6ONx/tyXm5MtFcsTD8WBxPmLMnwHZ +HPFweB44EK4ty8dyxMNhPv/9k/bPkR7Uw/Egr7p4gm9UHPVwPHRxban3XmRy +xMPh9by1HzWgNYB6OB4qDaelrZBK5YiH46Hfn+3l17dlcMTD8bA44fUu8YeB +HPFwOB+8vpj4fE6kHg7PX2nffWvPxnHEw/Xef+LhMP9XNF0voxFOPRyerxV0 +mVzTaI54OB66r5wxXtxSxBEPx8PNsaKpj8IKOOLhcD72abs026qAIx6OBx2n +cfGqIcARD9f7ecTD4f7b19PW6Ws2RzwcnvdG9dHLrE+gHq7354mHw/Uld7Jp +wAtvjng4HowVx+TmzojhiIfr/Xni4XiwlQ9dFKBWyhEP1/s68XA8/NR+rSs/ +KpcjHo6H62UXL4ttz+aIh8N4Envuk9GDUI54OMxXrPR2hGgWcMTD/ffvs+/X +v/7rSz0cvv/nmSeyv7pSD8fD0cNtwuCPaRzxcLj+7dlz15blcMTDYXy7Vvqs +anEZRzxc7/URD8fDQDtrnRn9ojni4QTYmcqfKGzNpvXhBBhxKW7d29QiWh9O +6O2f8z8PJ4BJ68jAC5XRHPFwAtjfctox2SCZejgBFn7MHLwhJoUjHk4ADb7R +7kRhMa0P1/t+xMMJkKMnFvDILIN6OAHOftvmJbH1X304AeoUNdbcqM/niIcT +IKTuo+8Qy0yOeLje9yMerndMPJwAUiWVfb5vjaP14QSI7lv/vOitK60PJ4BF +cb9RPtfjOOLhBFj191njZ4zfxMP1vh/xcALIzHM1DVIro/XhBNggFy5kv/9X +H06Ai5Khp0wGBdL6cAIUuOp8H3EtmtaH630/4uEEcHDqvhSYVkjrwwkwd9Nl +7+ieTFofTgD9oDlxftcLOOLhBLipf9X8t8I/DyeAs+HAa9sOltL6cAJcSnKy +848rovXhBBhZt842Df7Vh8PPfxtTlxvF0fpwvddDPJwAchZ2l1dYRND6cL2v +Ew8nwIzwrSV+872phxNAZ2BW+hmrZFofTgDJgIHPl87NpfXhen+feDgBGuvc +8oNO5tD6cALYtR+R3mzhT+vDCTBNJ9k8PDyeIx5OgEUuTT9GWfnQ+nA4vz7P +9truWEjrwwnw4kD68UXSRbQ+nACRYm8GLLaMoB5OgOAVC0y5oEyOeDgBxLVr +BlqEFNL6cAI0yMr8XXsykNaHE6B/iVlqiF4g9XACLFPc0eeBXDitDyeA6pUS +Tdm6Qlofrvf7EQ8ngOKzqf3Y8gKOeDgBrm4wb1mTnknrw+H7u/9pmfQngCMe +ToCmrYbSLVrxHPFwAtzfPz8rHApofTicHw+8wxunFNH6cAJ0lUbL/O0fxREP +h/O7ZobiPZ18Wh+u93qIhxPgQ+GOq89qA6iH632deDgBjlamnFng5sURDyfA +yqODnQa4FXDEwwlwUNbg5i7tDOrhBLixIuXy7tBE6uF63494OAEyxKSmbIqK +pPXhML7EFsue3+5FPZwAS+RvaGYOzaP14QQYwGeqxBtkUA+H88NIMc76fQqt +D4fxKD6meu2ldI54OAEGjreNCxuTTevDCXAkVHLMRVMP6uEE+HH5UW1uSgCt +DyfAtxuvwyTbgdaHE8D9XPSRUvk8Wh+u9/qJhxPANWtAtkFWIPVw+Pnc+1Dx +gRnUw+H6fFLekKsXQz2cAI8Tkr81HM3miIcTwChlqNng/XG0PpwAaSa/S9od +/nk4AURr9W40vMjliIcToPRd0qLg3BRaH06AU/YHnz1ySKf14QTQlZB5m7Qt +l9aHE+BnoF3Op6ps6uEEOP598dklr29QD4frYbdwtWu3H60P1/v9iIfD6x96 +jw+rjqceDue3XqPk86uhtD6cAO1LPvQsjUvkiIcTAKSK8xTib3DEwwkg6708 +at0zT1ofToCnA0ae2zG4nCMeTgCXt+Lbrn6Po/XhBFBfo7J5WWYYRzycABMc +lupV4fmAeDgBRi22uNKTm0U9nABiu14BNz2f1ocTYH//qmnl06KphxNg2HlV +18SxUbQ+nAABqd3xn2XLaH04AWxn5SbIfMyk9eEEWHNr/pUAWR+OeDicf5vu +7vSUSqL14XrvD/FwOL7S6Ho5Ip16OAFif87YcGSmN0c8HM7/ZSeY6tl5tD4c +xtdCTfdco1KOeDgBwpev8xwvGUPrw+H9Ctte226TSD2cABu1NyTOPF9K68MJ +UFz34puxXib1cALEPa/eqp1ZSuvDCSC9yjHfalUe9XC910s8HM431aCHfGgy +rQ8ngFf3skKLXyHUw/X+PPFwvWPi4XC9WJx8pDQqgXo4AQ5kzBaf+jWTIx5O +gPORP+MmyMZyxMMJMFrh1o8tun7Uw+H8vDZstZZ9AK0Ph9/XU9dtvPMNWh8O +449dnkHt6XKOeDgBQhclGCXM9qYeToC/814k658r4YiHw/W0b9azuZblHPFw +AjjdZ2X8TSpofTgBuOXb546+kcERD4f7seaWRWv/ZlEPJ0CWTnO6y6Ewjng4 +3A+6fCUcPVJofTgBHIPdl05bFEM9HN7/bU87ZVwiaH04/LxH5yXmS8dxxMMJ +wA7UWbS6PY8jHk6Aj4Petht+L6P14QRoMxiqPNO1jCMeToBU84dxTodvcMTD +CaB95umkFwHR1MMJcH1rgFLNgDxaHw7zjZWGvzUzkml9OAF83j4+ecQjg3o4 +AaZEqrfJyMXT+nD4fVWvN8juiKT14QQ4yX1tTzQtpfXhBLC++qh7fFAqRzwc +zvecVz0dNmW0Plzv8yceToCknOV2J5w9qYfrfZ14OAHSC3ZOSBrlQz2cAPvO +HuxWf5tG68NhfNBaMbbYheOIhxMg/s2tcd1HY2h9OAG2dY8bMCItiXq43vcn +Hk4A85qE5N+esbQ+nABlojXFCtk5HPFwmN/Unl9aV5BL68MJ8LVFMLps4sUR +DydAnr/JwHqXHFofDuMXbHM4oJTCEQ+H+7vBoPBET2/q4XC/M9n/pcIhniMe +rvd6iIfDfOTOvFOjxYJofTgB7iRoNml9KOGIh8P9Y8FfjctPIqiH6/194uF6 +x8TDYXx4/NmfOV7KEQ8nwKd0KfnXlv4c8XCYj+SkjP3bkcQRD4frX+/y06vP +s6iHw/WU19OjcCCF1ofDfObU5FbH5Z60PpwANc41b/p/iKD14XB//Pr3qW1Z +LK0Ph/HoqmHW/HlhtD4c3m8tKf19WziOeDiMj0rrd6UIHK0Ph/t9iLN01NII +Wh9OgOw1vy1WSfjQ+nACvDZYfjfOPIvWhxNgap8hVsPPXKceToBDm2bXrq4t +5YiHE2Cc9NcfW429OOLhBHhbdEH2T0wh9XC994t4OAHmefvskDIvovXhcP81 +MJp0KzqCejgBTuj2TEhtyaAeDue3dtY9piKEIx5OgNorwQc/5WVwxMNhvBm0 +rGnpjAJaH04Aqz5pQwe/T6AeToB3Px4NUt5cyhEP13s9xMP1jomHw3x0oWul +VEgm9XACxPywWFYhCbQ+HM4v2WEfxRPLOOLhBDCzXDbPRjuJ1ofD5zHJTf6Y +WjlHPByuj92RBfd73KmHw/W09dvCuZuiOOLhcD4fP1N3rSWZ1ocTgJfdFl1m +lMkRD4f3e+dJ4wObyjni4TDfDHjqYF6WR+vDCTBoQMIu2e4ijng4Ae6dCVZ1 +nx3OEQ+H8c7o+WnFpDJaH04AiaF674e4hFMPJ4C8xQjJOo1s6uEwP1fKW3ru +/g1aH06AxbYPg3Ybu1EPJ0DiqKv23nsKaH04vP8Ob77uNudofTgBZubN8xf0 +02h9OIwn+7e+Hfskj3o4jP8rRkfWN5fS+nD4/sq/+5k/q+CIh8P86b77iMQL +UdTD4fO+tFB66Qd/6uEwv9BKs314I5EjHg7zm92ZCVtMImh9OAF+fbTrP68p +lyMeDs+Dk0JzDO/7Uw+H8+le0JQGu3JaHw7z9XdtRpfu1ND6cJgfrp6Rkz2y +gtaHw/PwlttN5tqltD4cxtPrqnP5yCzq4XA/CH3ieumED60Ph+dXlSfy8+LK +aH04AUyfXfKT3xdMPRw+n5sVTzf2y6D14f47vxzc9C2pgiMeDu9feGDjdc04 +Wh9OAJVv76YzclnUw2H+/nvS87k/Y6iHw/snY7btuHY5RzwcrmeLo08rbuRQ +D4f3c/fvlJ325RzxcDgfS19W33ubTuvD9c5/4uEEYDzU6nx/5tH6cL2vEw+H +57ONt0KuLE/hiIcToFCs3C58egKtDydAT0rT3oMTcml9OAFWrFmpNgzzZeLh +BJhvc7vf07x4Wh8O17/FaD5vUC6tD9f7ecTD4f3Tam02+FVC68MJsK5ry6i8 +4mJaH06Aqn1f/VnnUo54OFz/D/lhDbNyaH04XB8357QmiSKphxNgz/TZyYqJ +N2l9OAGirAIOb71QwREP1/v5xMNh/Cga/2SD/02OeDi83w5dc+emZlAPh/dL +aU5C5VvgiIfD/OFDRIDD3hyOeDgBusfE/NSXzOGIh8Pz3ao3jj+3+lIPh/vh +PM9d7gP9qYfDfEQz+Y5qeCFHPJwAwlb9n6FhsRzxcJhPW+V2WXblUQ+H+8HW +sDkrXaI54uH+z99H/ufh8P6EDlNOKyrkiIfD+2la0aKukkU9HObbV5dHTd2S +wREPJ8DhKSMtWrfWcMTDCSD3WU7an0/liIfD+ekP7bp3czni4Xo/j3g4fN7T +d4776pvLEQ8nwLl8TkNuVSxHPByef2brbs2cn0c9HJ63beRMe9ZUcMTDCVA+ +YUO/IJ0yjng4XL/vBoeFKHAc8XA4vyZpByoa3+SIhxPgFT/Z9Iy7G/VwAmia +zDULuFxEPRw+7w0n7FYPSeOIhxNAKfJhyjSr6xzxcAKoLfsScO1jJEc8HOaL +NYPOvtCIpR5OgPxRllUj5lRxxMPh/N8bkJC1IJojHq73+xMPJ4DHuQcHYjH/ +Jx6u93Xi4XD/85eSMthWxhEPh/P1xETF9S8zOeLhBBhr1+f3vJEFHPFwvb9P +PFzvmHg4vL4GkZdNYQb1cJhv6H7knmpncsTDCTDjjrhqZloNRzwc5o+vFVMD +sm5yxMPheW7jyDu1h5Koh+t9f+LhMP8o03lQbJTNEQ8nQFhSm+ZSjIfEw2E+ +JWp8LHM2iyMeTgDFBu6h3oMijng4ARRyde4WrsvgiIfD/K7sd0OXQSb1cAKc +hvv10RrAEQ+H73+/pVZ7Wj71cL3XQzwcPs9+i2cM2sVxxMMJkOk186/ZynyO +eDgB1v46oj0kO5h6OAEMX/dZaTuogiMeToBNk4eNiDPM44iH631/4uHw/BAl +3ZO3pJgjHg7PZ8xjheV6cdTDCdA5vO/Iu8GF1MPhejk6fda6CF/q4QSYEy3e +/8IRjno4AeqTc+6W7PXjiIfD/CBK63arWjb1cAIUlKyNCZQppB4O48efSYrW +kanUw+H6/c1XHLT4Vx+u93qJh8Pzd8mdU11m0RzxcL2vEw/339+7sobuyCvn +iIf7P3/v/Z+Hw/OW8Z/Hid8DqIfrfZ14OIxPMYq2rfWlHPFwAvw0nqHg/DOD +ejicf5stRk26+M/DYT6Uef2J2pdYjni43vcjHg73Z8OeZZ0Hszji4TB/3zhF +v3LkTY54uN6fJx4O88/SzF8FA8s44uEEkLwYr+zK3uCIhxPg97MSpym/6jji +4Xp/n3g4ATTWhKzVyy+iHg7j51epCZIrMzji4QRI+SGf+DT9Jkc8HJ63tpaX +7y3K54iHE8DX+umFi/OzqIfD/XNbduvEtBKOeDgBbvAn55xfWMoRDydAwJP3 +FvWOyRzxcAKU2i+Lz9TK5YiH670+4uFw/ahlNSa/SaMeToChWn/PJuD8JB4O +42mn2GPRiizq4fD81fPEbYthLUc8HOaD6x6tLAkooR4O95s1o0dZVXMc8XAC +PHzqFMdk53PEwwkwxuFEdorUPY54OIy3hsfySvvc4oiHw+dX17DZu7iCIx4O +9xf50Kf7MV8gHg7ziePeU24OKOaIh+v9PsTDYbxROeBtNTaOIx4O36/Ya9Ha +w3Uc8XACLO1RrbfaHUc9nAATB97a361YzhEPJ8Bc6c8NIGRSDyfA5WqHrnTx +LI54ODw/e6sbVS24zREPh/nH6HZXpekFHPFwAszuJ/3LZEUmRzycAE9iAl8d +L/PhiIcTYP3m2rEND0I44uHw/DttVumzM2Ec8XC934d4OMz3QxRsho6/Sj0c +3t+As77iLskc8XC4P33z1pIMjqYeTgDLpX8fnlDPph6u9/2Ih8P586Hl+w32 +Pkc8HO7HMbuFKvEyjng4fD5JZjZPDxRTD4f532F/1Q9VVRzxcBjfK90Ej4Js +jni4//P33v95OIwfdX//tE33oh5OAM8vr9J+jMnjiIfDeFPPBms9zuGIh8P5 +KTXNnf0cyREPh8/jRVffxG2lHPFwve9PPJwAG985GHZpZHLEw/W+Tjwc7l9N +wbvPXkmlHg7zpan7265v+lcfTgC/lV9vvpmfTT2cANvt5Kc99yrniIfDfLD1 +8r7q8/c44uEEaNq3P6gy+CZHPByeT11c3u166UE9nAAV7dunHlMt44iH+z9/ +7/2fh+sdEw8nQF5g8mmVlynUw+F6c+4bV7Mrg3o4nE+uiQeHSaRxxMP1/j7x +cPj9J0bdXQdVHPFwAnjtWqyuOyaZIx4OzydT2241bvjn4QQIuqNpPCa+kCMe +TgCj+KJCK7s7HPFwGA+PlEU98rrPEQ8ngNZsTZubjpkc8XA4/ycNe9K/tJQj +Hg7Xh8yM63FjUqiHw9fD/ph6ZWVRDyeAbrPBtSVrKzji4QT4NC/lcKNkFkc8 +HF7/IImG7E9l1MMJ0NH1cVPBrETq4QQ432L8Oc04kXo4/P4J999pXS2gHk4A +G+Z54P3FHhzxcJg/zX09cct8jiMeDp+HW0ChVmI+RzycAFeutBzb11DGEQ8n +wIDpc/ffULlGPZwAb6YfMhs904d6ODzfKE0xOvQhj3o43O+trK+aLb3PEQ8n +wIWQ1QvH4vcjHg73Z21u2HKDKOrhBHBIE50fpJlBPVzv8yMeToBZk+znn7a/ +zxEPJ8Ck31tdflrlc8TDYb7wZk6r8rQkjng4jFfrfs+5NbSSIx5OgDW/hpzx +vl3HEQ+H+6GW3cDalEKOeDgBPic+Vnojn8IRDydARIGVykf2AUc8nADH/sbU +R9sHUg8nwN76mUlzNUuphxMgeXjPh2bbOo54OMxvnKv2n0tJ5YiH+z9/7/2f +hxOg9Zj+ubGHqzni4QQoUfv4YtWIRxzxcLhfvlpbH2VWRj0c3q/xJX8G3yjk +iIfD8/B0v4lz1t3jiIfDeDFjT8Vc12KOeDg8H4XPvPTnwgOOeDgBdpz4cfGD +Rjn1cAKcEF9g9OABUA8nwM5B13aed6qjHk6ARecG+43bXU49nAAHsy0nphXX +cMTDCeDfpnzP8NC/+nAY71Q9Bjhdz+eIh8P7OSkg+d61GxzxcJg/g8G80U0V +HPFw+H3uypklPbnBEQ8ngE5EpyjILIAjHg7X246xOzz65VIPJ4C845PHH+Ju +Uw+H97NrQ0h2cBFHPJwAbh/dR+rJ/PNwmC/kuO79K9RyxMPh9abDM8Wpjzji +4QR4HqMZ/BtfJx4O44dW4DC29DlHPBx+vpo1vBxWxxEPh/lvn8LikX5lHPFw +AiRK3zzv8LqAIx4OxyvcL5//W8YRDyeA88dDa+feCeOIh8P9YnxEf6X8G9TD +CVB94au1RnY0RzwcrrdT1415p5vUw+H5a1CKrHn0A454ODxfbnrT91xHIEc8 +nABOtvMKrb0qOOLhBPgzJKp0rk8R9XCYDxlMbl/wuJojHk6ALa83O3+qzqQe +DtfrwwV+2eYZ1MPh993iN+7EsRLq4XD/v+M+0jugiCMeToDNM7Yn9TMr5YiH +w/ult2dYjE0x9XACLE+I/TPEtZJ6ODxfp1keff3oLkc8HMa7Pdej1Q/HUw/X +ux6IhxOAPzawydajgiMeDvPvtatmee0toR4Of95YzBJWFFAPh/lM1kFlC716 +jng4AfYn7Py6ZUY8RzycALY7RyfURDzliIfr/Tzi4XD9q9WdPIXPk3g4jD/3 +HVzlFR9xxMMJkPtYJXUqU8URD4fxTsnE2GpOJvVwmC8sujMlb249Rzwcxs8X +Vzc/ys6gHk4AKft306/LPuWIh8P7sSNk/TSrm9TD4fjrbPNfOfnUw2H8atPS +kTcvpB4Oz8OTvGSk+Xrq4QTQHpQd96e7nHo4XL83ZlySGlRIPZwAXbIL98tq +llEPh/nTzlkfrg17RD2cADFr3cdJKz/giIfD/HpA+nvNERHUwwlw533DZYua +So54OAH+/rK4zBnd5oiHw3zj+OkPqV/zqIcTYEH9sMuZ25uphxNg9WyvSZrn +71MPh897afNnvfLH1MMJUNRw5r3rlgaOeDgB+popNRWk3eKIh8P5vbH51CSV +BurhMN+Q1voyU/0F9XAC5MyfuTbRNYPWhxOgbe/uMeafXlAPJ0CGVai+VHUN +9XA4X3fVzE40fsURD4fPyy3p4qDjhdTDCXC98fgw6233qYcT4PZuLSm5qJcc +8XA4/yT1His8y+eIh8PzsbMgfas8g3o4fF7BQ7RDKktpfTgBnu7qO9gssIJ6 +OAHixHbP7llbRevD4c9fm37G7ocPrQ8nwJFg428eZ15xxMMJYBH0OXydfD1H +PBzmS2KXX4xMfUU9HK7PG1MVNmz95+HwfGcr8h34rIV6ONwPAv6G2Tvc54iH +E8B7vPk6x1uPqIcTYMjg5ezlvS3UwwkgUR48btWcJurhcH++90Fhtm4h9XD4 +fSeYXp4ysIF6OAEGB52um2qVxREPh+ePQZdko2UCaX04jG9e4/WlB7VQDyfA +h1GjXWIPv6UeDveT+9+0dMKaOOLhBAh7lDzV8/lt6uHw/djXC1IXFFAPh/my +ke2cCffuUg8nwLVFVS7Pf8TS+nB4/aJ+N3uehNL6cJjfBN44HH/wPvVwAiiz +zlNf6r/miIfDnw+7Z7fL9Sb1cHh+Wx5g5XehkSMerjc+EA+H+ewOpqZ11WNa +Hw7P/0X6g0sqX1EPJ8CLOZNNaxurqYfD/Ev28NSGUWXUw+H5Wkq01a7tAfVw +AtxaOH/JFvGXHPFwAlR2bOw/+fQT6uHwvGx5wW6W/WeOeDgBhDk70wbpvueI +h8P5JOsopeFaTz0c5ocZh18F9HlHPRze335JgTsamzni4XD9pRasHfPpMfVw +mM+HyoYFDnnGEQ/X+32Jh8Pnvz/4l+ziu7Q+XO/rxMPh/HTdtc1xTCWtD4f5 +hty7w0eq71IPJ8DFmf0GTtz6gSMeDp+HoVn2t5ki6uEw3oQ2cBV/PlAPh+fz +4fdtVDdVUQ+H8dVPJuPPtgaOeDgBgt3dKif8vkfrw3VCkt6f75M6q2l9uE5Q +Xq5T/iqqjXq4TngSEL9EvekVRzxcJ3jmF8YpXX9HPVwneHu650ldfEv7pXbC +rfE/f0vZN9H6cJ1gOUkx3MTjCfVwnRDSFcst9yqj9eE6ISXZU90jL5l6OLwe +vkA29nUn9XCdoLs7a4l3t0A9XCfopLkERF1+RevDdcLqh2FrmqrSaX24TlCT +t2zWsWim/VI7Yd9v3XqFoIfUw3XCAxX1NLPoRurhOkFUrVH34X4RrQ/XCQde +t2n/HNfFEQ/XCa0ufgubvr7liIfrBLNMHY0w8V/Uw3XCkQnK+2ULrtL6cJ2w +e1yLzPGuFurhOsHh0mk3dflu6uE6oWrH5HcHR37liIfrhCHqD7Z09eeph+uE +0KiWBX3n/aAerhMeKUo0rTX9QT1cJ2SdqHc5Wv2eerhOCD//YUXKrFbq4Tph +mbxsYUOdQD1cJxSHVkgmXBArJh6uE2p+zTfIGtBOPVwnjO4McSg9INB+qZ3w +s+TAuxPZEsXEw3XCFLnby86fqaf9UjvhnY1ic88onvZL7YSE/rVS5fEiWh8O +719l09vEL/2KiYfrhOYrGeemZv6k9eE6werZtr7z4gcUEw/XCRe+bB7VrNlJ +PVwnKOQ/0tG//Zd6uE4Y5vG4r5HF0GLi4Tqh6RPzw8SondaH64T2mNW6gY/7 +FhMPh+//ZnjR6ckyxcTDdUK/u0lsiZdUMfFwneB+4pRrnuID6uE6YaZo4fS5 +xyVUiYfrhN/N1Qv8vKRUiYfrBOd1uerPWLES4uE6wWJadsk4PbGSO7f/++9r +77+PBP73XwH7//Mht75TuvqJYK7Y20/WBqPZf+MlfX+3/Te2fjvuWXV/EbTk +Jo+13CnNrls51z1QTAShbxzkqm/Lsir+a9dYi4vg28eNB5evn8yO7NjVwwwQ +QWOtTuDcD1PZTi2HnOESIqgo235cNWc6+zjymnUzjjc7a9kbZSiy+T9jpmYN +FMHOkzu7jr9UZoM2cQ0ug0QgV3Jot98KFfZUykOPLYNFsOVFx8DNFvPYXeLt +a2cMEUHWWb28jasXsGq7+v3txjE7WaytXHUROzVfJu/WUBE8/jhmdYT+ElZs +xLwDIcNE0Gqq5tR2bBnbtl9L3na4CAyXDWU9C1awNWW7X7CSIrjAls8OGb2S +TRp/zGvkCBHITL3h2HeVGut25Lp2K45v/j0SbHJZnT14O7ZP7kgRBJ56pf+h +QYPdML04/+IoEeQvmbE3cIkmu+DMI1uj0SIYH96QZRu5mpV62j595hgRLGpt +E98nvZb9rtL/5S8cX/sYoeIcqMU2XB7vc0dKBNtWF/3Nn6HDFr2epxs+Fp/H +FN3VQ8t12dDl2v0OS4sgnam+e2L/OtbJ26RAY5wIVF5IWPWVXc+afjp2aIwM +fv8d04S7Ngas5mr3GW041j0jKT5j4wZWISyuKX+8CHL8qx5eUt3IDvxe7Osq +KwJ9v++rOuZsYj+uf6y3fQLezyH9VuxW2MzeTvjUf/ZEEcjP6Ap9OG0Lm9ZX +rOgPjl3SfTbozTBkPbbJ2t2Xw+/To7+8Zu5W1i57vlLUJLxfX9cwa9SM2M1D +dZqPTBbBiR79FZVbjNnFFqb+q6eIQKLfx/Gah7exMiXH9aWniuCemW5Jmdd2 +9tdYD/EPOF7SbThQtXAH+/JgPFc4TQR9+kW+yHm3k4WakiNu8iLQULYdryi7 +m42c8mTmruki4MD4oc5ME9blhOi1ioIIYP6QeX9qTViLh2KBfWeIoF6vyy/V +xpRdO2uCwUMcvxgdZm80ag+rdGGBRKwiPu+snap/CvewQ5p0ShyURKBnv39X +2F4z9vPiPfZaM/H7VtdqLZUyZ++7O84aryyCvXMtbOqqzNms9x6t7TiebNC1 +dOtJC9ZHPSGoeJYImhtHyL2Yv5d1CIIN7rNF8DZ88lVD0V7WqPPJQNM5IvCx +s/xSk7SPXa73Gear4PuvkCicZ72fnRArfkxsLr7eLjL2mGPJ/umZMOcJjoec +/KD65qsl22y48G38PBGEtYU+mlVixVak64Y4zheB36xLbpZXrNnYgWabdBfg ++tT+8TDQ2Ia9ZHpi8MSFIli8BIOL8gHWstCz7DOOZ7T9ra3vY8vqjk48XrpI +BForj25+/syWnW1TquK1GOfL+HkKD7MPspKVT9vMlojAY6qpYZPWIZaf+CV0 +0VKcr0WVM3ULDrEPHQZskVgmgktKb6pUlA+zufcmDm3AMcxb8/pq2GE2QHFR +RdJyETRdNZ27e7Qde+Ks3olTK0RwpdnfKtHVjt3x3GyePiOC79WL11j1PcKu +XHDy/SRVEZyvOeYYeeIIO9nNK5zHsc4W50L9b0fYfm8TDStWiiD3tikcPnyU +faNaNsyXFcHEYzIrB385ylb5Pbu5V00Euy54fJK2tWcTvnw5uVRdBIckQ5yD +PtuzV7QkFgzWEMHfUT3pnocc2AORch9f4HiIncncnk4Hdv3PRZGpq0Rwmt+V +33j8GDtv0zojJ00RVC85/VPl7zF2dIq55IbVIjhTp5zedfE4+03sVNXUNSL4 +NbL6ytwRjuzTnd6nv+K4c52/xssgR7YgL2lh1VqMX2H3r/5WOMEGS5a3+2vh +fP/lJXs95wR7en9DlKW2CAKWfYzzXHWS3V3WYbxCRwQf+95tGfDoJKs+fuDI +YboiuPF4ynmRxSlW/sikmiYcjxuSy67+cYodcHuxU4aeCN7Xadwadv00+15e +f/G5dSKwHWP3bI38GfbWaQvRJn0R9Mt6LNdRdIZNeXIqZvp6EWSof9owaIsT +e13FZ/t3HL+aM1nB64sTe+hy8qhaAxH8ftY6o3K1M7vxdXlt0AYRXNznVXbc +x5lduPy5s81GEaglzS9sbXVmx3rzS1ZuwvVk86BdfOFZ9kf7wC+Sm0XwbNfh +ua/On2VfaE6Oe43j16OG7Dn45CxbHLpkZ/YWvB9/3LblKZ5jw7v0x1wwxPlw +7EVn9slz7Nn1e+sMt+L8y78vsf/eOdYs4fQ5RSMRiD9hDtVPc2FX9/Vd9hPH +S26/HdB53IWdsS2lo85YBO6HL8XW3nVhB2VXxIduw/hw6uF0w+nn2fYhL3Yd +3C4CM+fDpgGnzrN3zQUp9R34+pc/8zwenWczigfdGbVTBEVjJQ+rzr7Aeo2d +cv4NjoMnK3eHX7zAHj24dEXeLhF8Hlkfkd98gTWsWS9c2i0Ci1vHNc+vuMgu +nbIv0dhEBLH9r3Di/hfZ8SfOmCibiiDFYG/Lis6L7O96X+keHCtMNHdXMLjE +Nimn3r27RwS3U+6FVKZeYkvP37wQYYbxa3x767ihl9noly8YO3MRvDT6rDrZ ++jJ7YXFn5yoLESxUkTj/4tZldp/74GSpvSJYs8LYXUfZldV+P2XPOxwf+dKz +9ICbK6usvkymYJ8ItnqJbVv12ZUdFmRw/8p+/PyVdnW3Da6wX4R9l3ZYimBw +s/reQTlX2Ae6TivnWOH6XWLe/4f0VTY7xu/bXxw/fgwng09dZX17UlMeWItA +c+K8hI7XV9njhpVm0Ta436ldMe1e68ZuS28cb39ABHYa3s5ZaW4sM/DrgzW2 +Ioj5OqhJbuw1Vs50iOu4gyKI+h65UfXMNbZP4VS1jzh+UiCWP+zdNbZl1PLv +RYdEMOzYg1Z3g+vsTesNadcOi+DhktDMisLrbNzN/Ra77XA+3Re6E6a7s5cn +Ok+YdwT365aNXqynO2vl4P+w31ERHH66wPBSjzu77l7alUc4vic4D1db5MGq +KFapx9mLQOyi+6sxNh7syLMvu485iOCY6+HBGtEebGfD13TtYyJwq1x3svq5 +B/t4/tB9sscxnratlosd5cnmX50mJ8Kx+ymHV891PNnAN8sflziKoPZnV9J+ +F0/2pOpGN48TIojLb7DR5zzZnX6Wq/acxPv1SXmk2zdPlv3i/HPBKREsy/nm +MkXFi52qFZApfloE6sOWZw639GLFItP3P8Vx15Ou85uivdi2H1WTEs9g/KuX +b//y0out2dj05IQT5kNR+fdax3mzScnfruk5i+BTbaicymZv1k1s2Gq5syJY +n1pa8cDdm7XdKf/7C44lPMWS6+q8WYO8Fdll50SQHahVOmGgDztfcpOVt4sI +yuv2frmp6cOO2W81xeI87gdDFsrfPOvDfi89+2zxBRFIyzrrjAcftkEm0H3g +RRHkhUrpV//2YYvsMtY8x/Hj0YXj65b7sqF11T3Jl/B5N84Ome7oyzrJv8o5 +fVkE1otmlTzJ92VNT3dZr3fF77vM2qapy5dd9WTYtClXRFDZHOzFLPZjp6tM +fy7g+EFfqwm8vR8rcZnxvHkV1/f6U99+5fqxH5o3afm5icDe6OignV1+7O1l +1n/3XcN47vp51Zgl/mya17m8ZddFMGvmOY8px/1Zj/bAA0PcRXBuV9nz8wX+ +rJ1mpvxLHDdOXzZ85S9/dnNozYs0D7x/2w6PXacawC7ueuXl7CkCy+xPTZlO +Aey49d+1N3rh/QHFTTblAezP+OF95b3x9z+G7jolHsg29lG48Q3Hdxzh02ut +QLbEWPVgtY8IPK/+eh/iFshGZm1WCPQVQd24opWp9wNZlyE2L638RODYadow +SiqItTB38WH8Mf+q0o+5YxzEri0O0h0eIIJ9Y19ceRkWxCqNzerXjOOh7Pbj +Gm+C2CEHawsyA0Ww317O6LdSMCuqbj7kEoTzq8pWasihYPbe5O4ZW4JFoDzW +M9g2L5jNdJR8pRCCz3NK5WOFnmDWu17BrxvHjidWpy/QDGEdlFeuuxWK+aXb +2tG+V0NYo/NbxELC/ssHf33SeRjCLn9pU3QgHPOHbLcZW2RD2QmLz9uxESKw +ujs5LdsslP1zPVhpZCTGy6Rn1ntTQtnmd1nNLTj+/Pqe7oFvoWy52i3/nCgR +OLVMWFazMoyNCXytfzEa99eO+3LHLoexF4VucaMYEYyZ1v3OsT6M3a87olgp +Fve3/YFO9yeEs7oxM47+wvGRc3n3T+wLZ2f3rFS+E4f5g7he1fGscFbS0LAl +LB73tx0bdG/1hLN82oHAQwkYj0x3xObLR7APJS4YaCRiPhOb/zRbJ4LNNQmR +GJMkgoHWc7IaDkWw/gXZJW9xLD58/kwl/wjWcVSdfX6yCAo3zlsUVRzBbrdu +meWagvFjb0CN5psIVvXmj9ZtqSL40Xy/YcSQSHbSxJHBs9JE8LxUfdeA+ZFs +PwfFjX9w3GK7Xne6cST75i476H465uvcdr8DzpFs1YytpZEZGB9bKhe+io9k +E5xtjx3JxPnv8lH2xL1I9krDhTmrs0QQlDRNfeX3SNZmfujbsdmYb9XWBCpP +imL1r+aEvMfx9qI5shpro9i5b+o2FeaIILo8vvDswSh2lGrrYLdcEbxzdTnw +0T+K/er7s2xnHj7faZJKp0qj2KefRzqq5Ivg1kDflsUfotiCtUpz+94QgbPs +Tvfxo6LZ4Ai1d/U4lotJkZ+xIpo9/WNrWEwB7vcbq/x3mEezuzce3OJQiM9b +9ePLwmvRrHryxaFaRSIobd3yST0/mp0mFlYhw2E+pKR5g2+OZsV35p5ox3H0 +NtHiW4Nj2He5t+cVF/+XH17aWbcwhq0d/ub99RIRSKZrTvm2K4ZN2fcr3AQw +n7QyPLbWNYa9Xjpq6/xS3E/VP24uz45hD8nMHC5WJoLZzsrpFk0x7EY79crH +OJZ6pnFy3qBYduH/Y+mso7J6mgCMYouFCCICohiYyE9sHQkLuwML7EIQu7BA +xUJRbEURBRTpRoZGurvz5Y0bL7aifvud3T/nCJd7N2ZmPc+eJ3P9mbeJpB7a +rP0wfJI3aBra/3cyibz/o2uzzLd6w48zV2RWySR/7vije8XVGyqKn78cnEL6 +zR55ll/CvCF2fPh6nsTdZuq+vVbvDc+vZPeJT+XwQ8+/0+b3egMX6ppS76Rx +uOHz1bax097A9mntZ7d/IvU9Pz9l+s43MPduf1PTdA63yqQ+9nfewEj5aEWX +DA5HtdS65Xx8A90tzb3KSPysAA+vlr0B+dMNG/0ySX4d6m7RUestZH+173cm +i/R/77b9KLV4CwFLr35akk3Od35jXYrt38Kdty+c9HPI8/FH/a9nb8FRJWKy +ksQd+CSVBZlvYe2GHC4xl/y9F66lsT/ewtTg5tf38ji8/mKe9YYRPjCo5x/r +Xfnk/KEvnNdd7QPt2zX6Ty0g+yn0xKzuF32gJnZMRvdCDoW1xc6DA30gfoDF +hUoSz7P9snRdjQ+8sts41b+IjIdH4s0oNV+4nOYgnCvm8IjW6CkWM3xh15Br +b5aXkPPjfvU5X/b6woKTnpuHlnI4+8y2Z1kPfWFMQYTGFxKffvDNIivNF3qN +yc1MKSP1+2DoqM/ffEG41HLxQTk5P/U7PsdshB/kV/2ZtreCQ/WfA8+Hr/GD +ENMByumVHP7316FqpbMf3L811ketijx/0zorzTA/OC6x2FpD4qtBT+JUmv1g +wxxrzcBq0j//7T1efcA7mPHocPaFGlKf3567aTX3Hei2Xbu8qpa8r0NYvu/R +d6Cy6OWM4XVkPi+dFia8eQcNXpFt30jsu8ejsqrkHSS35/p+qifnW7V018Cu +7+HNGsm2xw3kvB1bqPSb+h6ufvirdaCR9KujjnTO2vse9nXVzJ3VxOEfm53R +/Z+8h8Xbxrn0aSbnkaqtnS9mvYfxUZaz6kk84IB2pebf99BXfdOX4BbST8+f +a1wwwR/a9jm+uywh67XzvZ8hNv5QnORqu7aVw4kvQsbEuvtDxOBX2qOkpH+3 +WxYjS/GHR0ej8n6SuHV832fwwx9O5+RdyZSR7//9JCV69AfYPLJ19jM5+fmV +HkabNn8AOP/vq52Cw+79PD8Oc/sABuWa/nM4kq+PrjvWL+kDqJqM36HOk/nX +X79g+LcP0Ow6V6eJxEN6zxi11SgA0ho3FYQJHC585dEDNwWA38wj166IHG57 ++rfR0i0Abty/PmeDksNVup18xaQAsONffR/dRurVG9Olid8DYPn86A/tJJ55 +o09T1YBAMPHM35nzmcOXFa+d5RMCQeNn62DPLxx+6a3XpZdVIHxboVLk8JXD +T0Yft5rtCIQyP63rFt9If1aZeOPquUCIVp1gPuA7h8GX7a40PAyEp5vm/Wwh +sZnp34XLQgLBKWxzYOQPUh/9P+TkZAeCTe+ju11/kv23KaaPTWsgWOy+obfp +F9nfNlu6dlENguHxXsXjfnMoXs8L+KgbBF21Y278I7HjxoWqV6cGgdShwCK/ +ncPev//+3rEqCDIzpL9e/SH7YdGIe6vtgsB/WIfgI39Jf1TWlrL2WhDcPjNw +77x/HJbWelzf/zoIHIonDBmowuOVxRa1dzEIVo+fXyol8ZrokTFZFUEw+cqW +WzEdeOxy5LC29rcgGFh3dO7Njjz6OS7/crxfMPyaerN9iyqPHrbcXOnYYKi6 +8zrEuBOP6x45dju4IBjiZDH7OnYmP6+vNll1RzB4WhQaFJHY4X3Np3dOwXDx +qazMuwuP77z7xex6Egw7vnZwO96Vx/1DMrpMigiGeUu15y/sxmNO3Zg3/QuD +weit8d9B3XkUNmxw7ywEQ0+VBWEKEjf5O37q3jMEuPVbD8T14DHA/zEMGRkC +uUHHhrn15HFquaJtgUUIBPW4VWGjxqP51itVl7aGgPt27zv/9eJxl67Lt7zT +IXA0NnZB597kez1VZxg/DIF1A4r+lZDYcqj265ehITDNTh7u04fHPzdrxgzP +DwGdtI52p/ryGHd6X1Y0FwJ/9AcNX9yPx2iTAifbHqFQd2Jila46j6k+RnMG +jwyFxPwF7gKJF1281LXVIhRej95mldCfxznqytyUbaHgcul4B3cNHodvvOge +djYU9lTditwxgMcv3ectjngcClambw5N1uRR7/zCLxkRoTD21scR3bR4PJ/+ +0FksCoXekqLqchJvfTn718i2UBBBce/dQB43OlgudugTBgUPVRef1eZx54rg +o1ljwyBMOUh12SAek7vetp9mFQYPrEyih+jw+Ldb3eTI3WFw0muhQxuJy4/7 +Ji5wDgPr9m2jkgfzuHw430v+KgxmrTlRe1+XxxtuAeov4sNA/8Ntj916PNa2 +cBk7asKgQ9e3S6bp8xih6mM8sz0MGrfGdeo5hMdn9pWzhg8Kh5TI4pgqEo/a +eVmhPzUc3vbjDn8w4PFX6rPJY9eGg+u+TqPPD+Ux3MhA0+pIOBxI0qlfMYz8 +e04X55N3w2Hp4P8eDjMk6+3N3LPRgeFgfNRq2VcSL11S+EMtNxzUc2y6pA0n +49vntdKeC4cvI05+fDiCR8dmP5uWnhFQ4uR2ZN9IHjscLzc/ODoCIsvejpk5 +iseSgUNud14YAY8nYkMvI7J+sw/OCdgdAWdcSx7Vkvj73MDl+1wiYGsjtzxo +NI/fagujJntHgNnMzt0ujeHxwdukoxrJETDs/mBcPZbHU2v3nlNtjIDO/H/H +RozjUbrKP1u1YyRI5i0a94PE+aHHNg8wiIT0F7ZN6eN5jJ/xbuTUOZHw7sfJ +J08m8Fi2fsKIA1sj4eaKOysPGpP9YNSyMuhcJBzy8+kOE3lsPBn0tuvzSFih +Gh/f14TH6gQHvUMfI2HSptLjDSTuMKpLkKQqEjTD+PGh//HY9/ziDQ7tkfCj +V5cW50k8zlpk0E9tcBRU7NJ9ts6Ux9GRWwsiZkRBLE5abTSZPP9VvccR6yh4 +PnBxz98kLr3ntN78dBScd9iemDWFx4Lkvv0MnkSBbcapk8+n8vhTc39035go +sBx219h+Gln/g7cv7VcZBSPO+ErMpvP4TyMrdejvKOheHP+8/wweg+XHdefq +RIN8XNmaZhKbTp+y+MSMaMh2EdQiZvKoPb98cax1NATUdkm+OotHoy5jtfud +iYY7U/VOb5zN4+6B7X7HnkaD4x1Tk7HAo2qWRrsiNhrWyBZL/5B44+A5XY5U +R8MUix2euXN45IPmJfX8Gw3aT0+ve2nG444GYWyoXgz8/nK3t6M52U+BHWYd +hBioWeKXYmnBo07WHG7ythiIf5NwRtOSrNe79lP6XYiBV//K/mslsbfaqgHt +L2Pg8npRFjWXx5dtPue/J8bArqCur67P43GCz0RH1aYYWNBDf8Pm+eR9Z79r +0e8cC6O3T+47YQGP2x0LihaPiAW12CVpKgvJ9wfbTnGdHwu8xs5zBSSWq2t2 +K9sTC3kHz5i+tuLx0kbvOZNdYyEk1V1xdBHJh7/SKl6/i4X7+u+85i/msWf/ +SXnDsmPh+InEjdpLeHxu93xQCB8LG/LL+8lJvMolOmRl348wY7TyU+xSHiOd +pt/vaPIRdC91O39rGY/Tx+VGJq76CP8q9adsW86jQe9RA92PfoT6SVP4iSvI +fl/6+b3jg4+QdHOpt+pKHg+nVdvviPoI3i07NxWT+OHfu5t3Vn6Eq3C2/9tV +PN4f8fHAsT8fYd/DexknVvOYqdf54QP9OFisfHfBag0Zf0f18k9mcTDeKmnq +4LU8rtx83ajHjjjo61UhcCS2StS4ZO0SB22/lW9wHY8Jk1bWxvrEQdHq7lvu +rCfj87l5yvjMOAj3HzJg+wbyPXJ7lwAuDh52mZo1aSOPeaF3k+f0RTi1ddml +Ltbkfe5J5fUmCJsjd00vI7HOWfVvd9cgQL9zSt9NZP338q1adQLBYN99n9Ob +eZzmNv+R4RME1aT3W5ds4dHur8vwznEIzTrJmvpbST5r/3vqax1C2pHKbJHE +Bvyr9F8d4sE3u+1y4jYeE5f8CLnYPx6uj+gx854NqZeHF55UHx4PB50MPu+0 +5XH91a2afpPjYVnZVL8p23lU76d6deGCeDCZuNym+w4ehzT/zhA3xIOG6+6B +lSQe91+/qhf74+Fbw7nc9zvJejnfPXLt2Xgom+Hhcm4XWR9v/awH3I6H6Hv+ +s5bv5jGwIiqp0jMennLJXwz28Pjq5W+Fb3A8nJtX9e4ziW9V/FfklBwP2158 +tk3ZS+pN1PBjm0viwfxHj0EP9pH1nOGea94aD4Yrhubv2c+jPwfVE37FQ1e/ +aVenH+Dx3Eb5E0O1BJB2XAFqB3lMer62m4FeAmRa7/lWTeKjz830hxsngH+o +k3+AHY8V7vurjc0T4HavBzsuHOLxQh93sFydAA67PuissufR4sdR8627EmAV +phQYOpB6fT2/4cKJBDAdWH3tG4k5a1vd964JoOXwZc6nw6QerGriq58mwM/0 +nj8eOfK4L0R9tVZAAlQNHRaw/wiPLn19Fq5PSIC409N3zTpK+oV1W7I8CxPA +s2iFbp9jPNpk8WVicwJcHLe3qI7Ehl3VDyz4kQA7XM5fDz5Oxuu708W3PRJh +Xu0D88snyPppFAb21k2EUVMDfq45yWPn3QPHnpmQCD3upAaOPEX6hSMBkUqz +RFBIq3f/JLGwal+M3epEyDH/qpd5msSv/xh/3pUIgU/USp6e4fHEJl1Np5OJ +4P5l2E27szz2Sj2zW/1GIhxdMsNyzjkeT17KMfB/ngjr3qz83c+J1OMbyWbL +ghJh2r+9wY0kfmDcN/lHUiLorL+wN+w8j3v723r7liTCn8CHQ65c4HGt8b4G +G2ki1HYPLF1/kcewstLjBu2JkGCbdmv0JR7Hh26wbe2dBF4xNXPbSRwV/+x5 +uEESOGt8a8++zKPnyhVGNyYlwZ6DvUJfOPN4UcPg3575SWCVarjfwYXHZV2j +Bi/ZmARj9WcOtbjC45KLyeemHkyC3idWlWtcJfn7P95gzPkkEPP2ubWQ2Fu3 +ruNw9yQoMLo4P/IayTdPLfRGvEmC0IuP/l5z5fHs1gT78VFJ4FEZGGZ9nXyP +XYfvs7KS4MSkTwfG3SD1fsQ7/9W1SbDxZu2wfyT+PX3nncNtSTCr5VtF3k2S +X8OLnz/onAz60Pvuq1s8qv18WZA0MBk6PBy+8Mht0p9F2Rl9H5MMjeJMlXlu +PHp1rnsxEZIhZeHqCK07PG6e88jEcWUyvH21305K4rphs2pidibDtd8Xh8fc +5fFm/MEXPU8mw/7Vj6tuuPM45mzWYdsbybDEP8h9yz0eWzV/rY5/kQwTuqRb +Gd//fz/mYT48JBnUt9Z16OhB9r+L2dQ7qcnwJeJ7ZCGJVa88MulUkQwlffvY +ez/g8WrUzPHnuGSI3Dti5PGHPJ65/mnkP5UUeJw4q2bBIx7fxJfrXNFIgTM6 +a+4PeszjPEe1rpqjUmDLkQOLFSTuq9He/G5GCszJvqQa94RHk30WYQuWpcDQ +EU+ibz8l/b37GQeFbQp0cgp2sHlG6oOqmdaDYykgKU0f9d9zHkOcjbwWuKZA +unF9bacXZLym5/Tr8DwF3l374VFC4s2PU7fGB6XAzYY+S308ecw47HvNJSUF +Ds0Y2fnUS7J+WtVurCpPgRX3ZscuekX6+eMu20dyKfAft8ZR14vHD6KfWscO +qTBg3sHRAomrz/S+3KiRCt+fX66Pf82j5LFlauaoVCj//uThXW8eFw+py42e +mQqxy0OW7XhD+uNpjs8Cl6fCc9+MLpPf8jh0z+0x/jtS4XzHho9dfcj8uKQc +CzyRCrbWP4+Uk3hlsvfp6BupYBnad+w7X5Iv3uLkTM9UGNFrVOMZP9J/9H71 +uiE0FbrtgsdL35H+dmxlgkp6Ksji1q4Y8p70k9d/uA6vToUsLbtubSQuUbvS +vlyZCh/snTHJn/Rrrer9L3VOgzvpT4/d/8Cju//IzBjtNHAcGjpudwB5nznL +DX6PS4M1pzObpgby+LpaU93MPA2mFDU86RFEzl9anR/fXJsG2uN+rawi8etS +r7C6fWnw27lfjw/BpB5tOW0z3SkNqmtGJTiFkPpu3v/xY/c0wClzTqwIJf2d +Q5t1B580eOm2bsKwMB7vTnjhZRebBpekdi1fSNxP+8XBhrw02GXu8iw1nORz +/ePhm5rTYMGTZ6sfRpD+78a7U9U/02D0l9Ce+yJJv/0Xw3f0/gRqS7ISZ0SR ++T84ZXfb0E/Aezee7BVNvn/EvasuUz5B3t9fxrUkPpmzTstg8ScIXqfeGhhD +xnerat/EbZ/gXqDRi4uxPD4ep3Vo79FPcKy72drVH3m8Vqc+Ucv1E6y3Xd9r +RByZ7xNHV2Y+/wQzYg4lfydx5pKPuc4hn0BX48rpdCTru9ce73mfPsG/A89N +nsST80tsW26v6k9QnxImPZDA45SI8kWVyk+QpJftOTuR9KOBDwcEdEkH7+NN +6/om8bg6xM/omk46XMn73buBxK36rpf3GqfDXqP+qSHJPGZ/CBy6fG46LLo4 ++qxzCsmXhx6ozNqYDuMqzSatS+WxLS18kPGhdOg7aYN8VBqPY4+5HDS6nA5t +N+xf/SJx+K2zX0c9Soei5isbsj6R9zurHjD+QzqEz37R93k6jzM6x3hMT0qH +hw/C0w5l8HhgjsJncVk6nBKzz5llkvzgOahhB5cOmxY2m/bP4jGUi5p9qWMG +zH7Vrmgi8cIFs2LeamXAkN/9X4dn8wgjtVYXjM2AjqvHWF/NIee19VGdVc0z +oPm9ufrGXPL3VO9nTVuXAWmdN6aPySPz8WqQ97EDGeC7xeH8HxLfV4u+HnUh +A65HXJ2Sm0/6k/0NZzo+yICDfT15zwIe71l0PLrifQYs2xvhfbiQR2e7K/Zv +EjJgYmLOJssiHo/r1e7vUJoB/XVa+msW8xhTdXS7rSIDvjr+yZCQeIBrxKr0 +DplQmqVxMaqE9ANb+kybrJUJ0cPHTrteSuq9TNLHd2wmPD1nIW4qI/tD412R +gXkmnCvd+HZ8OckPOomXPNdlwjbjw1tUKnic6XFfe/jBTDC/dm1AAYn1Dt+8 +G3AxEwwbPLO8Ksn56EtfOTzMhC4zIi8dreLRNcZFv8Q/E1rdc6fPr+bxR/Tq +sYeTMiFD0aIcWMPjHajrpVGeCe/n/vWRkdiRuxkfw2fCrecDtsXW8ugQnzBn +b6cscPg+VutWHY9aarWugwdlwarlljlb60n9PL3gSfGELDD1tXae2MDjFvVt +dvfmZoFWR8eZqo2kfxp9RWWDdRb83Oj6uYjEo0/oLR3mkAWVIS/93jSR/rmT +y6rPLlnwUS3K5kQz6ddMdHulP82CFzvzBlq1kOfFmh9/HZwFF+IkuToSUu9C +V99y/pQF27X+uXAk9rgcuPRATRbMs9ecja1k/wrBoeu/ZMGo9HFf3aQk3/aO +jLXqkQ09hs59bysj/dNqne3mQ7JBcWrT9kly0j+5TnkNk7Mhp9BxUBcFj75F +u86YL86GwLHX80tJnPmuY6uVbTbcdX511ZfjMaVhe+P6E9lwpCYKTvOk3r1u +2HfgVjasnZL/bbHAY9zhklPOr7Nhqlurv55IzgNGHn1eR2eDjvTfDpHE9r+P +D/+Ulw1/zLQGJypJvj6SH6lsyYbax+ML3dt4nHr8e8qQP9mQ8Hmu687PPAbM +mb1wTf8c8Fq82WzKF3Le3PFz9m2jHHD2PvKj21ceN+Wd9sqBHNj993pABYkX +fdJy7L82Bxau89r1/huPg2R6fpsP5MCYwGjdc995DBrTYOl/MQd6dS8oWvaD +7L/KIOj4KAdEG+l1g5/kPOlZ7bEpIAcKolUsPpPY6mTovNiUHAjtP/BX8i+S +3+MuLzKoygGPAxOCPH7z2Nvg6cvrbTlwImXenj3tZH5858/93S0XNupt0Z/+ +h+znqEITe/1cmHn8aEnPv2S+et7YJTPNBb28GzerSawfElO1d3EuqBi9tgz4 +R9avjv8d3jYXGi7E/D6vIqBND78LJ07mQkpFQfDKDgIOf/rbp6tbLrz9T7bX +sKOAW+fLVJ++yWU8nIAjKj/eMv2Yy3g4AQ87fZxbVJjLeDgBDcMmDD8hy2U8 +nIDdxvjfc1PJYzycgJeszN5KeuYxHk5A6bljC8y08hgPJ6BPtN2xZ0PzGA8n +YMTTRRPax+UxHk7AR/bjHTZPy2M8nIDbx0+dnmCZx3g4ARNPXbs6cnke4+EE +/PnGfKObdR7j4QTkAy/5/96Vx3g48j0WB87vPZzHeDgBc0N18svP5jEeTsCE +7kHPFl/LYzycgM5tVk0J9/IYDyfguqyuXtM88xgPJ+DYl53LQt7lMR6OvP9I +2wvGEXmMhxNwb9L0ZwGJeYyHE/Ds5fdGE3PyGA8n4LeRRYZh5XmMhxPwrVbO +jRnNeYyHE3DKvvCtyWIe4+HIeDf6PFnWnsd4OAEz2+JnV3fNZzycQPpT7fkH +++czHk5A3R/Zwf/08hkPJ+Dv8T+c7o3OZzycgCpOwe/HTM5nPJyAelGaU1LM +8hkPJ6C59WxdmyX5jIcTcK2b+eZ/6/MZDyfgAuOZX1/syGc8nIC94y0aze3z +GQ8noK+Dw/DW0/mMhxNwgmF11O0r+YyHEzBj+/Pn09zzGQ9H5nNvVn7z83zG +wwnoNPjcint++YyHE/B2nwydueH5jIcT0O9S6vgfCfmMhxMwZte1q/7Z+YyH +E7Bu1aiRO8vzGQ8noIN7ZDf95nzGwwnYXWfZmEoxn/FwZL5vqtx81J7PeDjy +PTPqx2zsVsB4OAEHGXTuoqtRwHg4AU/InLQb9AsYDyfgUmHHDt8xBYyHE3Co +aVrT4SkFjIcTsN4u6uFsiwLGw5H3a19yTm1ZAePhBGxReLhXbSxgPJyA4395 +l3zYVcB4OAEP3bwx99LhAsbDkefFWtdsOFfAeDgBzRYbvjBxLWA8nIBl7785 +9/IoYDycgBf+NLjJXhYwHk7ADz1+fkz3L2A8nIAHly7o+S6qgPFw5O+Jtcdu +pRQwHk7APqk5fx3zCxgPJ+DqlcNeWlcXMB5OwF8pcuu50gLGwwl47J/puIlf +CxgPJ+A/1z799TsUMh5OwFuvnHv26VXIeDgBZeO8NTpoFzIeTsD3iafGfjUs +ZDycgJav1VfIjQsZD0eel3TifOPMQsbDkflKiIysXlDIeDgBb7ZWfy9fXch4 +ODK/pp9nlG0rZDwced/lqpfKDhQyHk5AyZ2BmRUnChkPR+bzz0z12suFjIcT +cPK8U2ub3QoZDydgVHb5fe5pIePhBFT12pHz3aeQ8XACbr407K9qWCHj4QT8 +r0JvmHpCIePhBNRO2DJjaHYh4+EELPgns5xUXsh4OLLf1DJnLWguZDycgBU9 +uhhuURYyHk7AouxXX4/+KWQ8nICdS94G3u5exHg4km9W6qx6N6CI8XACvhyi +WvnJoIjxcOR7snbMax1XxHg4Uh9uz3XvPr2I8XAChq30w7HzihgPJ+Ak/wfp +K1YWMR5OwA1N/QJObCliPBzJN4rBdi/3FTEejszPrthOWceKGA8nYM83n+1/ +XCxiPBxZvz4pgSNuFzEejoynrmni2idFjIcT0N7G0uvq2yLGwwl41enritiQ +IsbDkfc3scpSYhHj4Uh9aLDoa5RVxHg48v5vG3Vsy4oYDyfgkwWjuadNRYyH +E3Dx9IEXy8UixsMJWOzpX6b1p4jxcGS/L5Px67oXMx6O7M/U7MRHA4oZDydg +69R1K6sNihkPJ2DSZecnQ8cXMx5OwL7T1j3dO72Y8XAC7nHPWBk8r5jxcAIe +OF8d276ymPFwAn56crNswdZixsMJOMe4zMtjfzHj4QQMnxI9uOV4MePhSD61 +NjabcrmY8XAC1rRN7uPqVsx4OAGNumRcrnlazHg4AYMWNb+Y5FvMeDgBtxhd +3nIjrJjxcAJuC30Z15xQzHg4AWcGTY2bk1PMeDgB89wtrJ9VFDMejvQLTrHu +v1uKGQ8n4P15z3Zafy5mPJyAd/bX5sT+K2Y8HKnH+mey9dVKGA8nYJrlga2X +B5YwHo6M3z6fizLDEsbDkfVUMMp05cQSxsOR+riv+UjMrBLGwwlYWVgMI6xK +GA9H8t0mpfvdtSWMhyP5p9OEQyrbSxgPJ+C8k865hw6VMB6OrAdteXDd6RLG +wwn44PMarVVXSxgPR8Y3JeZX6r0SxsMJaNrez3rmyxLGw5HxCl8yOcS/hPFw +ZL8mbHcdE13CeDgBgx8vWOOdWsJ4OJKf7MV7QwpLGA9H6o/+svnPaksYD0f6 +C9P1+3QUJYyHEzBe/Pv78Y8SxsORegCTf+l0LmU8HOk34kTb5/1KGQ8noOfH +IZOG6pUyHk5Au+mR+9+OLmU8HFm/jT5dx08pZTycgIO1mzqHW5QyHk7Ak9KN +O2B5KePhBEzV+DskY1Mp4+HI/u8ZN23t3lLGw5H9xrm+bzxayng4Ui9urD5+ ++GIp4+EE/LK3x8OOt0sZD0f6i8gnaveelDIeTsB3G74XjPApZTycgN/re0qj +Q0sZDydgj/tpi5cnlDIejszP7oHtLdmljIcj9eif/Mu5ilLGwwn4JmiC6UBJ +KePhBLR2yYsO/lzKeDiSH01jLi1VKWM8nIAbR1TdlKuVMR6O1ANH/dJr2mWM +hxPwaKv9ZqMRZYyHE3DTvxi9DJMyxsORetssH3gAyhgPR/bvcfnCPovLGA8n +oP9c74CQ9WWMhyP5/Zbqgg07yxgPR37fUuyrcriM8XACzuq2ppvPuTLGwwm4 +cLzO2BXXyxgPR+pHzJhTvx+UMR5OQJ2dh8Q3r8sYD0fqu3vOjVVBZYyHE9Bq +68QlHeLKGA8nYP5dpwmBGWWMhxNQ7us5cVtpGePhBGzLP72iX1MZ4+HI+0q/ +30gSyxgPR/LZxd/1x/6UMR5OwPKqA0vH9ChnPBwZX35iXp1mOePhBBxpbbzz +wbByxsMJ6HF6Sc9lxuWMhxPw+Hq7+K6zyhkPR9bb++MXExaWMx5OQNvmJcvO +rC1nPBz5XoNiw6nbyxkPJ2CosVLl66FyxsMJ+NXDuT74TDnj4QQMuXo02eFa +OePhSL5QeL2d6FHOeDgBz2z6fLXtVTnj4cj3Dp23KzSgnPFwAq6cdW7W8dhy +xsOR51mfVZuRXs54OLJeag3z/xWXMx5OwMs1a66lNJQzHk7AAXpKkxtCOePh +SP3WKs1c1V7OeDgBz32XrBjcvYLxcAIaP1FNah5QwXg40v+4d9cNHFrBeDjS +jxiWbz49oYLxcAL2t1hxaf7MCsbDkX7u4fLrGgsrGA8n4PXzYY4NayoYD0f6 +zW5bpgfZVjAejuSPtpHV5w9VMB6O7L8gccOKMxWMhxPQ8eD9D0OvVTAeTsDP +f9vKvtyvYDwcqU+fm0vSXlUwHk5AE1erN08CKhgPR+pVeLulfWwF4+FIvdcr +C5ybXsF4OHL+0YmU6JRUMB5OwCPRxyVtDRWMhxPQIFrpnyFUMB5OwIG3VKZ5 +tVcwHo7M16ZzLme6VzIejvx9lbn31mpWMh6OrLfO47dNHFbJeDgBq/zVW9WM +KxkPR84DXKaRdGYl4+FIPj9gMix1YSXj4Uh+cNEr8FpbyXg4Up+s9ky6uL2S +8XAkP5+RzLexr2Q8HDkvuTv2MDtbyXg4ATWiGk8auFYyHk7Axqze9zo+qGQ8 +nIDLbTLXNnlVMh5OwB9kDFMDKxkPR9ZTxYps34+VjIcj631P2pmbGZWMhyPn +y4zhWQ6llYyHI/OpaR61tqmS8XCk3g5Ums1UVjIejpy3l3fdMfRvJePhSP+o +XK/bvWcV4+EETGmK2i9qVTEeTkBI/bO4zLCK8XACXpR8j4ufWMV4OAHXLz2N +vrOrGA8n4LCwjYvdF1UxHo6c9133bD+7vorxcGR8Px/ruGdnFePhBPyTum7k +qsNVjIcj51H3qtzZTlWMhyPf/6jk5+gbVYyHI/1fvqaX1qMqxsOR/rLlYHqn +N1WMhyPzO/39vrbgKsbDkfdpfHm5DqsYDyeg0k9vQG5WFePhyPlAvU07rryK +8XCkX1gmd/NvqWI8HKlni8uPP/tcxXg4AW/4umbdVKlmPByZ79jsG+d6VTMe +jqzf2/bhhwZVMx6O5OPGOfNtRlYzHo7M93i1WasmVTMejvRPT17cn2tWzXg4 +Mh89Py2durSa8XDkvKK5ctcY62rGwwmo1q1Hhd6easbDkXzeEPNW/Wg14+FI +ftz6X3aXi9WMhyP1XT5jwe9b1YyHI+Pl56kpPqlmPJyAP0+MndzsU814ONJ/ +NPu+rgirZjycgNcmN27NS6xmPByp/3tf7UzNrWY8HKlXxkEhsVXVjIcj/eeX +svkh0mrGw5H6V9Kg7/etmvFwAga8ezDtpWoN4+EE3KUSf/dh3xrGw5H8pGVo +5KZbw3g4AZPl139dGV3DeDgBd4zFTuen1DAeTsCMOc5zT1jWMB6O/Pw872j7 +FTWMhxOwXb3FZu+WGsbDCfjbuPc02/01jIcT0KKxcZb1iRrGw5H1PGyc3Wrn +GsbDkfF9GZC25G4N4+EE3JlqvGD+ixrGwwnYIfAoN+d9DePhBMyeZBE2PaqG +8XACzm/Y/GxSag3Q+9wiLvxzzWt8YQ3Q+9wi6l68njKqrgbofW4RbxqO6DCM +qwF6n1tEcZnuat1fNUDvc4s4a+SkWK2utUDvc4uo5TxuirpGLdD73CLW/85M +VDOoBXqfW8SM4vxNXcfXAr3PLWKtRgfVjjNqgd7nFlHHUSekfX4t0PvcIh63 +bdj3fXUt0PvcIv5U0xrVZlML9D63iCmrT7Uq7GqB3ucWcWxRlq/kdC3Q+9wi +xlfn7G24Wgv0PreIW87MGVF9vxbofW4R5zrWV5W+qgV6n1vEobMdrhcE1AK9 +zy2iQb+ACdmxtUDvc4vYoXxDWlp6LdD73CLqzzBenlhSC/Q+t4g37otpsY21 +QO9zizjw3/qxEWIt0PvcIu6bP/J00J9aoPe5RXQ+rVa+pnMd0PvcIsa8PSop +6FkH9D63iA+9/SXL1OuA3ucWsTXnblnWwDqg97lF7L1RNWqhfh3Q+9widt5Y +fSV1eB3Q+9wiHor7a2Extg7ofW4Rn6yaq0CTOqD3uUW8nXjLaea0OqD3uUXs +cyeyPRLqgN7nFvGNgaet6bw6oPe5RQwKGRoYtLgO6H1uEXds120at6oO6H1u +EYuNDv7x3VAH9D43eZ9q/tfwbXVA73OLKJ3gVPlyVx3Q+9wi2gf/eqZ7sA7o +fW4R7+TPMnvkWAf0PreIS0sNkzVO1QG9zy1ikuz6cLfzdUDvc4to1HfZjp5X +6oDe5xaxcZSVk8vNOqD3uUW8+nn90Q736oDe5xZR0nPd3DOP64De5xZRQ67P +f/OsA3qfW0QHyS07h7d1QO9zixgxySlF7l8H9D63iO2NmcqdoXVA/SYiJjQu +a6uNrgPqNyHr819zyoaEOqB+ExHVG/bsL0yrA+o3EfFv35D6xTl1QP0mIs5W +Ph+ZWlQH1G9Cxie5y0yorAPqNxEx8l2ybmR9HVC/CZlvr+BM49Y6oH4TETu6 ++Fn68nVA/SYi7unlcsXgax1Qv4mIZbl67o9+1wH1m4iIZkt39etYD9RvIqJN +GPfvard6oH4TEZs2lmxR6VMP1G8i4rY59ReOD6gH6jcRsWaFZDevUw/UbyLi +/g0JfXYOrQfqNxFR79/8E5Wj6oH6Tcj+SV/luWJCPVC/iYixOh9d0kzrgfpN +yPOnbRo9a2Y9UL+JiP7m3V2DzeuB+k1EvDD56euRC+uB+k3I+CkVJ54uqwfq +NxHxvnFh535r64H6TUTsd2OElfOmeqB+ExFfnomz/GlbD9RvImLd+sNfDuyt +B+o3EbFhjfb6ukP1QP0mIs644mq36lg9UL+JiL1qn05OPVMP1G9C4vEjA6Ze +qgfqNxHx3jCVUr9r9UD9JiJ6Lfn7frBbPVC/iYjKgT8m3PKoB+o3EbEtL2nL +v6f1QP0mIra8mDLV3qseqN+EvN8to5g633qgfhMRC5wPtSwPrAfqNxHRY1Ft +ZHx4PVC/CVlvzksnGn+sB+o3ETE16u7SF0n1QP0m5PetLvTpnVEP1G8iouoZ +4fCZvHqgfhOSDw8FH5eV1AP1m5D9P+LF4PXV9UD9JiIeO++6LaWxHqjfRMSJ +sxeam8jqgfpNRBzxNyD+uVgP1G8i4q3Z7hU9vtcD9ZuIqLI57daxP/VA/SYi +nvMYUlWv2gDUbyLi6a6OCYt7NAD1m4gof3d3VkTfBqB+ExGXbF21zECrAajf +hOSfqqtfXXUbgPpNSD2J6zLhy7AGoH4TEb86ef7aNLoBqN9ExHmnjNalGDcA +9ZuIeFdyxGLclAagfhMRT9avibs3qwGo30TEozYvUn9bNAD1m5D1McfQ2taq +AajfRMQe0yJOfVreANRvQsajcbTh+HUNQP0mIr6IXLHWfXMDUL+JiIHqf/v8 +2N4A1G8iYsnojss37WsA6jch3zPIeEC8fQNQvwnZ31Ubtgw73gDUb0LyzYIV +o13ONgD1m4hYqNN6vPVSA1C/iYhmgjDXyrUBqN9ExNWzp7u/c2sA6jcR8dqO +h1vUHjQA9ZuQ/Pys8s2BZw1A/Sbk/boU78vyagDqNyHz+XSN7xi/BqB+ExEr +Z4y3cQ1sAOo3Ifv79YQ7reENQP0mpB9wHD113scGoH4TETXHCKu9khqA+k1I +PR+9tOVfegNQv4mIu4O15NZ5DUD9JiJatujYRpQ0APWbiMh9Gm2lXt0A1G9C +6t9sjVcHGhuA+k1EdFHz2ZMqbQDqNxFRrTzsqb7YANRvIuLzMb1mnfjWANRv +QvbTgbML8tobgPpNyPe2FH8cqdoI1G8ionFy85Nz3RuB+k3I/PQ7U1/UpxGo +34Ss/4+7b47WbATqNxFxlcfx506DG4H6TUQctuRU/6KhjUD9JiIOPzJbPtKo +EajfRMRRTncNT09oBOo3EfFBkmVctmkjUL8J6RdODIvQn9kI1G8iYlF4ey8H +80agfhOyX08+T0hY0AjUbyKi05OSvH7LGoH6TUh9qzo83WZNI1C/CamXVXP+ +BFg3AvWbiPhlcO+Bf20agfpNRPTu6HNt0Z5GoH4TEZ8VVi5/aNcI1G8i4pTI +YweajjQC9ZuI+Ct6buWE041A/SYivv004OmpC41A/SYiWgSG+CdfaQTqNyH1 +eJiid+9bjUD9JiIOCLset/ZeI1C/CRnvqi0xzx83AvWbkP5JObFTi2cjUL8J +yd8T8h+MfdsI1G9C9ndIt6OH/RuB+k1EDHF6/TgipBGo34TU86P2XdujGoH6 +TUg93TQ1GuIbgfpNRNxamRZ0MbURqN+EPO+cTJGc1QjUb0Lqbej+fV0KG4H6 +TUTUztU0ml/eCNRvQupVh4iRV2obgfpNSD7ZobUztbkRqN9ERCtlx7pOikag +fhOy31wsH5i3NQL1m4j4p+nNNacfjUD9JiS/BX4Ji/nbCNRvIqLg8GPQ905N +QP0mIvZ0PxMxsWcTUL8J+V7bRa77+zUB9ZuQfll9wt3XWk1A/Sakf4lSZlfp +NgH1m4h4YunmmRqGTUD9JiJWB8yoshrdBNRvImL2s7Xvzxs3AfWbiOgZe/xd +2OQmoH4TES/d21MmndkE1G9CvudO20RdiyagfhPSn6rWhi9b2ATUb0Lyhf73 +nReWNQH1m5D5+K06O3hNE1C/CTkfeOTMarBuAuo3Ifs5R39HP9smoH4TEV/t +jQ+APU1A/SYirut7Uv+gXRNQvwnZ38vVgx8daQLqNxHx4uPVu1JONQH1m4j4 +eW63GeL5JqB+E9Jv3Pw6ftCVJqB+E9Jv326aY3GzCajfRMSc5c8O7XdvAuo3 +EbFLtCTq7qMmoH4TEd0eXteLetEE1G9C+qXny5/WeDcB9ZuImLb970TV901A +/SYkH2/fVDMiuAmo34TUTxUTz4WRTUD9JqR/Ozfv2P64JqB+ExEn9LTZeiO5 +CajfRMRO4Qs2vs9oAuo3EbH70eSdmXlNQP0mZP0Oe3JeWtIE1G9C9vsFd98u +1U1A/SYiHjE9Uju0sQmo34T0BxmqBrOlTUD9JiIqgrodXC80AfWbkPOZ+cJE +h69NQP0mIu6MuK7v+rsJqN+E1Lv/PC697NAM1G8i4gEcz0V0bQbqNxExbr6O +dXavZqB+ExEvexpk1fdvBuo3If3npa7wVbsZqN+E5Ee3u8FdhzQD9ZuQ/n7x +1eHaI5qB+k3I/jL84GE0thmo30REfl96p2kmzUD9JuR8t+6F3fypzUD9JmR/ +9/hasHp2M1C/iYjpV24b21g2A/WbiLgh9j+XA1bNQP0mpJ6felNwbHkzUL8J +2b+n32ucX9sM1G8i4rstPRZd3dQM1G9CzsPvLh+5bdsM1G8i4uOc2tv39zQD +9ZuQflu37slju2agfhNSH/MXPnh+pBmo34TMT5/68y9PNQP1m4h4pXbvOq/z +zUD9JmR/mfhov3ZpBuo3If1v3dZUrxvNQP0mIq7xWb3p1d1moH4T0i8aW1W8 +eNgM1G8i4sxuneDp82agfhMRo7zmXnvwuhmo30TE89sKIu74NQP1m5B6cOlg +umtgM1C/Cdl/UytiL4Y3A/WbkPhepdvJ2GagfhNynnOfZHkosRmo34Tk/4E+ +xds/NQP1m4i4XvnDYl1OM1C/iYiuedKbC4uagfpNSH5YOSt4ekUzUL+JiIva +wwJG1zUD9ZuQfDRN01m7pRmo34ScH7YNmdhV0QzUb0Ke7+8R/FnZDNRvQvr/ +eTO61X5vBuo3EXH59Dzj9D/NQP0mIuY5aY0JVm0B6jchf/9bwddH3VuA+k1I +v7c7+fb5Pi1A/SYi+l3y/75zQAtQv4mI5s3LxlrptAD1m5B+vs/BseMMWoD6 +TUh9sEv91ntkC1C/Cfn99bquwtgWoH4TER17/tecY9IC1G8i4siAT138p7YA +9ZuQ9bLmBXdtdgtQvwk533w//nCnZQtQvwmZn9P9u8yxagHqNyH9i7+Jqfby +FqB+E9L/zfUwVK5pAeo3EfFM89+CNOsWoH4T8nytcfDMpgWo34T0y8vqdzvs +bgHqNyH5iKtcZHmwBajfRMQO06qlAxxbgPpNyPra6T2n5UQLUL8JOd+5fVka +dq4FqN+E5KcE1/6XLrcA9ZuQn5eMvLnMtQWo34T0u/XXowe5tQD1m5D+/six +x033W4D6TUS8veLtGP8nLUD9JmQ/atQcOPKyBajfhKwfi4pN09+2APWbkOcv +s/71930LUL8J6dfuD7FMDG4B6jch691aOvVyZAtQvwnpx4uOlFrGtQD1m5B8 +q3NRr1NyC1C/CamnM/LUEtNbgPpNyPlkuN7Lc7ktQP0mpF5Ej66YVtwC1G9C ++pWk0PDPFS1A/SYiTv92yvR9XQtQvwk5X3yZsWZ7SwtQvwlZr4vDNbQVLUD9 +JuQ8cNTncLayBajfhPSDRXkHz39vAeo3ETEhiutk8qcFqN+E1Bvbj5MbOkqA ++k1EHP/9T4c73SRA/SbkfH7j4M7ZvSVA/SYijhuWsVXWXwLUb0LqrU++cE9b +AtRvQvqR72Yas/UlQP0mJN8WlWU2G0qA+k3+//8hqwbcGC0B6jch9fezvWBs +LAHqNyH9lw23vshUAtRvImJ5qMvyYzMkQP0m5Ly6+leRppkEqN9ERMN7nZvD +5kmA+k3Ifgve6bRqsQSo34Tk4+rSV8IKCVC/iYhN47WXuq6TAPWbkPn+0OI0 +bLMEqN9ExA8r/0yOsZUA9ZuQ82y79uEVeyRA/Sak/p/9OaHloASo34ScZ+6u +P3TSUQLUb0L2R+vn8T1PSoD6Tcj8D7x68Mk5CVC/CYl9841GX5YA9ZuQ81a/ +m7YR1yRA/SYiJoYc07S4LQHqNyH/3mhlmX1PAtRvQvqd2hTZmscSoH4Tsv5L +vLpVv5AA9ZuQfr7F86mttwSo34Ss/0EnvVv8JED9JqRfOdBqsDdQAtRvQupb +ql9fWZgEqN9ExOZ/p+33xkiA+k3+//e05kjiJUD9JiJuHjT93PZUCVC/Cdlv +a58b1WRKgPpNyHlypIrZunwJUL8J6X/66STllEiA+k3I+Ln4fbCskgD1m4i4 +WLq3Y1S9BKjfRMSA6xofx0gkQP0mpH8L2Fn9VCEB6jch+cV5oK1amwSo34Tk +lwmtC099lwD1m5Dzwcfb7i3tEqB+ExHnmmTMWtGxFajfhNSvcyvnR3dtBeo3 +EVH3UuMHg16tQP0mpD9Xn+p4Rb0VqN+E9DNCD3e5VitQvwnpD7M0ey3VbQXq +NyHfs1iz7sPQVqB+E5LPOyX36D2qFajfhKzHExXX949rBeo3IftVX8M2zaQV +qN+EnP+3jrlhMLUVqN+EnJfcKrqdmtUK1G9C+vf2ypI881agfhNyvq2Rfhm+ +oBWo34T0N9vibE4uaQXqNxHxpmf/wZkrW4H6TUi9+uA1VGd9K1C/iYhDXEcd +2bu5FajfhPTrIzb1CbdtBeo3If3Q7h9tKntagfpNSH0wjNC1OtgK1G8ioo/h +ylt3DrcC9ZuIeGrYJYvS461A/SakP8tWnalzthWo30TE0sSbxzZfbAXqNxHR +916F8tmVVqB+E3K+SPN6X3WjFajfhJzfCl95a99tBeo3IfnA4E7l6getQP0m +Ilr3HrXk1tNWoH4TUp9kQ36mvGwF6jcRsduvSTW/37QC9ZuQ+uyg+3vC+1ag +fhMRv592X2ob1ArUbyKiu+ny0rvhrUD9JmS9BKjdS4hpBeo3EXE1d+ESH98K +1G8i4ifJntfaqa1A/SYiurie+mqe2QrUb0L6ibBDR/fltQL1m5B+dGaHYW7F +rUD9JiI+lUjaQypagfpNSP12rOpQUtsK1G9C+ge3B8bfmlqB+k1If9mUf0VD +1grUbyLi9e6WXSYKrUD9JiK+v/nGb9GXVqB+ExJ/CXLc8bMVqN+EjE+43rbT +f1uB+k1EHGzubeemKgXqNxEx/MefZ17dpED9JmT+TeoVob2kQP0mpJ+b1XVj +sroUqN9ExDLrUU35WlKgfhMRTVLbr1UPlgL1m5D66DfTSmIgBeo3If37OM9h +wggpUL8J2c97ajW+jpEC9ZuQ88j+4ME/jaVA/SYiHpqZP+23qRSo34Ssz7LP ++35PlwL1m4g42qTgw0+QAvWbkPOsiWGnb5ZSoH4TEZOiX+4VF0qB+k3I/vLs +WNO6VArUb0LWW9DfrbWrpED9JiKqhyzhC9dLgfpNyHrf9vZK6mYpUL8JqR8H +kkZH2EqB+k3I8y5sKPHeLQXqNxFxl5nBtbsHpED9JmT+5xaYnXWQAvWbkH5b +z/DfzmNSoH4T0n+sycHFp6VA/SZkPe67enHieSlQvwnJP6Xd52k4S4H6Tcj+ +UevR/es1KVC/iYgrHedkFN6SAvWbkPpzYMfVQHcpUL8J+XurxplffygF6jch ++eHUym/bn0mB+k1Ifhp73Wv6KylQv4mIyz7cWtDnrRSo34ScN2dpNNW/kwL1 +m5Dx7ld4JDhQCtRvQr5v/cWf58OkQP0mIn6cWW6/JFoK1G8iYl3drXItlAL1 +m4hoarPRpC5JCtRvQv69sO3km09SoH4TUm8W9AnYly0F6jch+T1jV97YAilQ +v4mIFpsCqxQlUqB+E/K8P68K/CqlQP0mpJ55fw3cVScF6jch47Fw67EhzVKg +fhMRi0o8DMqkUqB+E1KfTFcF3eSlQP0mImpYLTI0+ywF6jch57E205Nt36VA +/SZkfLpnfHjZLgXqNxFx6Pa4pKUdZED9JqQ/zEsP/9lZBtRvQvo3PS+XVz1k +QP0mIg7q38FkYR8ZUL8JqR+OjyO4/jKgfhNSD/4O13QbKAPqNyH779DGxca6 +MqB+ExHXOvHrcwxkQP0mJB/VP5y+b4QMqN9ExE3z+oqqY2RA/Sbkfax7nngy +QQbUb0J+PnNOvvEkGVC/CcmvM3f/SpoqA+o3EXHgStO21bNkQP0mpL/7YBPR +aCYD6jch/SL/aoH9PBlQv4mIDwICXv+ykgH1m5D+3HV2xsVlMqB+ExF7DegS +2W21DKjfhPR/zbEHbqyXAfWbkPP+LW1Jr80yoH4TEc96Fgy/aSMD6jchv//u ++cgeu2RA/Sbke2ZMkl/eJwPqNxHRuWbhoT92MqB+E/L9eh7Bjo4yoH4TEQsv +54ZIjsuA+k1If/L4vcOGMzKgfhNSHySNsk/nZUD9JqSfUY7Rm+wsA+o3Ifkd +ZvR4eU0G1G9C+oP1n/y735IB9ZuQ8zZe62p/VwbUb0L2Y7upZpGHDKjfhPSL +dy+VmT6RAfWbiPiom9GS+y9kQP0mpB9qrDrc5iUD6jcREXzXLFjiIwPqNyH1 +sW5hlvd7GVC/iYiyvvZ/fgfKgPpNRNROPFexLEwG1G9C+qezY21fRsmA+k1E +jM6a4C5+lAH1m4hYPwMOzEqUAfWbkP5qXT/FlVQZUL8J+XsfNvXLy5AB9ZuQ +8c8vrRiQKwPqNyH9x/Jp8zcUyoD6TUT0Spyy5nGpDKjfhKzf2Guq5ZUyoH4T +Um9LmpcPqJMB9ZuIOMW/48xlTTKgfhMy/9UeSS6tMqB+ExF/dN3QEqOQAfWb +kPNB3r9XvCgD6jcRMbna7IfeVxlQvwn5++XFTYt/yoD6TUS87HB494k/MqB+ +E7Ie1uace9lBDtRvQs4PMz2NPnWWA/WbkH64+vkuRXc5UL+JiHpfz0/q3VsO +1G8i4kbLP3fGqcuB+k1EPOaWcdZKUw7Ub0LyodeLbzsGyYH6Tch51eq/32f0 +5ED9JiIWbJzpeneoHKjfRMT57xxeeY+QA/WbkPyi7WoePloO1G9C+qWrM/cm +j5cD9ZuQuA4G5JnIgfpNSP/YZ9Hc8slyoH4Tku+6DfhRO10O1G8i4r3U1WOb +ZsuB+k1I/VqQUNdsLgfqNyHnsXv9NJrnyYH6TUQcG9GeXG8lB+o3If1w8rS2 +yqVyoH4T0g8VOz0uXCkH6jch9ULNJfbTWjlQvwmpL26q62I2yoH6Tcj33wnY +826LHKjfhOSr+WbiQ1s5UL+JiA+FQ4pLu+RA/SbkvJvfZn1gnxyo34TU++kX +Zq20kwP1m4g48mLBbdPDcqB+EzJ+XZ+v0DwmB+o3Id838cmFLyflQP0mpL+y +v6CXd1YO1G8iouesbiN9L8iB+k1EVNWRPHJylgP1m5B66l58dNU1OVC/Cal3 +M69HGd6UA/WbiCiE4PbPbnKgfhMRV70c7Yj35ED9JuR8Fn6p5epDOVC/CXnf +wIuxy57KgfpNyP5aVfu5v6ccqN+E9F8OK64Xe8mB+k3IfLS4Xbj3Vg7UbyKi +t8ea4uXv5ED9JqTfPbLOuUeAHKjfhLzfqaVuCcFyoH4TUv+C274cDZcD9ZuI +6DSuPWhktByo30TEbT2HpZZ8lAP1m5Dz6DF940sJcqB+ExFvefvyY1PkQP0m +ZD9UnlQp+iQH6jcRUbF7wY4TWXKgfhPSL73JHjgoTw7Ub0K+XyVxcFShHKjf +RMSf8mq7NaVyoH4T0g/Jy3sJFXKgfhMRT9+2++FcIwfqNxFx6rHV4wY1yIH6 +TUS0XWT57l2zHKjfhPSvn77ZT5fKgfpNRFSGGZ5NVciB+k1I/y91yVkmyoH6 +TUg/Pb9ke8lnOVC/iYg6yZkzNn6XA/WbkHpnM2RN5S85UL8JqW/GNz9s+CsH +6jch+XxF5rziDgqgfhMRd3xzH7y0swKo34ScJzd7jk/upgDqNxFxd6nv6Slq +CqB+ExGPJ+3t6NNHAdRvQs5vdx4lDOivAOo3EdEhqS3ivKYCqN9ERGPp+KZW +bQVQvwlZz95d5y7VVQD1m4io8m5yeeAQBVC/CTkPxB190ddQAdRvIqJ9+DmP +gyMVQP0mZH2c7PkxbbQCqN+EnNc7lvTTH68A6jcRcZr1Q3fHiQqgfhMRS16p +maVMUgD1m5D83Z0bqDFVAdRvImJO/mftbTMUQP0mJF+srDT3na0A6jch+fbz +5ruCmQKo34Q832Ry1//mKoD6TUSc9FzP03GBAqjfhJw3KvOtgxYpgPpNRLzi +2WOaYqkCqN+ErOfoS5MNVyqA+k1EtOz2Y+WGNQqgfhMRtzsNvXl9vQKo30TE +3kZxjdHWCqB+E7Ieh7mskWxRAPWbiPifl2l9X1sFUL+JiBfEK85TdiqA+k1I +Pt831cx6jwKo30TECOeO/c/sVwD1m5B6l/Xy5yM7BVC/CelH6/M/hzoogPpN +RJx4aqlK9hEFUL+JiPzOQt2G4wqgfhOSv68NXvTllAKo30TErg3NLqrnFED9 +JiRfX5Tn9rmgAOo3ETHXQ2qofVnBeDgl/rB5eln/ioLxcErcAvHcUFcF4+GU ++OxVv63DbioYD6fEPK0lpUPcFIyHU2K+xYQ1Ou4KxsMp8VDTkVJ1DwXj4ZT4 +Pq9iU9dHCsbDKfHzD92mH08UjIdT4prdv/dLnisYD6fEZDD+XPBSwXg4JS6J +P3ki5rWC8XBKXGF2/5fnWwXj4ZSYajrnxCU/BePhlHhwzFTR1l/BeDgl9smc +bgOBCsbDKTGmrHPGwBAF4+GUqDJpnZEQpmA8nBJHlynOJkYqGA+nRKeqy6l3 +YxSMh1PiqSPVHbbFKRgPp8TuYuj40QkKxsMpMSMmfZEyScF4OCUusqlYF5aq +YDycEs2ueK84lq5gPJwSs5TSKZOyFIyHU2LQxV3dhRwF4+GU+HRaRfKbfAXj +4ZS4flKnvdZFCsbDKVEzwOuLWqmC8XBK9O50YldMuYLxcEoM/jDn464qBePh +lNhj3sfvvWsVjIdTYtlFf43QegXj4ZTYNDNffW2TgvFwSizY3yp+aVEwHk6J +O0eG+LtJFYyHU2LsW+V8I4WC8XBKvD93R1wcr2A8nBJvnMrss0KpYDycEjfV +S6bXfVYwHk6JQyefmn3gm4LxcOR711pof/2hYDycEveZd8o49VvBeDiyXnTO +LfvzR8F4OCW6Dj/sc0aFYzycEmvTXhb+6MgxHk6J2jdych06c4yHU6KRfvQT +SVeO8XBKdFwxYvLGHhzj4ZTYW6v4Wboax3g4Mr9Xr+ab9uEYD0fmp65j3vN+ +HOPhlJhzocvDThoc4+GUKIprRu3W5BgPp0S/shCn1IEc4+GUWNOt8elQHY7x +cEr8e+zNudO6HOPhlLhydsKwfH2O8XBKnOH5zXXYUI7xcEo826lHyGFDjvFw +Sjz/M+5x3AiO8XBKfDSpfE5XI47xcGT/3B38evEYjvFwZHw1lyTdGscxHk6J +ltz4Z9kTOMbDKbHrPhfj7iYc4+GU+L3bwDNmkzjGwykx3M7n3LHJHOPhlJi2 +p32yz1SO8XBkPXNlXiXTOcbDKbFIVzW5wyyO8XBKfG0+x8MIOMbDKfF37hrt +pWYc4+GUWL29++JDFhzj4ch4pQ4Zd3Mux3g4Je5V2MS8nc8xHo6s5893v8Yt +5BgPp8RIw1MVBYs4xsMpUe979Z7GJRzj4ZQo6en8QlzGMR6OzO/EyWd/reAY +D6fEXjaRKh1Wc4yHU6J1UsGoTms5xsMp8dr7xV9U13OMh1Oi53PORmUjx3g4 +JdotPGv/05pjPJwSs12T9ITNHOPhlPjV7ur++q0c4+GUmGh8b02eDcd4ODL+ +0wMqY7ZzjIdTYumwV+1eOznGw5HxDDeNuLqbYzycEs/ITXrs28sxHk6JJ2W2 +X+bv5xgPp0SF2u0jQw9yjIdT4seq89d+2nGMhyP/rtHRJNueYzycEitfVh14 +dphjPJwSX72smLrvCMd4ODIeO1Lv/XeMYzycEo8d2Of08zjHeDglqh668z3m +JMd4ODK+q7u0nzrNMR6O5JPJ965PPssxHk6Js3d18ebPcYyHU6LGzlHzvc5z +jIcj+0GWYr/6Isd4OCVeqPcb0vEyx3g4JXbZ77XmvTPHeDglHq463XvVFY7x +cOR74lWsvl3lGA+nxFHlf7t4uHKMh1Pi7sEWliY3OMbDKdEg8rFK5k2O8XBK +/NC3cPq22xzj4ZR4JSFKqXTjGA9H9tOzwYbn73KMh1Oib0B6Xo97HOPhlHh1 ++u1vd+5zjIcj+Spqxr0BDzjGwykxs+6Zz/2HHOPhyM8/u2yi/phjPBz5Hk00 +vv6EYzycEnu+H/xK5RnHeDiynn4ccD78nGM8HKmPBU7ldS84xsORfLZg+LNF +LznGwylxvve43OBXHOPhSL6w32un+ZpjPBypbwd8Tx7z5hgPp8S7D+KEgjcc +4+GUOGDcqdwxPhzj4ZSo4+2vdcGXYzycEn+Gjk4r8OMYD0f6h2VJVUPec4yH +U2KL98J1+/05xsOR/DfCzTT4A8d4OLJ/z+899jWAYzycEptf+Aw0DeIYD6fE +iMAhOg7BHOPhyPvoe5/xDeEYD0ee59F9Zk0ox3g4kj86DV3fJ5xjPBzpP+4n +5s6M4BgPR9Z3JL7cFckxHo7Uw8F81o0ojvFwJN+EDl0eEM0xHk6J+0NMRufE +cIyHU+I9t88bpLEc4+HIeug8o0YljmM8nBIf96kN00CO8XBKtBHCag3jOcbD +KbHByGPjxASO8XBKvH117fDpiRzj4Uj91s6ZDUkc4+FIPjAu8p6TzDEejtSz +3XM2zE7hGA9H9tcT2bqpqRzj4ZS47cv/mLjvuBr/93HgKERGSDISKSNUihRH +dlKyQiIqe29RdggJSWUV2kk0tOSuqz21997znPtw32Wv7/V5nMvv/Xv/dz2q +c879Gtfwfj5O8AuNDJY8HJ7vWgvtiZkseTgO7g4okJXLYsnDcRBwqm36T4wl +Ho6DDTa295uyWfJw+PpbLOan57Dk4fB8zdk/NeADSx6Og0GDL5s75LLk4ThY +ufJsxpY8ljwcB2pPZpzRzGfJw3Gw9MeZ3b0KWPJwHHBv5tzPxVji4Ti4Y7T4 +u0chSx6Ogy4fW0/LIpY8HJ6X1nC78cUseTgO2Gv592owlng4DiYvDqn1KGHJ +w+F5maq2w7SUJQ+H58tt1LheZSx5OKxPWjYDQzGWeDjsX/n66VvKWfJwHMhM +PHGpdwVLHg7/fu0XKX+MJR6Ogy97TGKXVbLk4bAfebj2aQPGEg+H9WJf66uz +VSx5OA56h3Z2DKpmycNx8Pv+gnVeGEs8HAcznJKap9Ww5OE4mLVj0/MIjCUe +jgPVubUOc2tZ8nAcSNvNvROLscTDcTBOaBg/p44lD4f3248dFoqxxMPh/soN +cVKtZ8nDYb8rdlL1wFji4TgoNVvRINXAkofD9QiezBzGWOLhOFjydlBMMcYS +D4f987Xa/DmNLHk47OedLvR1w1ji4bA/vV24SYyxxMNxIOebkLysiSUPh/dj +ubrRQ4wlHo6Dawt/tLZiLPFwHOyJkX+q1cySh+Ng1wzrPWcwlng4DlLOpBjG +YSzxcLg+uWrzv2Ms8XB4/+OOLJndwpKHw/yg7rj1IMYSD8fB6h0brj/DWOLh +sN72SU7IxVji4TgIHBjb7zvGEg/HwYhSZcsJrSx5OA56xjTHL8VY4uE4mNkt +VN+JscTDcaBUrOpzEWOJh+NA8d5ZFQ+MJR4OP8+WouCXGEs8HAejspXmvcNY +4uE4yCwwzE/FWOLhcB5L0d//AWOJh8P7btHcrwBjiYfj4NRLrcB8jCUeDuup +xtCVORhLPBwHfdIOCZMxlng4nF9ez70TjbHEw3Hw2nn7zACMJR6Og+b9Bdn3 +MZZ4OA4sIs7vssNY4uE40NIz+26JscTDcaCrs+ymAGOJh8P8uXiRnCLGEg/H +wdm9ei4fcT0lHo6DucPG90/BWOLhOJg+vPmkK8YSD4f1PsCudBvGEg+H9/t4 +iboaxhIPh/3+lbYTHbjfEg+H59/UNyQQY4mH4+B59J+yHRhLPBz2rwPYT6Mx +lng4zD+/d3z/gOdN4uE4mBO98eM5jCUejgNhUlzhZIwlHg7vo9LN57l4niUe +Dp9vVqT5MYwlHg774dI534dgLPFwuL9rpK++xPsi8XDYL20Y1bMQY4mHw3zQ +b69JEd4/iYfjwPG78IY1xhIPx0GY9vOgLry/Eg+H9XuyfcgxjCUejoOa97au +PN5/iYfjQNnknsUxjCUeDvPP84ReXZg/JB4O5+Gvfx2tMJZ4OOzn+q4RFmD+ +kXg4vJ8fA2cYYCzxcNj/rulvHIj5S+LhONCce2DJIIwlHg73a0ae/GHMdxIP +x8Hw6bMSszA/Sjwcrk/g/aUqGEs8HAdGzmIvW8yvEg+H50+wIi8d86/Ew3EQ +5/a0eDjGEg+Hr9cpDNmC+Vvi4bA/kdG3eIb5XeLhOFgjuFZei/lf4uE4MDTK +nzwaY4mHw/07OM5wLdYPiYfD/nvHkdlXsb5IPBzWl12Zn8Kx/kg8HNaXKRr2 +NVivJB4OX6/ON78PxhIPh/VunsYnVaxvEg+H/drBoqolWP8kHg7nVxWPu5ZY +HyUejoNe9y4NPIH1U+LhOPhh4256FeurxMNhfsut2+SC9Vfi4TjQv75T7THW +a4mHw/4Jpsd6YT2XeDisN7qLhjzDei/xcNjPTAme/AT7AYmH4+CY2clertgv +SDwcrm+vgCfXsZ+QeDgOnv1c1XMK+w2Jh8P85X5ykDX2IxIPh+sbpNZkiP2K +xMNhv/Pg6Klp2M9IPBw+/8Ltyf2x35F4OA68x/7NbsR+SOLhsB5Msr4Tg/2S +xMPh/F55T+YW9lMSD8fBwlVe8zdhvyXxcNhPjnykpoz9mMTDYX7b7JLWjP2b +xMNhP5npMcYviiUPh+fDh5lkjf2exMPhPBkxomEU9oMSD8fBGbM3q3Owf5R4 +OOz/Dz8/Yh/Gkofj4MHZHwZq2G9KPBwHhy4WJ+RgfyrxcPj5FBeyh7F/lXg4 +DoYdX58l+4olD4f3w3DSen/sfyUejgPt9gzHedgfSzwc7tdc8z052D9LPBz2 +r6EdH82xv5Z4OOyXt7ipNPiy5OE4OFl94vdO7M8lHg7Xd7/XpeYXLHk4/HnR +zKDt2N9LPByuzwUD21KcByQeDj///q6OFZ4sebj/1UfTv1E4T0g8HAfmXx1i +lR+z5OE4GOoeNOI6ziMSD8eB3sHqoW04r0g8HPZ35/RDluA8I/FwOB/vaGx/ +gvOOxMNx4LuFTxLjPCTxcP/79yNnfQOclyQejoN5l/JNb+E8JfFwWP/m1v7O +v82Sh+MgfXS50XCcxyQeDvul8/Uz1+K8JvFw2F+Yjw655ciSh+MgaHFQcgLO +fxIPx8HOM2HHPuJ8KPFwHCiUb4oae4UlD8fB4ebEe0svseThsL9Pkf+7B+dP +iYfjYNFyuz6OOJ9KPBzW16qRj1/g/CrxcBxcfTY8ORrnW4mHw3qw/tH5zNMs +eTicx6wLMkpPsuThcD6ubvGpO86Sh+Ngx5Veg5uPsuThML/Hre7bjPO4xMNx +4PDop1MdzusSD8fB2hodr1Kc5yUejoMkTnV+Js77Eg/HQT7/a2f0bpY8HM4P +gV0KL3ay5OE4cLmpZHbdhiUPx4EVkzZqjxVLHg77/bHyu5ZsY8nD4TyhOW/u +mK0seTjMN3MOuLCbWfJwmP/GlB9hNrHk4TDfX40sdNzAkofD85OuG7tqPUse +DvvVT64Th6xlycNhvUj9MyjHlCUPx8GE+DC7qyYseTgOQmUb9sxdyZKHw/V4 +klrcZsiSh+Ng2eCHcH8ZSx4O9yfJZZreEpY8HJ6XAqFc1UKWPByer6HNp84s +YMnDcRA1OGKD3HyWPBze57HuoX56LHk4zG/Lsq7N1mXJw2F+2+hcDDoseTgO +BlaNe2o4iyUPh/dvfFxVpgZLHu5/85jffcMZLHk4rK+NYwGmseThMP+m7tgx +ewpLHo6Dr1rv7f1UWfJweH+X7usvp8KSh8P8WP+6/xllljwcB3YhBfaV41jy +cBy8HKtgPXcMSx4O3293arTLKJY83P/qqcrFVnmWPBwHj7aejZoznCUPh/fh +wBDLK0NZ8nD4+cZqHM8cxJKH44D3mtgzcCBLHg7rhVinxag/Sx4O168ybJGD +NEsejoPGry0yMb1Z8nC4PlFKgvY/IvJwHOSZvqwc/ktEHg7rbV5Zg/53EXk4 +7H9Gf1lr+UVEHg7Xu9F8mn23iDwczvOhpvsffBKRh8P60jJNLogVkYfjQCdy +oVJsl4g8HN636c33UtpF5OGwP9Pcvze7RUQejoOOC1N8PzSKyMNx8Df01JLs +OhF5OMxv+kHLUqpF5OHw/O8b8zKmQkQeDt//oOrRwFIReTi876smeLgWicjD +YX7t2adkly8iD4f92Iwt0ls/iMjDcfD5+VpjvSwReTjM15UvxXLpIvJwWM9W +pH5qSRaRh8P1WfB3TSSIyMNh//spTvYSIyIPh/3dhc2Tlr8TkYfD/N2u79Ev +WkQejoMsxeSdKREi8nAcFLZsuH0uVEQeDuf5/uaDNENE5OHwPE7VaKsNEpGH +w3moapHiTX8ReTjMT0+/eM/0EZGH42BTRYxD3jMReTjMxyL23YGnIvJwHPya +KLWizyMReTichyJ3THN3E5GH48A5/6z1pPsi8nAcLH4QIQy5IyIPx0H/4Rez +ZzmJyMNxIDAz/hXuKCIPh+fP7MblmVdF5OFwPusfsMnvkog8HL6/heJFhfMi +8nA4r2mu+3L1rIg8HJ73XakJolMi8nAcXC5pK1t7XEQeDvPDeg2DsMMi8nB4 +HsOG9Qw8ICIPx0EwyHyz3iMiD4f9ianzyogdIvJwHIxUV+j4s11EHg7vo9rE +YsOtIvJwWN9HTBvoZC4iD4f3LTPYOdNMRB6Og3cTVbf0WSsiD8fB7j8jTsxd +JSIPh/Oj4Y/ivUYi8nDYv7WbX3qwTEQeDp+35s3JuEUi8nA4/6g6hNUIROTh +cB7O2zjnh56IPByev543vUfMEZGHw/ofP2LklFki8nAceG6TPao7U0QeDu/z +2PFDF08TkYfDvzct7TFUE5GHw35n63tlo4ki8nDYvydtvbdMSUQejoMjg5cu +FYwWkYfDfN76Z57mSBF5OJx/+423VRomIg+H9W2xVk+/wSLycBxEX0uPFMqI +yMPhepediMmRFpGH4+C2eebPgF4i8nDYn6/YeuXCLyF5OA5KeyetWPNNSB4O +82lvl9XjeoTk4fDnjVbuLR+F5OE4YLZnjQ0SCsnDcTDRfE/jnnYheTjsF3IL +Wyc0C8nD4TzSGTi1rE5IHg7n0W/XXzpWCcnDYf0+MnCfdpmQPBzeX2HJzspC +IXk4Du7PdvQ8lyskD4f1YEHisNFZQvJw2M+oTk4JTxWSh/vfPGYZtjxRSB4O +582P/StL3gvJw+F88SNfYBUjJA/HwRsdy+qWCCF5OA5WvtoYufuNkDwcBwl+ +ezIaXwrJw2G+eDRP3sJfSB4O+xHdi09yXgjJw3FQvLveQt9TSB4O+3nDwet9 +HgrJw3Fgph3r0O+BkDwcB82J94W77wrJw+H9T1vlnHhLSB4O6/86r10K14Xk +4bCfj9Y7tfeykDwcB0dvlMa9PSckD4f58PF0vZ+2QvJwWB+ke4QLTgjJw+Hz +T+4sPXdYSB6Ogwbf9M+R+4Tk4bA/2rfSpHOnkDwc9iMvNcsUrYTk4fDzt+l5 +LN0iJA/3v//fonZ7/0YheTgO3ubFRjqtFZKH42Dfj8jhgSZC8nBY39Tq/RIM +heThOLhi/vtA4WIheTjMh2zZrnqBkDwcBxoPZ7t2zBWSh+NgzJYGXqQtJA+H +9/+Bv6NoppA8HAf2X9eatk8Vkofj4IZHmGHtJCF5OJxvqx6cyBsvJA/HwRTn +nIK40ULycFj/CqbZ+MgLycP9b553mHR9qJA8HO7HjZejdg4UkofjIEfddoGg +r5A8HNbDVHCT6/XPw3GgtddKqeFHF3k4DvzvaJYHf+4iD4frNWZQ8rFPXeTh +cD+3FtXOEnaRh8P1kLFRF7d2kYfD/LDiUaB/Qxd5ONy/1xYbN1d3kYfjYPbC +mzr9yrrIw2H9PyGzJLSgizwczuNaiZfNcrrIw3Ewv8LjI5/WRR4O653B6bt3 +ErvIw+H5CV2+bdL7LvJwHHR+6t4aGdVFHg7zybLDTovCusjDYX7e+6IjI7iL +PBzmA/cLtsb+XeThODB1+qKT+byLPBzmG4c/ExY/6SIPx4GhgdOCKLcu8nAc +POntckv1Xhd5OMw3D/v3vXerizwcztfrukJ7rnaRh8N8KqvjtPFiF3k4DsJn +1D0IP9tFHg6fP6jzg8zJLvJwOB/22jhn6+Eu8nAc7DecmBu0t4s8HD6v0jo3 +zqaLPBzOX/EdTnMsu8jD4X3i2LBTm7rIw2G9HLuvX+jaLvJwON/qWt9uMe4i +D8eBbUXDwpHLu8jDcfBK68ukxQu7yMNxEDH4zdx9+l3k4TD/1ow656TTRR6O +g1Uhy8SBM7vIw3HAzVhxP3FKF3k47I8idXaVTOwiD8eBSDBhb/PYLvJw2P8d +UH3CjuwiD4fzqpPFb35oF3k4zL/uVS7dA7rIw+H91Uzd8FGqizwc9u+vZ69s ++91JHg7vj8KqIxVfO8nDYb+rPCM1jeskD8fB+LnfjN8IO8nDYb64WNrHtbWT +PBzmu4Iu4bH6TvJwmI8TrfuZVHaSh8N+87nN+gnFneThOLhoP7rg04dO8nAc +yIyPvsSkd5KHw8+vuNfmamIneTict+K2nV4e10kejoOM0bnv+0R2kofD+U2+ +a/b7153k4ThwXy1sOBLYSR6Og6f6AxKVvDvJw3EgHHaxKONJJ3k47C+brise +duskD4fz0I3troPvdpKHw88XumLRyxud5OHwfFQ5qCy60kkeDvvjHWb6Rfad +5OHwfJz9c83qVCd5OMxHk3P6dhzuJA+H+7G+D3Nwbyd5OA6sk8WBXdad5OEw +P4ypzdq1pZM8HAe1TWNVqsw6ycPh/bz+M8zYtJM8HD6vWZ5ttGEneTg8344d +J5UWdZKH4+DH4hdBl/Q7ycNhP+JuOrJWu5M8HAcpF4zj5szoJA+Hn7eLf3hL +rZM8HAfb4vzeVIzvJA/Hwc0U+KOi2EkeDu9Xgf/NfcM6ycPh/BQQt+rlwE7y +cNiv99ll0irVSR6OgwveA66P+91BHo6DNsHIH6u/dJCHw9d34YPPf+wgD4f1 +YuvQR/4dHeThcH60rk7KauwgD4f95qHMqZ1VHeThsN5vMM6VKukgD8eBz/ey +0NG5HeThsP8zbitQT+8gD8fBsBW81lzoIA/HQUmvMwUGsR3k4XAee8aHLg7v +IA+H/dm21vyFwR3k4TB/PCjU0vftIA/Hgdrb+cUzPTvIw3EAJsExSu4d5OHw ++QcF1Mnc7SAPh+cv/Z3RR8cO8nAcnDt24kvBpQ7ycHj/Jlt1vD7bQR4O59eZ +w5Qdj3eQh+Mg8cvYpxYHOsjD8TBp4shtU3d2kIfjodXebTe/tYM8HA/F0rOj +YjZ0kIfjYWfPvVVnTTvIw/EwItpwxmzDDvJwPKR//LZBaNBBHo6HyVdXZnjN +7SAPx4OsS+1VE60O8nA81Npa3u6Z2kEejoe+1UfrHk3sIA/Hw3r/lAt6YzrI +w/FwpF+/A8XDO8jD8WBq1+67X7aDPBwPL9LGzPgp1UEejocNfTZK3fzVTh6O +h96PTNXlPreTh+Ph+NIE7wdsO3k4HsYo7jwwvK2dPBwPvg4/rjnXtZOH42Gv +yXK+d3k7eTh8vcf93p7IbycPx0MhL5VZl9FOHo4H16V9tQ0T28nD8dBcXcIF +xbaTh+Oh/tRCmf7h7eTheIjUlre1ftlOHo4HvUBVQZR3O3k4Hm6XLNva90k7 +eTge3mqalKx1bScPx8NCX7mgh07t5OF46Lp/rLzSoZ08HA8rK412jjrXTh4O +n7/EbtXak+3k4XgI3N724NrBdvJwPAwvOzkvcmc7eTgeDo0fuLh+azt5OB6S +fB8E9d3QTh6Ohwnbfh+fsqqdPBwP1vm6z5YtaycPx0P1UG2NbYJ28nA86Gc0 +qxyf3U4ejgeN2UsvXJ7RTh6OBxMNY4Pbqu3k4XjInvp5z/1x7eTheLCcs/Sb +q3w7eTge/vTT7b43qJ08HA9FPYWbb0q3k4fj4fMvJfXzv9rIw/FgkzFp38Ge +NvJwPGQFfRyxSdRGHo6HK/4uGoKWNvJweP5PKb5TqmkjD8fD+ytPI38Ut5GH +40Gb11IpzmkjD8dDqj/fJzCljTwcD2Hzfpvbvm8jD8fDSbljKovftpGH4yHZ ++PDO/q/ayMPx4FQyelyWTxt5OB64HV6mjk/ayMPxsO2syncD1zbycDyc21um +3H2rjTwcD+s2dSb7XGkjD8eD4eK7zaZ2beTheDD488Oh51gbeTgeXi3c5+Ox +r408HA+vHw5bOtu6jTwcDzPPzdmTa95GHo6Hv8tGDt6xpo08HA8D9T/r8YZt +5OF4OHZjnPCCQRt5OB7i1pSq9tVtIw/HwyC/Q+03ZraRh8P76qw9u59aG3k4 +Hm4OsB90eVwbeTjMF4qPj38e0UYejgeZmI5de2TbyMPxcK05tb2oTxt5OB5K +fZ1/zvvRSh6Oh7J+Pt7PuFbycDz0m3qg+ndHK3k4Hqq0TYM3N7SSh+NBYU/0 +0NDyVvJwPEwZJT+4d34reTgezkeV+65ObyUPxwOfe7r0YXwreTgeNIfs8a2J +bCUPx8Moo0lDlUJaycPxoHV6+hgL31bycDyMjfub5vKklTwcrucBVfnU+63k +4XiYPXBiv+6breTheNiy8bKX0uVW8nA8GC0sqF56ppU8HA/m37yTdx9pJQ+H +9+PTLbOru1vJw+H5qVV28bRsJQ/HQ37sH7tws1bycDxcz0odnmzcSh6OB2W/ +EZZ5i1vJw/GwStPFvEyvlTwc5ofApn5Vmq3k4XgQ+EQfrJzcSh4O79Pw9zdK +lFrJw/EQbeJjmSPfSh6Oh8y+KqJ42VbycFhPrLvnhvRpJQ/Hw8uOosUe31vI +w/Ew9PFl2fOfWsjDYf1oBI9t7S3k4bB+BZi3zqtrIQ+H+cNk+PcRpS3k4XgY +HRZf3JHTQh4OX193+pl3yS3k4XjoztRsvf6uhTwcD8IqX+XVYS3k4XhoOLJz +6vDAFvJwPBwevbp3kVcLeTjML31XhNxxayEPx0P819nTl99uIQ+H72fW5/K3 +Ky3k4Xg4Ot4rJPBsC3k4PM9SXyPWH20hD8fD3c193b/vbiEPh+s9MWmDp2UL +eTge1shP6Zln1kIeDvOZ19zTpStbyMPxIG75UnlwUQt5OMz/+YdU/+i2kIf7 +3/r7b3Ke2UIejoetX9+cUFBtIQ+H+aDOy95zTAt5OB7c0m4eGz+shTwc3vdb +Tps8+7eQh8PPV5+kofCnmTwcPv/mdT9v9zSTh+Ph4x6rhN9dzeTh8D5YK9gf +bGwmD4f196qfVml5M3k4HmJ/z2+Zl9dMHg7roWj4Q8/UZvJwPCzdtdPkR1wz +eTgeLp48KLUhvJk8HObrKYeTXgb++344PO/339z46fXv++HwPmXbbV7p9u/7 +4bBefhmn+8Dp3/fDYT416VKpvPzv++F4cJDXmzj2zL/vh+MhJ9pax+Lwv++H +w/oflbz1wc5/3w+H/UpMomeWxb/vh8P75JT07eeaf98Px8OlqVon1A3/fT8c +DzXx52Q3Cv59Pxz2Q69GZZzT/vf9cDxYOZ8LfDb13/fD8fBk/qTQ+PH/vh+O +hzPldxsq5P99Pxzm24wlBp8G/vt+OB7qEjKypXr/+344HqLSgm+O+NpEHg73 +U/+CvTLbRB6Oh47iEp8pzU3k4XgoCDWRnlHZRB6OB2/Ty94z8pvIw+H+PBp5 +YVpaE3k4HvYLIx6rvG8iD4f9w0jpH6PCm8jDYf2zfvdiQGATeThcL7cbLl89 +m8jDYf/4a8qHRtcm8nA8nD20eVPmzSbycDxIG1XPenWxiTwcD7rXztg4nWoi +D4f7/eZb++4DTeThMB/yGpkLrJvIw/HgMv6j9PBNTeThMH8HDvduMmkiD8eD +T+iZ56GLm8jD8YDVQMpubhN5OB52r/LIM5jZRB4Oz0fMpL69JzWRh+Ph3ZYn +L0GxiTwcD2oDPyXYD2kiD8fDsL3KRtrSTeTheDjYOsWo7XsjeTge5hUOTvb4 +2EgejofxG6pil7Y2kofD/tP+qSZb1UgeDvdz3q5pDwoaycNh/3pm1cs56Y3k +4XjYLHM8ouR9I3k4Hg4kdK84Ft5IHo6HZ/t/HpIJbCQPx0NC3VtVL89G8nD4 +/L9NT2i4NpKHw34/8u9m5kYjeTgeLgyQrjG80EgeDvPj+Kd/ck80kofD/nTO +wNR1+xrJw2G+jHuqWbStkTwcD4+XPl+2xqyRPBwPXp77+2UZNZKH4yEka8PZ +hQaN5OH+V28TvSJ0GsnD8cDwQ+1VpjWSh8N8b/JG7t74RvJwWL8EA3d/G9FI +Hg776SWP7LcPaCQPh+urV7Qh6U8DeTgevlnM/Tqhp4E8HA+n1qzafaGzgTwc +nl/Npz5ldQ3k4TB/3HwbMaOkgTzc//o39ceXshrIw+H9WvXEIj+hgTwcPu8W +369jIxvIw/GQqC203f2ygTwcD0pyStWvnjWQh8P5ILtzyscHDeThcP2q+9to +3mogD8fD9L06TocuNpCH4+HLIo3AgJMN5OGwX8SUWLuvgTwc9rfJUTnDtjeQ +h+OhM66paolZA3k43M8wZfaYUQN5OPx7I5N+ngsayMPhPPN3pXqqdgN5OB76 +a/fb1jmlgTwcD5eVj/nIKjWQh+OhsufCH/XhDeThsJ8qnH56Rf8G8nA8zPD6 +Kp/8rZ48HA9Sg0TXPjbVk4fD+6W9bsmo3HrycPh8x9Zs1I+pJw/HwwCzsekb +vevJw/HQ7vXZ89DtevJwuP7bpzddOF1PHg77k68jn92yqicPx4OZ1tjKeyvr +ycPx0LTk9VMXnXrycDyc6DIW31aqJw/Hw8QTlyqv9K8nD4f5L6Vp9wmujjwc +9lOnR3tuq64jD4frW1VybWlaHXk4PE/DiqeqhtaRh8PNkat3+fuojjwcnu93 +BWmlDnXk4TAfNDsXBByqIw+H+fVI37gTm+rIw/EQvlb/tv6iOvJwPKT5zzb9 +Oa2OPBwP9glyA2JH1JGHw3yr1ZNx9HcteTge2JbhD1Taa8nD4X2d9uZkQUEt +eTh8v0T5k3ZxteThePgu8nmi5FdLHg7nmxvJ3cydWvJwPPwYKLxhfqaWPBzu +Z4C3NWtdSx4O65+x3Y2LxrXk4XgYnDC8n+zsWvJwWP+Cx7W5KtWSh+Nh42uz +WQr9a8nD8fB7vwPn9qmGPBzmr0dXtIZW1ZCH48FvlN63ayk15OGwX/71ZP2X +kBrycJgffV+v3OlRQx6Oh33JV9pzLtWQh8P1PjtXV2t/DXk47G+2/17osr6G +PBzm0ydyQ0Xza8jD8bBLzLxeqlZDHg7rdY7l5IdDasjD8fDJxuJq29dq8nA4 +P0eq589qrCYPh+sZYC5vl11NHg7nNXnbbczbavJweP9r1KN+elaTh+Phxddp +k+c6VpOHw3x5S/f9kaPV5OFwvuFULvlsriYPh/1UXcH5osXV5OGwX7GaBX/U +q8nD4Xn+umzdFPlq8nDYD78ea7DqdxV5OJz/VEtdDrdVkYfjYXXQiw2386vI +w2H+Whzv5h9bRR4Oz3uOnSXjXUUeDvuRvnNi8p2qyMNhPfTZFlZ/soo8HObr +HSfMRJZV5OEw/07oE/J5eRV5OOxPha/SfmpUkYfDfnyYcsifUVXk4XDeFIw9 ++KdXFXk4HrZfOjbkZ2cleTg8T4f+evcUVZKHw3wx7d0s4ftK8nA8tFx5nlXn +V0kejoe1f1JP59+pJA/HQ0/PqiXxtpXk4XAefXFwfpBVJXk4rJez9hx2Maok +D8fDhw7XltOzKsnDYb1Xs3i9eUwleTicf8/PKtGTqiQPx4Nqvv9uBVEFeTge +cretO8KVVJCH48G2tuFHVnwFeTjs/+8MV3wRUEEejodGk+iik/cqyMNhvgx5 +PXf52QrycDxUDxKZydtUkIfjwT3YdnbjygrycFj/3u1qe6VdQR6Ohztn6m1P +ja0gD8dDsKFK9zzpCvJw2J+B/b6/onLycDxYZBi1JJWWk4fDeh3856RDQjl5 +OFwvzYlqiwPLycPx0DtkRd8/98rJw2F+nThO5d3ZcvJw2J+v3nrrhE05eTj8 +/BklRurG5eTheNgkd/BIg3Y5eTi8729GyLiPLScPh+u1vHySkXQ5eTicD4ML +87+LysjD8RDxd9aY4NIy8nA8QMa8YRYJZeTheJjTe0lKv8Ay8nBYTwThBm/v +lZGH48Eyuq/r9rNl5OFwnj9UkCNjU0YeDvOZXvSP8JVl5OFwvu84OnOLdhl5 +ONyfTdkneo8tIw+H88bVgMpAqTLycLjeId+PmYpKycPxMGtf7gq+pJQ8HNYH +ZuFhj/hS8nB4PvWuftIPKCUPh/dzeH1Dzd1S8nA8zNYPNb10ppQ8HNar07sM +J1qXkofjQe7ytapko1LycDifjgsevWtWKXk43B+d6yP6jiklD4f9A1tV7t+n +lDwcD44frtsaCkvIw/GQkXH6b1txCXk4nM92hzncYErIw2E9KVsrN9W/hDwc +rr/czdjMOyXk4bCeCD1v77ctIQ+H846+6PFAqxLycJgfWju6X60oIQ+H+zlk +XLCpVgl5OB50JqrlflQsIQ+H/eqXd4dde5eQh8P1ZQM853QVk4fjwV82/0Bl +UTF5OB6WyM/ovPC+mDwcD4+C8qZO8ismD4fz1s66eVnOxeTheNjz5bHWsdPF +5OF4SDc0H6u4vZg8HA9jbl8dlGRYTB4O+4N9viMPahaTh8P6I2dmpKBYTB6O +B+3thtHJvYrJw2F/8tno+LHOIvJw+H5WBreVi4rIw+H6qqsoFMQVkYfDetBf +RtXBt4g8HA87OsdmzHEuIg+H/ZiKzzDhqSLycNiPOX4d6bOtiDwczjfX77dt +MSwiD4f3a/Uf95GaReTheMgWt+gWjSoiD4c/P9q3xqVXEXk4HsZ903u0rrOQ +PByeR9Hxi/JFheThML8NZrwr4wrJw/EwylMw0tu3kDwcnvfT2qIDzoXk4fD5 +dL/ozz1dSB4O++9tP4b1215IHg7z9YGcG+WGheTh8Lz+GRr6SrOQPBz2I71u +PXVQLCQPh/UIhtlY9i4kD4fzzZAXI/S7CsjDYf9+eFmGYnEBeTh8/enqLj/f +F5CH42GBw0OHRr8C8nA4LyeyEdl3CsjD8eBx4OWcGNsC8nA4r23ZoRxoVUAe +DufhjpxrT4wKyMPh8wyMsr8/q4A8HA8z7WUUnMcUkIfD+lX+4aCTVAF5OOyH +nq5UGV2ZTx6Oh7vHnumqvconD4fv57x6of6FfPJwPEQuWrl345p88nDYPyvG +VNpNzCcPh/lp2o+kgO488nCYDza9MalJyyMP979/33vpM+ZRHnk4HkLP/uJt +DuSRh8P7/p3bFyHIIw+H52uF3KxBQ/PIw+F5LVE8e7Qxlzwc9guvZQ7WvM0l +D4f3M1dxrpljLnk4PO+7EwaUbM4lD8eD86xXclbTc8nD8aCYlmvX8/sDeTjc +j+p1J90KPpCH4+FN4IvpC30/kIfjwW6KTWHP6Q/k4TD/nDj7IMroA3k47FcG +DfS+MvYDeTicr+adnWYhziEPh+dj7S5LQVIOeTgeTsuE7p/ulkMeDutZ+dkr +k/fmkIfj4alApWTmvBzycDxsG7fKdfHgHPJwPPyUqxDZNGSTh8PfT+6Qv/c2 +mzwc9p8LmuZnOWaTh8P9XXHggdyWbPJweL7j9pnunplNHo6H8or+nhm9ssnD +4XoERYbPLckiD4f5caVyUlRgFnk47KfM5v9efC6LPBwPTuqLHtesziIPh/V3 ++8vM6ypZ5OGwn+wzFxZ8ySQPh/ltkDhMOjuTPBwPWa8Vi6q8MsnD4fkMNzRP +PJ5JHg77/yrT01HLM8nD4fMsNLaJG51JHg77odfP1uazGeThMP/3/ny2JymD +PBzmW2cDOXWPDPJw2O8fj1x17EAGeTjc7/4VuzIMMsjD4f7OO3lPc0QGeTjs +d0SPpF62p5OHw/Xe4v9Nh0knD8dD5gWDgAKXdPJw2A8f27Ds0u508nD4/AUT +ZRfNSycPh/l62gydEUPTycNhPTZb9vlbcxp5ONyPWkcnLjaNPBzeH1vLGb/v +pJGHw/VYbS8/bmcaeTi8r1ebzq/WSyMPx8NU55bnboPTyMPhPPZEKVbclEoe +DufrwXa9tsWmkofDelaqFVl/J5U8HA+9WnOHnNmZSh7uf/9+PnXVJP1U8nD4 +eafuD2oZkkoejoc/Q2Ss37ekkIfjweViXmhgXAp5OB6W5ZSVvHRJIQ/Hg3mN +86DkPSnk4XCe8Xzj9kmQQh4O78P+Va/mjEghD4f90I2su/c7k8nD4fzlWnNB +OjGZPBzuP38v5q5HMnk4HvqZLjmndTiZPBwPU34FyHQtTSYPx8MIh/Tn78ck +k4fjofbvn8v+XBJ5OMxnIdJsYGYSeTjsP42bVFOeJ5GHw/vj833/V9sk8nD4 +fr+k/ixbnUQeDn/uPnxSiFoSeTgeCpTvjZ35O5E8HM6f+gL1zJJE8nDY74rm +uF4ISSQPh+s1hfcwvZZIHg776QWLz+tZJpKHw35/z2pHg9mJ5OF4SNF/8d1q +UCJ5ONzPPdq687KAPBwPb20+WYnsgDwcD/pd4T/L1IE8HA+3Nux3/1GdQB4O +z2d26jvzOwnk4bDfNNP8IjZIIA/Hw736worET/Hk4XjQWO/2Kdcnnjwc3j+X +8bkKG+PJw/Gg61L552n/ePJwPCjt/6SwPY4hD4fz8d51gdsOM+TheHCbELrk +6QSGPBwPD25dOT+q5D15OOyHhvbvLLrxnjwcDzdDlyvlzX9PHg6fV2FQ6IBP +ceThMD+952c7+sWRh8PX/2tpuMwijjwcD6vW2p9bNiSOPBzOI8YrDt9IeUce +jofwurtRg+3ekYfjIcBOKC7XeEceDuvV9MzItpZY8nA8TCq18Z33NJY8HK7H +ukzzknWx5OGwHw2sPxopE0seDvPFPBunaoghD4fn80eNofGZGPJweH6exi3v +rRlDHo6Httx5U3u3R5OH4+Hr8bMuxs+jycPxEFS+f2KdeTR5OB7CvjdeZOSi +ycPxIGbum7ZlRZGHw/Mya4CO5dUo8nCYL8piS8cviCIPx8Pner5G42skeTge ++HOiT87hkeThsP9Qhjj9Q5Hk4Xj4Vbi/Zc6USPJwPEyzK1J0aHpLHg7noxkZ +UsrP3pKHw/nn+t91g7e8JQ+H89pnpXBThbfk4fB+KIfnNxRFkIfj4dqMOdsy +7kWQh8P6qz5yYB/TCPJw2A8eCrlxf2AEeTgerrasuGmbGU4ejoeYu1Mg3DGc +PBwP9wsuNSxdHk4ejodPTfbeM6XDycPh882fF3s0JYw8HM4/P8qrB14NIw/H +w3bzfal/l4SRh8P8Jv9TfY1UGHk43M9m/QfdN0LJw2E/aRgR3WtgKHk4nIce +ndbLcH5DHg77B9bT1UjuDXk4Hg6or1js6PaaPBzOo1KeyVdGvyYPx4OrdL6H +4HkIeTicP56oLIpVCyEPh/3LZOGSLyGvyMPxcGWkq9q32a/Iw+H5/PHoGMQH +k4fj4UOY5xvTFcHk4fDvr24+5Ff4kjwcD6csXuqlWb4kD4f9bruLX3hHEHk4 +7L+79JYePB1EHo6H79u/vOzuE0QejoecnFXHVt0PJA+H9e46p3lmQiB5OKyn +vfKvnQ4LIA/Hg/UkmcErFweQh+Ohr8/Pfd1F/uTheFhotWK+7W5/8nBYn4py +phZ/8yMPh/XlZGiF7B0/8nB4PzV29ExU8SMPh/W5+sWUUbG+5OEwfyeencCu +9iUPhz+XM7nn3+ZDHo4H04sFk5dc8iEPx8OMzHGeqYo+5OF4MNzgE6Dx1ps8 +HOav3Dm9HFZ7k4fDfJc1bHdi1wvycDxsPln1QHjjBXk4Ho4v9DbtO/kFeTge +PhoWvhrv9Jw8HPZ3r9e0KmQ/Iw+H90vLq+KL7DPycNiff7s/NnmNF3k4PA/X ++q087+5JHg7P++bqXtNqn5KHw/qR3asjZ/JT8nCY7wouBu078YQ8HK5303mu +T+Jj8nD4+dtXnnk29DF5OB6M9XZ1G9g8Ig+H9z/gimpH5EPycJgfnz7M8xr4 +kDwcD7ILm+N27vAgD4f9ZDgXs4BxJw+H+X1D0GNNRXfycDzMn2Cqv8DWjTwc +9ouD7p0+UPaAPBy+/rW72u/1HpCHw/mDbbXQ8XIlD4f5Ui+iqkzKlTwc5tN7 +T1++PHSfPBz2133qEkPLXcjD8XBpzfoJ7DIX8nA8qJ9in+Tsu0cerhuSaj8G +zF91lzxcNwz6ctJRe/Yd8nDdcD4geU/sBGfycN0QUx5zuXTYbfJw3eCRUqLo +2t+JPFw3WO5ff+lL71vk4brhsupsOdneN8nDdcO8PVt0KqRvkIfrBoGru+We +wY7k4boh90+sbMKY6+ThuiFQ8c2H9hnXyMN1Q3HwjbmipVfJw3VDs7H7p2Ir +B/Jw3VDSaRsUePkKebhuqL+lNe5YwGXycPj+OufVD/lcIg/XDc6dq4ul+1wk +D9cNb0ZO+/b+4HnycN0wcHPKGd96e/Jw3XDt+17j3G125OG6Yc+EcQ4mbWfI +w3VDnlmWsZadLXm4brBavbfrjsJp8nDdkD1hcpJtwknycN3Qx//Ryt/HTpCH +64aoJfWKszWOk4frhseyAfFbRUfJw3XD5qE7Pvy4f5g8HL6eufO0g6YHycN1 +g+4O3fKi8fvJw3VD3MwlQzb320serhtMIt4bTJTeTR6uGwKkdeQ2Ku4kD9cN +T6eO2jFmqQ15uG7wnzzpkdYAK/Jw3aCYkCoQvdtKHq4bunbap0Y/2kwerhtW +vTWNrPffSB6uG9L0A6VjGteTh+uGS06DVO6sWkserhsW3oLq3bkm5OG6oU15 +8cVu6xXk4bohfPK29D0blpKH6wbRizn2mWsXkofrhknzgqJV3uuRh+uGY643 +FKZ1apOH64Zbsy2CNsROJw/XDRapX9XE6pPIw3WDy9c1TjcsFMnDdYPSxWFy +P3rLkofrhvawMs0thl8NJB6uG6R58cqtsqUGt5x7T/zSh4Ve9N/BVsWKDCkW +5ppF3h85OS3e1EDr3mNpFgTPozfPmp4Wr/lwheHBvizseJidajwzLX7Yp+2/ +Bf1YqHpyNnaOVlp8t5Ft5JD+LPzZ8HAqg3Gp952DDRizSYXnXatl4mN++KlE +yLBwVLfhS8yMz8wTM6by6gAWjk2u0fk5qFBwPqTYZeNAFpTeOSd5uPcw2/sK +V0yRZSFuvZJhrym8YNH2Pn+/Ydzyfcvb3nm94lViRkdnD2JhvVGHTtnUKoG0 +3KzDnoNZKDXePH1C3ltB2z4j1SNDWCjcWfzozp58JjPJqnrhUBY+rb245ER3 +BxM85ozrMDkWJiSq3HdVLmacT95d2YxxH/ljlpZz2wVHP/j3ihr23/qsU4uP +cRzOQsfgnEP1bqxA52LJkc0jWEjodbEiJFUkGFkuVFOXZ+HwaS/13PuVzFdN +qdqfGF9NTrRf5pUtqLw5xi13JAsWhnuOlgQ2CN43zjJ5rsCCo0dDS8PkHsZr +3so+x0excEn/sWtPmZC59MD63RJFFo5Ybh/28EI7YyM6c0x+ND5P/C+bG70T +mWXL701pw/juAX+ZaNdIweRnAXUxY1hwv2A16c7gYkbma7z7rbEsFCk3JO5S +jxF0rSldtXUcfr69jy3OffvEfAgSSc1UYmHT9+sJ7tqfmDe9pd//wTj+zoX1 +ZY4fGZctY08UjMf91pTVf335JXPirfY0H2UWhowwX2dlKWY2DDJuODmBha7p +2reveBcxurttHi6fyMJt+xmHVfoWC0YnnF09SgX3FwyeZYe3Mj8VXPp2Ypzo +7v34wZ8CpvZoIBM3iYWg/FxDhcoOBjITTjqrsvDzdnRqcdMnxntimfp2NRa2 +t7tZht/JEly1Zxs1J7MQddR4XNLlSmZ3sfTj3lNY+B65TsHuqT+zYsa4tcUY +/x3dM3GmW6lg2nWd/v5TWfixfKDm38WNAtk64wTbaSysOrSp2kvNTyDW3XHa +SJ2F5ELnr1DZwhTcs5sxZjoLg2vubvn9vY2J6HBpFmI8fPLeyGyjEsZtcdCT ++BksGD+0sL7CxAlsn8C6ezPx+fWXZyTadjCbu8tkbDRwP6zvpkjnNDHzVolB +W5MF+4Hj7ud+bmPG+fc9I63Fwsar8+1Wvq0U/Pk9TqMM40ypfd/WJ9YwDZtm +twbOYmHSlFFxG7TeMimhJp522rgfOnlBR4c2Mv4yO81MdFgY336vvay2lblh +Yz9QaTaep+vitjd9sgT74+4niTEeOKzyZ+zNcMZkxMuziXNYqDznESYtrmRm +HkrUdNVl4caf9dcHDclkhqaVt+2cy0KYsomWhW+0gFP66DVHjwWD8O2HZFa3 +MMW2/Tb212dB4/DB2IbcMiYqX2lQJcb1S8WP9l7OEDyaOicleB4LUnqN6b+6 +qhj7K6vsz89nYeZowxe5zaECy6qds1YLWMh7nO3y1aSUMdA516G8gIWFoxLu +iISVzARn1+ccxu6FqhMXLK5j+rS+3JRiwMKpmouixb8rmJYFSYPdF7KgNezF +6bdfwgXpHhWpexax8HpAYf9dp8qYoI8fz+ktxtcLFUXe1XrPOBn11xm4hIUM +2QLXA/YNzGHv8V3VGDu9TS0fVR8qWPNjjvfrpSyYfTvwLHVUJTPLzHTzpWUs +rLk947qva7ZgRMiuoeuW4/3/Nmm9cVk+81n6fLqKIQsB/ab/mBZRKCjf9uBC +D8adwXYG0SdLBO+ig2enr8DXW94/9mQII3g6NFn40IgFa/VeDbrmJYIL+yp9 +9q9kYdqDdYOkxdWMVdIni/nGLIwJzsnyK41gFo+RGTbYhIUNT+BBeWO2QPWk +cmYdxgk9bC9fi1im3wfdS2Gr8HzUJSRerMlkOlRX6zqY4n53JU0N+pTHZF/Y +zZqtZkFarahS+91TQUjZeT+1NbheFVU3z48uY+5qum39inHijCEnzLf6CY7d +fDU8ay0LW+qfda39WMGsb0zOerKOhaExo1oLbucys+dVXT60ngUrmfmKhrJl +jMIDbq6BGd6fqZMrW/d6Mt+FMh+HbmDhXf6K9IjGKqZ62YSARoyV7b7YPjCN +F8R7zd32diOuT8Ef5cKQROb5l9Xy1zexcGBDk3TJhCrmypo9OZvMWYjOv7o7 +eOgHZmfQBYepm1kYVj10+X6fImZ5b3f9Hxgb/vhzs00GmClbQj7lWLDgdW5H +467XBYIBb1MCvbZgfZliPdi5PZ0RylZvP7oV79ufS8GnX2QI8nbxIxdbsnBB +xvz1yD7egrD4AbnDt+F65G+aUjUwmXFVmHitBeNRD2cMOq97lTl1VG9+9HYW +ThgvGDPjZoJgU+Ya/oYV1r+Rzw0e6T5i9CbufWlhjb8fPmBZ7ZYEwRj7i9bT +bfB5IkZcuXUynflV5D7qN8ZLXvV8sZaJYOqmv87L28FC2lYtQw/nXCbxWur1 +FzuxHg1/cK1co4jxra0WnNjFQq7jTYsM+VjBdd3u7qW78fXmGak+VY1k9t4b ++GrkHhbs9Pc1XUtOE6zsmLijHeO4zk0+Uc+TmemL9Ue/28uCa9eBApW2LGbw +k7UFTvvw/AyfGrf6kA/zkd97w3I/C3L3DlZzimlMocklA40DLJxsf8gqSMUw +b/08Pv/FeFCi8YmhZeGM++/XIYUHsR4cebbo9rkbgrOb0nb6HmLh0JrmptGJ +BcyW0Joxpw/j3+c8xb6ykBHI9BQaHvmvHo63kb2leBTPn8GyOUPSfZhecSqL +ujD+kxMTwT8pZJqGz/v6/hjuz7Kui5bOCYLUg+ve3DnOgnhTqNeLEC8mIHXf +bqsTLDz9+iHM1CWfual0edysk1jf461cNQSuggO2D4v7nGJhwMj8C72PgsA0 +/41Tyan/3l9zavrigNPYn+xVP+TkVcgMu1L77YwtCw6/pXbFnohhuit7Qlee +YeFKj9c+//osplR70N6xZ1nY56VRCTsSBTG3J41nMdayKbDQ518wj1vmlSbY +sfDhxcPlqfqvBOcWrHd2scfz7bAx6CAUMNs89i/dce6/91/48fIPnfMs9Ky3 +zj1UVsKoGD0K73uBhd85+lpu63MZae/QfeUYa/j+njq3sYhp+56u/PIiC7x9 +2sJhB8uYzPV1ZfaXWHj+5cFi97hiJvjV5zurLrNgu6dg316bZMZZevDy8VdY +uO9k/8h9zXvBkW2qvz5iPPlw7xNl9+IFa6Pnv01yYEF3XvueHodiRnuo2YEH +V1kwUa3aVzojlZHfd2Di7mss/LqcNGJ1/yTma+KVCt3rmK8KD/crsM1gKkc/ +vifjiPnu07DdqYa+zPsTYYZVGA+qGKDwcWuGwCsn4/erGyx8zl8y9vaJIuaS +an3khZss6D2UKh5q9oyxufDl4JpbLAT+1JmdFxXNLC0bPGmiE97/4+Pyl7kH +M2qaalU8xqkdVzceHccw/W8K7qfexvfPsl09dmIh09lgZuThzIL3VdbHxCOC ++aB/8O/eO/j6y9tS86SymTeuDtH6d1mQnWK6xio9l3ERPj4sew/XL9PBdHpi +JnNiWbhqLcYxVYZhJaqxgg1emdVvXPD1v+zap3ejkNH9Uu96+T6en3k2V7TX +JTCKa76uXO/KwvmV3/q0Ls1lfgQO6a36APd77N3tC/rGCGp6TY79jPHQ8bHP +lwQDk2Cx4GiGGwujVW9+2n2lgPGO2DD5sTvWv2enVdfvyWGuyh6qPeDBQlvB +8dyhKvHM7l1X3QQPMX992Ko5/EIBsyL+icmQR5jvlfPFxzfHCKYpRPRpwDhE +VWvL0dBERvZo1rvwxyz45gVm3p+XLGAzGo5dfcLCY8+kJSfnewjyJ3ybsvEp +C5cvHEo3kfJjwu2G1k/2ZGHi52G9Mkw/MA+KJnt8w7g6zkghTT2fsZ1uYJrt +heevePlUlbZUZvO1jdKez1hYNPnwppf3Mpl5tYfeH36O++Fgnbis8h0zTvfa +iYUvcH/3bmo+NsOF+XP36bRh3pifP7ScdT0TL2hoj2howjjSXF3m/sIIJnlR +9sNIHxZa730ZnpIVJvB73Lja0ZeFR4Pe+t1Ynck48t/6bvbD82YZMZnZGybY +ZyIXP82fhfz5eyrsRscITPymnPqJ8Zvpu+aWar1mZv42mJ4bwMKKr/4Gs6cw +zNBNm5qeBeL7N4zslrLIZrg3hx8fC8LnPXTbOGttJlPc//raJS+x/1yx/1uU +QSETZe3ZXz6YhXINqYPnggqYh+/eJrRinHf2wtsfQSECu+E5p2NesaDepZex +4XMes/Vg04xbIdgvOuT2sb7pJ1iQ+r15y2sW5Dc9ilP1i2WUlYY9nfGGhVkD +5j2z/RvA9LGduv4PxtnNQTHzLyQxLXkLBxSE4u9b31TbmpggSJ9inugdhvV9 +9OO/ooWJTNDlI2dOhmP+kfJ5bb0vSuBUeV1jeQTe50DbtLUzo5lD2l6tCm9Z +qJg3pMNLOYdZfTvSs+Ptf/lEqyXHLC4S82k/B+GkmjjB8AXNA52jWJCxu6Ux +9kEa0+P+I2lbNAsfn4ix978uKBcPs9OMwfMYedlgQ3uM4N2KaVq9Y7H+Bfc3 +FmlECJ6+WNRehHFJ/74FrjURzIXv5s/83uH7T9BJUu0XzlitP7rRNg7vl52d +lXrbK8HiV46DjN7/93kmST9LGc2wcP269fk121KZvtui7IUYu05bNt9/pTfT +HvVhVnw8C186b8pn6D1ksoa0dNxNYEEU4ZZe+fSlIGTvz+fWgPnhY03X3I0B +gruJw821E1nIOTDg7I7w14Jjo9WHSCexkFL9qW1Shyez/sTitFKML++4Xq19 +MZ2ZnbP5fGAyzk+NQdN6XqYwCqrHdOxS8D4+eKoJ518x387f6DJOZcG08uL8 +c1wcU1X6zHtcGgsvtCprH9aGMYxG9GYxxikdal05gVHMsxu5QxPTWQiuGnro +pV2Q4EpDS/r9DFwvg6qBA3rnMTv1f13YmYn9xqQ5eyZrxDLLXUfMmZOF59PA +YrTHiBjBFKG6qF82C+Yqu+UXLchkBixb4luB8eVvDacfTgtkhJ4WW4JzWDie ++mx6bckHJvfzsWHnP2B9VQi0yH76igldfTPTNJeFkW7Tpg+ans/cD3x+STkP +81NzgHvXugjByV4xulzef+u/ySKPTc7HeUd++aAD+h6MXkSrn1sB9rN+S0et +evOMGSP7e+ueQuwH29/IHZSOZX7tlB+hV4Tn//b50UkX3Zg6Znr2gGIWdq86 +4mVumMwkjlx6pRpjq7/WzWfHxzA+R7bovS5hYet1hb+rS7wE1zKOf7xYiv2I +Ycww57QQZs+EWwFry7C/uBr4vLtXJmNk92KbSjn264u2SecEYj9SFCPfg7HD +hdyHP/ZgPzI9PyetAvuHhOXL539JZD5ebXN4WIn5NoYdGfU2UlBY81t/fxXO +3yvX5MdFv2bezhnJzavGfr60fW7X0xTG/e6MoEE1LLBTWb1eOjHMmfalVnUY +TzN9tNYtI4+xWLRVIawWny93yDmd0+HM/Mcncq/UYX08/G3Ir6W+jBJ/65pZ +PQsuF7vTPw6MF/Qy8Z6v1sDCq9Rv5k5Vj5km31j+C8buEVZ37R1TmNRf+S8z +G1lgPu33m/XiAxOwsd36SRPmzyuf7AJ7RTI33/wZdagZ62tjuNp27ilzoL9C +/oIWzN9zHwWWGXkLVlnPdBzaivOjs+OKjs8xjMa7ZQsaMW50VNkWr5LOyA23 +7IloY6Hfrte3w/yzGP7AyVfX2rEfGAKP9me7C0pTnHZs6sD1G5Rk9Mw7mokZ +5zN6aifOuzPLJ+7NT2Qen35X8B3jlfFPNERD0phzeQU3crqwvo+p3vTZIkyw +bUqHgZcQ76fx3oFnUsOZhZf/fj4iYmGn083HAS6xgomVCq8XsSykix2Pna9L +ZaS0NXYNF+N6mOdpFjx9x7Q6LR/bgvG7CGiQvewmyGi2LIr6iPVilGXs1qtv +BcGCU7dufML+fGW+C6frwzi7315kwbGwoKKy9csdV8ERsc9XdR7P402PmYmv +I5m1K+Le/MJYo0JDNX5FDqP9onB3XjfOz6q2riKVNwL57x3jXvTg+l0I8uk+ +9UjwZV2vkuOfsT/UVux+VBIiqAgedXvpF5zfTvdjTBc4CuKkNJeM/Ir5qi5V +rUQnUuBpafi9DeMZxgrZ3Ilk5lLUtrDYbyyo6Yz00zZzFdgMOb3X6TvmW0at +j2agp2DpXufxlj/w/ju//Ro2NZpRS/QtnfkT95NZsu2bTzTTf/R7578YRwdE +KNuZhwk6jxctLfyF+WV7/geFMH8mJ7vzh89vFg5mtp2ZI5fEvJ7UO+LUH3z9 +4vQwx/D7zL3zivsN/7JguWdU7WztFOZ4qeYExV5i0PV8Lc9/TGc2aKwo78Q4 +ZXL0TbNnqYzuje133/cWg0ytnlxDUQSj2HB6+Z0+Yoh2sBycMsJV8EPvzq/t +UmLY3/fnxrRXSUzNfb+3WtJiKCiIsZ+R4iFI6Hp/oE9fMQwqlZrz7m4i82Jp +8cQSjCOSPfeetslgHDy7Kvz7ieGBrsPvrYbegl2fe7uc6S/+f/nEcPXoFStl +xDAxam1Z6aRoZlqg1p8xA8Rg8CNfylkzhZHtZRQlwvjglgHFptO9Bexmq0MJ +A//7+/xw20kusmKoN955eR3m1/CBd6tsBokh8JxsrMlYb+bBTv/7OoPFMHBe +Ztk+6UTmNMMY9R0ihgaFUX6t9uEC85Elf8swdgjaONBpUyqjf0QYHTRUDGvV +0gWjNP2ZsRl9jtjLicH5zElXNe9I5rfyGLVVw8Rg87s9yXRHMtNwdlaN0nAx +GJ58qlt3MJFJLjR68BHjp7Xeh9nNToyfurVx0ggxpF7zVF3kHcI4Xj3T+4G8 +GILH529quhUo2FdzN3bXSDEMdzQEhcORjPGcgKO6Cv8934y78ZNlRolBOC37 +BY5UzJD2ktpKjC/5Bz1/ZhAq+LRQ5PZK8b/fL3okterCaIwrjhxNvh0giOLG +SK0ZIwa79zYyC0KjmYfG2nETxophyfBewt2CWIGd78rjPMYBoaZxhgkxzNZf +1lNTx+H7Fc8/9u71LWbBxrP17kpiqHDXb7uUfJtRfnPPY+94MdyuTVLM35TG +9O4faKqvLIbNfT1+dHrEMM1WCdKyE/77PGmxpe9r/r84cBh74s1EMfiG/Nig +pBPHOB2QVr+sIoa+Bb5ajocTmUMpYxvXTRLD10Gzg5euiRasHqfzaJKqGBJf +H9rKfXzLaJ02XvP5f7HJEKubSknM8Dybfhlq/71+z2S7+EeTxXBGXrat78rn +TNkll1MHpojBzdBqQOerWCa2InC6YKoY5BcWuG06Ec48mQVNg6eJIVZp67A3 +vuGC805lj+sxdro6Vlcph2Gsmtm14er/vf5iQV+Zq9PFYKIydYDPwWDBJPdx +sGGGGK6a+LwwdvBk+op1bCfPFMN86Y16WfteMO2GJjO/Ybzx1TWV+25xTNbz +HS1ZGmI4IatZs8/iHfPqm93Tp5piWPZZjsvWucXcWXd//WEtPA9Sqcv4Ha8F +R4ODBiycJYYFb+qj+EMuzDqpxEQ5bTG4x68ZIbwdxcy2LD/ThPFOV7d9Fa7h +jEKUWCNS57/P+21wv7brs8WAA/Y8jz+JTNUeJS/zOXj/s8ccMrX3YRiYvWGa +Ln7e1j3nXZpfMM8UV8n+xFhD1kNap5cvc/n4zuQPc8Wg0CC0v7YqRLAj297u +mZ4Y3ubJO3VveSBYNslV65g+5oexur0/b01kJp9/2b54nhgKo2dmKaxzEQwo +TXw2Yj6el44Cl40mUYxwZsXGVoyXnNXdekLVT5Dr+HFQjEAMfxW+yau0uAtC +6/ul3lyA9+P5utXGU58I7uuNP7fFQAx/FB/1eb/hNnPy/hztGQvFMFMxemn0 +VFdmY9eqzt8Yy87RONS/1E0wd+muF/mL8PMvqO57PiSIGe15ztx7sRjSA+6J +Hox/z/zscR1ycokYWqbuNB65KExQZxqctmypGHzUdvS3cY8WJAYknVdYJoaE +t6Xnru57KPD5W6HTgXH0uPDIde9CmGubP3W9Wy6GN+nHXNZVhDJ7wvv73DYU +Q9FHts+l+kjGaKCyxbYVYtiaNaRosZqzQH2nrpymkRg898z0+DDAVzCIMc3o +tfK//RHL775YhPHuQ59kNzb6CgoOn5/jZ4zr47y3n1JdpOBt+gPRaRMxGG8+ +c6zHzJ9xV37lu2KVGPyWdv4w2XNDcOZs8pbRppjfhw+TvTTxPWNRWDlMiPHO +h66nlvd6IJivzmUyq8XwZcbd4OPmDwVKV2Uu310jhs8DCm5UP/IX/K1Wnmu9 +VgzjP69pa5P3EjTOniuetU4M6qv9uyu8EpmUO6v9pdbjfigNsHIb58b4t+22 +LF3/3+e/ufDCiEAzMUipXFlotu6O4MAjt+yzG/77+Sru1RXjjWIY6zBCKe5t +GKNhnKI3bhOed+VJa6xtnzByvlUfWYyDVi47vcXsPcP/5ALAXAy2Ez73eZ0S +wJRsGLD9/masN/srLziPdxREv54wcqeFGErt3z5hy/yYR/30Pszegvnnq//Q +4X3uCOyt1lztt1UMv9YPjWiJxn4kds+8CozfFw5cb5LlxywcdpF7aSmG0/cu +KPuxr5iJB9yDzm0Tw8uosq02l18KpFJCrEy34/nqM/fJ9+PugtaxqQrKVmKQ +e/alWOvVeybjVHXuJ4wbh98WHbzICF7m8teSrf973tuTBwrcbP6LD1+a2L17 +hxjGFZp+CDgTxKyp0Aueu1MMi4adW3DZIYLRnrXWZsAuMZxcvP7i5tUvGHmn +vYrVGF+zqptq5xLFfGm6mB+yWwzeXX+lV4bfF1TM93D8P6bOOiyqrnvYdit2 +d3e3y8bA4LEeMLATu8VWVFRA6a4JZoZuUXTrskBR7O5unXOOif2t9137/fj9 +ua8Z5pyzz94ruO593VvmWfHAhJfD2r8S4rB/Yt8x82k8p4j1x24hwj+c/txo +gRV7rxrY98+zTNgy9H78Jxr7b7E3+g8KETOiPs3Kdi64v0H5ZWoHLbTi5g/D +5jzYniGajm18ZcEiut8NI3ctvhEuSsb12tN7sRU/BswxFDMcFW+KjO1fbgnF +y/cvmtxdnibOT1nw9QGNG904tme/e4hIzNiamLyU1ntu4uZnrS3Cq3zQnO3L +rFjCOWjKjBxfWDEvqc745bSeX6e2yK59QIzH7KtNVxTcT7eaD/Z+pfGUiq6b +P4xJFjVWfB5wdqUVi42fc/1VZoz4nls2P2QV7Zcj2099epQA9xs3SV602opv +xd6ODxaGiWMbe8/ru8aKuptP3Tq2OSZ018fWs1lrxZvTbk/Y5awXru2crz+m +cQf7ruaoBslijts2j7R1VA/Mr37f+aMQQx8FDdrpQvury4Tl41rsFC17Jn// +dz3VE009Rz2eeViU8clJabHBiisWFN/rVTkR3r95MP87jT91n2KZvNIAFwd9 +qX9+oxUXP9vY7+OIQyIlrNzN8E203sYuVkKcDwu/z032Ld1M9dL8dQ69mvmI +NaP72A7YYsX8evfWTN+3ExzN435W2mrFPaF2JQbO8xO9/jqnPaOx6485AXUK +x0CdidudD2yz4uG8boNfbA2E3ynBDXdvp/VSe8H00tnHxKPSKbcmulrRbmSc +6+BlGXBi1pn9rXdYse2DH68SKwcJ45GHQ37RuHFMX+XFg0ixq+rXXxd2Fsz/ +giXlM6J2WXGCk5tXTqIXjMhpumiFG813tXWNLv6IgrYNoPHg3VbM2//u53T/ +cKjgMv5O1T0UL7+G7DFVF0K9vND7JY2ntex2vV9qkrjaynXYob0Fv5/hGvJn +r7sVq52OmBaRbxKB91IOTPGg+GX6lXW/oR5cup5d3M6T5n/p1tcfb6aKyfse +NflL47HBP4bMjYoXfV9+vXt5nxWHTS8mtr7NhAb9K/ga9lN+KmbT/fXPg6Jw +cDO71V60X2He4uM7dPBMhUJDva2YdfVLpaI3E0W23YSDNXys+B5mHrNP1AmL +YdHSNzTO92hXvtyIdLH3p2uzI74F97toQuh9Tz96/pbRA495pInRial+0/yt +OK5YaOI/CZmiQ4ncER0DaH99qJRw9GKcqDz9ceEigVSf1dh69E8Mis8Hvx26 +RuPlQZ2cajbyFjcr2iw3BVmx2YIhobXd48Qh5+Yt1gUXXC/0ZN+Hw0MKxpvq +/BtQO5T2V4tyB3f/iodpqxePek/jEcWqL3GNPQADLuwoeizMijVn57fMW5EG +jZuHHfYKp/Vy2dqVuiNRbGvaipkRVnSsYVejxdk08epWbssukVSvXlmkW6ZZ +ILfjk0fFoqw4+ciyt4FtE0T83vzAmzSek5/Usv2yDLHvqY19jI72x4D1v5OH +BItlfVoU36CnfGDjcnnR+Qwx1r+fGGmgeq70w5prHqaILh/+XVXPaMUKS9o2 +LT8hFqoNXdJaofEi/8OPks+Fi2+RO58cj7biriEDv/0Ys1/c+RYW7Gui9+kW +OzBR+AkxJv2fOWaaz2aOE1adTBORsedKdLdQ/9ChtMcO70CxrcjToyVjrNhg +woJwo1MYzJryffUdGnedEPHUy84kbDMqto2PteKzVZNtLxvioXn5ls82xVG+ +zkwvWnmdTpSa1z/UPt6KEU93BNzYfES8PeYwtmEC7Z+aU35+mZ4i8mosLfWR +xr3L320XEZYCSct34alEK0ZBo2srh5uET2742oAkqufviGV1vI+IVY0z2s1P +tmJuxt2wwX/8xb8bzz/vmUL5q82cOjcXxoge15+GlUm14tmcxbfWPDZBrXY/ +xt2ncfCNjOpHnqSIn7sqlUlKo3rS2Kj8qAEp4sHDlie2plsRyu7f8LZpAGCP +AS5jM6z4emzYj+ieMULv7dihyQGqXzo+Tr0z+7DY8Wbpy880Ti7xs9jpqRaY +N8gtIifTikvG4bIba7xheFjEhOCDFI+tP2uPWmsSrT9nlF14qGB9lRudd7JP +lhWD8ryjxZEAsJqerS9/2Iq+xXd0OjQ9S1z+86Pjo8MF309zrPw65YgVe+Zs +/tj1jUH4p7SKchVWLLmj7shjbUxibemBDhOOWnH7xBHpxg5hMHHWxPLNjxX8 +fZ8jy05/o/HDKx+Dk26linpVd2/MRSu2ctkZ+j4tDP4ujuwcdtyKIU1muqws +GwBPsg+8WXyC4t3tIXnNT7nDqfoXdP1O0npfuLBpR480MK177ljxlBU/G743 +yvPSi92Xf1Z4euo//VijmPGH04Rzqyo56aepP7x2fXm8MV2MdG29eVc21XsT +ei8xt0qAdvcGdnXMKbi/il0nvWt5xootM47k7f9wVHz0XG74QWPH45GhS9Z7 +iusvdk/KO2tFh+fVG98abRaZ/aIqRuZacdbhmZlvdckiOCjzzLJz1B8GJI+b +/twHNqgXtgw8T+th7dR2a4w64WT3oluVPCvezT3291+LG/Qz/Hr/nMZBVV2j +L9dKFQ1/VonOvEDxd9nE3COr40WRCW2m7Lloxd/Hh0yY2SRMvEgYVHnyJcpH ++Z9topPM4kzxybltLlvRGvi08Pu+aSJ22optvy//n3rk4J4el67QfOpdekx/ +aRJLKuqsuqtWvOgYu3Pk2jTxj/NB08prBd/vdPKik+11yv+enb55ztWLKnVe +Vql+g/qFyT+K2m42iy+rfp97ReOMxzr9kT4p4lZeVdesm7Tf4nLzXlVNg8PN +2vbyuGVFr04Tu048kCDCtwxWnW5TfVf4qf0Jh0yx5dZkS/s7VuzSvONoj1sH +xYyOK6cVukv5dPS+/FU/48SgvXurXaXxpehVH8SxfaLpU12e8Z4VCzfVnRns +tg9K9Dm0Y819K+6rM77mpclx8NrvUu9hD6yYMrb6EJ3/ATj3/qVW86EVF8y1 +bN9eIVUkDPkT85bGOZ0r9XcfGwL7I6vNEI+s6BLxfcTlrBRY8a1tjf2PrXit +66rf822zxPgxthenP7Fi4LoHFaf+1UG32Cm7Oj2l+mhn4wpT3+ihRpFVUPQZ +Xb9Fhj7HaTd8n+z+6TqNg7dWm+k00SLupevjzM8p306YfDo6RSeOlsua6fKC +1u+KYesPb9oJUXMv1xzxkvJfuet1jDNTxfZjry7VeWXF84+jn4VVChWza/x1 ++0Bjc2LHReFvU8TQ5dX74WsrHr31MqlC2SBomdvui/cbis/b8u3n1vYTZRoP +SZj1luqZ2o+KdxsUJd5vcJrd9Z0VB3aq/6t3oyS4eG1V7RLvqf6f0OPMsk0Z +IqWtx5VbNA5Z/uvR60XB4LvLsCf2A623J6/2/2iQKVY/zOq/0VqwHhx6XPk6 +SqF8bIh4bkyPFT29XyfWV63oti3QVL6tB9R583eOqhZ8//fAGnVPalYccupq +hR/dvMSj0PbX/D4WfH7i0xD3uZ+oPp/VqIivv14YR00d2OOzFX/ubDZqxhQD +7DKtzi/1heq1dq9b+xQ+JOb/8Ui+S2Pb9C/2Oxv7CjtH47yEr9S/TG+UdGGT +EG1SDtfb8s2KThvPPuvcNFmUL331+j/5tP86ltjbj/pNdeYbj0bfqV8+k9V9 +av5hcfVwocGfaHzT/HjKUcUMGVVq/jj9w4r/3Dd1qdbqoAhc3CE18CfVn2Wd +EpZ/3gMu2UMXLPhF9X6zXyXX6dLF5PrTGvT+XfA8sG7NzbJ/rDhq5FfdxYw0 +Uf+y574HNG7fbvW/nrtTRaFW0bbJfykebnFXAx3i4On2Iz+3FVJwUtjjb7Mf +RUD23atp4wor+N7BJ+n37X3C0uWtc9MiCno28Bq7p3OKYB5OQff64cVC0i2C +eTgFN1rHb573MVEwD6fg68CFvWwKewPzcArqd9Zv/K8wAvNwCv5zet6+tydM +wDyc8v/vn3m4gjHzcAoeKvnq01OXRMnDKXij7ievZuN9JQ+n4O4+TV3WTrIA +83AKJg/Uhl/4Fi+Yh1Mw1ddYte2KaME8nIKhZy/3tFQ8IJiHU3Dlio9RDXYc +EczDKTjmYKkVH64nSh5OwQWRQ/vXCUmQPJyC1WvF+1coHw7MwxXcL/NwBWPm +4QrGzMMpeEb9/rOn827JwxV8zjycgr/u7P/085BBMA+n4Ophq/TTV6YJ5uHo ++WxsmnmVyZA8nIJ2nX81af9eB8zDFfwe83AK+pp3Pnx7wRuYh1Pw05K3uzst +zBTMwynootQplxafKXk4Bbc6J59OjD4kmIdTcH/tCb/f3MySPJyCRdfOnt9y +djIwD6dgvySPbbOmmYB5OAVb5mmDBtwNAubhCu6HebiCMfNwCl6p/+hW9xGh +koej8a5Gk1wvJQLzcAoeq73mQm9jBDAPp2CPIW08WrskAPNwCuZOyzlf85UO +mIdT8GKp3zdcepglD6fgqv3qx5PNMiQPp6D3tXkLzT3iJA+noIfdiJt+epNg +Hk7BESVHlP1wMFzycAo+atYxPav8IcE8HO2XsmV7Ni6fIXk4BU9MTWpzcnag +5OEUPPXjRGbkiHDJwxU8L/NwCv58fqZHRNU0ycMpqNW4tvuLNQ2Yh1Pwx8rT +H86lH5E8nILqjiOesxbGCebhFCw191eRL8UTgXk4Bde+rnPraeEUYB5OwcvD ++zfo0MEAzMMpuPRM5y7+gYmSh1NwW6OZ3r0vZArm4RQ8tzX83t6mFmAeTsGc +123s7Y5kSh5OwWpQPCzyQ6jk4RRsZRxpd93FIpiHU9B/cFrHktHxkodTMCqj +/8M/q9KAeTgaN4mZp0xNE8zDKeiT1u3Xl/RkycPR82QUPfngl49gHk7BL+1P +v287L1HycAq69m5RfVM1f8nDKditSs1O+1+nSR6uYH6Zh1PQ71DPIpOO6QTz +cAq2jbrijr0OSB6u4PvMwyn4tsTCmwv/NUoeTsEBvdb5OPqaJA+nYM+SD30T +VF/Jwyk4407Zsm2/75Q8HK23VpfHLz6TCszDKXjbN6Bd0+kpkodTcM6e/ZPN +L8MlD6fg2RJjtMIHEgXzcLTeSjv+NtbNEszDKWguk3EudHmM5OEUHB8dn960 +Tbrk4RScerPK3xqNMwXzcArmVRtlu2WoQfJwCo5MM54vlmOSPJyCB13TcvLL +ZwLzcAXPzzxcwZh5OAVfdbjdftnVJME8nIJrZvX++PCBJzAPp2BaXa/QucX1 +wDycgsNLvo2dpWRIHk7BhQk4+Kp9ODAPp+Awj9/aReM+YB5OweCAdad+2VkE +83D0fmbVSU7tkCV5ONoPI9Zd3vQnRfJwdP33h1uNdvaWPJyCkbXOaUlP3YF5 +OAVX1Dxhd9GQIXk4BQOnXXtwMsBLMA+n4IV5od1KPjNLHk7BWbrzDs/2WATz +cHR9bVmZ9JYhgnm4gvlgHq5gzDwc/f5lmNpzj17ycBSP4nrvPtVbL3k4ynep +f0P7grdgHk7BehmFS+zbHgDMwyn4Mk/p3fzl/3g4ypcrVi5vviZV8nAKzo/o +XGJ0bAAwD6dgpXynGWMGJAvm4Qruh3k4iudR0Rkb0wySh6P5OXMxskGRg5KH +o/Va6+iNiUmRkodTcNDGfXYXd0ZJHk7BuCOB147MTJc8HOX/I5UW1NqYKXm4 +gusxD6dgbOsQU3y0XjAPR/mnUtMZG0+GA/NwCtq8WLh803wfycMpmFRuzvTw +Zj7APJyCGdtv/hp+M13ycAqGdHmutDsXL3k4BTe8v+ZzeUiqYB5OwQm9T5rT +Ak3APJyCl9Kbjkg/FCh5OAW7XpmL2ScyBfNwCv57eKRXZap/mYdT0Hbu9vkd +/QzAPFzB8zAPR+sjMsF23C0jMA+n4KL7ux8XH2iQPJyCbtvjXNc7pQjm4ej+ +P6RGfErxBubhKF52UGqMz44UzMNRPO3QJPxrq0DJw9H7a+4RurTyfmAejvZP +8c62u9q4A/Nw9D5c514/XzlJ8nD0PmOHagafcMnD0fOe1Jy2FjUD83AF9888 +HMX3y7WH5VcKljwcxcd26v4T7TMF83AK2o/yCtih9xfMwynYa/XqbNdwEzAP +V/B7zMPR/s/buuDaA5Pk4Qo+Zx6O7n9SETzybJ/k4aj+ynQ7JM57C+bhFHxc +6n2hmWvcJQ+noGXroPDK3dMlD0fr/XSt3nPHH5Q8nILxHScFvbHdB8zD0fv4 +lNS8xBgTMA+nYIOyvSduquMtebiC+2EejuJJr13n6+w4IHk4ev93sjN+rvAB +5uEU/JtT9dmUlhbJwyl4b/DsVVXtMgTzcAq+s3cFr54GycPR8/Xb7+TsliB5 +OMqXl8qMEzODgXm4guszD0f1b8Xw20NzQyQPp2D03YVvdq9NlTycgr9fV/rc +c5kRmIej+LN24/MdVQ9KHk7Bsf3rbN+0PkjycAreL3w97HChGMnDUb1ScvD1 +95HRwDycgiIh5bhzRaNgHo7qk5cho3fGpgrm4RQ8nLi9RdrgA5KHo/queYWN +LTFe8nC0PocEbB1RJ0jycAqW8UhuX7afDpiHU7B1fNmWH75HAfNwdL3Ag6su +xkVIHo72k5gjcsbvA+bhCuaDeTgFaz+YYOc4OVPycPR+VzeJbdD2kGAejuJl +N5eubiWzJA/3n3ixynLuc6zk4Qp+j3k4iu+6wY4z+6UC83BUD9zGrHYNdZKH +o/7kp2/r1id8gHk4BfNTsUKpwwZgHo72V1TzJnd6p0oejuJXznx1dvt4ycPR +/t2e3/B1rzjBPJyCD8zenV6GpUoeTsGOsfXE9+1xgnk4BT/Y503/p7sXMA+n +YCaqHoMiDZKHU9CpxZ0q39oZgHk4qge/7PzsvC9KMA+n4Prbixo02hYiebj/ +U4/8l4ej+t9S2/F4Sx/Jwyn45unYTX2+WyQPp2DJkdMrzf5gBObhFOw7urXv +mnspgnm4gt9jHo7if0ujGD8yXDAPp2CXiZ2CbSrECebh6H23FJfzlxwUzMMp +OCVq9Q0t5KDk4RR89jp4WowhVPJwlC/7lPnHzX2v5OGofvMt+32ATQAwD0f1 +atvm0U7uXpKHo3oOOwe07R4DzMNRPbhiudfcowmSh1Mwq2OZCzcXREsejuJv +ZunYErQ/mYcreB7m4aj+uXrceeOTJGAejurxZjWrbvurlzxcwfeZh6PPqzsX +Wdg+TvJwCv55k1y0U0NvycMpOKTb7YYzJ1gkD0f95rAGRdvlBADzcApmj1mx +8dAAf8nDKdhn+5wfOcYkycMVXI95OHp/O6lM7aIXzMPRemu4avC8AQclD6dg +6Ufd/9mRvhuYh6P5S5pcc101neThFLzp/dGzx6QwYB6u4PeZhysYMw9H/Snu +XXrwiB8wD6dgUNzJ1cuKmYB5OOrH+p4b/OqPAZiHo/g0pU0b+GaRPBzlm38H +ffzado/k4RQ0PitfZk+aOzAPR/Xb5T95lTKzJA9H9cWFS9kRpdME83AKpn+4 +kalW1Ekejp4vaIkYnWiUPFzB/TIPp2DV6iVSm/dwB+bhqF4OHFWt+IYEYB6O ++m9zw5KTn5gkD1fw98zDUb0SlXr98i43ycNRvHHfU+rOtkRgHo7yhfuyr/+U +SwLm4RQM829Q/PF4HTAPR/ll+ajao6zRkoejeLgiqdiMY2GCeTiKH6VWWbq3 +Oih5OAW3dFtZuEmlXcA8HF2/54o+m0YmSh6O5rv0guMNfx6QPBzV40Eeujnf +UiQPp+BnF23LRPQRzMMp6Px0dpMSe2IlD1fwfMzD0fw3iFw4ZOoBycNR/2Hn +GpxZwkfycAq6Dz18d1lAvOThaP1u0Xq9PJQoeTjKX8+tZmGvkzwc1eOj86Oy +DWmCeTiqxz7veHj6Vrrk4RQc2Hne/GHO/pKHUzAmusex5y33SR6O9uOR36NL +nYiTPJyCTZ3LzLHuShDMw1H8cV9cbLKWLpiHK3ge5uGo/vVcLCYMTBXMw1E8 +9t1hc4zyP/NwBd9nHu7/1CP/5eEov5rsIuq4RUseju730NCT7cv6Sh6Onsfw +6FGpU2bJw1G9tK1f6B6ndME8HNVfS6L7XV1hAebh/s//R/7Lwym4Mnxb/0N9 +/8fDKVh/TgW8lR8LzMMp+O1pu6nHTiVJHo72f2tr7ULrzIJ5uILfYx6uYMw8 +HOVLu+lTY8pESB6u4HM+n63isateazaNTRV8PlvFjDjnaQudqT/87/lsFd08 +YvwjP+qBz2er///v+Xy2iubNA2o+yToo+Hx2wed8PlvF9x21QsVPHxR8PltF +1by7sumZCfh8toqtZ9Ya/TXVCHw+W8UB/p+LXemaKvh8toqLRi6q/fdSCvD5 +bBV9qyWWvTsqBPh8topaXJHYhyUtgs9nq3jiyVrb2lqG4PPZKi68UqTrqQo+ +gs9nq/jgprmF/YAAweezVZz/622ZbiV8gc9nq+iwFCxrToQAn88ueB4+n61i +g7ZBeS2mUX747/lsFU9mGS+Vu50u+Hy2ih7rw88P3Zos+Hy2ihUudDw0tXqc +4PPZKo7MGNI1uIMe+Hy2ilt0Iy93vOUv+Hy2isevfY3JGegt+Hx2wfX5fLaK +G4qGNdGEAfh8too3tVaLqx06IPh8toqmw5+q/4nIFHw+W8W8FUkj4yIPCT6f +rWKtsxE39vyMEXw+W8XV4e7NTh7eK/h8toqLJ12whKTqBZ/PVrHmwNzeZy2x +gs9nqzi8y8zX3WPiBJ/PVnHerIEuWfuMgs9nq3i5+snYFacCBZ/PVnHbjEIt +mrdPAj6fTfdb98xFtYW74PPZKs5+vN+aNtlN8PlsFbttntuxcuF44PPZKtq3 +dzw2aIxZ8PlsFZ1/Xhxw0SNW8PlsWp8zRhTdXv+w4PPZBfPF57NVrOPuePZF +OX/g89kq/m4272qvK6GCz2eruLXrt9KO1WIFn88u+Hs+n63i2oSLug7/pAk+ +n63irYjtPSHfJNhXomJW+QVLex3xFOwrKfh79pWoOD6z0Zub8QGCfSUqBlqG +vMlPiQf2lajYeYSTxbjPDOwrUXFf8Mj34S30gn0lKqZ1OKtr9sxLsK+k4PfZ +V6Ji9z7FDZ86eQv2ldB+8526oR/Vj+wrUXFowhDviCERgn0lKkZ9trm4ZUQ4 +sK9ExezsEiO1UcnAvhIVy+9O61u+mxnYV6IiJHz9fa5ypGBfiYpdFoQYIrr5 +C/aVqLjfrbhjmWYRwL4SFYM29sgtaR8o2FdScL/sK1Fx6qa9j9yqpgv2lRR8 +zr4SFR23NJ0z4kaGYF+Jikti5rQZ0coI7CtR8aN/zuutxxMF+0pUjE8cubJz +to9gX4mKLZr8nHxpdrRgX4mKRz73t69E+Y99JSp6JjfR766WLNhXQu+n2NnL +x8cnC/aV0Py5pHQamK4D9pWoePhhK+O6demCfSUq9rB26rzPnC7YV1Jw/+wr +oXizsPvez209BftKVAzPi9js1yxMsK9ExfTWy1qpvjpgXwm9j0adFlb3zhDs +K1Gx8h3b9zv+GgX7SlQs82OpdzktRbCvRMVOe5f/ajQpANhXUnB99pVQvOmV +2ra2Y5BgX4mKPxNfp8Y5RQD7SlQc1ObLn6iWfsC+EhWL7hhu7KAkCfaV0H7+ +0G/Enu86YF+JimM/3D8c/a8HsK+E9ndSRbF8tU6wr0TFVxOdXIPtfIF9JRSf +xoR/f1lIJ9hXUnB/7CtR0b1B1zKfN5mAfSUULx3sQ+9ZQgT7Suj7dkl95g/O +EuwrUTG62O1zLptiBPtKVEx2PDdt0zijYF8Jzc8N11zH0EjBvhK635LrhtW5 +FivYV6JiPcuqE4tGRAL7SlTsqf81+ua5AMG+EhVfrGowac7dRGBfiYrLmtV6 +W75LpGBfScH9s69ExcLHijVo2CZOsK9ERcufryH/ftED+0pUPLr+QcLhHmmC +fSUqNvqlPYyqkSDYV0K/p/6eNuVapGBfScHvs6+Eru8w6M/wlQcE+0pof7t1 +HXo7Olqwr0TF9Qv3OCgOqYJ9JSret47Nj7mpF+wrUfHCuinLyzRPEOwrKfh9 +9pWoeHX3kPU5HdME+0ooH0V169x4uRHYV6Ki0+0anV07mIF9JbReVgYGPS5i +AvaVqKirVcmz/cK9gn0lKvqXHHH28bYswb4SFW/Pd/l8cZtBsK+k4PrsK6H8 +mfxPjQVOhwX7SlQ0JC/3MDU0APtKqD6YM650uWM+wL4SFf16l3FRxqcI9pUU +/B77Sihe1F3aJPl9nGBfCa3ft4tPuipRwL4Sqhc+1KrX1D9dsK9Exdrt9lcY +8C5VsK+E3s+hOj0P2OwR7CtRcVN4gza2OQZgX4mKualq2rh20cC+EhXnlhZR +Q23CBftKCu6HfSUq6ss7139R3h/YV6Jiwo0SWs3ysYJ9JZRPf/jWalknQ7Cv +hOqPD61Cxk4KE+wrUVHE3fLueOOAYF+JimfPjLcGdEoR7CtRccHKUTPCfmYJ +9pWomFipzHWHn0bBvhKq5xpWq9jSLRzYV6Lin+pL5kFeqGBfiYrWWTV3vdN5 +C/aVqLg0otNWtw6hwL4SFa+7rGy4d3kksK9ExZb2DSuWsKQI9pWouNPjSc7F +mkbBvpKC52dfiYpPykxz6XouWbCvRMW+pmrt941KF+wrKfg++0pU7ONw5XfA +hVTBvhJ6XmNQryJ2McC+EhX3BB4fcH6rRbCvRMWIb68rtpwTBOwroXzuudz7 +zAF/wb4SFU9HpI906WgB9pUUXI99JQVj9pWoOLq06UPr736CfSVUL0wLvB8e +cUiwr6Tg++wrKRizr0TF5/Nrru1aMUuwr0TFgT196l+ergf2laj4+UuVqI9V +fYB9JSpi/FdP3wqxwL4S2s+nu/+5MCcE2FeiYlLa9HtznkYB+0po/j4sKnk9 +21ewr4Tqr+N3LpWeaBHsK6H9UUh1PL82U7CvRMWX4PR4XNtMwb4SFR+NX+of +8TRZsK9ExapNLt/zHmQG9pWoGHMWPp8uYhHsK1Fx0qgtYzoYPAT7SlSc6Xd+ +SvyHSMG+EhXLvnu84N+BiYJ9JQXzwb4SqteHBt3JywgB9pUUfM6+EhUH2z2p +1cZ0QLCvpOBz9pWouPtwYEePynrBvhKq93b3mnat4mHBvhIVw4I25b4+aAb2 +lVC8N9t8yZkWD+wroee9/8LUZGIgsK+E1m/F6UMnhyUJ9pXQ/Pqcq/5wbapg +XwmNqxULmbDNCOwrKbgf9pXQeqtd1MNtiZdgX4mKByyf7T97Jgn2lai4PGFo +H+fwdMG+koK/Z1+JihPPd/sYUiNDsK9ExTXbqv5zorE/sK/k/8Sz//pKVDwT +fnjX0HQvYF8J1Tszp8y6V9co2FdS8H32laiY0rubWwtDDLCvRMXS82rAsuJe +gn0lBd9nX4mKV1zetL0+bjewr0TFVrMcH9cakC7YV1LwffaVqNhmsU+r13Y6 +wb4Sym/Dalfb0DJFsK+k4PvsK1Hx+7B+aD8zQLCvhOLFraZX1q01C/aVqNhx +zIDYJx9TBPtKVGySf+Jzq3kpgn0lNJ/7a1bcOSlBsK+E6s1/Dz7ImxwN7Cuh +frHa1quNloYD+0pU3Jhx+2qdqcHAvhLaf796VHDzjgP2lVD/UWtoreDU3YJ9 +JQX3y74Sqg+3h96yP2cS7CtR8W3pNxXeJwUK9pWo2D7FvTn6+wn2lag4btqr +NcXupwr2lVD+LB5Y8XZSlmBfCe3nNzOd/wQFCvaVUH83bXu2XU6cYF+JisVH +B91bcCVZsK9Exbuvpyx9nKcT7Cuh/tPRZu/CYomCfSUF98u+EhVLLpp7tu1u +nWBfCdWzkZdX9A+PBfaVqLgidmutHxVSBftKKB4uXTCp9M1IYF+Jiv8+itw8 +0StRsK/k/8Sz//pKVCw1r3fv5KxQwb6S/9T7a1a3zY4G9pVQ/TR2yuffX70E ++0qo/7l95Mmtm1mCfSUUvzuXnl3tmy+wr4TyT4OlUxtQPcC+EspXoav+nH2u +F+wrKbg++0pU/OFZbJZT8xTBvhKKN11PN/nSPl6wr4R+7/PvQrX8jYJ9JbS/ +XoeJV646wb4S6geCsotsXJ4l2FdC8WDhEY9xpdyAfSUqXrwyrs7QFhbBvhJa +/9kRK55W8AL2lagY0HxKr7qLPQT7SlRcV+7+YPd0H2BfyX/6a+Otykq0YF+J +ii6jLtsUiYgF9pWoOOzit7rHakUB+0qoHt/k3vfVTz2wr4Ti4/4+19u8TwT2 +lVD9XHTu11OjdMC+Etq/HTf2Df4YA+wrofoqMTDMtnEIsK+E+uGF+QlXDpuB +fSVUT/XzcTM0jgb2lVD/1vXqiinN9cC+EupvFth2Dq2cAuwrUbFclYiEPm/0 +wL4SqjfjHFvrfczAvhIVQ2u8bus8QQfsK6H4+O1JtStjkoF9JdSfPmud3Ccr +EthXouL5c5f1G9YagH0lKub/vlwpZkIksK+E+qWyI4bsy08A9pWomGecO/Dc +/UBgX4mKdkOyPTLjdcC+EhXf9E6a27dsNLCvhOL/420OjcfvA/aVUH32aNJA +20g9sK+E7m9STrSjXxKwr4TmJ91lp20PC7CvRMX+83Y0nvAxAthXomKHGy17 +N3W3APtK6PM6xe6H3DcD+0poPUz3nJ7bVg/sK6H4d3W861EvHbCvhPqXndvn +/QiKAvaVqLj5ZzP/J8ujgX0lKu799XHnUlszsK+E6vFzV/usuhAJ7Cuh+cip +diWoRziwr4TWZ8LXedU/7AH2lVD/mNq4nG1fC7CvhPL/0Pmp6VR/sq9ExSor +i/uuemkE9pVQP20Iv7kl0QLsK1HR7fUXx1yPeGBfCa3nnpMW3R1uBvaVqPhs ++e+d4+pGA/tKVGze717U2rHRwL4Sqk//pNVJ2mwE9pWoqE183m/WagOwr4TW +V0WPubOm+gD7SlTsNkG/sqy/H7CvhPrvD/Y+D0yxwL4SFb3mn955L1AH7Cuh +/jf3epTrDj2wr4Ty/Yh6N/PsooB9JdRvt/8673WaDthXQvntZrXw8RTf2FdC +8aN/VO+L9vHAvhIVv5awHO/RLg7YV0LP33vMu21T9gP7Sqi/vWdcNmthMrCv +hN7PjPzezQN0wL4SWs+Vi1753jkV2Fei4uK4HusO2sYD+0qov7o5semq6lHA +vhLazy4xPtbLccC+EhXvvaq0OaVLDLCvhPrf2JfXW/zRAftKqJ7M/HLn+jcL +sK9EReVj8pvOXkZgXwnFY+PRO/EfvIF9JSoOPXeqQd9UC7CvhPoVm6DEWuNi +gX0lVD95O74LfWUG9pVQfzM4/4Rz3xRgXwn1M9smnKl2PxbYV6Liyh+Xdlzc +GALsK6H7rdgF7JYnAvtK6PMZxTauSjAB+0ro/pTlb87e1wH7Sii/vN1Qt8aG +KGBfiYqV3vRNKfrDBOwrUfHEptx3PvlBwL4S6pe2lugVuSgV2FdC9XXlH47j +R4YA+0pUbOj08uaxd3pgX4mKXe+O8n7ZIQXYV0Lx4a7tgD79w4B9JSqmDZgZ +V+KXGdhXQvt/z8BeMdEBwL4SFQ9V+VXG+XgSsK+E1l/rZyOd7kYA+0ro99Vi +HxveSgX2ldD9eS6//KBtHLCvhPrFZeU61ftqAfaVqNjYX22b2t4I7Cuh+X24 +paNDHT2wr4T6v7px/mWD9cC+Epq/yscj6o0PA/aV/Kde//S9XBczsK9ExWYv +O23Q7w8H9pXQ98sMvujokwjsK1Fxl9/LzhuqW4B9JZTfHBPGeK2OBfaVqFjC +MNn5z70AYF+JimPeXm3iUFsH7CuheHag5oyxr1KAfSVUn3zvsenA5URgXwnV +L7pxZodiCcC+EhV3eLyFAbkWYF+JiqvPP9oR0skM7CtR8V37iXNPTAkE9pXQ +eoZCtjeGBAD7Sqj+aFhj0bP34cC+EhV18xPWBbqGA/tK6H21TI3+t7IR2FdC +/YjObVW70UnAvhK6/2dJbvUvxAD7Smj+N9abYPvOCOwrofjo8cRld4VIYF8J +zX8566OnnjHAvhIVMyu5dEo9HgzsK1ExeXWvcpuPRQH7Suj3TvaboB+iB/aV +0PPgMZt522KBfSUUj/Wdst7siwX2lVC9nWDwPf9TB+wrofWjltrS8oMe2FdC +9SPkDS+0SQfsK6H1Xzpzq12FCGBfiYqzdwWnVkyNAfaVqFhsdOa5UlPNwL4S +Wq/DZjt9uZoE7CtRMbwHOOJuC7CvhPrXvs+2O81KBPaVULzbegpb9gsH9pWo +eG2/XyPHzpHAvhIVlyRMWXI3Pw7YV6LiU/udjkfGRgH7Sqi/GLD09+P1UcC+ +EhW7T2tw4X4xE7CvhOqNyuZhwZ1jgX0lKo7wqrGn3OhQYF8JrY/qr5+27pgA +7Cuh+dLlLS5mMAP7SqieuFHf590jA7CvhPqxt64TPKL0wL4Sqm+SnLsuzY0F +9pWoGOj7d51xeSqwr0TFq+uPucx8mgDsK6H9WbZJlQ/jLMC+EtrPXrOuDm4U +BewroXg8M2fyDdsYYF8J1YcXah16MDQa2FdC9S34ti/ROgXYV6JixdYhxU/0 +0QP7SlS8NbvX/FEhMcC+Etq/vnmTvW7EAPtKVDxp7SCiT/kC+0qo/vKZWsXx +tx+wr4T6vdojdkS20gH7SlSc3nDer0X0PthXQvWL3bagCU1igX0lFM+37rwR +hXHAvhLan/N+PHG2NwH7Sqg+6bLSpsXzOGBfiYpLb1+psT09CdhXQvkr4t9e +5WqZgH0lFI9vrRoX+ywB2FdC/eyvu8sPNIwF9pWoCNrZvXtK6oB9JSpe7ubc +21UfDewrofU1W8EffkZgX4mKvXsF7Og3WQfsK6H1dW30js7hMcC+Elqfy9O+ +P1ATgH0lNH9jiyU/+pUI7CtRMbXo3NZeOSnAvhKqJ/Z9ialmpwf2lVA/FD1r +SM4EM7CvhPqZwZGrtqUkAPtKqJ/Ya7YvtDka2FdC+Xf641kXK8QA+0qo3vp5 +46ZnIxOwr4Ty+4Oq0TPWWYB9JSoOP7D2VFV7A7CvhPqpkc6nag7TA/tKqD46 +OvVdZcUX2FdC832lYRebrGhgXwnFh+yW26JOGIF9JVT/LfMzbrkRDewrof2/ +JGpapeUhwL4SFWd18hl++7MB2Feioo1t4NylnRKBfSV0v6uK5K4dbAD2lVD8 +K7PYe+aTZGBfiYp7Mm0mbfBPBvaVUH3sv+awn20csK+E+tkmVz0dIyzAvhLq +hyKnhXXZEAPsK6HnTbf58WBtOLCvhPrjsG+Pz0+IAvaVUHz2HO38TyUdsK+E +4qPhRMqJ3SZgXwn1n38Hfmn4IxbYV0LrtzYUuxFmBPaVqLhq+hq/+icigX0l +tL88Nmw+9DYC2FdC+bpq1vgaDeKAfSUU/+39xlo6moB9JSpmNzo9uPJYP2Bf +CdWD6RFjCi8yA/tKaD9ev3i7in8MsK9ExQWzj/iNOm4E9pXQ+nVuctE8MAbY +V6Lipc/zn7YebwL2ldD+7DW9dtmqOmBfiYqvbY3d4j7FA/tKqN8t9S59f1cT +sK+E8llksSKXX+qAfSX0vCeHhAx3tQD7SlQ0d/TsfviFGdhXQvnh2YujjzZT +PPuvr0TFIgG1zn8s4wPsK6H6cfKRMtvsAoB9JSoGJzr9fusXCewrofgVvuqI +2/ZAYF+JihkBXa5ZlpqAfSUq+rT/Hfd8XgKwr4T6r+D73x4ejwH2lag4Z/XW +sSEPfIB9JSp2erBywceeemBfCa2n4aePr7pgAvaVqDgo7cn0QtvjgX0lKr61 +251ds7YZ2FdC8+XdNvtA11hgX4mKMY1mLd5fPRTYV0L9QIKpavNC7sC+EhVn +HC///lkVHbCvRMXO+5zqj0ozAPtKaP1vuX/gim0ksK+E6v9+JetVWRsL7CtR +8dfXbaX/E6/YV0L1hvhbckppA7CvhPJB0SDz5PpBwL4Sqidfpzd+2T8a2FdC +6zv00MXW05KAfSUqDpnS5wg8iwf2lVD/8NC5r8MlE7CvhOoNh5/VHjnpgH0l +lH9mDihfY0kcsK+E1vtN49FS3fTAvhIV6xeP+1jdMRDYV0L7y1Ly3s+W0cC+ +EhWfvMldeeZgDLCvRMW/Yed3f6X1yL4SFSOO6g/3am4C9pWoOKlkxp2Fv32A +fSXUf+70uXghJwLYV6JijUUzc0aM8Qb2ldB+mlvSL5/yH/tKVHQ9vsa7ZH8D +sK9ExRsp3YrbXbQA+0ro+vVWlexXNBjYV0L5uftRtWivFGBfCa2/Pc9C6vcN +AfaVUH3hcD3CfawZ2FdC/SM4e83aaAD2laho2y23UeqeVGBfiYpHdEd8He0s +wL4SFb+sCToyt2IksK+E3vemeNcGmhHYV6KisV3vxo9+xAD7Smi//0n70LhI +ILCvhOLBTo9H9u2DgH0ltL5F+LmGSwzAvhLKf6e2Vj4+OBjYV0L1TVER0eCx +F7CvhOq95T+KdymtB/aV0PO0quPeZGMcsK+E8nFj91E7Y4KBfSUqri27vVz4 +8RBgXwnVQy+rJVXfnADsK6F+8mNWzbQDXsC+ElrvK73U8AuxwL4SFR3nV6k8 +Yp4F2Fei4vdFcQlTfOOAfSV0P9W7fqrTJRXYV0L107Oqg+8O1AP7SlQc8Kv6 +kpR0E7CvhPJHZPCF155mYF8J7ae9JbQxA83AvhKqf5Z+PevSIQLYV0L7vfn4 +k/XnmYF9JfQ8tt8fT22TAuwrUbF6SbdBeW2SgX0llI/O5s05sDEa2FdC8/t9 +4uxKLjpgX4mKt0vc7Rs3cz+wr4T6h0rZU8uuCQf2lagoCg33cT0fAuwrof56 +IRQJ2e4D7Cuh+NjtSoUxA0KBfSUUb5JrlXG9YAD2lagYtDex8NRlMcC+Esr3 +z3T9rlB9yr4SFft0/PEyMNwI7Cuh9Z16Oi1kayCwr4T217zvu6f21QH7SlRs +ajrhuthbD+wrofrnbJ8K3v5xwL4Sih+Jm9+VXhcL7Cuh/e7dYvzScj7AvhK6 +3xOzfceXjAH2lVD9MKSH2+fuwcC+EuqfjX5VZvXzAvaVUD92/UIX8914YF+J +ih3t+w59eiMY2FdC9W5o+/eT4+OAfSVUH7cY3L7iUj2wr0TFOyOuzfk03QLs +K6HPH553eDzGDOwrUbHXs495kGsE9pVQ/d2r9fyxx/XAvhIVC7f3OVo/xwLs +K1Fx/tcyqxYnUTz7r69ExWOY9yzGzgDsK6H9+Nuh2qCEVGBfCcUv/29Pm2n+ +wL4Syu8zvOuKfAOwr0TFKU4/HzQ6bQD2lVA9kNzj+8pbUcC+EsrH919tCp2o +B/aVqFj3R6uH3X8bgH0lKt49n+w6pacPsK+E+u/KI7zHDkoE9pXQfprUP3ZG +rhnYV0L1S6cds/TVYoB9JSoO9kv5gA5BwL4SFd1H12w5/1AssK9ExWqiaobo +GA7sK1Hx+oy52HF+KLCvhOLDvzmWcYfigH0lKg5LWWSJH5sI7Cuh93+w4cBR +K3yAfSV0vQWhd7/diwf2ldD+r+C0t3fDQGBfCb2vD59L1vdIAvaVqPjmTgX/ +rNoGYF+JiuvtHCv7u/oB+0pUbJW/3MbvfRQwD6fhu1WPu/WvZgTm4TScs2tB +x5G/4oF5OA2fly1ftlqbaMnDaVh64ZJws28gMA+n4QXb2f/mVQ0F5uE0nO5p +W/XoKTMwD6dhnbyP3+3qxgDzcBqmF3Ys/va5WfJwGorS7w72zvWRPJyGzp9G +NZ4wPhKYh9NwbYS/TevQaMnDabgvTFwKNe2XPJyG4bsnzrmfGALMw2l4t9WP +/r09dcA8nIblkk9eHhdkAObhNDR9Tdu2abMemIfT8OPjeuHx0RGSh6PfW5+y +0z1HL3k4DWfvdtu9tEwMMA+n4br4SV83nEoE5uE0TPL5OqaBiAbm4TQ8fK+M +3+VG0cA8nIY+U5/2vNk2DpiH07Be1QO9H/RIlDychr/u6wbYtY0H5uE0VHp9 +2pO7Jg6Yh9Pw6eYBtQ5XMgDzcBoeGzJZbTU+RPJwGr52eOxqP9cEzMNpOPJM +j5wuJ4KBeTgNz9v2P5pVPxqYh9PQa5i3Q1MbT2Aejp53wK4BFZrogXk4DWe8 +6uO6zj0CmIej+SuVfe/dwhhgHk7D4gGBCwcP9Qfm4TSMP+kcd76TDpiH0zAh +d33Fpk/jgHk4DX+3NLtsGWmSPJyGV4Y/XXp9uBGYh9Nwd8b1Fo4Xw4B5OA0/ +zbW51H1tguThNAyp9m7zzJdmYB5OQzynvv0NocA8HH1/wIm/vQ9ZgHk4DfVX +J/5+oU8A5uE07NC4rjU/Wy95OFpPPw5cqLXXCMzDafjyR0W7axsDgXk4DSOD +ExtWG5sMzMNpODe3wqDnuz2BeTgN81NW9M8/mAjMw2lYY3/mxhqz44B5OA3n +7ZuwYsy7aGAeTsNNPqHGbZtMwDychsG73z6LKW2SPJyGef4uTlVLxEoejtZT +j1HD7GvFAfNwGja1+Vlqzt84YB6O5jcv4Ibv9ShgHk7Ds+vrp3asawDm4TS8 +eeucq3tCAjAPp6Hr+jI7cgMMwDychiNelCr0dqNR8nC0HlYfnT2D+inm4TRs +++G1al/cX/JwGv7TYWvOzuxwYB5Ow4dhrT5umRoFzMNpmHarwZYq5WMlD6dh +nwu+1VwrpgLzcBraW7e39XkeA8zDafjt40C7kXaRwDycRvV1UusOX92BeTgN +b7S8Mjv+uwGYh9NwUaG9xsbtYiUPR3+/e32DYWNMwDychhNv7/jxlfov5uE0 +DCh+NbcCxW/m4TS0mg6+XEnvl3k4DS1FDkaEFk8F5uE0LBvzcbfzzHhgHo72 +w7LgPYH3zZKH0/CHeU7/fk8twDychicmZE/ZEmEC5uE0jKs4v36m5gvMw2lY +tOpLl4bBYcA8nIbPnng9+bIoHJiH07DXz3s+M4zxkoej93HL0Gj8KQMwD6dh +A29l2tpLUcA8nIabuyyYMjYrAJiHo/XcesvqrxlhkofT8OjuPe+31YoG5uE0 +3PJuqN+yP3HAPJyGA/NvVdnZN0zycBq+8n9wPGeATvJwtJ72/Dtp5cxAYB5O +w3bxMxosiDdJHk7DFZ+Pfv9WJknycPR7H74P/vzCB5iHo3i643xv/ReD5OE0 +HLd+xy/b+t7APJyGFUKfBvV6HADMw2l46ZV9vYB/dJKH09DtjiHIaDYA83A0 +f5MnPWv0OAKYh9Ow8cSFa26kGoB5OA03Hrfp6LvQCMzD0f66Ur7l2udJwDyc +hqkjVn/q7BICzMNpeGTW1u1Rq//Hw2k4bezXoy9GxQHzcHS9984HvoQFSh5O +w/4965+9288IzMNpuLNpmTHFUAfMw1F8PKWbf6ZJBDAPR/mgVpUiJ9v7Sh6O +8te758cr6cOBeTjaD4UCfl700APzcBqOHte6WqBBD8zDUb6d/M9OOxszMA9H +629hz6wjuyKBeTjaD/m+3V9S/8w8HMVPsPd79jEQmIejePSjX5g+WQ/Mw2n4 +2H912rpwHTAPp2HN+jNvbqiVAMzDafg9vvSugBGJwDychk5lpinX3iRKHo7y +Z+T1Z0tXGSQPR/l2eHCmz5BoycPRfvu99lqpOfHAPJyGd8wfPjffagTm4ej+ +SxYZ/HJ4CjAPp+Gj6tq69+vCgXk42o8DzVu8vVOAeTgNr889vG2GW7jk4Sh+ +J3mYJ4zWSR5Ow882I28PeJAAzMNpuDK4SY/HJeOBeTgNI5qtMb19YQDm4TTM +2PTygctVo+Th6PdXXU8Jco8G5uGo3sFoz09LU4F5OA37ZszVwVEzMA9H+WF+ +xXNVHMySh9MwKqdJw7VFEiQPp2GVT/6WDrODgXk4DX/evGHzcr0FmIfTULVW +L6I7Z5I8HO3HxBjVPCIMmIej/NJqZG/nSRZgHo7ikb7Hmw02scA8HOX77XmX +LtSLBebh6HpHaswN+KYD5uE03P9l075Kg+KAeTgNvW/tqNMx0wjMw2mole3X +qlrfOMnDaRg048jZNiIWmIej+6lwsI++rT8wD0fxKfmfPbO2e0seTsMHYzqv +uXLHJHk4Df/9d2GpmFHJwDychjYZ/9x/HRYAzMPR/W9s/+LylTBgHo7W16T5 +bwxjE4B5OA0PLX0499fBcGAejuLb7M41eljjgXk4mr9tB0vnPdQB83AUH64m +dSj+NkzycFRPNXebmLPADMzDafjhemLEhYkJkofTcED223oD0hOBeTjKJy2b ++n3MMkoeTsNqlbcuvWdD8ey/PBzth0Dn+d9PRAPzcPR+g8+sbbhbD8zDaVir +YVX3HtnBwDychsuz++dUtI8B5uFo/Y6snJ/bxgzMw1F+HJHp0uirEZiH0zDr +aHb25Po6ycNRfHd9N3RnxSTJw2m4rV3ZT17efpKHo8+vH/q0aXGQ5OHoenYz +OsWa4iQPp+Gwd7pbD2x1wDwcxY+kVR2jKoQD83C0/5elX9k1ej8wD0fxZ/3B +1NxjemAejuojY8b5Clf1wDychqX62EYPD/aXPBytr8mX+1buHAvMw1F+cP4+ +3fowBZiHo3y7YM2xYYY4YB6O6oVp90MztWRgHk7DJaYvXzzqxALzcBpee7t1 +2NLIVMnDadgkbHGd4otigXk4ivdxn0sPXRAIzMNRfOhS1rHWP0HAPBzFj1El +X5xMjgfm4ai+nfqkg1+zEGAeTsMuGTZbqzaJkTychudqrKg7cGaM5OHo/X0f +3dRaOUnycLQ/Vjr4tylhAebhNPR7NrDv/Z3xwDychpc9Koybnx8oeTiaX/v8 +Bj0qpgDzcBou+FineaPgSGAeTkNb75xvA1ubgHk4yi/O1RMm60zAPBzlI12v +jZv+NQHzcBS/RXpJ1+oRwDychu8vTkkabLJIHo7y22OfoTt6xQDzcBrOvxo1 +IynfKHk4qk9+LYr45BQLzMPR/KUP6r/DagTm4TQc5dD05SJjmOThNGz/e/Pt +y1XSgHk4iif1Lhfb3SsWmIejej79fX2bjQGSh6N8vTzc25KRAMzDadj5VPH7 +Z9oYgHk46kfyarb6UywZmIfT0Li42dXFsTHAPJyGKUuan7ndPg6Yh6N+aYgl +o9shIzAPR/VTzEQxsFIyMA9H9YWpVfyAg1HAPBzVMzMqb3d/mgLMw9F+/afc +63c/dcA8nIZvkubDlyXhkofTcMOPHT+LWT0kD0fx5edBa2DRGMnDaehS9tTe +L45Bkoej9TGz6KMRPiZgHo7md9+Ow8POhgLzcLT/S+PC9UNMwDwc1dvln+06 +mGYE5uGoP9x+pJlxnwWYh6P3fXSqS2JLMzAPp2GPF4vtB/8TBczDaf85D1Ji +Xj09MA+nYQury4kqVN8zD0f387LGS8838cA8nIbHt7R8XuZTMjAPpyFUW/1z +4c1AYB6O1kfDFWdL1DAB83CUj7dUtJnnGArMw9Hni+r26aKkSB6Onn9Sz98L +/1iAeTgNC5kyts7oliB5OA1vX9zXPtInDZiHo/5qfb1DoyvGAvNwFL8rFhoz +e1o0MA+nYfcQ/d4nP8ySh6P1d+P+6p+booF5OA2HnP+RdWlzEjAPp+HXNstW ++AyIlzychmElg3ou7hkDzMNpWKxq1JEJSxOAeThan9lXVwHVx8zDUTxaPrHu +1r8pwDwc1Zupixp8qxgNzMPR+p7+2amCOQ6Yh9Ow+dxd970cLMA83H/qz/x2 +kTo/YB6O8ofpTYzrXn/Jw9H7P1Vk9PpaycA8HK2fVuNSd3mGSB6O1veiYvqA +HinAPBzVD4MaaH2LGyUPR/lRWfo7MNAEzMPR+9o+yHmo8JI8nIazfpzcqstM +kjwc7fftTV/bhMdJHo7235Gkbs72EZKH07Bjp5drSvhYJA+n4dbxZescvBor +eTgNh2dd61bBLVrycHS9bp7FZosAycNRvVrFs1yZkEDJw1E9Oi7DbvGQ//Fw +FH++L5m4uk6S5OHo78/7uc15HyZ5OKqHmp13nr3AJHk4Wj8+VdvONARJHo7q +0x39+686Gid5OOrHHHctfuIfLXk4DTsNd3jS6kOy5OE01K3zX7xxjFHycJTf +dW9mVDyulzwcxffcPa2SqV9nHk7DkoPTa/x6mix5OMpfAdsGbdvnL3k4Daf3 +HDtBqRkteTgNtz/1aF6m7/94OA0dH+kz9vYNkTychl9W1NfZXouSPBzNx+/j +Dzvc0kkejuLHtpjtaw7FSB5Ow3tTJjk9s4mSPBzVg/65J+pdjJc8HK2nzo3y +BtonSh6O8ueMhg751lTJw1F9/3nC/KwHJsnD0fUXLL1eMilV8nAaHjTd9LJp +kSx5OMofK7sVG3g0RfJw1D8/vzi5XjWd5OEo/25vuV43Mk7ycBpOaHauyOl3 +BsnDaTg+u/nEl2Exkoej+nfyx7HtrHrJw2l4vvDHG20XmiQPR9dfbJ21dlKC +5OE0HBP9sW6DcC/Jw9F8rBl/v56nr+ThNMw+a3fpdz9/ycNpOLfvoEON/HSS +h9PQo1X/tZGFoyQPp2H9AYWdRnU0SR5Ow+QduTPmhOkkD6dh8ZZvs10aBEse +TsOcU77Oq+unSR6O5nvRnFo35pglD6dh20H3zhctFy15OHr+8ova2PiZJQ9H +8eZmizv3J0dJHo7q4fM5xdc2TZE8HM1nzX7TvIamSR5Ow3q/vw9dfTZB8nAa +hhaf6vRhiEHycLQ/YgdOmFwyUfJwtD5rRydObZcoeTjq7z8ml9SmJEgejuL1 +6Ebru4zRSx5OQ//FDoUc9iZLHo7iVfrWMxGNUiQPR+ujuX+vltOTJQ+n4ch5 +A6bFbAqQPBzFb2uP8oYQs+ThKH/AlqEDzImSh6N8eHLAEcftZsnDafi225qw +6VEWycNp+LDM+ICMbKPk4TS8Oqr7hrpVjJKH03DHjZLLI95FSx5Owz23jq3Y +0ClJ8nAaLnuxdmByfozk4ah/cI2589RilDychvfPDGnQI8IkeTiqv+o3/WZf +xiJ5OMqPji1b9FYNkoej9VHL+CAlJ07ycBpW/BiXWrGnSfJwGvYyu6WOPBcj +eTgN2wxz2XfXJkHycBpWTR95odxfi+ThKP7+3bUqulqK5OE0fLGq37PDZRIl +D6fhzGMf+ryfbZY8HNUjy3NzZ472lTwcxdeJ96e3qRkseTgN/0QvchtI/QHz +cBrGnnw6rAO9b+bhKP78OrU5eFqg5OE0nLf7gm3u/HjJw1H+2xZXoVINo+Th +NCx8K/j54mvxkofTsPKAsX6j9KGSh6P8a4nw2qDGSx6O9oPXO8v63ybJw1F9 +Xv9bpdr/4Xv/y8NpeMzoYbv6w/94OFr/Dx4YbtdOlDychtV72D667hMseTiK +F0/GT9WOmiQPR/l9U7M/WfPiJA+n4d8+w/N8VoRJHo7yYfKTLu41kiUPR/u1 +nt323x1iJA9H188MGZHxM0bycBpWaNZ6R88acZKHo/XU8HlyD4rXzMNRPzV0 +bdUwx2jJw1H/+2J2l9axCZKHo/mYtLSUA+V/5uE0rJTl6+rdOkHycBomtrp0 +yDFLL3k4DY+6bb3W7V245OGov9h0cnSDkgbJw9F6Wnzy+RovveThNDTMm15+ +eIVAycNp+Lx4/lqHEp6Sh6N+0btBjkOFdMnD0XwUyn/0dI6X5OE0XLnl0bNK +FF+Zh6P6ItktduuAWMnDUf0fWCpcOR8jeTgNFzvvCF2xJ0nycNTPT49RZzqZ +JQ9Hz6s4BzkUTpY8HMULW99lNSKiJQ9H/c6+wUcyp6RKHk7DhVv6bSjvpJc8 +HO3vnYdC+570lzwc9Zttrsy5mmqQPJyGXcf4exVuoZM8HNVLS5wPfn/lK3k4 +6rdyu9VJXxMteTjt/583YB5Ow0xL6JRfyf/j4TR0mLhs0LtKMZKHo3zx4NDm +dhGhkoejeLy/2L7ei0MlD6fh+vzUyEGnEiQPp2HpyPNbunaIlTwc7efjQb2X ++1kkD0frd7b9iKLfLZKHo/U+qefSA4nJkoejfmx0Yc/iI9MkD0f7td+iSmfv +GyQPR/ly0JQ9cb2jJQ9Hv3fHL6BdTKrk4ah+DUhx3jr0fzwczV//UNtya5Il +D0f5cfXRSU1PmiUPR+v5XrDdI4pfzMNpaD/MJsg52Sx5OHq/t56VPRpuljwc +xZMU+13dPc2Sh9PwRPLeJWep/2QeTsPy08cP6vs0XvJw1A8cfHbtUtlwycNp +OGXj8zV/gv0kD0f1ZsOanr9X6SQPp+Eg7/SV25pZJA+n4YF3vaOcf0VLHk7D +z11LVXOItUgejvLnvH5//hwLljwcXd/+TP9KqT6Sh9MQj0ZPd9sUL3k4Wr+T +O/XyPGCSPBz17+42exb3i5c8HNULP30LXRn5Px5OQ/f1pZeumhMreTjq/8vZ +3Bty1Ch5OKrHkpqlrG9sljychnebp1ZTPhskD6dh3qjYa2/uJ0oeTsMrQ/Oz +i60xSx5Ow21tXyf22GyWPJyGv5Y4Pc/d9T8ejp438fqLOx+jJQ9H821Xpern +YZGSh6P48KPG7jONLZKH0zDgTxfYMiVc8nBUzzZPnOI3Il7ycNQvBRhNf1Sz +5OGoXt6e65l1K1XycBp227v9/rZZkZKHo356s4NxWtlkycNp+KTnhml92kdJ +Ho7W5+duy+sNjpU8nIaBa1P7H+vxPx6O4t+HR9rph3rJw1F98D3lvkfdOMnD +/ef/G1Pdv85JkDwc1SNfSrbqcjtJ8nAaBn96WzlvYIbk4ej+P16IHtQ8SfJw +GjYsnutafVm45OHo/Xisvr8kPULycFTPTJ02a8zzWMnDUbzt//7FyncmycPR +/lve7eiwKL3k4Wg/3d6XHvEoSfJwlB9a1DjY3y1e8nC0ni7NfOjeK13ycFTv +BYz3Xk31DPNw9P12VhvnsBDJw2l4sd3Jg4MXGCUPR+tjied45ZlB8nAaTqyR +O6nqpCDJw2lYYv5VW1s7veThqP9qOHzOF+q/mYejfJdSanifcTGSh9Ow6Afo +GV5CL3k4ul7zqy9XtNRJHo7iQcavr8pug+ThNDSt7Ru68neC5OEo3g1L77/Q +O1bycLSe9mc/zLbVSR5Ow995jRzaRKRIHk7DLQ6Lhrno4yQPp+GMM4WPl98e +I3k46j/07tPSQ2MkD0fx3+Zs8ZX9YiUPR/F3Wq02D/ZZJA9Hz1fdYXV8G6Pk +4ageM/it/hoULXk4Dfs57agwYkGS5OEo/9V53/1hcX/Jw2n4+szV3HfzEyQP +R/1W3PhxXnd0kofT8PHJgJRomxDJw2m4ZvS6SaceGSQPp+Fs/6xWU1NNkofT +cNf5jfFVriRKHo76gTHes0XJcMnDabh58Uw/z/qxkoejerXH+sIhZovk4aif +CFzRcPzLOMnDaWiebM0esFIneThar4/rtEn7mCp5OA1Fxc2V695PlTwc/f7M +U8l25WIlD6fhtFlVNq3PjpM8nIaefcv0vVgsQ/JwtN/r9nnncDJO8nAa1u6b +W67DK5Pk4TRM2lWhvcNZs+ThaH+0G77yxqFoycNRvbHvYP2E7jGSh6N6oPqY +r/W6pUoejvrdgOvpsafjJA9H9zPt85xXyv94OMqvva+e2J5jkDwc1YMdJs04 +9lAneTjaD3uiekTmJ0oejuKdOaP59VCL5OHoej+qJE8wWCQPp2GrYt9bv8mN +ljychr4Xczc0qZUueTian8mlQiofjpc8HMWD1f5LFtUIlzwc9U9OB2Zo39Ml +D0f5RWubMNyolzwc5c8Gpc40oPfNPJyGW3v3ORlC+Zp5ONqPVao93tomVfJw +1C99ctjbZUqU5OFoPS68vvb0HYvk4ag+vlNyuuOMRMnDaRi2r/zrBrl6ycNp +mFuryrLvpyIlD0f55V3tPvb5RsnDaRg91/B3gFOS5OHo/nwrOBTvmyZ5OA3v +2A2bsa5hzP9r6r7DorqaOADbFUusiIjRqKjYscYaG1FANBgL9hLEKLaIsSb2 +BhZQUAGpAtJBeh0YmoBiQcSCGuwFW7JnFRVRvklmfPbzv33ucvfec0+Zs77P +b8XD/Vuv/OQws+tZYA9H87fLzFP6708DezhaP9/vd/CvHwfs4TQYUivv0ft+ +J8TDUX3h3dc/p88x8XC0v0qpf2/w4HRgD0fPN3zBP1Yd4oA9nK5eYg9Hz/dp +4a5lZbHAHk6DFdtv9e+1JgbYw1H9u9z7n9gEd/FwGjzwxtpzbL0g8XC0PlRN +yVUdc4E9nO787OHo+bqYfgmaFy8ejurBnPJZNxJ8xcPp3s8ejurB7n3vbV95 +FtjD/ft8n3g1fpIG7OGo/27qn12QGAPs4XR/zx6O6oG1h7c1+iZCPBzVR81M +3F3cMoA9HO13zLZMNTySAOzhNPhzbM7Lqa/TgD0crU8rF519k+0hHo7qJesW +3vu3xwF7OA3Wm3H3r2HXPIA93L/7vU1uBg3SgD0czfej99aqvJ0oHo7mP/Nf +WlT19AH2cFRPn8HRJnae4uFo/Z0blpDc8TSwh9Og3V7/Nl8axAN7ONoPrPaz +MNKcAfZwVM+/f2VR1fKoeDgNGo8e2uFEQLB4OA1WwtOoK3digT0cXc+TDa0a +PPIQD6fBc7O6Ro/UjwL2cBqs82VejvPcGGAPp8GhoQ8W1r/mCezhqD+4/r34 ++Jsw8XC0/4p+f+L8rmBgD6drf/ZwVP+bLPnJcLyfeDjaX3efPCpxSSCwh9Pg +h4Pb5wx9mA7s4TSY1/Z1l1LfVGAPR/2x1tMDfe1TgT2cBi13tAsZ7Y3AHk73 +eezhaP2tfWzNjrfxwB6O9nutalnFloSKh9O9nz0cja+Of5Q3uOMG7OE0OMek +TWJijyBgD6d7P3s4Da4x9hniMTYL2MPpjrOH02CVxYPJxq0SgT2cBp2z9zvW +mxcP7OFoPjmz+9Xsqz7AHo7qFXur+d5mqcAe7t//n33+04OaE+Lh6Pxvem2N +f+skHk6Dv697qhq/iAb2cDT+N4zZfWR4ArCHo/ntSNat/KHZwB5Od33s4TTY +yGGlZY86gcAeTuGCKM3WtEfxkg+nsMWB4ClPotIlH07pfj/nPw+ncPGjlp77 +zgUCeziFGy7smP+ddYR4OIWDX8Q2nhYUCezhFI7X3HXYmpYh+XC687GHU5hg +Vc+j1DZGPJzCXe/muja0+ZoPp7DIZPzElJJkYA+n0LvoxYkmK2KBPZzufOzh +dK/ZwynUzzxX671NsOTDKQysXXI7/YmT5MMptMuo0+q4czCwh1M4oebW3Tc0 +f7OH052PPZxCwwFOS06NzZZ8OIXTOvqp+Odf8+EU7m/u8+diPU/Jh1OY6mT5 +vsWRQMmH052PPZzCjTs+HPCMTpN8OIWm0x3dAj/HSj6cwqmn+gWfdE4F9nAK +86YeWlrd/auHU7hzVqMjc9dmST6cwgPhOxzcg9MlH05hy6Ipa6Lxaz4cff6T +oKLEAJB8ON31sIdT2NHOwXGknb/kw+mOs4dT2MPPJvPkQDfxcAotG8Wd3W4f +IflwCpt7NLo9zDRR8uF0f88eTuHdosPJp/5IkHw4hQ4v1xvMsHOXfDiFXS0j +lvr5hQB7OIVD9pR/bGV/XPLhqH+96es6b0ua5MMpvLP67OYhBumSD6fwdL3H +DYau8BcPp9Br5KAlcCoW2MMprG9R2MjOO03y4RSWGRnWTPrDU/LhFNbNtI3y +tvIUD6dwuMn8Wlc7+kk+nMLRBzPNjIrSJB9Od3/s4RSa3OpSZ0xOKrCHU3ho +2tKHE8/GSj4cnd/ly8NOXzyAPZzCcptZBg/NQ4A9nMLi5QPj/DBV8uGof1x1 +87vbOV3y4RRWZgUa1tQNAPZw1L8Le5hcsUyWfDjd9bCHU1iRNv/QrfMe4uF0 +x9nDKfz9XOT2QYddgT2cwh9+b7yjweFUYA+ncK2Rdd5CixjxcApTRkY6LvIJ +Ew+nOx97OIUx9fQ7Tw84LflwNL+cyTDaO89VPJzC741TzGKbJkk+nMIGmtj+ +IdYx4uGof8w2CV75PFLy4Wg+CgkqmHTgLLCHU9io/Zpg3zbxkg+ncL1P8zb7 +lxwVD6fwo2Pp+cRID8mHU/gu5YFv85co+XAKXXYHrs8yTpJ8ON31s4dT6BTX +IN46zlM8HH0+PPep3yhGPByNzxs5ZYlWQeLhFF4PjXhX9ns8sIdTODuyqW3j +5cGSD6cwenF15suNXz2cwteTrFLK7iQCeziFWc/Ch3glRko+nMI/N6y9Vbrx +rOTDKZzc0PBJ+NxEyYdTWOXpkPAqP148nMLN74fu+v5Bing4Gg+L1KHKRScl +H053f+zh6PqbXtH4FoSIh6P+bXW3+e1DPpIPp/Dl9xWfhwWHAXs4haifkdQ9 +JAXYwyk0chsRMOXWMcmHU3izQcvd8xvnAHs4hXue1J976H2w5MMpHDex/4zh +sb7AHk5hh43DrPJpf8AeTmGroXYHPyfGiYdTWG/hPYRuyZIPp3B53fyuOV0D +xcMpbLZ3tFNY2wDJh1PoEfUh5I1RtuTDKVzTJzHU8EWs5MMpnHhh4EEPo+PA +Ho763/TLC47ph0s+nK592MPR64N3nRz9z4qHU3imqse09b3cgD0c9f/hW0cV +9E2SfDiaX9PMXBJnZwF7OIV+I6Yca988SPLhqL18551/uSpMPJzCny2mhfXa +myX5cAoziu68m2MVKx5OYfDtAhuL2CzJh1NoMGFLsv2EJPFwuutlD0f9bfSp +axqfCMmHU+j6YXia3Sdv8XC697OH071mD0fjxe6P0p6tQsXDKVwd07d+l7ex +wB5O4d7TVcEdjM4AeziFrbtf+Dhz8knxcNQ/jzT70XyDh+TD0f0em3y4/c4U +yYej+cchyfr8thxgD6fQZ0jo7NC+buLhFNYMuBMxdXcmsIej8fRrn1umK3KA +PZzCHcVjDN0X50o+nEIYMc+0dUoMsIej9dhs5pBJNXHi4RTGWd4/u+c3X2AP +R+tB5YmGW45GSj6cwi1eLsO6DgkSD0ftP/em1nCPv+TD0eeV7m040CAY2MMp +HNPIcsiPL5OAPZzCF3pPXs56ny35cAqfWjft3cspG9jDKYxaei14x7oUYA+n +0GL7zU53PALFwyl0tvHoWdggSfLhqN74YVa1WUyE5MMpPP7k+h/rj8aIh1PY ++fS4p4YdQyQfju53tHOZ0fzTkg+n8A94+zJsSZbkwylceaj0Q/tTUcAejvp7 +wr3P/6zKlnw43fNnD6cwPGGEw9adx8TD6Y6zh1N4NnVBh/BWx8XDKfx119oP +455ESz4czQ/mI9tm7AFgD6cw5PGFdh9+D5J8OIVzP7Rr0CI6XDyc7vzs4RQu +LQyNqD52RvLhFGa/npjRPT4B2MNRfXN+77Ci1ETJh1P49qGa7bjYFdjDKUxy +X9yoZE+C5MPR/IVzN67uGQns4Wh9t9bzCzvmJh6O1rvFy//O3RgC7OF018Me +juqRSwP+bF3vlOTDKbwUalZuXpEJ7OFo/RhUM97xhr94ON3fs4fTvWYPR/PD +9TfuozZnAXs4ha/O6hs/WOEO7OGoHkmIbFvzTziwh6Pxb+V489DtOPFwNJ6S +Pn/uvjpS8uGonvnzu0dbRhyTfDiFhTsLH9et8Jd8OFof39bcXJN9RvLhaD46 +NCtu4ABfyYej9jbXn/rrTAD2cDQ/9vxpYaQCyYej9d57p0HAMH/Jh1MYP7Ha +bkLD45IPp/CB9YjLwUvjJB9OYZdaTey/2e4sHk7hb9P7nv/xfBawh1PYzuDt +R5s5rsAeTuGT9H1GX4LSxMPp2os9nMIBbsfn6y9Nl3w4Wn+tZ3e6EOgvHk7h +1smfO0Q9jBEPR/3bIu7KqFxvYA+n8PxBr7WvkmKAPRzNN3rDy4f1SJV8OIX2 +taKbNn4eKh5O4bOPpXq9Z2QBezjd9bCH071mD0f16GCnc/reseLhFAZ9tBue +2xwlH476l1GzF/XDsoE9nELbFcMHrLIIl3w4eh6dDhtvGpsD7OFofCw6nVr8 +2UU8HI0nm3eDTacHAHs46s+btxcdeRgh+XAKNUZzA7NnxwJ7OGrvBX/MWT09 +B9jDUb3pcXPj0uwkyYdTqNcgdKHRh3RgD6fwynav0S59/YA9HM13s29vMwnP +lnw4hQ2bWj1vssdPPJxCY7sWzYvGx4uHo/q8Z9Kw3cUpkg+ncOiaa6cWzTks +Hk5hWKtDG9x+SZV8OGr/jY/fLloKkg+nsFfSAHc1NVry4Wg+WW7zpO2NJPFw +NP+PbH265H6W5MPR+XtX11l6KxfYw1H9VOzSImxfgHg4et4HBhsMq3AXD0f1 +hXn0mmspYcAejuqbRbGhMxf7Sz6cwk8vHOoOKE8E9nC0H+zkkzCr2F08HPWn +K6c6lznkSD4c1evPns4+cKlQ8uGoPvyxR0J8y1zJh6P98MyL5UstsiQfjuZT +59GmmtNx4uFoPfC54XRg63HJh6P9a/8bxgOCsyUfTuGSWwdOGv/qJR6Onk9e +7s2f68RIPty/+5e109+F5wJ7OGo/P8+7zmbBkg+nsP+7Z91GdYwTD0f1e3Wn +26ZVQeLhqP0MbedutsgB9nA0nu1+v5mbkiAejtpzUXXkgg05wB6O+mPWXwVX +npyVfDhd/2cPp3DU0bFFJ6qSJB9Od5w9HO3Pfr7gfXBEJLCHU5hWL8fBr1uo +5MMp/BxZvmxth0TJh1M4cuIPY5tRvcweTuHAVRfr3EwKkXw4Gv92rTVJeomS +D6f7PPZw1H7mj+5bf8qUfDiFUypntkrKyJB8OIX5v751H7MzC9jD0fi/pmlW +1idB8uFofOT1exT++rR4OIW/dOsbYRKWJ/lwCgPsPdbZ7MsF9nC6z2cPR/NH +evsb09zzgD0ctffGSlPTqBjxcNRePfuFnnuCwB6O6ocKf4+NyxKAPZzCD22C +qqY2TwD2cLS/m/B4S5XNCfFwtB4OOLbQpZG7eDiqR8wiLo32SwP2cAqVzdQq +H98zwB6O6mn7xMoVlUni4Wg9sPHt98OeQGAP93/fj/zn4ah9fJr1jk5PA/Zw +1J5Lch+O6x8nHo7q7UMjArrMjAH2cArXdW5p98imENjDKez4pqOBuyYK2MNR +/3THl5MvJwJ7ON3nsYej591tQbu3JxKBPZzC3ckwvuOEM8AejvY/fSfbxA5M +Eg9H++1VHZd8npgL7OEU5nSYVueUZTawh6Px+6yxr3d3APZw1L86WXiazMkD +9nAK72m+W7Ld5bB4OIVmi01tPRzTxcPR85621eHHJtHAHk5hz9PXIrvaOwN7 +OIVjh//tceTFaWAPR/Viod6uO+PPiIdTmNxqRX6LfvnAHo76/zKP0LhBgcAe +Tnf/7OEUHt19dfUZqv/Zw+mOs4ej9c9dX996bjawh6P+uvVbk5/+igX2cArb +OtSqHtAyFdjD6f6ePZzuNXs4ur6y166r0mLEw1G9MfkF3LSIBfZwCntcqj86 +NroQ2MNR/fjAJMojLg/Yw9F+7ueWl87/Fi4eTnd+9nBUf2RbXs2YHQ/s4RT6 +hj81G0bzIXs4qqde371uuCsO2MMpNCmDa1ZX04E9nMLuiZaX06bEAHs4qu+y +q8sqrWPFwynchsUlgeMR2MPR+YsfnrfomiweTnc97OHoedYZ2kNvIQB7OIWx +rr1qbH9IBvZwCid9Wm/RJN5LPJzCWQ9q/bBGLxfYwymc/l2zFsGzkoA9nO78 +7OFo/xBg8Dnp+wxgD0f7s1HXu4+wChYPp1D7Te2Wl73SxMPRePm9W58p/ifE +wynsF1i/7r71IB5OYUlEwuXMZSeBPRzVBwHmFx+NjRcPpzA1c1KQp2GaeDia +P750Mll5Oko8HI3fak3uWruv+XC662UPR/vvzEt/VtoGAns43XH2cP9+3xXX +dH5SDrCH+7/ve//zcLTfmvPleth7D/FwuuPs4Wh+CjJZ86gkC9jDKaya06P7 +zqoY8XDU/2bYteq0/6uHo3oo1vnG2L/PAHs43fnYw9H6POvzcO3aOGAPR/X7 +z52nnmuZB+zhdO9nD0f1Z1bsp9RG2cAeTmHz/SG9ncakAHs4hdW3Mnd0/lQE +7OF0f88eTuH4id6TrJLTxcPR/PlWv0PzH2KAPZzCyI/GYTfP5gF7ONpv2eTk +LEtPBvZwCk+svLlv/8A48XC0fs6Nf/RtdCawh1OYovmj397BWcAeTqHHjed2 +JVsigD2cwqwNw0NizROBPZzu+tjD0fgZG3c34nG0eDiFTc1rdoVS/2QPR/Op +tt711yPjxMPR/uvzjcMzZ50H9nBUD04p/SHTI1M8HK03E1u3si8AYA+n8NrN +HcGj4pOBPZzCNhu3xkfqXwH2cDTfztqUlFXrArCHo+dXVDbDLSMX2MPR+mLs +c3M51Qvs4aie2OzWOa9BBrCH090Peziab/qvdrNvGwzs4eh8Ga5DJq0rAvZw +Cod9Hl1ivyhYPJzCbxtdWP7BJAfYwyk0NXhThipWPJxCx4KNlWfrxwF7ONo/ +u42bnT/oIrCHo/qj9Uunnt1SgT2cwr51DD4tHhkL7OEU3gjyvLc5+ziwh1P4 +04zzbcuuegN7ONr/du2TdWu7L7CH090Peziq9727r2ra/pB4OGpfj10n6u+J +APZwtD69czNv7hUoHk7himE117aOixcPpzsfezjqPxUP36eMKQb2cLQeBy1S ++fWzgT0cPZ9w21U3V2eIh6P6b5376Ir8fGAPR/P7ucPqaGo8sIf7v+97//Nw +NH8U1Xx52s1VPJzCY3/fi/7YJgnYw9F8UzLGy/x6ArCHo/6p39VlzJvTwB6O +nsedytphc7OAPZzu/OzhFP78bOOsyvGxwB5Od5w9HK1f5V6Ldh2MEg9H9VKX +5U+dp3/Nh1N48oe3eY8HxouHUzjPwbjrbdccYA9H9eAjx18L9l4B9nAKy39d +fuqcVx6wh6P96Z49zxb+dVQ8nMLcl/O6bBqdDezh/u/73v88nO41eziFSZ4R +2/r/FSkejsbbztrBhQtjxMNRf3IKW9usYTSwh9P9PXs4uv9vAy5PwXxgD6fQ +deHQcZPbRAB7ONqfdHl64e60rx5O4alLZnPahKQBeziFs0PS0+wdLgF7OJoP +12cHlLoWA3s4heZ9zVblbYkF9nDU/zs1u1E3KwvYw9H4MOzhHNwmUjwcHff9 +ssQ1Lk48nMLJ962PfD8pF9jDKXw1IHLd3eZxwB6Orl+vYVn8q2zxcAr/qXwx +PbVPmHg4hXsfznkTPSdMPBzdf2jxM/NDqeLhFK4adduzeOhRYA9H9ZPpg29n +DgRgD0fP47BHmnlYMrCHU3jw4MNNv5ZlA3s4hQ26mS5P6X9EPJzCx91+s23d +67h4ONrf9Ow8+7eKJPFwtN7brzxkO6wY2MMp3Of94+C2dH/s4Wh9toBmI6wD +xMMp3Bj9eq+eWYx4ON3zYw+nsE+nDQO3bSgG9nAKO1Xb7KmyTwb2cFQvPO73 +qHfXcGAPR/PVlOp+F5qeA/ZwCid+arLd7WIRsIej9dDcodH5yDRgD6fwTdj1 +no+NI4E9nEL/VPv+L8ZcBfZwCjfVBJUEbvAUD6dwWUmvcFOzLPFwCiO++Vxx +f00RsIej+mZn/vLdkVHAHu7/vu/9z8MpfLRp6u626wqAPZzCzLEv7kxoUQrs +4Wi9vDepJMA2WzwctVf7zC+NU9KAPRzth7ud/LbflCvAHo7mix6/5Jo6ZQB7 +ONof+fU68GXfVWAPp3D+1o/7K8bniIdTuLX+oNlXr6J4OIUL9I4s2LujSDyc +wiG7G59styhHPJzCtfErvo3OKAT2cArdn/a+Muu3r/lwNN+NPtpgh3MysIej +9uzkEXHlSAqwh6P6Ga0HtC7PBfZwdD+XO9qG30gB9nAKLf21r0/ZegB7OBpv +89vOP1onUTycQuMtN65XBF8UD0ftWTnNO94rHdjDKTz8wqWlleFXD0f1QoLT +shp1HtjD0fWexVsmXUqBPZzC20FmXtV0nD0czR/mns3GZN0G9nD0+WNX4l/N +ioA9HNW/tdIyWp7MBvZwCsMM8vZufJAK7OHo9UgXx7012cAeTuHOF79NMr3k +C+zhaL1o71+3Z3KKeDiFBfverhwfHwjs4Wi8/ek8R7MjTzwc7b/0Io2WBl4F +9nC0v5z+uPbufzyBPZzCHWsGpK10zQX2cAq/NAnIMj2eLh6O6iHr714Oul4A +7OEUznwwY+ergljxcDRerw06Gb80Rjwc3e/Mk+22bsoUD0fr/yWXlm4e6cAe +TuGMHvPC69hmAXs4ai+rX5oFrcoQD6dwROiZL02czomHo/119IrfH5ReBvZw +NN/94hw4bl2IeDjdeGAPp1CzqVH5mqO5wB6O6u9JE/q4LssUD0fvn1NvBY5M +FQ9H9Uzc2t52ViXAHk7h8tAFb2f2CAH2cArXLGgdWuh/E9jD6T6PPRyN/7FF +f/xJz5M9HM0/xRudjE1KgT2cwsTr/aO6jMoH9nA03/VcPMe+X6x4OKoXhlzq +nGRaAuzhaP68c2hGaXyMeDiF+huedXM2ugns4ag95nv/1NU+TzwcvX7bd+mn +hGTxcDR/PTW3NF6aJh6O9sOdXA0NNCXi4RRa6MUHf/mQIx6Oxm9KjwP6emni +4RRWGg1ebmSWLR6O6qcFfSqONCsVD6cwaJJLO4PeV4E9HNXXDc4+N2vhLx5O +4aXnZY52heeAPZzCmk92jjD7IrCHo3pj87aKqLdJ4uEUDipp5hg77754OIU/ +9nXtZLa3WDwcPe9h999Y5VwXD6cwvWz7c6eZZcAeTmFt257lqdEXgD0c9e+f +7//ZqX+ZeDiqNwzM/+417o54OIUJA3tNCnOKkXw4hU+XLWqz9NUd8XAKY+x9 +puoXFIqHo/66sLBv2Jx7wB6Ontfh8P16m9PEwyl0vru52cq5xeLhFF5cZK7f +MeAvYA9H/a+51fXut5KBPRztj3cqgws5MeLh6Hl5NbHwPpcl+XAKby6s3djW +M1c8nMLgeov6fp6UL/lw9P4j3bY7fDwu+XAK13vNeXd0+z1gD6fQ7tQbvynG +JcAejuqleo53WkbdEw9H4zOlS/dpNl89HO3v1rw+0ejWQ/FwtB541Phu2FgM +7OEUurVfOmXLhVLxcAqbNB4xxnHZQ/FwChvmeLWb0K9cPBytz1cquvednCYe +ju63wxLHzo3KxMMpbHxqW1EX+zhgD0f7D70DRoGGnpIPR/Oba/upBnoPxcMp +rGjVes+ZdU/Ew9F6UvzO3NK3HNjDKfQtjehy7PZF8XB0vjEPBkUNShUPR/Xy +7DX9Oly5LB5O4ZEh+Xtufzwj+XB0/a/r5H2+4SP5cFTfeKasC1lbLB5OYe8x +O7v8NfUBsIej9/tecVjolCcejvZvIzzsT+67C+zhdPMDeziqZ+ePKnw04brk +w9H+P31q48xz98TDKbzT77sl5+8WiIej+stoXZeyVtni4Wh/rf/axuHpVfFw +Ci8MHvj9zPp/AXs4hef++bnud9tuiIej/fKKfQ59NrwB9nAKVb8F0XqTnwN7 +OOpPRlv0xzuViIej+jBm3T2PWs/Ew1H71gn3nH/3PrCHo/EXlTqpzavr4uGo +nvcx8vVscgvYw+nulz0cPf/lXp+Mhl6WfDjdcfZw1D+dFs7d0uac5MNRvdHx +2br1BZfFwync36tOo29tKoA9HD2PWbbx73q9Fg9H841PGeR+qRAPR/vzb4pX +jZ6eLx6O5teThjFf5pYBeziFXi6Hz3WoviL5cFoMt/ryvpO2QPLhtNh7hGXO +vYCn4uG0eMMj5Ptx5feAPZwWjyWnBfd0fiYeTotux1yS9Pc/kd9L1eKF9lXV ++hvKJR9Oiys6mfgtPnpDPJwWvSvPwAjXbMmH02JkxLFxR5MixMPR9WhSjc48 +0IqH0+LkRXHfu31Q4uG0aBm9xyPA8Z7kw2nxx2u+E8vzz0o+nBbHGq+4b2l3 +X34vVYu/Vk8u6X7qmng4LV7tPy7aNvCueDgtvi4YX1RRnC75cFpc/eCpRVW7 +SmAPp8VHe04OLn/7BNjDadE21nK8b/1P4uG0uL5D7+VGqYckH06Li9o9NNxc ++VA8nBY3Hth2eJzxB/FwWsyf/92ztS3fAns4LTYZd3VmZV2NeDgt+gQ8HFR7 +wEfxcFosNWlYPmnJR/FwWozbWrLn94Ln4uG06Le3YmRkn0fi4bQ43NgoraxI +iYfTYoZPbvPQffUy2MNpsfDTQOu4Bi/Fw2mxtdZ7Y9ZqJb+XqsWqzNXPtsY3 +zGAPp8XOHS8O37u9RH4vVYvPVpnc/9xKI7+XqsXQuuf1c0JeSz4ctd+58idh +f9fJYA+nxfsHY3Z3ia2SfDgt2t+aW3tASIMM9nBa3Pf3jFb3zbTi4bTYPbnU +curFGvFwWmx29Hrt2XZNM9jDabH81aiPi2e/lHw4Lb4M+nGy5/XaGezh6PyP +v0nf9p1hBns4Lda5HD4m01U/gz2cFl22/umUZHJVPJwWe70e3M10c8PR7OG0 +WH2/YNBJV/3R7OG0uHNK4rhbY+plsofTol3X+Mx2VvUyL138999b3f+PeP77 +L3XM19f/A2leaeo= + "], {{{}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" 1:eJwl2kMUIMqSBcDftm3b9mvbtm3btm3btm3btu2JPrOIU5ta1KaUeRM0aFuh TYD//e9/aUP973//xoAEIjBBCEowghOCkJj6v9CEISzhCE8EIhKJyEQhKtGI TgxiEovYxCEu8YhPAhKSiMQkISnJSE4KUpKK1KT5t27SkZ4MZCQTmclCVrKR @@ -9740,12 +27733,16 @@ oxzjOCc4ySlOc4aznOM8F7jIJS5zhatc4zo3uMktbnOHu9zjPg94yCMe84Sn POM5L3jJK17zhre84z0f+MgnPvOFr3zjOz/4yS9+84e//Av1BiAggQhMEIIS jOCEICShCE0YwhKO8EQgIpGITBSiEo3oxCAmsYhNHOISj/gkICGJSEwSkpKM 5KQgJalITZpQ/59b/j8pdrn2 - "]]}, - {GrayLevel[0], PointSize[ - NCache[ - Rational[1, 360], 0.002777777777777778]], AbsoluteThickness[1.6], - LineBox[CompressedData[" -1:eJwN00OCIAgCALDaadu2bdu2bdu2bdu2bdu2bXtzyBOSoGG7im3/FxAQkCpU + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJwN00OCIAgCALDaadu2bdu2bdu2bdu2bdu2bXtzyBOSoGG7im3/FxAQkCZU QEA60pOBjGQiM1nISjayk4Oc5CI3echLPvJTgIIUojBFKEoxilOCkpSiNGUo SznKU4GKVKIyVahKNapTg5rUojZ1qEs96tOAhjSiMU1oSjOa04KWtKI1bWhL O9rTgY50ojNd6Eo3utODnvSiN33oSz/6M4CBDGIwQxjKMIYzgpGMYjRjGMs4 @@ -9833,20 +27830,1680 @@ AAc5xGGOcJRjHOcEJznFac5wlnOc5wIXucRlrnCVa1znBje5xW3ucJd73OcB D3nEY57wlGc85wUvecVr3vCWd7znAx/5xGe+8JVvfOcHP/nFb/7wl38ERPWf /whEYIIQlGAEJwQhCUVowhCWcIQnAhGJRGSiEJVoRCcGMYlFbOIQl3jEJwEJ SURikpCUZCQnBSlJRWrSkJZ0pCcDGclEZrKQlWxkJwc5yUVu8pCXfOSnAAUp -RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== - "]]}, - {GrayLevel[0], PointSize[ - NCache[ - Rational[1, 360], 0.002777777777777778]], AbsoluteThickness[1.6], - Dashing[{Small, Small}], LineBox[{5722, 5723}]}}], {{}, {}}}, +RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBjWpxf8B+PQ+yg== + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Hue[0.1421359549995791, 0.6, 0.6], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + GrayLevel[0], + Dashing[{Small, Small}]], + Line[{5724, 5725}]}, "Charting`Private`Tag#3"]}}], <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{-1.25, -0.85}, {-0.25, 1.15}}, + "Frame" -> {{True, True}, {True, True}}, + "AxesOrigin" -> {-0.8500000000000019, 0}, + "ImageSize" -> {140, 140/GoldenRatio}, "Axes" -> {False, False}, + "LabelStyle" -> Directive[ + GrayLevel[0], FontFamily -> "Times", FontSize -> 10], "AspectRatio" -> + GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + GrayLevel[0], + Dashing[{Small, Small}]], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + GrayLevel[0]], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, "Primitives" -> {{{}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJw0mnk0Vt/bhw1JRQMVSUgZEoW+RSVuc5KQJKWIUEkiGYqiRJIiRGVKyFAy +z8M2z/M8z1Q45zw0h3r3b63z/mVd63mc5+zpvj97rUvY4oaBFQsTE9NeDiam +//197M8s/IOFQDIrpuau6W+E/2d55qXp//G1qS29NawEGs9+z3P1Ai+cUJIJ +eLWCQJGTzoI1jfwgHXZU8xobgb7PGNw4rLcduBimy0dWEmiwTvuVzJcd8FXL +OWsdO4EqykxcFbNEoSvm6bVRzIaeWk7Gabsg90/cjoxVBLrgduGH65AkvD5V +1Oe1mkCCJfZmoQrS4P6hI/D0GgKdHmCsMrSSBVO22aPiHATKuK+TY6DxHyib +svz7hRm2r5guVzwAO3L5cuo5CdQ1s0njja48rNggez1iLYEmzJU9pl0OwfQV +LRG7dQQyOsQJz/MVoLbMbADWE8gbyvdEbFSC5K0uQVwbCMS3I+82s5oy+Ds+ +OzaBufKfY/hFXxW40RjPlM1FoFfuI7pf+lThpGhxrg83gXLlxa1fyavDf/c6 +7Yw3EmhrdF+GXYwGbO6ZFd29iUAHJqbZLvMehZ/SrEOLmJ/OvJH2fKUFfb5b +Q5o2E+icRuG/XHFtKByTPR7Ng9dD+LgGZ/lxiDx8jMWBl0CpR2qa71w5AR7B +F/NVtxBIeoDdhplfD8znXOw38eHxn9+50GyrD+oaAeLTmI/fW88mbnASxKLe +DeduJVBWWHXHI0UDWPWz+MVjfgLphv5UY+w9BTN6XTom2/B8crAomIkZQmPi +HOseAQKJiP+I7Nh5Gj4yryj8i9krNeSkjrgRBJ7jv9kqiMezrHu4VuYM3Mzc +J/FWCM/XN80jmsrGYMipPeq4nUB3lnUVqk6fBTkr8zANYQKxs8xsVXc4B3wl +rrq8OwjUcul4SVmQCSzyBLJ9wSz/y2iVYsF5GLqRUFSwk0BMLDEDWZ8uAKot +cfQXIZCqpN3WXfxmECPcvdtUlEBF6GyH9u6L4HWHGJMWIxDaxyH7t+4iWHWs +eMUsTqB2nR+hKbbmcFRqm34H5oGNUU7G3BYg4f0fe/wuvN4ZFxT/FlgAx7B2 +ibMEgXScrphGWV8CUs7CSWs3Hm9NndbBzZbQGnBbaqskgaxlrGwbqi0h43Pg +xCzm7fo/Dp5xs4IQlcTXxVIEGh3cIDiwzxqcX6OTAXsINBW9/YkRYQ3GX7tX +me8lUMjNq1Rt8mU4rEOifdL4+QrsBbLXrsC2eDaXFTL481nibODeq/B3edve +bswcbl8UJ79dhVGj/VMJsgSKmo7slCqxgYrU4xG39xEoVOqR/1W/axC/6tKp +4//h83nsd8ers7bwyPzOGoH9BJKT385XLHkdrhY8LyMxi0//q2tnsoPjG5Nc +Sw8QSEvplmF/rx3ssS2VDpLD+2WrrFhH5g1YX9UzfUmeQIE7zI2GtexhXoCK +PHAQ79fCqt3H8+2hw3nlafZDBHokMVktLekA2S0CnH2Ykazm2JMoB3i560BF +8mECDT8xlzHbeBPu3Ne5465AIL/RMJukxzfhfP8lWd0jBPpZI6dpw+wISv+5 +fRZSJNDDWpfbMXccYbt/UPQ8Zu3TngW63x2BZSrJqEKJQNmN5sjB4RZMKpat +fQEEEnDhU1pD3YLq0N5Ka2UCmXoHzvHaOUEiRbkdVCGQ/foIz9ekE/hpsf+3 +RpVA/7iXU5/bO8P1GMGZAcwcNy/KLH91Br0/B2JS1Ah0d940d9DVBWRPnTD2 +UCdQjfzdP9L/XGDjB8v1JzUIdK9BMvWHjyt8X+FevUOTQItcNX4yG25Dz4Xg +u98wfz0Rpjr0+jbk5yTvrz6K61dU65MlsTsQvr58NkwL7/fFIP5nWXfg7pW+ +t1ePEejloZl3z9XcwKyMcVZBm0AzzM3jKzvdQGXrKq61xwmU1yX8kLByBxFH +odphzFs4skHjtzusbJTzSNMh0OcG1fq1z+7CZxFduQcnCGS36Wavpsg9qL9r +RZzSJRBLRpcgo/AefOh2jxPVI1CaytzJ1ac94Jl0iMlPzCN7t4sFUR5g7/ue +u06fQEu9E+JVGp5gMFZe9/okgXwuB5W5hnjC/sP9nrYGBFJO3lcwMeEJPMHz +8kqn8HmybZtl238ffs+uotYbEqjX1EFm5OF9GFDf/m4M8xg3h8WN7vtQHCl/ +IfM0no+//udydj2A6B+6m7yN8H5wGfia6fYA7utZNxidwfsvt5X9SssDuJR4 +98EuYwKxdR+xb9/pBRrMLw79wSzfOLXyq6sXiJ/7wGg4S6AAh0fxdc1esDqz +IiHyHK4P7h2iRqIPYZZjwPSGCYEueTqYv3R/CM2WC5tVzuPPqb+ygZ0PIa14 +dRP3BQIV8qx3UNzjDUE8wg8nMYdvl/wV7eMNt24cVMgxJRDJ1f4md9QbjGr1 +Fh6ZEciq3lX9oYIPHBS+nHT2IoHiWf2K2MJ8YOudexclzQn0Qd96XOGrDyy1 +v+BdxiwmYBkgpv8IhiVTmpstCNT4oSWiKuURlD6s9H5zCdevrbMTWzh9IXZo +4MhNSwINGZOK26/5grfc169qVgTaL83+cKDeFy4HrHm/2ZpAmgpnA7QlH8Ox +z8IWnzA7UssHr/s/BkmVQ3z5lwl0JmjFOTXyMax9rd/qdwX/vtLNhkZ9P6AW +Lj86f5VAa0ZVrFdn+UHbcQ+lvTb4/Mpbsv7mfQKZcaHf/2Hu6kJu4e5P4MVy +yoe2awRSF5BNZIw9AVejqkuxtrjfKfuZ/zrqD+dSB7c6XSfQTdVgz4yP/nBk +1bc2TTsCxX1bPSzI8xQEzTkeb7lBoLc/YwwU7z0FpoIdyjOYu/NX5K799BTG +uQ//LLQn0FqXtokA/WdQee3kx6cOBOqQj0yvKHgG7yqvWJndxPupdeFXomgA ++Ap4bpN1xP163CAIngeAjXNYB8stAjn0/Gf0aDkATrR89OvE3LLguU75QCBI +76pWeedEoBU+ASObbAOB6/7QLxdnArk8dlijGhsIX/u+pR5zIZB/1Qm3mv5A +6NrHeZnfFdfTaQ3BeO7nkPtkpyCBOcDdeaRf+zm8mjzcVXKbQHV/fiRf8XoO +booG/oF3CPQut89Wt+g5XAi9qmbhhudrTpLL//tzAMrzz3/uBDqU9d1LWDoI +dmi9TGe7SyCVtYfT110NghUxqVd6MP/o/vHwVGwQTP+uFkq6h+tfu8gsNRQE +tQbD3Xc8cB56m9sysSUYkt9/f6rjSaC5ukhBacNg8F+xVkPwPoH0Ukor2gKC +we6CyBKFmf35ivcNDcGgn6OQWfaAQJmvtEq3rQqBfetP2QR7Eai8wZqqVA+B +TVdshK0e4n7AsV+k8n4I/Cy93yvnTSBefk/trSgE+vheBazyIVBO5GbdmqUQ +KLyZptmPuWtjwdaGwy8gsqFm+f0jvN6DeyJEb78AD5GRrLu+BLp2QKqkO/cF +mN/9cU3vMR7voWu2wz9egFr32p3CfgSqGg0POiIXCqLSov0LmNuYbbbNO4UC +u++R55VP8PnWc/++mB0KX0ZPaYX6E8jJ+NbqCz9CofHQtX+Xn+J6/phU2yQf +Bh+DHuQcekYgqd0PAoVdwyBw9tV1jgACPTAt63+YHwY31dNFhjAPih5ap7QY +BoaRtQMfA/H8nXPgOaH4EuR+jAR5PifQ1cy54XSPl7BF7+cxgyA8P2jXKdvy +l/AnYR2zSDD+/5lIU3e2VzDIJJb3HXPTbTQ3pvUKSs4q3qgJIdDzJ4ufI/xf +QUyGodirFwRq2FKolNL6Crw4bIdsQgl0+6t5H/fm12Bl6RVyJAznr2rduKaz +r+Fo8evj614S6DLPgN9Q1GuQ4MlgGcXMCSauqpOvgeNGXX76KwJdcRI0XpII +B6Jm1N7rNd5f1XabOezDoWX7L/HT4QSS5HkebpcTDum314+IReD1FK7qElsO +h+B2sdBfmG/f0Uj9Tz0CnCWVTtRH4nzpf3TjiycRYPzw9IqIqP/lwcU57Y4I +ODxkW3g9GueHTH/x0/yRsE3u4U14QyCb5u0fMy9Fwt9n4RJcMbheJvdes/4Q +CaOfMkbHMZNjLcevf4+EcuX6sKy3BPIY33aoVikK4l6N6frE4v7KaBV08Y0C +n4VfbMZxBNq089en2+1RcOX4hmKJeNzfrrzyaN0WDcfjxG8tYnZ8kNN653I0 +7FlWkmx6h/MDm061a0Y0rDcyGo9KwP3t/Mnj9cvRMP/x+iv7RFyPzM/H54q8 +gQ52b33VJJxn4nN7MrXfQPbFCPZNyQRadW1vRp/9GwjLzyyZwsy2bt9uibA3 +cJu7wSn3PYEKDGQPvC1+AybXxqUef8D1w/plrfrkG1Cs/D1xLoVAv0db+zZw +xICQAFe41EcC9ZeqmK7cFwMszrsM/mIet9M7Lno2BiabYXVrKs7rRSah1z1j +oFr8TGlMGq6P41X7RxJiINHTzsUxHe9/rxn+Oy0x4NfnvVcjg0Cvk3eqKP2M +Adt9kVM8mThv1dW+khR6C7pPsiI+YzYp3MuvevQtyEw2nCrIIlBseULB/Rtv +gVtxYo1/NoE+Pfa6PhP2Fr69+FN2IQev7871Eu6lb6GH5LotnUug+lUvxuW+ +vIX8oxIyzHkE8uS/ELCVOxbC3yh/ascsGPdBRFwhFu7+PhMVl4/7vUF12HnL +WDAzuHHauQCvt+LMUMHTWFB578OpVUig0onTcyq5sbBzRVQFXxHOQxLqefOj +scB2IfvOLObYc4Rc/Zo4+JTdKFtc/L98+OhCw/44qFs3+flZCYHWp6oLfzeN +gw+XF6MvIpwnbYxcjj6Og2el3Gf2leJ+qjJjWJ4ZB/Z8u9etKCPQHk/JVKvh +ODC4qVLVhXlzr6qb7Op42N9g7J5QjvuhudFH0f3xwCNi/9/tCvz+rx4rqprF +wy/3RzPalbh+Wi4LPPKLh/6uqJhtVThvrmlV/5YdD0V7c4xJzKuOCCQ8HouH +qEdN60urCfSR4++ho2vfwf3RyernNQQ6+9V3QerQO7h0aOnupVrc39vaqg5b +vQONoI0HDtQRyGzmS6L983cgPrt7bmU9gXZNjwQ2F7+D1eqqsb2YI9vRTcOZ +dzAbcfZccgOurzuC1Vh4E6Dpuz2XeyPOf+8v/upRS4BUXd/aE034fpcs5dNl +nwDPE6I9hJrx89GvsT+RCeDIlCs3j5mZrGDSakgAo7PNRHkL/r1ov56iXwlw +MGMqLqSVQE+iNU3OiiXCVo5lE+s2fP8QojwFDBNh6dKmjQfb8XnKclVc/SAR +hosk61d3EIgy6vLelpYIpZvV7g9g1rT4pntmOBHe2p07mNKJ5yO0/Gk+ZxI8 +rHGg7nUR6Bbvbnk1hSSw3v74nX43vj9e41b+djUJtG6/ubCjh0BK7hcjG18m +gWR77qZvmN3Cfqg11iTBWsmWhqpe3L+vZ+36+iMJKK/pB2F9+P7E5aKsIpYM +bYPLh672E4j79xbPnNPJkHlg8/zhAQL999dh0MA7GV48k0rkHMTPP39Gmyc7 +GVw+qZkNY/ZNDy9hmkqGs8omPGlDOD//XbeXe/N7UHh1s+n+MO7PCfeeamu8 +B4GFxw9PjeD3dchuS3J6D0zHYxRER/F6erlR0u/ew3hs3sIPzElXQgcGu99D +5VJLUu0Yvt9y1vmlsX+Ad6c/XXw9ju/bRR3zyQc/gO/Hv7y2Eziv7rrF1nj1 +A9iw87QoThJo2dyqYGP4B9C5uMdn/RS+jwyasT1o/AB789UVxzBvtuUb4Pn7 +ATZwn/+WMY3z9FENmXbpFFiwcXz/8BPer2whvzPNU6Crws/C6DOBZKMzJYuC +UyB321u+XV9wfrfTK5ypSoFXTvmtvzF/3rshEn6lgFtz66OGGTz+xfCqgt0f +4YL4Z6XIWfx9g1CJ8xc+Anj++243R6DVXG+KdwZ+BOE+nhRlAtdrpzPOXBUf +gXXfXktuEq+/kLGW6I+PMOWnwT+Jefs6hV1mEqlQM3G+PZsi0LG3oWvQ+VRI +PnLr8SMGgS5G/J1QD0wF/xdPlM/OE+iUwIokRkUq2JFvf+5ewP3q3QHd8p+p +oH+04OMS5iP+6ycHN6fBvjdtVs1fCRTTH+c9K50Gm35/3vbmG4G+rRNcuVY7 +DX6cZOp0+E6gWoliMxXLNOhN5n2i9gPns4Fyf997aVDAKq26+SeBMh7aPRp/ +mQYR5zV/T2NWOfD3mF5mGnhkX0jL+4X7Y8rH5uamNDBf53TZ7zc+f+cL15t/ +TgO1y/6C5//g821uyr6SNR1ES2O79iwSiPGkNbVYIB3Y+Qr9/2F2PHeM1fdg +OnxxaFdrWyLQusW/i5an0qGh/suft8v4PBwXCzG0S4eUncwZt/7ifNS7UGX0 +OB0C3Ldc1fxHoJ6R0CfX4tLBoUt6+xYmEj3SURsJQulguPdozxfMpwvECxv7 +00HukemzQmYSrbx1k4/vRzpsGXXSeMpComRH/W8uXBnw5+DTJVNWEoVaEBpf +pDJg8HlcpswKEp155bjqulYGlMwU2rCw4e8LccqxWmbAG7UO4U7MDh+Ga997 +ZMCDiJne+JUkeh/PVWgdngGW35kDXdhJdG17/cr9uRmgqct39NgqEjWPSr7b +2JEBEgkyf7euJhF19mwwG5UBHExa2XOYJ1Mca1dzZAJhbGZbsoZEqSmvYbt4 +JrSkO+8M5CDRwb65BS21TEhf86zfnJNEqmaPBr3MMiH4Uvzz/9aSyFrA50er +WyY4FRVpsa3D433DqiDzMhPObO78141ZfQdfXExWJhyym81JXE+i5afDkqJt +mcBfw2J3ZwOJStxsGguITFgW2iqqw0Wign3tHhZrsmDUVXZQgJtE1YkSytvE +s6C8TSuYwnz8gRf7Z7UsiNt9UbtsI4mUuedbqi5mgY+XC3PwJhKJnnsQnH03 +C64MPsuz3Eyib6s1dXJfZ4H2gXc35HhIJOh57Ft9bhZIPSsWW8VLIs+6l96M +zixY96lzqA+zWYzSH/GFLGDAXMj7LSQ656Cu47A+G9pfsurc5SOR1ckMp0ap +bMie38qqt5VElewB9oe0syFMe1/Bdn4S/V01Kpd3ORtuxx5zWMDc55JUruWd +DSZLF3dVbiORvii5dvZtNiiedh15IUAi/8BU7ujSbBD6GBB6WZBEI9NEveVw +NjCzJ5w4JESiXNZEmSNL2TBhVrKCYzuJIu0HFEW35kBVXlfhIOZdVg/nhA7m +QAIXcfOjMIn+VEfKSRnlgJ/Nit2eO0iUIyHMo30rB2wr+MdO7sSfN6/0vh2U +A7rb/nu5UwTvt3cadwvSckDGSVvvO2bdEx2/OFtygLvZfGWNKJ7f9XHz9kQO +fBO7XfxSjESOU8nm0xy50O0ReMtGnETMLn2q13fnQl5vguSRXSTq3rI9gO1Y +LryWReNrJfD+bbqunHo5F9z9ul+NYP6pkaZv45MLZhOEfvpuEv0Y6ciXi88F +lSNsq7wkSRSWUOG0qTIXdr7YhgylSHTH6Oo91olcYCP/cxbbQ6Ivp1KaWFny +4JPm8T2/MLdlOV/YLJwHddEWk3V7SVSq8F78oHIevP91OzxcmkS9xtJitmZ5 +8PTkc4PrMvg8SEwbpN/LgxvJiatBlkQTt9MT2KPy4CRraemGfSQaKnMQvFGc +B/vP97iMY2betTL902Ae8GSTe7P+I9EGT52zDkt58Gvtymnv/SRSPC7Mxbkt +H/qtBSLPHCDR7jyz9lyFfChC+w0l5PDz346F3jLJh6gtOhyLmHtCPIxV3fLB +0+FSeaM8idorN3AJh+eDRf2d21EHSfSb51rBhsJ8UN8ZJGN/CO//bZd0uQby +Qcw96ZPKYRL929RYvWMxH1Z3lUZtVCBRxqyLgAZ/Aczu6T09hfnAYXkdV4UC +aPKhOHOPkIjvaJ9OkUkBpI6srPRVJJHESik+LvcCeH5Q0O2cEokub1lKdo4o +AMfnB/ZJAYlYGzctzRUVwOkZnS/LmM9tU155a6gA5NUs37Qok4hM16zg+FsA +fBFuZ2JUSGQ5TkllCRbC4regdY6q+DylMSteh0IYPpFcpa5GIv5GZULuYiGU +vitz51HH+zXIXp7rfiG8/df732fM8ZynNi/FFMJDY8ZMvgaJYhYSPX+WF4J1 +OvvbJ5okkk6UdWSdLAStNUJnLxzF76v0flqIrQh2X5LbIK1FokuO7Z06YkXA +WXSihukYHn+Ghbzf0SIgN1nda8c8y82zqvdKEbRedz8Qp00ir3PxynJ+RZBZ +HTzndBzXwz81/XHvi+CF0PvYozok4ti4v3VnUxG4uJaf4ztBoii7qK2ZZBGc +bevjmsV8yqcg02BDMSjsnq8t0iVRnsfhFyz7ikHAa5XnMz0SHd7Tkld+qhj+ +DQjJX9QnkfC6XVuCnYphbL88KXsSn3fdrx8cw4qh4qluPKsBiW7WDNlb5hdD +/LTV+S7ML/8GXbAaKAZfuLsx4RSJXogV2zovF4PNy5B6V0MSNQiyvQwTKgGd ++ff3tU/j+Xfk7qtVKYG92hUHtxmRyODCE4k1liWwIbafIjBrl2/yMvEpgYXF ++XfoDInK9huMFCWWQKfhatPnxnh+vk7J720ogZyU7ZsvncXjmbX3SSVK4OXK +g437z5GoNSuoUnkDgjtmel4rTfD7hHyZHduH4EKe9eFezPx3uX8EnUYAXPfm +k87j/b82afCUKwJhmxeJbhdIdCjw6CuRcASsFR/MTpiSyO6vjyhbCYIp/koe +ITNcz5b+3vk+iqDm1kATA7Mw+bbuD3MpJDUtPCy/SKLyE78yH2wshSdia46E +mON+efPYbW7RUrjuIfzVyoJExr5mPMlypaDXezBZ/hKJuLlYfY9plcI+WX3z +1ZYk2j61WM84Wwqb/C5vGcC85z+uwehrpfBj/F7LByu8XzxX5xndLYVehVCf +e9Z4fyQkm2wOKIWCkBRF/cskSuvPrxh4UwoRROU34SskehuzOJeUUQr3NAff +f8X8rP+/To/KUrgY/dWi6iruN/mizhe6S0H115qtYTZ4P9cHt6h+LgWRkzva +rlwjUQoBQ9J/SoE9+ZDvYVsS3Ts3Gy7CWQZfWE4C53USVUQZrRIWLIMGkys/ +hjA7RakIicqUQUqWR0qqHYn6g68NyaiWQcDaMMv7N0h0f30wqBuWgYP1R/5T +9iRS++WkamZdBqdQVbuIA+7XT9rG77uWwYEtQ49/YCZMLAQ++JUBr8M35dqb +uB+cmiSHIsrgdx3Hr1eOJLLJ5DbkTS2DwR07U6/dIpHPhsRjxmVlUOJ22FrR +CeeFM6aNbzrK4E3nSYH1ziQybyR7GVNl8GDP1c5RzCLs3LZav8rA0sfzSYYL +nq+fHg8S1pSD5kiY6kNXvH8mqC3rBMph18HU36dvk4jt8hYpd+lyWPO8Ok38 +Ds4Lt1Lz5lXKYe7L0OXfmKlTNoV2huXQrPpdsMENc9yyzFfrckgL5+yOcCeR +63kBHo/b5RD8bedTu7skWlvtfpnbvxycTiioK98j0W2vZuGUqHI4885gkcsD +92P/ShW99HI49O9qxgTmMJkNlb8qyoHf+P7VbE8SXd1oEZ/UXQ7LaS+3P7pP +IiMZm3HzL+Uwsjqtx/gBibJ7e1yEl8qhzKLm2W4vEu3NOmvxeV0FxBYOayxh +zi+NjMoRrgDvTT+Wmh6S6I3BSQn//RVw5frarGhvEj3YJPzvytEK0K4Wuebg +QyI99vxtJ85VgJTQkR1qj0h04kHlvYPXK2Cd66m+Tb64fv9HCkt6VgCj1SZw +GnO8wCiLaHAFtEs8OJr3GNebCDVBsXcVkPXg1d/HfiS6a1Zmvze/AkIH0rJN +nuDx2DH/VGysANf9tbZ7/HG/F3ufYjhSAeeejuz8h3nxsNXzmwsVoDj9o7/1 +Ka6vOV1RYWyVIATrgt4+IxHn75j2ii2VwPxS9NitAJzP8u0kfkpWwgTjCJNm +IIli2UajZaESqo4Z5vI+J9EF5Vf7HA0qIeHtNbsvmEd3Kg4XWlXC48UHooVB +JHpaej2a43YlXDN8PegfTCLJu403Lfwr4URKerBpCIk+8/wxLI2uBOmVddoy +L/6Xx0JVRTMrgdtslJklFJ9/H5WDz6sr4Vvuz7wOzKyPXu1b0V8J3RvW28eH +kcg3/8jee0Ql5F0VE3d5SSL3J7Xi/5iq4HW54rDWKxK9K+3jf7SpCtz5T7/Y ++ppEmo6c7Dy7qsD0lq3OHOYNm5am3itUgXKTF2tJOIn22ahla+lVwQ6x8IKA +CJzvg90d5iyqYIVHhoN5JO4PrCq8Yc5V8Kmnbtd/USTK9JaI1fKrgjqZsZEV +0Xi+DjdzMUdVwfvHv0K7MV94XW1Wml4FT8fX6ya+IVH9zaTHPlVVcENBnO1O +DN4/nzn9T/VVwckQpaLjb3Ged/G5JE5UwX/EaUeBWBJ9ZCRzsjBXw2bN67sp +zEPu6x5ObKqGn1EPx0rjSPTptXp1w65q6PsZ/jIonkQ620dbCo5UQ5F+pp7l +O5yPDzlGpulXQ1RS/Uq5BBLtuBIgmWJZDZ4s48XsiXh9fKqc01yrwcLk960+ +zAaV8W4F/tWgnrVB6n0SrhcJSK7hTTWIrd014Z6M88e6t3HjWdWwyhpe677H ++VZqoIyprhpmSoxObv+A8+STX36iQ9XQyGu3agFzN+ejJf35avho740qUnBe ++8y90YutBp7XRTi/+Eii4BTxhkK+GnDckbXncip+H2V94cU9NXDarWHyYBqJ +4oZ4uFVUa0C+czx8TTq+f/GyvX5qVAN8e/4YDGKO64nNHrWpgUVvrjUfM3A/ +MnUzP+xRA0PDu8o8MnF/V934+nVwDSB5ZdeTWTjfOSyYMCfWQEzgGemd2SQK +ko6OtSuqAa8vdtPfMHPxRV8fb60Ba1WfyOocXM+FXHLOT9WAVnik4ctcnP/8 +398Z+l0Du79lcdjk4bz9F+VYrqsFzhON5Qr5eP2vy19e2FELZPzE7bUFePxi +Ib4+8rXQ+vePzAjm281neIV1aiHjDPfntEI8v2asG8ov1kJImkT0gyISvd7D +e+OqUy04r1YxMiwm0eNRbllev1owtjBeK1aC19vVyaAhqhYUCm9U/sTccKK4 +xTuzFgQ2PXKrQ3h/r70Sr1lbC/9so/aFl+L7S9FCy9qhWhiryv5iW0Yi+dy+ +4wPztVAh2PRGqRzn0bSXm1NX1kG8y+SZDRUkMsxMlnjMXwePWhfXjWP+LOT3 +8KpMHVyV2FidWUmipo9pO/Q16uD4g913vatwvbwRxqR4rg72DKjsP1NNooWa +nK0yN+pgw/6zs7tqSCTl7HNd4mEdLPjbv/2DOefZ3e+7XtVB59Sjs421+P3u +cqfu/VgHOUrRG6LqSKTAVhh6uKIOXobl1NyoJ5Gt8lyiTm8d3GE03VNpwPXh +zdZxS6IOzh+bOrCxkURZRL6SF0s9KL1dmpvEfExLsTCBtx62L26My2kiEYjz +GrZL1QOLoaSJbzO+rxnns7Gq1sPUB1Xucy3491hfNB46Uw81bOfqJFvxerzd +Gu9sWw9Jpg6ey5hfcBY8yb9fD09yfeVb2nA+uTbuzhJWD9c3vCHftJMoRI3F +6eSHetC7mht/s4NE3naP7N+V1YNsefN59U4SuQiOXGPuqYeN/NMbebpIVDjo +dMlirh6+Oy7Xf8K82S/3VB1zA/Q0bnqQ343zgOn6Q3K8DVAgKnXoSQ/u9zOf +1idJNUDEPTXG+V58Pja97xRWbYB7PecS9vbh+sBf7vXmTANclLlpytRPoiOh +L/hErzeA6uPHm9sxC958GpT6oAFExt80xg7g+9G3DbPwsgFWKuR5OQ2SyK/Q +R6g7pQE+B7ccPjpEol8FhlI3Kxqgfm56fsswiZ7D6NpNfQ3wQeNv4gxmR+Jp +aSHZAM+iNl8sGiGRQ2mZ8tUVjeDwU4r32SiJeDlH/LZtbYRT+urNZmO4f7pp +hXdJN8KBJBNv2XESmXJftAvRaAReFscjrBM4P+1+xHTWpBF+n/P72ol5t6ug +7k6HRhjIjEl+N4nz8wqfU199GqGYM9/cdQrntX0Ca+siGiHaqnWL9jR+XpGq +S1xGI9wv+dTC/wn3uyzDZ961jXCJ958PgTn0YZqu7XAjaNrzKKHP+PxSGVnG +3xphV92e74FfcL1dl1ekvaYJ1uzQ+GAxg/OTIf8l1e1NMHfn/KX9szg/+cnH +gVwTNHc4bl05R6KkTmt3VZ0mSJN60taDueE9y2dtiyYI8n7rm0SQqGr80oSx +axPcGs4HNxL3u7hxG9tnTWAk3/ZDhyJRyc3uO95xTXAw8HOKIAPfByRC18cV +NAH/l3+WDMz2iy6ita1NsKzCu618HtfrW21589NNMPJ6b0fwAokOuvys2r7c +BGVfNfysvpIoVVnp2OmNzRCrc0FF/hu+b1r+VgqQaAbv+Fu/Vn0n0flWt9hm +aIbLf5+k9mM+XsvruNGoGY6dibX+8INEW2cEky/YNoNkWoHAvZ8kSpccV095 +0AxrV7d36v3C528gHVheNQPD/MsT4d/4PvlmKPR8ajO0FzCpfcWsfTtLs6iq +GbI2bvlT+QfX95KHx4UHmyHUVjo9dJFE64QjYp4sNINrleaVK0t4fZKOaiyu +aoFzgqZCh5fxec7v2Gcv1AJHXJy6Of7i9eLwt5450AKCrf5PhzALZRYOXtVp +ASaJOPXUf3j/8qc8Jy1aYPx+4aInE4XM1yTfd73dAlX97RkGzBQSjVhMZA9s +gYT/Zq6KsFDI7OgMa8S7FtqHo5DYQPGzA8UttA9HoZsexRqdHS20D0chkWxp +UdeZFtqHo9AqyZSQQKZW2oejkJe2SsInjlbah6PQl3vOWiq8rbQPR6HEAjvn +yB2ttA9HodyI49JLe1ppH45Cr+z3Olw41Er7cBS6tPfg4TL1VtqHo1D5nce+ +4vqttA9Hod/vVM8FmrTSPhyFyDSvlEXrVtqHw+NRs/W8erOV9uEo1JLF39Z3 +t5X24ShUtjo9UudxK+3DUch7QXuyLKSV9uEodKaRPfbQm1bah6OQVAxbb+b7 +VtqHw+8vbnFfJreV9uEodLXicGRqeSvtw1Ho7sMPErLNrbQPR6Ef4p0i2X2t +tA9HoQTeZn+FqVbah6OQvE2OWSWjlfbh8HxPJIbrLbXSPhyFGhZKlYbY22gf +jsL5lO/o9Y1ttA9HIYFfTRn/BNtoH45Ci3t/eYTsbqN9OAoxeWR8kJRro304 +Cgnm88hXqbTRPhyFVE2UBMxPtNE+HIWMAlUv/DNuo304CmnJHPkebdlG+3AU +WleqNqFq30b7cBRKcnAQ/ezWRvtwFJIWGcoPeNRG+3AUqr8UFXUouI324fB6 +Xm1sm4pqo304Cnlsu3cyJLmN9uEoFLC+nl8jp4324SiU7FW991dZG+3DUajQ ++rFvSlMb7cNRaPTULnGrvjbah6OQQ3DeKqGpNtqHo9Bqfj3JAUYb7cPh9X7K +9PTVUhvtw+HxKIxJnlvVTvtwFNoqzLZSYFM77cNRyHXGg29cqJ324SikS1la +Jkm20z4chXYcqJm8Kd9O+3AUGrPLf6mk1k77cPj9lk7c49Rrp304Ck3PhQYP +nmunfTgK7f0T3/3Rup324Sh046m/htfNdtqHw88rMhk+e6+d9uEopKIjEr3P +r5324SjU++GH99rQdtqHo9D95fHAmZh22oej0Mc1v4vrUtppH45C13W1ON7n +t9M+HP49xojzs6p22oej0Prq5r+Obe20D0chQ4OdMSZD7bQPR6E/VbMmGl/a +aR+OQs7/DuyR/d5O+3AU+ue3fqMQcwftw1Ho2VtvjvVrO2gfjkIze+I3MfN1 +0D4chT6U35H6LtJB+3AUUo/jPjkr00H7cPh5Fa6eE0c6aB8Or1dZXt6QVgft +w1Ho6eehn32GHbQPh9f3wFeF3osdtA+H31ef1avXtoP24Sj06fmWhn7XDtqH +w+u5fIR75GEH7cNRSE7zjtFUYAftw1Eov6nvBRHRQftwFGKNtWz+mdhB+3AU +uuC18y9rdgftw1Hov37BndxlHbQPRyG+MlOFHU0dtA9HofZ/M+r7+zpoHw6f +N84GRa2pDtqHo1D/mpUipvMdtA9Hoc6mt9+dljtoH45CbN0JaQGrO2kfDtcb +A/5T7zd30j4chWK2sw7UCnfSPhweT6Ol5uc9nbQPh/tDgEbw6sOdtA9HoWyD +ZCSl2Un7cBTanxJWd9Kgk/bhKHR2kivV1bST9uFwvZnbZhdj00n7cHh9rItW +NDp30j4chTjefbX/9aCT9uHw/k2sShML6KR9ODyfAgfKjcI7aR+OQvbm6rG+ +CZ20D0chX4/vJ4syO2kfDr//Pu3GedRJ+3C4P4yrbZBo7KR9OPz+CRP8Fr2d +tA9HoXCt3UTEZCftw1FI5/CWB32MTtqHo1DXm5Re3uVO2ofD511vhjyzuov2 +4fD5rG4qf7W5i/bhKPT54BmDIeEu2oejUMVD7/Ade7toH45CGw6dibh6uIv2 +4Sh0JbjeIEOzi/bhKGTrOVS0ZNBF+3AUqg1/2qtl1kX7cBRSlumNDb3WRftw +FMqRL9g27dJF+3C4nprIqMg/7KJ9OAoNL8it9wvson04CkmsrH84HNFF+3AU +Sj8+Fb0/qYv24ShkKvHQ1D+7i/bhKHQxK6ZkqqyL9uEodCT9YIlycxftw1Go +NVjNJLK/i/bhcF7wKApenO6ifTgKvdCMtDL52kX7cBR6fm2kuehfF+3D4X4s +5N4kxNlN+3AUqlG3NXu4pZv24fD82SQ+mBHppn04vJ/adx0wkO2mfTjcH22m +bhUqdtM+HIUGOrpATLub9uFwvTs/Hxxk1E37cLj+rJC+wXSpm/bhKKR527vl +xo1u2ofD+4FvNmPUrZv24SgU9vU07ynfbtqHw/NbVfinOqSb9uEodGCJy+RI +TDftw+H5yjkhl5nSTftw+LyWXfKTLOimfTgKZbzWOh1f3U37cLg+2TNCtnd0 +0z4c7j9CekcjR7ppHw7niwPGNvxz3bQPR6FSxt/F17+6aR8O9wOQ+8PP1kP7 +cDhvlDAsorh6aB+OQm+Kt+/fIdhD+3AUsjucdy1hdw/tw+H9O5HIvle+h/bh +KLSNb5ItR62H9uEodPvLOUvQ76F9OApVb/q7vf58D+3D4fPPUXLI6GoP7cPh +80b4fZhw6qF9ONwv/A1dbj7ooX04Cn27uuYlS0AP7cPhfJEXzhkS3kP7cBR6 +f/Znu1hiD+3DUejnGMeXgqwe2oej0JoXNTr6ZT20D4fX5/KWpemmHtqHw/3o +3+y3e/09tA9HoXfp0ge2fOqhfTgKmfi0FmR87aF9OFwfDxR66TL10j4chc6J +DT6d5eylfTjcDxyFeh7z9dI+HIWcPttfkBDrpX04Cp3/VyhYv6+X9uFwv52a +3WILvbQPh8+vy+yx9Tq9tA9HoRSN+NRM417ah8P1/Rmr1lmrXtqHw/+vztjA +dLOX9uEopLjq9KrEe720D0ehY3v5pU4+6aV9ONw/CiXvLIb10j4chfitbjDe +xfXSPhzu78HN/qfSe2kfjkLaZrInmEt6aR+OQm1BHtJp9b20D0eh2aQ3shd7 +emkfjkILbW4nuSZ7aR8Ov++Xn/4VjF7ah8P17MHimPNyL+3DUahv0FZXck0f +7cPh+SVlW0d5+mgfjkLiJjJWYTv7aB+OQqFuJzj0ZPpoH45CLsZ2peyKfbQP +h/fbB5cHZcf6aB+OQhZTJ/TcjfpoHw6PV7hL5OClPtqHo1CWzDzT9xt9tA9H +oe+h3mMZ7n20D0ehTF+nSofHfbQPh+vFXGyCbGgf7cNRyP38V9+Ft320D4fH +u0PTOiu1j/bhKGSgeE/RpaiP9uHw80zucirU9dE+HN4vIyJt/7r6aB+OQg+H +Tz+uGu+jfTgKbRac3+dP9dE+HO7fvD0Np5b6aB+OQvd+fjq5bXU/7cNRSCac +tWJqcz/tw+H8E7xaIG1HP+3D4Twi0nfBTbqf9uEotFHtpNfRI/20D4fz3Ev9 +J5uO9dM+HIWeeGY7jp/up304nDdXmR5Ot+infThcPxbEhzxv9NM+HD5/6Yyz +J937aR+OQo7XX3zc8bif9uEo9PXvQu+3F/20D4f709ep7pq3/bQPR6F9ftrv +wlP7aR8O96ucJXX7on7ah8P9XrA3TaOun/bh8P2HP+8Tf3c/7cNR6FaBy6eF +8X7ah6OQcMF8Sj3VT/twFNryjOlQ7FI/7cPh9Tp/z8d99QDtw+HfZ9IIMeIZ +oH04vN/Y9l6U3TlA+3AUGkzh/swpM0D7cPg+QDRIfDkyQPtwuJ7b7ttZfWyA +9uFwffARbI81GqB9ONyftK/sf3BpgPbhcH12/3TU3H6A9uHwfSnYcY3K3QHa +h6PQpvyJ28J+A7QPR6GJxnUhLGEDtA9HIX3zBqPJ2AHah6PQLzyH1WkDtA+H +91P/yaak4gHah8P7/UqN+9P6AdqHw/fLetFGh54B2ofD68mjmm80OUD7cLjf +bplXOTI/QPtw+L6tz2654+8A7cPh/DhvLLCaY5D24ShUNZl/jcE7SPtwFILq +ZZ1ekUHah6PQg08/S0plB2kfjkLGum4oSWmQ9uEotDP7nE7w8UHah8P3fb8r +l+4aD9I+HJ7fr84sV6wGaR+OQsvVZ8RP3RykfTh8Hw0ebFHyGKR9ODz+V92/ +d/sP0j4czn9tPLG8rwZpHw7ny+nrdSveDdI+HF7fwx9sFjIGaR8Ov89EzMNR +NEj7cBSaTxbc3NI4SPtw+H7AvcBX0jdI+3A4L+jNBqZMD9I+HO5nOn0ukV8H +aR+OQv5Jfo1PmYZoHw6vd1GT/721Q7QPh/dvgH3Oja1DtA+H6/GE8lFz8SHa +h8PrvZdT8dT+IdqHw/kpPPqFhsoQ7cPh9eCo1T2oO0T7cPi+wmNgLWkyRPtw +FOJctaZf8MoQ7cPhej5emMDtNET7cLg+mv3XtPLBEO3D4f4+q6C1+GyI9uHw +fCW/4WGED9E+HIV+u0rJTSUO0T4czh9TSXH92UO0D0ehx3ITZq3lQ7QPh/v/ +1bdW1S1DtA+H+5VMembR4BDtw+H8+a33aOaXIdqHw/2ve1wo+ccQ7cNRKPV9 +2KEY1mHah6OQNVNp0MsNw7QPh+sTr4hEoMAw7cNRqHL2yZ9Hu4dpH45CllJo +haf8MO3DUahe2VvDVX2Y9uHw9zXjC+xPDtM+HIWWuKfNr5oO0z4chRZl1h2y +uDZM+3AUUpuYUDRxHaZ9OLyfd+6xM/Qepn04PL8xqTUngoZpH45CVtUyWkej +h2kfjkLMaU6E8odh2oejUNN+tezD+cO0D0eho+MXIvdXD0P+DO8GTiYGOrb8 +OHZvxzDsDoudvYVZ4MGTql2jwxCuJl0zhPmpiBjzTmIYOBkFbzWZGYihJ2Ao +8GcY7kZo3kvFrCi+v4iXfQRIrfazW1gYiNd7jzz3phEw+37hwH3MY4sN5ZzC +I9Aa82XDDOb6rrbz7HtHQEXXac6AlYFGNjGzsiiMQMYfptpCzPyO/JlLR0dg +Z4J/rMgKBnKxGLf5aTgCIae2eDzF/JuTd9eC+QiwMcWd+4G5yvDO5zm7EXD+ +IC1nxsZAUp2NSZ/cRuCTcSFXLebSoear474jYMx2lJBZyUCm7spiQy9GoD69 +vfYVZg3HscGetyOgYGoax8LOQDuUHJ60p47AhzUzHtcwC3OlSjcVjYBArpNJ +J2bmvrM1NXUj8OwSs7ziKgYSUpDRL+8egX/rn3K/w+z/glFTNDEC9kVbyHWr +GWjLP2OpXMYIjF2Jq3PBbHNU3C19eQQMNsvEj2L2duPsO802ChVlhZ7H1jBQ +YYLTp3aOUdhvd/R8BuaX8Smf9LhHIX5rhzw/BwN9bg7qbdwyCjw1phsfYl53 +jjX/mNAoPHKcIecws50belQtOgq/hJzrT3My0I2Sv2pqUqNwtZH5XQnm8FMa +c2jfKPS7Pr0vvpaBAsqfeRw5NArHRfkuBGJe/zxvKQ9Goagt7uBvzO+E31gc +0ByFPfdkNlmsY6D0zB1p6TqjELW7iKrHbHlJYHLPqVHY0HO04b/1DNQlcX05 +6ewo3PfqeBeBmW2I/CN6cRQWpM0esG1goC/SHgMx1qNwaXDmgh1m+4w/kQLX +R6HT1/lQD+bnbYoqrxxHQeMAy2ZlLgbS7RGp3HRnFHLGnjISMVfMPBEN9BwF +8Wd8jVzcDCSxQc+S49EovDwcn3AH88QubQ+fp6Ow+pOM1wRm36/GTswho3An +uMhUZyMDfeI4o+H+ehRmQetwNuZNs0LkjzejcH6uY7PgJgZy+PTMziFhFJpe +ms37YM7d71E1mzIKShqzjRTmpYmGeausUUidd0403sxAZRN6CyMFo7A9iuVh +Gebf/6aqzpaNwnPtZ2a7eRiIe/zKtY6aUWD5yacQjPnvhswxneZRcIyN51nC +rDQfJV7dOQpTerILlrx4fipXHoGBUTBaKmpqwpz3vlIgb2wUahK1kuS24PWO +zWiQ+TwKB093PozGzOKTrJ5EjkIS88WLq/gY6Mpan0fC30dh68dZBQfMvS2C +wa8WR+HJORfefsxIRdeai2UMllayflXdykDm2cQ/31VjcD3zWfN7zJPnuk2Z +1o/BsNnW5E38DHRReey+y+Yx0ON8530X8/DJT5dJ/jEozZM1n8Z87WzZeqsd +YyBrVXxEbxsDCf476jqwawzech3bkodZuO7Um5PSY7CxpPPrdgEGKuIv9qk5 +MAYPbS62PMZ88dD53YpHxuA7z1zyAuYU1dV+GapjYF3h4mMiyED35SLixI+N +Qc8NVotKzH/n51wj9MZAa1uA4h4hBnoh08HGZTQGBbVb+UIxc/mLaXufHwNJ +p3ff/mKOcS9R/20xBhHC+1ovb2egUeOb32yvjsHa5uL3rZjHT/MZj94Yg3t3 +jj06JMxACo/87E45jwEl1mXxFvPakQi5avcxuNhxUYljB+a94qkHvcagzWOO +7xbmkJ1MPcmPx0BVyvX7IObYE38/bAscg8xe1jaNnQw0v+WX9LPQMRDxDvjw +EfNCa4Xpv4gxeCHL78srwkDT0fIH7WPHYOXwu0uemEefSRSOJo2Bi98++IK5 +3fvGtH7aGHyWK9lqIMpAocdH8kpzxuDsxLEfBZh/e+vKyhSPQX1AV9tOMQaq +zg/Sja4YA4Uj5in+mNu1769fVz8GHz7P+X7HzOpO3XRvHQOBF66WpuK4Ht7I +cJnpHoMAlRXKNZjXi0VvMx4aAyYygF9mFwM5e/pdrJoYA4fX/D9fYpZVOqa6 +b2YMxjUT2pklGEjsb2ppFGMMTn3d99EG8zOl4P41P8egMrrkcQdmpgs1z5yX +x+CAjrbVkd0MdC90++AY6zi8+9WlHI/Zjd2xTGfNOPDGm29bJ8lAs++DFHM3 +jIPvSeKnM+YTZqf0hHnH4feya8cI5vRB3+9+AuNgk7wiVUsK95OSldLfdo7D +gFGgXzrm7x5v/pzfPQ46rNust+5hIM07EmeqZMahODVBxQtz0Kdbanvkx2Hv ++f8E5jDfHjtdEqI4DtGr0C/DvQzkZB5dvag2DhuytTuLMY8ri5hYaI/DffPu +VDFpBlpzKPdOrf44LKy1eBKAWXZit8jeM+NgWUBY/8IcnXfSKPjCOHRZ31Y1 +l2GgNO6/639dGgfNjWyC9Zi7d7Pon7cZh1wU+HufLB7PVpnNpfbjsMt2W1c4 +5nWDZ013uozDqy2JaSv24XqjdXK3z91xWFP1n/91zB38n10+e42DmwO63I1Z +haI0tP3GYU7guBr8x0CGSoeD3weOw4X6bsFEzI8tX5pyho1Ds7PFnw37cX2O +HHhnGzkOsJPsuo05bWWXTWPsOKS13E4fxywWcTpJMnkchN3Znh4/wEADCnvN +/dLGIWjX8ytZmAXjpJ9/zhkH1q5t6gJyOA847j6oWTwOt+4nCvlg5pGkDGMr +xmFqz/5FEjP/bt3pf3XjYNSPus/IM9DlDN5Zk9ZxqPE5nlGKWX2a3yK3exwO +/dfzVOIgAxG1u7W5h8YhecTiahDml0qb3tpOjAO/P6m+iNmHM/FK9Zdx8D94 +Z7vlIQbi7MuOEGKMw/Ik21Ij5ijJtYquP8bB7vnzngOH8XmyvavVujQOI4oC +mVGY06a7isVZJ0B/JvEZuwIDyVROhd9bPQFloftt7DF3c7mPda6fgH1qpRp9 +mJ2LLz/dzTMBsdRxYdUjDHQq1CXKY9sEbIroWUrGvPPEnY2dOybAW+tS70ZF +BhK9pTQrLjEBP76Rme6Yd3kEibhJT8DlmDsBU5jDKtRLmg5MQO+Jldd0lXBe +cN2ZK3RkAo79ea6Zi7kzZ2mtg+oEFLwT2LEd8Hm9HVVWpjUBkqeSln0xe4R3 +t3LpTUDEv/1985h9B28eNj89AWs/lGadU8b9clB5OdVkAjyMdQIrMH/btm7L +X/MJYKzovSalwkDxLImPj1+ZAPP0S0dfYI7sGNB/aTcB7ReoHX8xy+c5207e +mgC1NW5/rVUZ6E+BxoC02wRk5azsb8GcULs54s79CRC9FJR9UI2B1NIyUyof +TUDoesHnMZglds6tW/dsAtiLkmzXqDPQ5uwnJUYhE+B65YCWI2aZQdPCqNcT +8GVT2c5BzELzsium30zAuTKdf+oauH5Lt4VJJUxAw/Xe/hTM9ZmrnG6mTMCR +rZY5PJoMlOkR9zo3cwJSqqnnHpg/OdmzL+VPgKCj2/XPmJXOHyyA0gkIEGI/ +dvIoA5kN1KQ/qJ4ApsYgkQLM9fdm5iobJ8DBVZBppxbut1nXbFZ2TMC4SPLA +E8x8LTwSR/smwLDtQO43zEXMueKPRiag6m5Z0IVjuJ5Y8lpVT02A3O4TdtWY +tedZRlfMTUBCd+8xaW183nzUw1QXJmCLl6XoS8zLk+8ee/yagMfSDCbm47i+ +pX3LLvw7AX8G3AavYqYcfm39uWISrvmy57Vj5gh2z5XlmITB/cHBCjp4vBbH +/a5xTcKJMcEbcZhHuKWD4ngnoeRpsvbaEzi/5M83DQpMgvRhOTFnzK66F45s +EpmEN9NlzCOYh1IVBrV3TwJX8Imho7oM1BRp9MFTZhIeQF9eGuY3RS7vs+Um +4eusZQifHgN5hVzp/XJkEixfMm48wPz4+YKsgNokdKm7H5/FXMg6kqN3bBI0 +59nFDfVxvRD6aXVfbxJyI4NZijF/WmRVyjg9CRLaQsOiJ/H9ILRZcdxkEl7/ +SM5/hvlls5All8UkcMTKvfiJ+e3V0lS4MgnueuX2Fw0Y6MyG20LX7SaBWDyh +U4eZU58749WtSTBN7BPfd4qBHrw2tK66Mwkthlas4Zi/aqxSYHhOgjLz/DCr +Ic4bT7/v3fpoEtJT3AtsMdsETCqrPZ2EHedWhXZhbtaPvHEteBKCV4Y4KJ1m +oJUFn/KDXk3CikyhEwmYA18+EcyPngQns/e7NhjhvBSlHzEcPwnTHPIrbmOu +ufRXlvXDJJzJKx8Zw9xx6fywWMYk1FrqFmqfwf2Tad+bY3mTcIirPzQTc949 +TedrJZOQXGx1c5sxA0lzmJv5V04Cv838CW/MK3K0zn2onwR/nrsSJObVTpVW +Da2T8Ld8FduZs3j/7gz3/NI9CTduhIwizL73g5NWDk3CKP/2ol3nGOjWgVsj +OyYm4WTt+7DnmEPrWYWVvkxC+S15xz+Y59JXXTemJuE/4QrdSyb4fqZ6rNzh ++yTENenubsRslftEyG9xEjbf6Wc7cB73u/9CvWKYp8BHzHosErMt2kvksk/B +z/b5opUXGKjkKL9J09opuOJx9+UNzA/fCDeObZyCPsnVt3oxX/Fih+98U6Dd +G6KnYorrY2BQBvv2KSh8uF0yGfNzHV9RPrEpkJL9sHKjGT5fIh9DJaSmIHJI +ftwNM2lTt+LQvilY51dRPIm56ky03dGDU+Ahp/fqxEV8vtd8bzdUmgLGeP+t +HMx1jwJkzNWnwDzAWl/InIHOFv3nY6s9BR0KC5K+mJ3uvGt31p8C9c932ecx +a7p92ORpNAXZIasnzlow0HvTNcd9z0+BmMqLknLMx94/vBVgMQVhxPbXkpcY +6HXzSMCLK1Ow6vUHpxDMLAKj4a/tpuC25sGTy5gH2o6FRd2agpmFCilrS7w+ +68c8Y+5MgUm03qoWzI9Grp6J9ZyCxuMDE/JW+HztS+SL85kCxV/W6A3mi6Nm +1bH+U/AxbuH1amsGOp1oeP5t0BQInbznfBPzgox2f/TLKQhcXm0wgPnIqhUQ +ETUFzMkv9qhfZqD8WI3HYXFTcNNIeHUKZs+L7bnPk6dggiVlcvMV3A+8rtf5 +pU2BYerB0nuYXx7sL3qQMwVVJpXhn/7HIQOBt4umQG6Vvov+VXyfC96vfqN8 +ChKzBgzyMf/Zkth1qXYK+Mwv791hw0DG87/UzjRPgd/ar6ufYPZr/fL0WOcU +LObfm/qKudBAMeNw/xTYWq8pO3+NgY4vZafuHp2CIe7QiCrMQ4d4vPmmp0AX +CbvutcX3h4vbZdnnpgBdSzkVhtk4JTTj6/wUyGw5JM10Hed/TYVVIz+nIKay +cs1VzPqHW2XqlqeA20F/ug1zqwevZAbrNHgJDJYdtsO//6P9+6vV0/Ct7nJk +LOagy5UBnuunwcr5qyvnDQZK9kr5abV5Grp3eBg6YVad0pPS5p+Goy1rZIYx +h6y/LrVHeBry3EI5jtrj/mBX/WOd+DRI7NrxKRVzsrGAHyU1Da87U8q3ODCQ +I8d/U837poHj/qGo+5jFU2tXphycBvc9VbdnMLOcjiYeK00D2ad/+tRNfL/5 +6fLSSn0azHwGZYow67ptXKmsPQ2t+65wijri/JKy7wCf/jSojHz99BTzkkao +yPzpach44lHxA7P71N/2GpNp2HmQI9rsFn4+7x6INJ+GkMnQO7WYT+iNXXa4 +PA1sz3cYyTrhekQMHFf/vxruOy7H94sDuL1HCNkko6ykUNKlkJlNsvceWVF2 +KBKSTaGSijRIEjqpNLWX9n7mffPc9xPJyO/8Xs/5/vl5+arnvu7rOtc5vu/X +s1fCHKaGjL+PublpmbznIQmTyk07t3TA/bXVf5rkmIStvP1JthtzuUf9gten +JCzFanFCHuZWH916nDsvYWbfSh9NPYr/vXTElYVuEvb8wY7jTzE/qroc3ddD +wvrPrl/R9Rj294cd7tfekrAr9aeNjmG+tjhg1IsHEtb0uGOXKsxHtMv3HPaR +sP02d+RzHHH/TC9eYxYgYZWNup9eYhYXrv7VFCxhi5+GPO7nhP3arcEz4l5K +WPwSsxPnMb9dLZ98PkrCjP99suUxd8g7XDgjRsL8ny+esOI41tt+zgNbJUhY +r5VlXQBzxylZneJSJMy11U7FiBM4nwwb6HMqU8J+htV/8sA8JNqg2DRfwnau +PePTiLlnfESkuljCitt3OrnppArMfjiZBFdK2LzIOyvTMH+vn7J8s0TC3m8a +amx8Cvfr/EjtPpyEjeka2tUb8/gjgQfTBQl7+M5M2fo09oN5WXvPNEhY1x2J +ifswf3zLtzL6K2FntJf4FmL22PRhYnULKRNiy05OO6OCsQ1/m19vJ2Wb9u60 +C8K80X3vVosuUpbb57tx97MqGDM0db2ih5TNSDyjdRyzNDD7280+Uvb6YCeu +BvPcBktti0FSNnzQ3aT5zlhv876k1elJ2d20oX6vnf//7yFLe7obSFn7Y6Gn +Bp7D+1dt/83QUMqc9KascsXcbSO/Ms9EypRZiSYqzEURLoscpkjZmpNLutmd +x3l12a+8XpZSlq5fzn3ErHezdd1raymzKNiZbHABz9vLraeXzpeyUOfvfjcw +25UV+n5bLGWDx509/Qdz7dg+C9xspex6SafVW13wfYdITg9dK2UtLt6dmIE5 +ZMnfie82SdkhY73uk1xxnv3T5+DiHVJWWxnKP8LsfLJxnGSvlC2/MiWl3UWc +ZzxX7nc8JGWJpklPDmA+IVOP7egoZZMkS84UY+6oc3Hvg1NSFuhZvnr6JcxB +2foG56WsD9s1KRhzl25XNr25JGVuyu/de7qpIO6VQ6/p16Ts952zX09i7lIz +d0b6TSnbO6NzqgTz8IpPiuX3paxcddd/4WXc/wV+7coeSdlCb72zUZjdJY+9 +NvlLWeycsDVD3HH/93X0lzyTsvE/pkx2w6zeIxuyM0zKfH2Teqgxf0p8pqV4 +LWU9Fi79tvqKCur+Hbff+U7Kzv8uT0248v/f13uaNFbKvgfsejrmqgrW9jU7 +tTlRyrYt+3H2NuZTKx7ql6dJWWEz57X/MIePaGZpmy1ls190Nt1xDfufbv3i +Mwqk7K3dPe1szM4uz0JmlEqZQZthKlMPFcyX72zxtkrKHrwMS/PFHHpZ+8Mo +qZR1Wm8e0PE69m+hW8u8OCk72THZ+TBmmws6mzqJUvb1zdJ1ZZi7jpPNcWqQ +svVbKkytPXE++HDthuSPlGVp7e4ZinmmUerUxS1kzOrDD1XvG3h/nVoyK7qt +jL3a6fz5DOYB52pChnSWMb1eXQLlmFO7Tz7k2l3GbsXdO7fkJvYz3zrcUPaW +sTb7h61/h9n2c6/OCwbI2NF+4WZ6t/B55veqDNGVMVmSea8rmK1aJXToMlLG +7A4nC98xex4rvrx7jIylDl6Wvu42ntdB2puSjGRsSnpFYBJmx/Wj3IdMlrFg +x93nDe/gvORR3M5pqowNGN6w/h7mv39KCrKsZOxqjvOUFndxvi2X1w+bLWP/ +TnXpvRvz0A0xGx1tZMx+1H0xF/OVxz36py2RsarCYRnm9/C+CvHT7bdSxpac +Dw/yxzzYbeThnWtlLN5w6oUu97FfH76ma+QmGTMuS95wFPP77T/FZjtkzP/S +MvNKzAv03gyYu1fGek+s7D3ngQoC9ZZcvX5Qxi5W71aHY3Yaem564VEZa7za +kNHXC/uz9Jbm/U7K2K4p556dw1wYd8VhrbOMlUi7uHCYg24WC96uMjb/5v2N +y71xvkjyCy51l7EP04ZPjcGsn+vr38dTxsby4TojHmI9GHK9ZNkdGXt0b2r9 +Ncyru4y0ueolY1rWKZk/Mf9RDG785CNjZ8Vlzzc+UkG7X8blv5/KmPiw0iUV +c9aBAb/HBcvY5nl7Nk14rIKG4zcWbAqXsbyGhqlemG+YLCr0jJSxmU/O9Wnt +g/sltNPNj+9kLHJR1+97MS/jz577GitjI/7ezyrAnCzd8aRPoozdDRoezHxV +4OLm9N0qTcbar3jpGoh59+v9R3ZlyZhTC4vN3fywHzVvPtQjX8aUISkWTpi9 +pNI/r4plbO3q5X1rMB86VNq8oELGMtpWfZ/3BPsHjzuGP2pljEXsyY7AnFKb +7aqtkLGwDT+DB/ir4HL7GW3Gf5OxIZ3PX3TBHHzl6bN59TLm+bbrlm//z/Xh +h7Y0yljLbQ/Yyqe4PpEDNxxvkrHD3Uf0+4i5v5X/Po+WclYX8/KHfoAKIn/+ +9fZrJ2crdlvkeGJ+b1TFRXSWs6TeqS9+Yz4zte2qhO5yNjlh+aUtgSr4snpk +bXZvOQuyr9qSjtko8c+lsv5y1nfA3mkTg/B+fGY+VzpEzi6n/Oz3CPOeMY+H +fhsuZ3+OnG9o+wzP844K7e+j5GyvrlauPeZxu1/2bzSUs/KMByFFmPebZ5v+ +NpGzhcdHuFk9x/35Rb3rt5mcxY54tfU5ZgOjnJBGJmdGeRaW2sE4zxrptfox +Q878zqT2P4k5Ptpnp2qOnGmPWfGzDrPj4xblsgVydqGoKnfBC9xv4U3rK5bK +2Y8Le0PfYO7+yuZr7ko5227U6DY4BPf7hgDXxLVy9qX8/LZLmO32xBu82SRn +cy5rWYmYHc/aFfhvl7PoSV4DVoeqYJvlkEuee+RsVO2IxnjMu2fmWJ48IGde +Hq/yRodhvz1Q799WBznrPJWF3cJ8ZHkGzD8uZ6fkqZebMDfsuug8/oycfbu1 +Yvv2cKw/he2ttS/I2QaraqsszIWdOrT/fknOsr/uHWj6UgVLDk1Lzb0qZ1YP +Ght9MOfv2XIx7IacvZp1Ib/DK/x9S8dYXb4rZ3r1WuGHMA91WvJjs7ec3Xrs +5V6K2Wr0ZT8zXzlrazNyx8wIFSwMuTq7a4CcHWt8NT0EM0zVrq16Lmdyfzao +92tc7265h1+GydmqJWm/TmPevdK58cxrOUtrWlEgw/zBvMjeJlrOzJ9Xhy+O +VEFl5dWi3iBnL2z3XYnGbLJxlVFlvJwNbPVrx9A3+Oe5ouPTZDm7FnZhhjvm +utldQ3ely1mztd0Gf8dsk7ota3SOnB1o7/17bZQKpq8JK+UK5Kz69cjCRMwm +f31znpXI2dJNES/HvcX7zP972LZKOUvoMu3qXcz5c9Y7DK6TM5N3aTubR6sg +r+D2kC9yOXu63XbmLszhJkvDr3yVs97aNYNzMWvPnadnqZazi7H7/kx5h/OY +aOIoNshZ455fhU8wL2yfGuLzR8529XF51fm9CnQ3x8QvaK5gpZ+6XXPAHJaV +EtnYWsFsDnrvqsDcc6Cfi28HBYsZqG89+4MK+vZobjSnq4KNS4sYEo7Z9tD9 +N3wPBXt8dNrfPjF4HzQN6+Who2Dd9D5/ccb8fv+q+YYDFMw5yzZCiXnF6a8r +M4YomPpEzbVlgPWo6q7ZruEKtkV//+4PmNdYa6lajlKw/Pxf1sNj8fOs7njs +wTgFs3Z20b2GeVnatGxDYwV7M7Z7UwNmffPtv+InK9jIEu+iDR9VoLPERFw2 +VcHuueq/TsE8JmTjmxpLBetg/NrDKA77xa++s+2tFex45bQ9DzDfCQ198muu +gnHun2e1isf+3M0i1Xmhgq01XTl0L+bOPdtEtVumYBl1NU35mK/Xvd/jvlLB +mOf+YosEnPev9pF2Xqtg4Ra/XwdgPvk4Z9iVjQqmq3S5rvUJ//7zhyM6bFOw +G3e673XEHDbFWHl+l4K1mvFwdjXmC+Vz9v/dp2BHVPp68xLx+QfefnnokIJJ +vF7/e4U593zmK+lRBbOdY1nSPwn7k/vBB+xOKFjy98+RFzC3k9Yoks8omKnv +Ss+vmNcKowZOvKBgzxbU7rVNxvrOpnTwuaRg/X7vnxOLecDK5BftryqYe8Bv +Pf0UnLfhUlt7TwX7u9S1mSfmJX9MeuXdVrB9zXqU/sKc6Hnui8kDBasIfvhm +c6oK7rXTt7n1SMEW2Rnc+Ix5V03pQdFPwT62jtxnkqYCFrR8tk2gghm9tJz7 +EHNQ5ZzP/sEK5rcufVjbzypQaNn//R2mYNod7ZrbY+4Td6p44WsFc3lTW/oF +8/yTozf5vFWwhs32UZbpKoj+PO6G6oOC7dD6c+MZ5qopbM/UOAUreu+6v0cG +9le23TjXRAWbu7PHvBOYg0LWdMtKVbB3PR8Nr8PcObuwuGemgo2OM2ixIBP7 +j0Wms+xyFcx7X2RZJGa/uEnL7xcqWJd+Vm8HZeH+fX+pZVGJgp1OSr95EXNW +Qd2inpUKpjpkZy9gnvSihfnCWgXbOLhu3qpsfP9lt+NdZAqW89l+RDzmn23t +JO84BZvu+KfF6BycD7L++X5VKVjEsIvlNzEnlFn+HPhdwYbl9Ij+i9mvKL92 +fqOC3T716Na2XBWcP3Bw+7G/CtZ21KgDmZjXrMg45dNcyY4VRs6fnIfzg/lj +/eTWSiY/ZzXSB/O1sofbuPZKttowo2WHfBUM/H7GuEsXJftcaldxEPOqGX+v +j+muZFMv1UWXYHbwSD05t5eShZgcuD2jAOuh36MfW/oq2aDqPwdeYC6fO+H3 +iYFK5nH1ok2vQhXkrDJ389RVsuZTtPVPY571/ICv/3AlOyh91EqG+XkfN6tI +AyWruTGqctEX7Jcumu9MGKtky6a9eff2/7mS9cwyUrJPnNUd3SLsH7vOm1k0 +Uckm3ss4eBmzul3PnxVmShYwc9WCesw3E5eNrrVQMh2xTn9tMd5fsz9W1lkp +2aWHB1onYp57s5t2nbWS/Zr7t3JsiQpGv/mTUDVXyXY3XHx/B7N5gqlYskDJ +Sv207zYrxX4o//T93CVKZrPo8aGdmK06ubxPXqFkMX9GLczBfM6jpe27VUo2 +LuiNwZQyfP7roTuer1Myn+XT2zzBvGKWperuJiXr3iKzqlO5Cu5+28+d26Zk +50JWfTiCeUi2uHrPLiWrXyW5W455v9nZqUv2KdnWtgcPz6pQwQjnnGsmB5Ws +4NXfhWGYZ7V9uLiXg5LN2nBpVJ9KfL7xD87WOypZVKeebZ0x77A/OzDrpJLp +v31crcD8eGq7EUFnlez+1tExS6tU0LKf9N7pC0rWsXvUvfeYC2/kH1l6SclO +xEw/Mqwa7zvzy2/1rigZvytz0VXM317BZrWHkq3rvXp0A+alPgaH4KaSZcZL +2m6owfks8pzk4l0lm2Z/sCYZ84gw5/cLvZQsvH9TzPhaPF9LK9Q9HiuZbsql ++/cx/zmw+HK+n5LdONLToWUdvg+Jx9mbAUrWStdn8R7M/reX5y96rmQOGaPH +5GPudtj2QodQJZM6RbWzkODnc1rg8fGlkq0cMaP2KWaTl2L9kUglS8nNhK5S +FZwe8yd8RLSSmZ1Z/eAY5g0dhyYWfFCy56OlDlWYTzgMMjz3Ucn6Fx1cMlem +gqv+QV9Hf1KyKxeaxrzCfK3EsVlespI1jXdr31+uAm777C3HPivZ/vKedecx +v3qartM3S8kq3XxiecxxzeL6v81VssWTxnitUKigUVm2b3mhksXVRB0FzNuU +RZ2/FSvZBI8ZS0cqVXD82r6fF8qV7Il51tjrmCc7LBvTt1rJespXd/iFedO8 +Gc+f1ymZyy1p3SYO+9fkH/ZmciVrsDz0MQ2z8FrvZCKnZDu+NnkZ89j/y10y +FqqUrOi+2zFvzF6zCjYXqJVs3qxey9p8VUG/hLQpqxqU7L3aZ9x+zPobBy8v ++aVkYx6P6fgF8xjDKyF2TUr2cP5bybRvWM8Xp1nnN+dY18YZcUGYt/y40X9B +a46d8c/y7q7CeXLt47EJ7TgmLF7jeBzz9sKg45M6cWxTk3RZLeaj8TtbBHbl +WO6zQ4Y2As5v1+997NmDYzNs/3WMxHwgXnxzphfHXre8LB0oqsBQPrZW1odj +w8N6xbtiXuDfduaCARy7s8b3oQpzs+cTi8IGc6xd+7FOdmqcB2KOPNLS45jj +67fL4zDbR566vXcExxQbZ44fVY/7w7HjhyQDjq3ukt3pJubhLQq6DRrLsc/R +a2R/MJuuvnvj0HiOTd0ui9/6XQUFvp0sPxlzLKTH4UcZmFe253W0J3NscOw/ +p0k/VJCRre6zYQrHru+5vOIx5tFLSqyCLDjWok9vo/YNWG/Vaz2/WXLs0Cff +zgcxmxpNbDthJsdqD4yVF2M2fjjw8aHZHFs+MDph+k+cN0qyV4fP41hi6szH +wZhdH3cw5RZwbNLR7OM9G3E/R5+bqLeEY4FD19qewjyj3c8ldss51idLZiTF +vPm07pXLKznmduJwl0W/VNBFP6YmejXHfo9spojCHDfUZbl0Hcf25F/+NOS3 +Cib4mVRpbeJY2dnePm6Yz6pcL0zayrEFY/1OqDE77JpsuXoHx6B47Mo1f1Tw +5kKLHid2c8zQNXrCJ8x/P/s03tvHMZ8J1l3H/sV+tCpbHXGAY90rsxW3MY93 +WtAs/TDHzrmvTfyH+evW3AHVRzn2fbLcZ0cT1u9L/efVO3FsW93hk9mY21bX +ubQ8xbHC683szP5hvXZWZnY9y7HZFu7Gfpgzb8v1+pznyMMJ8HOj1/lBrhx5 +OAHWsVhe140jDyeAt2+39UOvcOThBMjqbVM42IMjDydA9vRxy/vd4MjDCbC/ +9nBh99sceTgBgrOK17S9x5GHE0D9c0DtzwcceTgBlm//vVv6kCMPJ0ACM1Tn ++HDk4QSwiXU89u4JRx5OgMWWt349DuDIwwmQaDLt2LlnHHk4AfaOmqza9IIj +DydA1zSzjSyMIw8nwLsvrVN1XnHk4QRoZmyr/+01Rx5OAIMv3Mm4KI48nACn +S88ner7jyMMJ4HS4rPmGGI48nADtVRFjDT5y5OEESH2XMk+I58jDCTBvY7Ht +60SOPJwAlq7+ix1SOPJwAnwW5JOMP3Pk4QQId97W/lsGRx5OAC/T4oSn2Rx5 +OAFWGrfauTqPIw8nQK9Qv/pOhRx5OAH8Wx3b9q6IIw8nwMuQaR+2lXLk4QTo +YP2hoUsFRx5OgC/OL7QjqjjycALUmmd3X1HLkYcTIGe3TFUv4cjDCbB1xKsX +HnKOPJwA7wOEWfocRx5OgFszt8TEfOXIwwng7pTWdbHAkYcTYE2V1KxSzZGH +E0B3opPFnh8ceTh83hXT+3z/yZGHE2CXVatUp98ceTjcL/1OLfz7lyMPJ4Db +sIOBJ5rx5OEEqEjyyf3ZgicPJ0Af94zMA6158nAC6A+KfiBty5OHE+DQ4uET +V3XgycMJ0KV3vndKJ548HL7fixezTbry5OHw/VS2yHrYjScPJ0DG2TZ3W2nz +5OEEUKmWj9zeiycPJ8CzL69OJ+rw5OEEKG9X46XbjycPJ0CTw9NTxwfw5OEE +WGLxcWj2IJ48nABTHv9wG6rLk4cT4GSrDq8O6vHk4QQ40xhzP2Y4Tx5OgHvG +RdPa6vPk4fD8ePZ/Mn8UTx4O17eXTfzVMTx5OAFm8GO908fx5OEEaLvLxbC9 +EU8eToCGdjonLI158nACRO4LPOUwkScPJ0DSjj8TAyfz5OFwP/Nf/ArMePJw +AuQNaJnQfCpPHk6AJ1bTbusznjycAL8zl/dZYMmThxOgbHP7+fun8+ThcL0S +B4+5MpMnDyfATm7ju4BZPHk43M9qz+8xc3jycAJE6TkV58zjycMJMLChbEeN +DU8eTgBpxwuPVAt58nD4fsdPPPlrMU8eToDOG6OaNV/Gk4cTYHV8zshWK3jy +cAJcCp5f33IlTx5OgMcP+Y3NVvHk4QTYN+ekfeNqnjycAOlu8QO/reXJwwnw +fd/F3VXrefJwAsQZ3lyetZEnD4frbxZa8m4zTx5OgMKhvn/8tvLk4XA9I03e +XNzOk4cT4ITSqMOunTx5OAEcFZvqZ+3mycMJwHW6dlh3L08eToAPpWcuNe7j +ycPhn2u3MEq358nDCVDiU7rH+yBPHk4AX5/iybsO8+ThcD22JN6c4MCThxPA +Yc+u041HefJwArTcf73hnSNPHg7Xd1mbP07HefJwWE8m3rw88SRPHk4Ai21t +/L+e4snDCaC9deQsvzM8eTg8D4pP9sucefJwApyteja4xXmePJwAbXb7LQ++ +wJOHE+Bg6fEuS1158nD4PLHN5v64yJOHE2BkUVOb2248eTgBtvefPsPInScP +J8CQqPvN0q7w5OEECNHKNdtwjScPJ4Drx7eC4MGTh8Pz5N1f74wnTx5OgKDQ +lKwON3nycAJcNLv24/otnjwc1qu3U272vMOThxMgrdI78NZdnjwc/vfe5426 +3+fJw+Hz9ALDyw948nACdAzu79vMmycPh/vp554LBx/y5OHwfsw5XVT5iCcP +h/Vs9jDveT48eTgBZvmPyXzpy5OHw3phv3Nfryc8eTi83/YEOTr48+ThBPC8 +E/Mt5ylPHk6AnmOcMkcF8uThBOjn/6L32SCePJwAjREGSTnPePJw2D8sjC8d +HMyThxNA4j/HdvcLnjwc1r/hHiYvQ3jycHh+z+x0+B7Kk4cToO5RoI5JOE8e +ToA3YYP7HXjJk4fDzzPI/0TQK548HP682+3NyyN48nBYP1rpruwayZOHw/7j +Vlym+RuePBzu7yjw2RbFk4fD+7D/18/ub3nycFhvInQXhUbz5OEE2P3KyCDj +HU8eToCbHmo7+XuePBzuh9ZTypvF8OThBLjfteK1NvDk4QTY+O11hV4sTx5O +gGr926vGf+TJwwlw7eKKYWZxPHk4vL/7ZFiweJ48HNYDwzz/aQk8eTi8z7ZP +s7P4xJOHw/P1QGE7OZEnDyfAhvpnj8cm8eThcH+X2RkNSebJwwlwtX1WR60U +njycAE8PS0b9xqzxcAIs2+hwvTqVJw+HP3+V3ZTENJ48HO4vk50jn37mycMJ +0KnzGVvndJ48nABz5hxLWpXBk4cTYNj90UfHZfLk4QSY/uvo1mZZPHk4AYQQ +k+vpmDUeToArsy0bb2fz5OEEUPg6eK3J4cnD4X6pC3ccmMuThxOAP595rRSz +xsMJMNwyuOx2Hk8eDvfLyGGbbPJ58nC4v2727t+sgCcPh/eT4cYOoZg1Hg77 +V7Fi1KpCnjycAO2GHDzd/AtPHg7//qIfLf0xazycAD+2zYuaUcSTh8N+5M6i +B5WYNR4O74sddc+PFfPk4QRoHiqXdSrhycMJ8Pf61MXemDUeToDRbh9r9Et5 +8nACjN+04tFLzBoPJ4DepDLnSWU8eTgBWjlOuhKFWePhBOivtP5gUs6Th8Pz +/YTvFopZ4+Hw/Wp1cdOr4MnDYb/71U3vNmaNhxMgf+msypaVPHk4XI9nw9/v +xazxcAJYver0JhezxsNh/3y+LNOkiicPh/2828nWNzFrPBz2p5ezV3zFrPFw +Amj5xcTNqObJw+H5mGkw+w5mjYcT4Dz7VVeHWePhBNj2RvuBYQ1PHk6ALaM3 +bDuKWePhBIg/Gm8djVnj4XB90odNacSs8XB4/qP3WRnX8uThsD4YuKzejVnj +4QRYsGnZhYeYNR4O79sWcTHpmDUeToCADlFtGjFrPJwAPfIHrRlcx5OHE6C+ +b82H6Zg1Hk6AMWqlwWbMGg8nwIBcPd9TmDUeTgCda8d0b2PWeDj8PKtyngVh +1ng4AXqnDjB7i1nj4QRIzrLOTMCs8XA4j8Wb7vyMWePh8Lzb1bTJwqzxcAIc +DjIMyMSs8XB4n47tOicNs8bDCdDi0x5lHGaNh8P55cWkK5GYNR5OgBfu68Y8 +xazxcALU7MxKvY5Z4+EEsHt5YosjZo2HE8Bw8tLGNZg1Hk6AiRNmXDTHrPFw +WD8tp2npYNZ4OAGObZ/s8Q3XU+PhBJjUbWDbeMwaDyfAqO41hzwxazwc3vdP +HfPXYtZ4ODzfB/IMhmHWeDjs989KDsrwfWs8HO5/G7/gAMwaDyfAo8imgk2Y +NR4O+9f2vKoPZo2Hw/rzd1PjZ9xvGg8ngEnk8m/HMWs8nADKj9HZwzFrPBye +xwEXH6XjftZ4OHy+8RG29pg1Hg774XyTxi6YNR4O3+/CVueC8LxoPBz2S8t6 +1zPMGg+H9aDN9nk5eP40Hk4Al0al6wbMGg8nQJjRo0AFnl+Nh8P7e7hTsD1m +jYcToPSdg6eI51/j4QQYNO+anT1mjYfD+vMoppkC64fGw+E83PDPZT1mjYfD +fq71QmUW1h+Nh8Pz+S1gtAVmjYfD/ndh27kBWL80Hk6AcZN2WXXCrPFw+L5G +Z2jvxXqn8XACdB81PjYF66PGw+H6BFyfrotZ4+EEmO3+1dsB66vGw+H+M5+V +kYj1V+PhBIi++SC3O2aNh8OfJ1cGr8L6rfFw2J+0M7V7iPVd4+EEWGh+vrAM +67/GwwlgPTtzeB/MGg+H7293f+tFeH9oPBz235v2GZ/D+0Xj4fB+2ZKsCsf7 +R+Ph8H4ZMdapFO8rjYfDn1ful9kCs8bD4X1nNlalh/ebxsNhv7Y7p9gK7z+N +h8P5Vff21TV4P2o8nADNrp3ucBDvT42HE+DXxls25/B+1Xg4rG/p5Ss88P7V +eDgBTC9sHnYP72uNh8P+CUZFeeN9rvFweN9MnNblId73Gg+H/cyIZ8PvYz+g +8XAC2C891MwT+wWNh8P1bfb0/gXsJzQeToCHv+fXH8Z+Q+PhsH7dOtRpA/Yj +Gg+H6xs4rNoa+xWNh8N+58b+w/rYz2g8HD4/WxfXFvsdjYcTwKffv9Qq7Ic0 +Hg7vg6EbrrzBfknj4XB+L7rW7hL2UxoPJwCb7z1lBfZbGg+H/WTPu8MGYT+m +8XBY31Z6fKrB/k3j4bCfTL7d98lrnjwc7g/f90M3YL+n8XA4T77sUdkb+0GN +hxPg6NKQBWnYP2o8HPb/ex/tcwrjycMJcOPYL4th2G9qPJwAe07lxqRhf6rx +cPj5dBi/F/tXjYcToNuBJSkdn/Pk4fB8WA9d4o/9r8bDCWAkTXIxw/5Y4+Hw +fU2y3ZaG/bPGw2H/Gir7Zov9tcbDYb+86qZupR9PHk6AQyUH/27G/lzj4XB9 +d3qfrnnMk4fDP88ZE7gO+3uNh8P1OWnhkI/zgMbD4effqZDN8uLJw/3/frT5 +9xrnCY2HE8C2wTlq0D2ePJwAXW8F9riA84jGwwkweXdJVwnOKxoPh/3dcdNg +K5xnNB4O5+NNVdL7OO9oPJwAfqvEj19xHtJ4uP//+5G7qQXOSxoPJ4DZ6Uyb +SzhPaTwc3n+Tyv5mXubJwwmQ2KdwdnecxzQeDvulExVjFuG8pvFw2F/Y9gm+ +5MKThxMg0DIwLgbnP42HE2Dz0TD7bzgfajycAL0KV7zud5YnDyfA3prYa9NP +8+ThsL+P1/63DedPjYcTYNpMxxYuOJ9qPBzer8U97z3G+VXj4QQ497B7XCTO +txoPh/fBkrsnko/w5OFwHtuQlZR/iCcPh/NxSa1v+QGePJwAm84261yznycP +h/U9ekHrGpzHNR5OAOe7v93KcV7XeDgBFpVO8M7HeV7j4QT4KOhNScZ5X+Ph +BMgU/2yO3MqTh8P5IUDR6/FmnjycAB4XByy9sJEnDyfA+vefem9bz5OHw36/ +n/YWq7U8eTicJ8aZTeq7micPh/XGZJcHv5InD4f1r2/hvvcrePJwWO/PRWS7 +LOPJw+H+SZwYNX8JTx4O+1WV55Aui3jycHhfJDR1SrPhycMJMPhDmOO5eTx5 +OAFCO1ZumzSHJw+H63E/IVdizZOHE2BG5ztwfQZPHg7fz0cP/clWPHk43C9Z +Sq1ixpOHw/3Vtebw0ak8eTgBXnd+uUxrCk8eDs9zv1uhTybz5OGwvs1IOW88 +kScPh/VtuXsuTODJwwnQobj/A+vxPHk4PH8Do4uTx/Lk4f4/jz25bj2aJw+H +92tVPwB9njwc1t+ETZuMR/Dk4QRoMHzn9ESPJw+H53f6jrZaujx5OKyPFS/a +Hh3Ek4cTwDE4y6moP08eToCgfr02TOrLk4fD37c1IdKjN08e7v/3qe6pOm2e +PJwAd1cfe23SnScPh+dhV5c1Z7vy5OHw8/UbeyC5E08eTgDRe0h9hw48eTi8 +L75OqJ3dlicPh+tXFDbNuRVPHk6Aqobadm+a8+ThcH1eDzCXNnHk4QTIsAkq +6v6HIw+H921GQaVpI0ceDvufPj8WrfnBkYfD9a6y1XdSc+ThcJ4Ptdl5Q8WR +h8P7pVZfK5DnyMMJMCGCDYhScOTh8LyNqrkWL+XIw2F/Nm7n9tRajjycALKT +I/w+V3Hk4QT4F3rYKrWcIw+H9c00cEZ8CUceDvf/jr5Bb75w5OHw9+/W2x+Q +z5GHw/M+f/BtzxyOPBzW1/odAxwzOfJw2I+NXtVq9WeOPJwA3x8tmjs5hSMP +h/W6KOirViJHHg7vs1kJqto4jjwcrs/UfwsjgCMPh/2vKrrj6fcceTjs706u +HDrzLUceDuu31PR2m0iOPJwAKTpxm+NfcuThBMiuXXb5eChHHg7n+ba2ncYF +c+ThcD+OHCspC+TIw+E8VDxN56I/Rx4O69ODHz5jfDnycAKs+PLGOeMhRx4O +6zHHv931gCMPJ8CfIS1ntbjLkYfDeShik/6tmxx5OAHcM49tGHqdIw8ngOWN +l8rgKxx5OAHadj+VOt6NIw8ngPnSuX/CXTjycLj/lrqeGXOOIw+H81nbpyue +nObIw+Hvt9M51esERx4O57Vxi3+cO8aRh8P9viUhhjvMkYcT4EyepGDRAY48 +HNaHJWMtwvZy5OFwP4Z1q++wiyMPJ8AzaPdzwzaOPBz2Jzbuc15u4sjDCdDT +oJesaR1HHg7P47AhudarOfJweL/30O/gZsuRh8PzlvzMPXkpRx5OgLdD9Fa1 +WMSRhxNga1OPg5Pmc+ThcH60/pW7fTZHHg77N6nt6RszOPJw+LylIYeip3Hk +4XD+0XMOKzXnyMPhPJyx3OTXZI48HO6/+pDmPUw48nB4/3/o0XPEeI48nABe +azvunziGIw+H57nfwK6W+hx5OPz7Nvn11sM48nDY76x+N2j2EI48HPbvH1df +mzGAIw8nwL7O06eb9+HIw2E9r2syG9eTIw+H82+bgQ4DunHk4fB+szSsb9OZ +Iw8nQOT5xAhlO448HK53wcE3aa048nACXLZN/v20GUceDvvzWavPnvyjJA8n +QH7zj7MW/lSSh8N62txjQf96JXk4/POq9bdqvynJwwnwfl1Kv0ClkjycAENs +t1VtkyrJw2G/kJ5dN7hGSR4O5xF5wMiCciV5OJxHf14IcilWkofD+3tfhx1G +BUrycHh+lXmbi7KV5OEEuG7s4nU8XUkeDu+DqbHd+qQoycNhP6M3PD48QUke +7v/z2JqwmbFK8nA4b35rW5T3TkkeDueLX5nm698oycMJEDJhTUntSyV5OAHm +PF8esTVESR5OgJgn25KqgpTk4bBe3DXTtvNXkofDfmTiqftpj5Xk4QTI3Vph +Z+qlJA+H/bx15yW+d5Tk4QRYahTl3OaGkjycADWx15VbryrJw+H5/zTfPfaS +kjwc3v+Lvbf0uqAkD4f9fOTkw9vPKMnDCbDfNT/61XEleTish/dGTf7toCQP +h/dDq3rl1INK8nD4/MPl+cf3KsnDCVDpl/g9YoeSPBz2RzvmzJNvVpKHw34k +aFyBznoleTj8/JLJt6evUpKH+///bxl2eedyJXk4AV5lREW4LVKShxNgx6+I +7gHzlOTh8H4bVvEkxlpJHk6As7Z/d2VbKsnDYT3kC7ZUmCvJwwkw9o6xp2yS +kjycAH1XVYqckZI8HJ7/G/4u3BgleTgBnBoW2UhHKsnDCeB6O8y6bKiSPBzO +t8U3DmYMVJKHE2CEe1pWdB8leTi8/7L0N/pqK8nD/X+edx56oauSPBy+D9eg +3ps7KMnDCZBm4DDVvLWSPBzehwlwU6vZfx5OAMPt6wdU/lKQhxPA/8q4wmff +FeThcL36doqzVynIw+H7XJ1TNl6pIA+H69Fuo8HXOgV5OKwPs+4G+FcqyMPh ++3tht3xliYI8nADG7OKENgUK8nB4/x9sZxWapSAPh/O4YeyZpWkK8nACTPly ++5v4SUEeDu87iyNXr8QqyMPh/gmduXboOwV5OAHkKvXqiNcK8nBYT2bsdZsW +piAPh/V5+2NZ0jMFeTisB7dOOsz1V5CHE8DG7ceE5EcK8nBYb5ybBlveV5CH +E8Dawm3q65sK8nAC3G/ucUnvmoI8HNabO21bX7ukIA+H8/ViRWj9OQV5OKyn +HSe4LT+lIA8nQPjo8hvhxxTk4fD5A+Wf2x1SkIfD+bDZcpPVexXk4QTYaT0k +PXC7gjwcPu+AxTeFjQrycDh/fZC5maxRkIfD8yTwYYdXKMjD4X3Zb0eb0EUK +8nA4307ccLl2roI8nAAOXypZz5kK8nACPDf8MdSSKcjDCfCyc8ikHaYK8nBY +f0t7H3eboCAPJ8D84BlfA8YoyMMJIIyedT12hII8HPZHERO25A1RkIcTgDMf +vL2mn4I8HPZ/u/Tu8z0V5OFwXnWz+yt2VZCHw/p7q9hD3V5BHg7P77iEZd9a +KsjDYf/+wniO5K+cPByen17z931pkJOHw3530OiET4KcPJwAAyf9nBuilJOH +w3pxKr+FZ52cPBzWuyyF0r5CTh4O63HshjbziuTk4bDffLRxyeBcOXk4AU45 +9clSfZaThxOg3cDI0+8T5eTh8PPrbN94LlZOHg7nrei1R2ZGy8nDCZDUJ/1d +iwg5eTic37QVxu9eyMnDCXBrgbJyX4CcPJwAD0zbxw7wkZOHE0DZ7VRO0n05 +eTjsL6sv6Oy9KScPh/OQ6zrPzlfl5OHw84XOmhbkKicPh/uj2Fl32lk5eTjs +jzctNc1xkpOHw/1xrOn8+sNy8nBYj4antZbtlZOHw/expMX73dvl5OEE2BD3 +NUCxQU4eDutD37KULavk5OEEKKvup1u8VE4eDs/nhd9hc23k5OHweZdmOERa +y8nD4f52kR0aME1OHk6AX5aPA0+bysnDYT9yy6ZnmZGcPJwA8SfnRpuMlpOH +w8+rEO9cGiYnDyfA2ugnIV8GysnDCXAxHpp0deTk4fB8Zflf3NFNTh4O56en +0fODOsjJw2G/3mLLvLqWcvJwApz0aX+h/18ZeTgBJOY9fy34ISMPhz/fQ3x2 +4puMPBzeF6u73vWXycjD4fy4oeRjSpWMPBz2m3uSR8qLZeTh8L5fNje9ZZ6M +PJwAvo0FoX3SZeThsP+bK8kySJSRhxOg2yzRcBLIyMMJkNfsaJZFlIw8HM5j +D8VQy3AZeTjsz9bWZbJnMvJwWD9uZBua+snIwwkw7NWU3DFeMvJwAsC8Z28G +3JKRh8Pn7/S0vN1VGXk43H+Jb2d/c5GRhxPguP3BH1mnZeTh8PwNXy97cUxG +Hg7n1zHdBrkckJGHEyD2R78Hdrtk5OFEGDqk59qRm2Xk4USoc7q5VVwtIw8n +Qm4r49dvlsnIw4mwuf7a/GM2MvJwIvSItB5tbC0jDydC4refy5QWMvJwIgw/ +NyfJe5KMPJwIHT3Kzs0zlJGHE6HMYc3l+pEy8nAitC7ZX353iIw8nAhL/ONP +Tu4rIw8nwr42bXbldpeRhxPBxlHqt7OjjDycCI8/9R39u6WMPJwIy1osb3nx +j5Q8nAjN79oYaH2XkocT4cD0GJ8bvJQ8nAh9dTbv6i6RkocTwc/513n3cil5 +OBG2z5spNi+UkofDn3evzauDmVLycCJkiy2Ty5Ok5OFE8Jze2sg6VkoeToSa +kjwhMEpKHk6EisOsXdtwKXk4ESKMtB02BEnJw4kwOUDP/LWPlDycCJfzZqxu +fV9KHk6EV+Pm5S3ylJKHE4H5aQXecZOShxNBcd2+sMhZSh5OhDlFszf3Pi4l +D4fPn+c4f9EhKXk4EQLWSW6c3y0lDydC94JDZhGbpeThRNgzsINlxWopeTgR +PvrdCGy9TEoeToTBa/8eGDFfSh5OhA2ZEx/OmCElDydCSVejsWvNpeThRDBN +qtE9YCwlDyfCWOPpJ8+MlpKHE2He2LkWl/Wk5OFESB35fdv1/lLycCKsMZn+ +01NbSh5OhKY2E9XXOknJw4mQU5+98mIrKXk4Eb7/GWBw4o+EPJwIG5OG7thd +LyEPJ0JK4LceKzgJeTgRzvp7jDWvlZCHw/1/WOftgFIJeTgR3p19EPErV0Ie +TgQj0VA3N01CHk6EBH+xRUC8hDycCGFmf20d3knIw4lwSMte1/KVhDycCHFz +925u+1xCHk4Et7w+/VN8JeThRBA2edu43JeQhxNh7THdRgtPCXk4EY5vLxik +viQhDyfC4hXyON+zEvJwIlhbXq2xcZSQhxPBoumXc729hDycCM/ZDt/bOyTk +4UR4cafbdOMNEvJwIow5brIt3VZCHk6EfzN6dt60UEIeToQOpt8ni9YS8nAi +2Lv2V560kJCHEyF6Yb5e64kS8nAidHqyR+o6RkIeDs+ru5Fxm2ES8nAiXGzv +1OlMfwl5OKwXOvcOfO8hIQ8nQrs3si3bOkrIw4lwviZBmtNCQh5OhHw/999m +v+rIw4lQ0MbX56FQRx5OhDYjd5X8ldWRhxOh2Mjm2crKOvJwIvTaFtk1tLCO +PJwII3prd26eWUceToQTrwv9FiTWkYcTQUw/kn/nQx15OBHGddnmVxpRRx5O +hN6zh3YdEFxHHk4EwyOj+tr51ZGHE6Ff9L9PHvfryMPheu7S0064XkceTgTj +DkPaqC/WkYcTYdXyM94DztSRhxNhNssqmX60jjycCLY/feK27qsjD4fnQ3Vp +6bmtdeThcP+UDfLwWlNHHk6EzKgmx/CldeThRLiQktA9bm4deTgRBj3psSbD +so48nAjzx3nYFkyuIw+H9SGguk3xuDrycCKY+0buLhpeRx4Oz1P3d655A+rI +w4kQOc93TZp2HXk4EZJb63IfOtaRh8P7ZIN6UnCLOvJwIgTJcixvN9aShxOh +670zHU+oasnD4f1RBbfXSmvJw+H99dS2zqy8ljwc1o953Rt75NeShxOhT9iH +XFlaLXk4/PkTRx19G1dLHk4EdfK4ugtva8nDiaAs9hu0IKyWPJwIlfs2j+we +UEseToS9fRY0z/GuJQ+H9aX1rOArN2vJw4nwocF41MzLteTh8PctbXHm59la +8nAi7B/oHRxwrJY8HO7nlg0vl+yvJQ8nwtWVrW81bq0lD4frPeTjMq81teTh +RFioPaLebGkteTisZ96TjuTPqSUPJ8LX2h9Fu6fVkofD+p+5R69pYi15uP+v +v/8K9zG15OFEWN0QcrCXXi15OKwH5d5OXn1rycOJcPPTRfuB3WrJw+F5v+S2 +wqttLXk4/HwVH8f2aqohD4fPv3Lx78v1NeThRPi2bX3MX0UNeTg8Dxt6Oe2u +qiEPh/fvuSeG+YU15OFEiPo7pdYso4Y8HN6HXPc7Xgk15OFEmL5l87xf0TXk +4UQ4dWh3y2XhNeThsF6P2PsxKOC/74fD/X49xPW393/fD4fnKdVx5Zyb/30/ +HN6XP/pPvOH23/fDYT2dp9AtOvPf98OJ4Kw9eUi/o/99P5wIaZEbJtjt/e/7 +4fD+fx23+sbm/74fDvuVN7FeKXb/fT8cnie3jz9/L/zv++FEOD3S8KCB9X/f +DydC6YfjHZeb//f9cNgPPe+ddNzov++HE2G9+/GAhyP/+344Ee5PGRr6YeB/ +3w8nwtHCq5VftP/7fjist0lWFqoO/30/nAjlMUmpLZv/9/1wIrz+9Oxij4Zq +8nD4Pk1POg3iq8nDiSDLzfMdUVNNHk6ErNB5rUYXVZOHE8HH5ozP6Mxq8nD4 +fu72PKn/qZo8nAg7lS/v6b6rJg+H/UPPVr96h1eTh8P7b8Pbx+0DqsnD4Xrd +dPVo8KomD4f9458Rn6s8q8nDiXBsz8oVyRerycOJ0Gp2yfjnp6rJw4kw8fzR +jW6Hq8nD4fsO+SnduquaPBzWQ3Fs8tQN1eThRPAY+K1V9xXV5OGwfgd096me +V00eTgTf0KOPQi2rycOJgLdBS8dJ1eThRNg6/3aGxZhq8nC4P94Mbd18aDV5 +OBHerrofBDrV5OFEGNZBFePUpZo8nAjdtg+abdSqmjycCLvrRsyWNFaRhxPB +LLtz3O1vVeThRBi4rDhqel0VeTjsP50ejOOLq8jD4fs026J/I6uKPBz2r0fn +B5kkVpGHE2FluwMv895VkYcTYVeMepZ9eBV5OBEe7vy9p11AFXk4EWLKX+l5 +e1WRh8Pn/2tzcKxnFXk47Pcj/q1871pFHk6Ek+1blVqfrCIPh/Vx4IOm9INV +5OGwPzXpkLB4RxV5OKyX0Q/G5aytIg8nwr3pj2YsXFpFHk4Eb6+dbVJmV5GH +EyE4ZdkxZlFFHu7/922s98sJVeThRHgvdnXS1a8iD4f1fl6I1rWBVeTh8P4y +77D1Z48q8nDYT1vddVrXvoo8HK7v5JxlH5sqycOJ8NNuUsPg+krycCIcXjh/ +60l5JXk43L/jHvgWlFeSh8P6cfHVy9F5leTh/t+/Gdw7nVJJHg7P1/z7dpkx +leTh8HlX+TX0i6gkDydCrJHSYWtQJXk4EQZoDSh5/rCSPBzOB6nyEd9uVJKH +w/Urabtx3KVK8nAijNo+wW3PqUrycCL8mDY24OmhSvJw2C9iSSzbUUkeDvvb +uNdp3dZVkocTQR5dXWy1tJI8HL7PsEG8/exK8nD492fPa+M1tZI8HM4z/+YY +JBhVkocToa1Rm7XyEZXk4UQ4M8jet+OASvJwIhTVn2wy6F5JHg77qexRR2a1 +rSQPJ8Jo7wbtuJ8V5OFEaNmJO/+tuoI8HJ4vo8VWvdMryMPh89kvXG76poI8 +nAjtl/ZLXO5TQR5OBKn3d689lyvIw+H6rxtVffJIBXk47E8aej68tL6CPJwI +Sw37FV2bU0EeToRqqxcPPCZUkIcT4aBi7tfLAyrIw4kw5ODporNtK8jDYf2L +r956UCgnD4f91JE+XmtLysnD4foW552f/qmcPBzup265I/VCy8nD4cvRqvD4 +d7ecPBzu77dZn/Kdy8nDYT2occ96uqecPBzW132tow+uKCcPJ0L4ItPLptPK +ycOJ8Mnf2Oa3fjl5OBGcYrTaR/UoJw+H9dawPmn/3zLycCLwtd1v6ErLyMPh +edUPOZSVVUYeDn9frPYhx+gy8nAiNHK+9wc8KSMPh/ONa5z6/ZUy8nAi/Oqg +dLU9WkYeDt/nU58N/IYy8nB4/811dD01t4w8nAidY7q36WhcRh4O779n/SWe +A8rIw4mw/MXS8b3alpGHE+HvTmfhpqqUPBzWr7tnDbsWl5KHE+FJ78k/z8eX +kofDfvnP/SU/gkvJw2F99HsxZ/PtUvJwIuyIOytNO11KHg7X+9ikiYY7S8nD +YX+z7i/zWFJKHg7r6X2trtyUUvJwImz5+v7F9GGl5OHwvk5bM/xOl1LycCKo +NtqdkzSUkIfD+TnCIHN8VQl5OFzPp7bajqkl5OFwXtN2WPv+VQl5ODz/pQav +f3uVkIcT4XGD/vBJLiXk4bBeXpr4bt/+EvJwON8Iuqd9V5aQh8N+qjzrRI5l +CXk47FfWj4cmgxLycLifG2YsHqFdQh4O++EX/Szm/y0mD4fzn16+x15JMXk4 +ERYEPl52ObOYPBzWL8sPN/2jisnD4X5Pc1zz3qeYPBz2I61N3mS6FZOHw/vQ +d21YxaFi8nBYrzcdXMqtKSYPh/V3cIvg7zOLycNhf6p8/un32GLycNiPdxsU +3NS7mDwczpvm/XY3NSsmDyfCutP2XX7Li8jD4X7a88+nPqeIPBzWC/2345Xv +isjDiVB79lFK+ZMi8nAiLGpKOJJ5pYg8nAj19fOtPjgUkYfDefTx7imB64vI +w+F9OX7bXo/ZReThRPgs86w9Mr6IPBze98PsXqzsW0QeDuffE+PzJrcsIg8n +gl6m/9Ze3BfycCKkr128T8j7Qh5OBIeyyl8pH76Qh8P+/0p3ncdPv5CHE6Fq +XmTOoWtfyMNhvQx+MWnmsS/k4UQo6cQt1d74hTycCLeeORhXzflCHg7vv7db +JM+NvpCHE+HK0QqHw/2+kIcT4Zm1rtqs1RfycNifgdOOf1wheTgR7JJm137M +LyQPh/f1s6ZDzjGF5OFwvcYNGWYZUEgeToTmwbNaN10rJA+H9XVIf923xwrJ +w2F/vmD1pYMbC8nD4edPypttMLeQPJwIK7R276s0KiQPh+c9pEe7W/0KycPh +es0sHDq7VSF5OJwPn2VnNnIF5OFEePlvfN9n+QXk4USAJLNudjEF5OFEMGlu +Fd8moIA8HN4n5uEWr64VkIcTYU1ka891xwrIw+E8vycrrd3GAvJwWM8mR/4K +n1NAHg7ne9n+MauMCsjD4ftZkXqweb8C8nA4b5x7WhTQsoA8HK53cKO9DZdP +Hk6E8TvSZ4l5+eTh8H54z/be/pBPHg735+RzKtOn+eTh8Hx2r6gsvZpPHk4E +Y9NQm9NH88nD4X11ZIv1kA355OFE0Dpzvjhudj55OJxP+z/rs2V8Pnk4fD8T +LvRo3TefPBz2D3xxoX+LfPJwIrh8vuBgrcwjDydCUtKRf5LcPPJwOJ9tDXN2 +fZ9HHg7vk4JFWiP988jD4fprXYxKvpJHHg7vE6XX5Z0OeeThcN4x5e51WJ9H +Hg7rQ51M/XxWHnk4fJ9d+j+zMcwjDyfChCHD0r/p5JGHw371x9u9ns3zyMPh ++vJPvUwUueThRPDvmLmrKCeXPJwIVtqj5Sff5ZKHE+FuYMbIoU9yycPhvLW5 +3CzFPZc8nAjbftwztD+SSx5OhERr234663LJw4nQ9/K5Th+tc8nDYX+ww6/n +7nG55OHw/tFaOruXTi55OBGM1llHxjXLJQ+H/cn32Qfs5Tnk4fD3rbe4PCgn +hzwcrq+Bbq+s6BzycHgftG2n5+yXQx5OhE3yfkkm7jnk4bAf0/XtpjycQx4O ++zGXhp6+a3PIw+F8c+G6ZJV1Dnk4PF8Lmm71HJdDHk6E1K+1E3N655CHwz/f +37rUo1kOeTgR+v+cfHexPJs8HO5H7sAp7Zxs8nBY3zq/9ymKziYPJ0JvL/Oe +Pn7Z5OFwvx8x4na5Z5OHw+eb+MN00pFs8nDYf6/91a3NumzycFivd6W5Flpn +k4fD/drUNfT5uGzycNiPNLv0wFknmzwc3kfQbeOa5tnk4XC+6fK4h6kiizwc +9u97ZyTp5GaRh8OfP8rA4/e7LPJwIkx1vuNc9SSLPBzOy7H8y9QrWeThRLi9 +K8jkjUMWeTic11ZtGhSwPos8HM7DsrTz92dnkYfD5+nw2un6+CzycCKMcWrX +y71vFnk4vL8KP+92a5lFHg77oQdzdPsUZZKHE+Gq/cOJw55nkofD3+e+gJme +zCQPJ0LEtDnbly/MJA+H/bPOmyLHIZnk4bA+6f/6+FSdQR4O68GKkHmlnzLI +w/3/3/eCfPvezSAPJ0LosT/ixl0Z5OHwvDcKO16aZ5CHw/01S2t8p64Z5OFw +v+bpHNtflU4eDvuFF+12l75KJw+H5zNdZ9JSl3TycLjft8a0z1uZTh5OBPfx +z7XWj0onDyeCzqd0x/q/n8nD4fsoWXzoZtZn8nAihAQ8HsX8PpOHE8FxxMbs ++iOfycNh/Tl47Mbr2Z/Jw2G/0qmDz9l+n8nD4Xxldkzf7msaeTjcH4u2rDH/ +mEYeToQj7UJ3jrqZRh4O77PCY2eHb08jDyfCA3PdvDFmaeThRFjbf76nZec0 +8nAi/Nb6wm2sTCUPh/99nEz72qtU8nDYf06tnpLikkoeDt/vrF03tFalkofD +/R29w2brmFTycCIUfmnrldQslTwcrkdgRPikvBTycFgf5wz6+DoghTwc9lNL +p/y1PJ5CHk4EN4Np90oXpJCHw/t3XVDyBd0U8nDYT7aYBFN/JJOHw/rW6WtY +q9Rk8nAipLzQySn2TiYPh/sz3No29kAyeTjs/4ttjryemUweDp+Hzd0Y3SeZ +PBz2Qy8eLsrkk8jDYf1v/v1Y/cck8nBYb90ttAxuJ5GHw37/QMR8+11J5OHw +fbf9siXJIok8HL5fs0PXxvVIIg+H/Q53t2WQNJE8HK73Kv+fE94nkocTIfmk +xdMsj0TycNgP2y+bcXprInk4fP6sIR2nmSWSh8N6rT96Qo+uieTh8D5eOuP7 +z5pP5OHwfZS5uAlRn8jD4flxWDP675VP5OFwPRY4afff/Ik8HJ7Xc9UnFkz+ +RB5OhJHutY9udv5EHg7nsfsDor5WJ5CHw/m6s2OztVEJ5OHwPss3jKi4kkAe +ToRmdeldjm5OIA/3/38/Hzl/qGkCeTj8vCN3BtZ2SSAPJ0JTl3Yb3tXGk4cT +weNURmhAdDx5OBFmpBXkBXnEk4cTwbbUvVPctnjycDjPeIXcVJnHk4fD87Bz +/nOTHvHk4bAfck25el0eRx4O5y/P0pOtYuPIw+H7F6+9uXo7jjycCG1srI4b +7o0jDyfCiD9P2ymmx5GHE6GHc+Kjd33jyMOJUPav6Yy/8JE8HNaz4FZ8QPJH +8nDYf86t1ot/9JE8HJ4f38adDQ4fycPh7/vTsmnGgo/k4fDPb3UfGjzsI3k4 +EbIGXes35m8seTicP03NDZLzYsnDYb/LmXieDI4lD4frNUK8bXM+ljwc9tNT +LU9MXhNLHg77/W0LXCyMY8nDiRBv+rhxfadY8nD4PrcZTTRLAfJwIrzaqFrP +OQJ5OBFMFeG/CwyAPJwIl5btvPWrJIY8HO7P1IS3tldiyMNhv7l03I+vFjHk +4US4VpH9JVb1gTycCGOX3FSl+34gD4fnz2Ngeq/lH8jDiTDRo6jpQdsP5OFE +GLBT1Wtd9HvycDgfb18csHbve/JwItwcHGr1YPB78nAi3Lh09kTvvHfk4bAf +6tpWnuP6jjycCBdDZw7ImPKOPBw+b69Ooe1V0eThsD69E41dnkSTh8Of/2+N +9Qy7aPJwIsxf5HR8Rpdo8nA4j8ydtdc1/i15OBHCy6++7uz4ljycCE8dlV8L +x74lD4f31ajkCEltFHk4EYbmb/QzexBFHg7XY3Gybd7iKPJw2I8GVOyPaBdF +Hg7rhdlGtxJ4Qx4O9+evUuu5R9+Qh8P98yB6ZvNxb8jDiSBJNxvZXBpJHk6E +hgPHPOY+iiQPJ0Jg4c4h5baR5OFECGusOvVeK5I8nAhf31+3kaS8Jg+H+2V8 ++wlrzr0mD4f1oiAqf+DU1+ThRPheIZaObYggDyeCeJxTuYdHkIfD/mMQRJvu +iSAPJ8Kf7J21JiMiyMOJoO+Yo+Nc/Yo8HM5Ho5NaDnr4ijwczj8X/i3uvOoV +eTic174PCLfp9Yo8HJ6PQeGZlTkvycOJcH60ydqkay/Jw+H9a9CzQwubl+Th +sB/cE+x6vcNL8nAinKudddEhOZw8nAhvro6AcJdw8nAiXM86XTl9Zjh5OBFU +1U4+Y1qFk4fD55tiFrU/Pow8HM4/vwpLOpwLIw8nwjrbHQn/rMLIw2F90/5t +sLBlGHk4fJ81pjfUrqHk4bCftH4Z2axDKHk4nIfuHpmc5B5CHg77B97Lc7ZW +CHk4EXYZzLJ0ufmCPBzOoy294s72eUEeTgTPVpm3zR8Fk4fD+eO+7rSoYcHk +4bB/Ga60+hH8nDycCGd7eg77afycPBzuz1937eHDM/JwInwO8wqxmfWMPBz+ +/XMr9zzJDiIPJ8Jhu6DJn9YEkYfDflfq8SRcFkgeDvtvxeTpu48EkocToXHd +jyB1i0DycCKkpc23n389gDwc3ncXhHFHBweQh8P7tFnm+SNhT8nDibBhaLvO +cyyfkocTobXv7x3qHH/ycCKw9bOmOGz1Jw+H91NO2sjcn0/Iw+H9cij0S8cr +T8jD4fkcu6l+iO4T8nB4P5c8HtE7yo88HNbv2GOD+QV+5OHwz7XmXfOX+JKH +E8HmVNZwq9O+5OFEGJ3c3ytBx5c8nAjWy3yfjn3lQx4O61e6STPnBT7k4bDe +pXTbGqt4TB5OhJWHim8oXR+ThxPhAPOxaT38MXk4Eb5ZZz8f6PaIPBz2dy8W +1vVKfUgeDs+XofeXHx0fkofD/vzn9X5xC73Jw+F+ON9mzolbXuThcL+vLGmm +X/aAPBzeH6nNZGnDH5CHw3qXdSpwx8H75OFwvatPCC1i75GHw88vnXP0Ydd7 +5OFEmDt5i9pi413ycHj+n57Vk0XcIQ+H9fHBnQzvDnfIw4nQkdVEb950mzwc +9pPhwpup72+Rh8P6vizw3jidW+ThRJgy2MZ0qsNN8nDYL3a6dmRXwQ3ycPjz +z181ejf5Bnk4nD/4OrsJ3p7k4bBeTn5ZXNDSkzwc1tNrD4KC9lwnD4f9dYvy +2NBCD/JwIpxeuGQwP8ODPJwIBof5+2k7rpGHU8PHsm9Pp8y/Sh5ODZ1+HHIx +Mr5CHk4NJ57GbYsa7E4eTg1vCt+cye92mTycGm7H5+l4tnUjD6eGNTuXnP7R +/BJ5ODWc0TPW6tj8Ink4NZhtWzXhSytX8nBqMPe8tWZbZxfycGpIb4rqGNP3 +Ank4NQTohHyWjj5PHk4Nuc9cJ3HTz5GHU0PN3Fuq3PXO5OHUkCd3CAw4c5Y8 +nBoqLhn2t396hjwc/v4JJwz2+J4mD6cGd/mC3FYtTpGHU0NIT/2f73afIA+n +hg4r44/6VTiRh1PD+cbtc9PXOpKHU8O2wf2d50mOkodTQ8bSlLmGjg7k4dSw +fsF2xZVeR8jDqSF18PCPDjGHyMOpoYX/3Tl/7Q+Sh1PDa6sKHeOxB8jDqeFe +x6cfVnP7ycOpYWXXTZ9/Xd9LHg5/nq27/m6b3eTh1DBx08TCnIE7ycOpIXqM +VZeVbbaTh1PDvJfvLIa02koeTg1PW03QWq6zmTycGh6M7L2p7/SN5OHU4D98 +6F3D9uvJw6lBJybBnHu7mjycGhSbnRIi764kD6eG+a9sIir8l5OHU8Mn04BW +b6qWkIdTw2m3TrpX5i8iD6cGdglKtqbPIw+nBskgy1PqDbPIw6khfPjaxG3L +ppOHUwP32MQpeREjD6eGoWaBkbrvJpOHU4O9p2svfbkReTg1XDK2C1wWNYo8 +nBrsEhqGfTUYSh5ODR4NC91c7XTIw6lhwKluWr+adyQPpwZpWMG4VdYNFhoP +p4ZW4tc5qzvmW/wPgJt4bg== + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJxMmnk4VVG7wGVIKFIRqWRMJaRCWs0oRBkyRIlkSiElFRmTzPM8z/M8WywK +IWMhGjVJsvc+pNJ813fPufd8/dPze/Y+e83v+y7PT8zKSf8CKwsLy3YeFpb/ +/H8vZInYN1YCsTD+XfwgNP6QjUDKBjWRAtKdLTr7FcIT2QkE0utMdmzrbJGP +P6pxkYNAVvG9HVrbO1v4aWf/gKUEepbk3rBbobPlyzG3Gl5OAv01jJeBmEcz +Qy9OYibahz2ini9rqf+ZI161jEBOSpPf6mW/wiQDOOHHRSBn6Rc7fy0fBh4l +TyJOcRNoQ2NIe1zsAjzL8fnoZh4CNelv0GDZPA8OnmX9t4j5/Y/T1UsGWFrE +64XrepcTSP/Y9M4xmWeAfeWOSykrCDSqZbJt00A1mLI7JnmZl0DD558khNoM +wu52i+cH+AhEO3n78JUv07Bo3fUo/pUE2tQmHhkl+gSGuIZpvsPMusbZ3Fz5 +I3Dqy2Wp5WfOj55US33AKgJNr3jk+DqGADtvj1w2WU2gVpbb4yUds0Dg6Wep +rWsIdOla6tb+yAn4XZ7t5S/Mfvfbbqql9oKJwHUx/QIEMtWwcRrJnwTNb3Zo +pwsSKCBu8v2k9AJMVdVkdVlLIK89iVELY5+hV/S5xsNCBLpsfpY/3vMjtJy9 +7rxGGI+n5bfl3SVtUE09fPMU5jCH3GV1UTVAOi3vVf06AsV6WkiErngCl31v +ib0nQqDHopNt1lvrwcyJ0eNm63H/bBNNby3SYF/BLNv2DQQy+nGnNVaRBsuW +sDf/xdwS6qk/FkDBiNMiV4Y24vWW59lT6l0Ir1QrbskSJRDvamM9C3MSGi7X +mnTdRKCZbYrBPpmPodIFy3h1MQIF35S9JM7xBAi3uuuuFcfri/an9VZ+gL8E +Izg+YW6LzUyM/jsEXzrlwyYJAhUM9msITkxD1N3qGiJJoF/BdR1P3tJgptjY +1rNSBDr7Mca8MrQH+N0k3shLE6jWSWt9u/cEvPCEPXHJZgL9qNETvJGcC4/K +rj/5BPM/4QWx7TGjYMudnZy5MgT6qc4t/+/QG8DzSqvVbQuBjjsaPU+VygGk +ktW1Y1sJdH845DuaeA+Hwm/IrttGoBUvwk7/+TEFq6Yj3n3GvEratqb32AiM +OVSQ1CJLIK1403M+sAm4JSG98O14/HvUH7a5TUOTL2PLLOXwepwLe8D+6C1U +PU4iRXkC3eReH9n/dQquz+W4zq5AoFN+e29oVk+Av3/Wy41h7mazW9RvewEn +jXZ9yN9BIInNa5sMFarhg3LtlBuKeD12DhQ48b2BucvOG2jvJNDGj+Efx15+ +gHctb3Jv2IX30x1yqoy1B9g3RbaTmLn5J341BFZC7dWF7m27CTRxK66CnZyA +2x3b5KOUCHT3r/6d5bzdkK/z6dR5ZQJViGormGbXgbkNVOpuFQLtrzzruEz3 +PXzitvQU5x4CyV262DDZPwZrBzcsn8D8+giZYOv9ECTI7H5QpEogNpU3Xb9n +nsGbPsdveuwl0HZhjYz+d+XA/Nn5HbqAQAOJvRHftUfh/p23pkX3EejA2tbQ +2c8TcFNIVPoc5thhSbF9h15B1g+FRg/2E+jqi9uzh/6Mw/f72lfEHiCQAn/G +tepvlaArbrzD5iCBSrmGOa2vjsECirqlcgh/r3y2JkyhGQYd49zJfZhAD3mG +ohxuTsJLmRtnnmMOqu54uvZ1OTjxc3dm6RECGSw6pHWsnYA7DHRMvNQIdCJY +9k52VC9YXWLNp6eOz/+ihL7W2CD8yu7RJa5BoLyl235uqRoGT89Eey5g/lR0 +Y3+d6whorCva1XUUf0+ds8G1BIJkvvuf448R6NxWlkkl4xHgaTeRZa9JoC3R +esvZyefQop1muleLQOuKHvXkjFbBQ+uW8a/QJpBhEop++qYXSLqKdr/C3LpA +sGSbNsClfUpeFcfx/njV2nb7RTecltRV8tXB6z3TLlNAG4C9nhcIA10CsUs9 +nlBsTAYlYx45UifwfI0/C/QQHoNh8jFm3zG3yfJeMTbLAc6Bxat6ThLo9Ou0 +mZPUONR/c78nSY9AfPVrPwwF98Ndqs+8HfUJZLFsr5AGzxgUjJ5T3m+Az4+M +9MQH2xT44/Myis+QQI2DR7uq3jyDz9U25b3BLHrjm1u0TgtoSVU+U30Kz8/Q +X9HhkjaY/k13zR0jAjkYvmUf2fQM+pyweWRkTKC6Qb8LRXx98HyBp6+MCYH4 +n/Op22c9hupLYvf8xKzx82/g1DIEN58uoT0yJVDqLas31qVDgKv6QX7qaZxf +Np9bEfKxC37meX7WyQyft79eRdcyHoIB63mBQ+YE8lxmXCrAmgkqWrj6V53B +8zFotPkZ930YJSjm/x7z2njZ5R5KfvCqk8reurMEuqK1b51sYCsw6j4xf9cC +5z+B9P0JSglQRcy20PQcfr+SS+3l6Vaw7ubtc9ss8XiqVvvcc+2Cvx/Hrv2D ++XDxwrdzy6rgq22lAwNWBOo0U9CIC+mHbf4ddzLO43y0Ktr/qdxjmP3yObhi +TaD+gEDTh2sawB2lL1+OXMDfUz0mmSxZA23DuYsFbAh0Y4/dW//7nUBzWszq +I+amT0ZZten34bZDe4QbbQkUNeMwJD7VA1cknRwKssP7Z5VMk65jFqTmbe+a +2xNoZfjF53NCnXBY22u/nAOBXD/GE4Js9bA6J+7rP8zL27Su8I1Vwtg/pSXD +F3E+uJx2MPjWXeBu1Hk+25FAjifevRVuG4Kny1+su3YJ//5Rcg4r5zAEyxaG +NS4z8+FGS557Qk54/+1X283blQVZmsQPzmD++6i+aj5pGL5dpfq92Rmvj9rM +bfOQVtBxUa8s1IVApFF5akZJKszrsLtgcYVAyd/7KnQiBmHgBu/1O1xxfm+x +iJIDUcDBLf4J61UCcQkMei5xQkBnsCxo5CqzfXmZrkN513B9YrvVMSh1GPL7 +vFy87kYg3z9s1g1X6uGXiYVyzesE8llItct93QNHFZfbirgTyC5VbgJZtYH6 +YImNBGYFyyHTPfMZMPG96mjrDQL1ZcSrd+wpBrf26YdE3MT72/dUwUU0BM/E +2R+xusVs/wDl/XOnB4EW9M/1O46NQPFjCZUcngT682iPQox+P2TPLLd7ilku ++4+M8pvHcOpHl2jhbQLN3+w8wH9xDHbrvxq76UWg9G/Rh2KbnsCi4q+hx70J +5GYzZGdreR+GsK9Q3+hDoMigmwmxJ5rB5TOSvynM0peWXBkLbwEn6/ZWt/sS +SEn1o82C7xOoyGfgEO1HIG3JZ3ajsh1wjZ2D2AV/Av32bl+ty9kOv7f5jCvd +wfFq+NLSIbeHcEI4MXxZAI53NP4LHRrZsPlKhcYzzMvHuQQps4cg9dHDP8V3 +CfR18LBI8JXH0EvydY1nIIFU4tme8BmkQUvPbxdP3CNQ/q+duwZq6+CRsRUS +YkH4/LusH1SLLYJS8lLP5jF3TPudcloPIWcgiOwIxu33uOmKiA3DT5MGx+JC +CJTpR2Rpx1XBvj0X/9mG4u+rT3UMsPXCsijfuj1hBOLZrHPCoqsfRnxOvMQT +juev21dnW1s3vKJWKfkSc/0zjYoRyQZgmNr9vCwCf/+btZ3K3WGo9O11lHck +3j+qlj6Keq1Q6MR3Tf0oAnloLrJ+ONIPf+bzLpGMxustEnZ2H0c9eMEi3fAV +M9/GhvTDRQi2mu5zehhDIGHJQNoFnyGYWWUonRiL81/aNUl9m0fQj8fxpUMc +gaaGXPr5xFvgBWu/GBCP41efmfwqzyF4tCVJmzcBx3vRQdLFpB5sEaxincRc +Iqlw2qm8DfI49TRWJhIoeyC/O1L1PiAeTjr7JREoMaX9sOveODC4aXHzqWQC +eXs6dmmz5cDKG3yvpVMIJPaVn+WhTh+Mfiwdt4j5edMxwc6tg9Bt236d3lS8 +/56oy4hPdUAT/1PsKWkEOih9yagwvBuqvnRsvpSO18P3XJvaRCNcr+R/5UAG +Xl9bo3fOshHwb1jyFv5MHJ/73rtHXW8Bkx+rJt9irjHeuizyQBW8f7A3viaL +QB/Cv6160FMBchLf6AZkEyhheXXOXd1uGDC/yGGSg/ebeZU0tK0AdtorW7bk +Emhwr834DeF6oJ2z+eovzGXbrJVHFUrh9j/7t/XnEejo99z9uzZDyGdk9DYt +H7c/KfCFzbQXzpVdSnQuwON1DNbqOdkNn3DeOXm4ENefR+0Xa/cPw9pzKZxr +igj0VI7t4q2CIRjfWN36AfOAu2f1z4IScGPVo2v1xQTaOqPy0PDrADS7+Fb2 +XgmuF337Wc8F5oB9HT/enS4l0BqjhCbJnAYouoE/WbaMQDu4VNPc/uVBVjcZ +/b+Ye98V1O/1bIfvBw5wDZXj988FSpm1tYKuzcZtmRU4vwsn/ps90AYLvC9f +d63E8Yctq/ScXS0Imrgjp16Fz3O+W+fJ7XXQUTH1g2A1gcZVeadTRR9B3eCa +lOlqZjxReP/IoKkGx9Olvp8lXjSBVfvecYfUEmjZjXtyItGdcCH2Z/uZOgJR +SSSu/e+ApyT/Dfl6vB9rvPcbfqwHjUe3KCxpwPmviFNrVq4KJGcc/PgY8wgn +x1DUiyro+cM4LacRt79pZ7vk0kpooe90yq0Jn68bNyy2ThWDQ8UBy481M/sj +wZ72QBgS6M6dcx4nznRAjjO1Nz9jjtqitjdXMxN+rO3b0dJCoG+fAtc8VImH +Pbzvp8NaCTRbFdM1kVwISmx/pZ9DOD5QL2aUT+WBsLZVxoptBHrkwOVuVVkK +nIW38rK3E+jBc9qUxHQK1L9yqHMUs7fVneeKt7vgrkcmHvn38f3pTcGWhcIH +UFDSeeeNB/g8RifLI49iuOhxd0arg0A6E7f33pprgs9G0zLXdxIoQ2HiZfzL +Cgjl6kxIzA+mpWYe5dfCtLv9fG1dBCp6xudYeKMA+Ey+74p8iOdr/zNuriUD +8Pye357nu3G9IbHbRlquAapHrd69uwfvz/2mwnGr68Hmz1tnl/YSyFj8wpqD ++7ohl9rh7HHM3ouT1+K35MPPKaanix4RyKUjbdvLkT7Y/9WZ36MP51fBfNPe +5GJYrhvYrdNPIIGYLduWbxuEkfnpXqIDOD69y4ud0asCriz1SnMDzPk3Mh0g +7g/i+84a9eUOe+KgStWHnJghXM/mHFl7vCwNruP5Y2YzjOvBj2UrL7I3wN/n +16xWeYz3f7CHcPvtGPgKbuvlekKgC8cvpxpr3IdtAkd8nmO2+HfunfvGeph1 ++bRK6QiBzO4I/tMdSQX+D12o26O4HtGo5w/pLIE2m+7lnRzD9YVffvoXlm54 +7EbGGfGnuF4/eIb9UT6uRx7Xr1nA7OvZH//TBtcj2wYfdY7j+qFVXX3vtzZI ++U35xk/geFtPCNRW14DhF3/22D/D92/NE4NNdaWwerfAnOpzXM+PflSeSX4A +Y8NkC5a/IBAhQ6iw7KyH1z8esXiFeYtOwsmYhwPQ9KCZYMVLPL5+3ls7r1XC +vYlX+n1e4fx4aZH395FsuGH+nr/BawJF3P7SRXG3ABbtzL1SkwQq7lg0DnqW +CN9mN8x/wxxbZRF2M+AB7Pg9WNj9hkCQZp+zI6MP5p36eC7pLY6fPrQb+Sw1 +MLDs71rHdzi/vqmUOjuXDB04BQf3vcfxWzkhf+xYJjh+bnsA3wd8fwwJODr9 +tR7KNarte4P5TYD4mRbxLrhylflC1RSBllqXBlfk9sB5B9di/4+4HuBFCfa9 +sWD0QZCV0TSev+Xtx9Iy62D9+ixhmU/4vrv9qZjtYBtMvNY49AOzZkuS3Cxv +J7w1MHT30QzO7+ueG301rQBnNk/vT/2Mz6eWLff1jkp4wPvf18uzBDofFJiY +F9EAxCYESw8SBOoiA5w9XnVANkU561Ukng/jAfmh5Eb4IUhd5D3mxio0yeMd +Ax6+M39cS+F8sda8wcyvGhSBq/fu0nB9rjkYMaeUBUNigw+azhFo3/jEh2+h +UeAymfV96zzej4Fx29tKa+DJo01lvzHLjctJthx9BBUzhi8MfMH3Z0m3qFnx +MrDmx/T6jAU8f54FWV+uJoBveiwjLl9xfago9CVhpASMF60NPvIN39+uLYU6 ++wJAE5v8YYHvOF696pAa2VkDUsw1fkxhltUS7J27ch961Z6paFgkkNROgRxF +gyhgyXvNNugHjrdQilU+PwUcsQ3ZaP4Tn/+Q6u8VMnVQqi17dPsvvJ7w8JnF +rDrIKdwc8g9zXV6V6A3jCvDJ5fGR4d84vpwd7BOsyIWPej/9zPpDoIvdU9d3 +r2yHpRJLqq7+xd9/0lURUBkJwz2E7DX+EcjcZu3LXYoPoMuo/CYhFhIppZSu +mae6oKHc0aefMD+Qrgs0SOuASnfPhjUvIdGylyorJx9XQaHJa+qhrCSq8zVf +8WB1FPipEvr7LBuJ7Dl+neosbocvInOqFdhJNDRUf1P2QRxonWl2YOUg0fJR +tt2NYW0w48gTsRHMVfdTbK9ZPoS+KTPjuUtJFK3k+8dMIxNYf10ScZ2T/P94 +oqErfFRzGYnEak+OjUrUwS35Cn/XcZFo/89BthD5B5CH5VjtLOaLp7me6GzL +BISJhWMrN/P3g5VuEhE8JHqtdd5bD8fXSu6wZ5bLSZR/i6dBWyQTRp/Pjdy5 +gkTcqt1jduxt8BqExzh4STQpuDbnw81KYCww8m8Ms2/BKe4gow645/LnugI+ +Ep2U6gJr5XOhyEPWyzdXkijkumuUVGYN/CO6Tuo4P4ks/3xs17G6Dyfdd7zY +sIpEGq7JSq8utsH7w8eiKczJLzMvESZBMGfrOa321STq8E+RPJhZAgP8ri+J +XkOioo2DRm/v5QO7F2EN1gIkWhWggQQv1UCt3XlOSoLM8cmGtUgvW0uiz1t6 +M/CVCvJ+HHk5gdkrtyA9bX85oB2YjSkWYr7/OIHtuKcw5vHLTveD80Dt3Dq2 +E+tIdKPZctm+8joYr6XYtEmERIdXsXy+ABrAjWxNl3nMeeU6TRqt9dDs9zmZ +jvW4vSd7nRtL78F9p9xfx24g0Xjsnimv+8FQtCw8znYjiYJftgsNGnXCJZz5 +OntESWTCEffzU1w9fGfRys6zidmfzobR5hf/xfn8xJUyMRJll/w03LCzCQY5 +sG/1FicRx1C2QsClNuj4QOSNngSJvi/fVXTkRB3QXb8zQUKSRG2ljmZzVDVU +uKZ14ut/WJvXInBDO1w1YLn0oRTz+wvSN1oSpEl0fQ3PFIdmOhzzirjqsJlE +MRoWXJ+KG2DDeP42IEOiNQeGYoyuVMKkHejtii0kathgxl+WXQk8gsYSX2MO +8hNR2vAIQot3xMnKrczvHwIcy/y2kUhbXIYr62IRkIhdjwxlSeSnnZWh5ZsC +OcidbtLbSbSX/ZRKj10G/KihvX0R86lif/HImCbYk271vkeORFd45F/YmTbC +4sUbycnyJFL7unKud+c9GKoXqX9JAe8Htg61eatS4FRUwHVgB4n2lb2unXeM +gHpsbW0rFUkU23Ji9efgWrjL/On1t5jPR8XYjUdVQsFaUq5mJ7O/iyuWTt3Z +RSJ8wVaN+9sGn9lsSDXejc9/7zpHnZtZEKJdhluUcH8/2HhEvMuAaULHeX5h +luOJY9/Jkg29Xc7f71MmkeDk55v+x0uAVe/NG2kqJKoeWBP05XQ0UJOIUnDe +g+ODiNKSr2ZtUNqj8OMhVRIN123vEdSLAFyjbWmr9+L9Mj0UcUq7Fn7ePn7q +A+bD7kpmVyRzQH8AtbwekOif4OIa8fexoPz10o7Affh8pOvpaskkgUiVjbdO +7yfRX6EE1mbDYOgauVtR9gCJtgvVHamTiYKnZo5/+oOZZ7ecI+doDFA+Yp0x +eBD3f99zDo+SAiiccss48xCJuvLCZ6M3NsNfC1G8rodJ9F7mvJbAwQrwSqeo +U+0IibKkrDgtY+tAW167h6AaiVqrR2/52cWDrH/jO6cx162vrNFrLIH+JrSZ +RnUSlXU5R+iNl0ObSs6sYA0SPaYIVq/XNfAYt6jpmaMkMuvhfXxIKgRsPa+0 +Uv4YiVJstsf1cWWD5VDnIYsmc33INRduP8Z8wZHGc+pNNhi65LE7RwvPT4jt +0g2vakB1V/TsNW0SaZlcd14wyIWxosXZR4+TKOfIp5/aNnfBdff7p4V1cHxf +xc/jJdYMTYcn+D9jPh8fdVWdJRrs3TrXDXVJ9E02rMjFOB5s8FvmHXaCRF+5 +hu4+T8gF/56LKp87SaKNX09MTa1JBW92KZM79Ei0VTf3y3hqG3wQqpvLpo/X +YwOXRcz6GJg7dcF8VJ/Z/8ADnqvzDUjEJu5zwEAvFDgkxPS6GzKfH58r9tE6 +RSIR39UbmqoroJzWA5X1Rni/i0qcOOeWBFdmP6MIzAWaatdOGzTD+V9zeciY +RG6bvrKWPsiDI4ZcZyNNcL6xn/AM2RgA6ko3CZw3JdHozeokYiwHJixV6dt1 +Gsef77l8q1hDwU2LE35LzUj0W5+v6n0drkcabFTHMTcPc+tr9+TAA/y35wrN +SXQt3FM0hyiGYg6xBbfOkKiwdszM0rsQsD0osdA5i/cXq3LSD5dY8EGkQ1DU +gkQr0749UShuhg+vPu+nYX6zKnj24m0ICvvn/e+fY443WJobxFgy+ZKX2JcL +ViRaP6zTl3e9AJ4YVylSPk+ig/y39nn7VkHFHSctuaxJ5HpI/7aJbgZcE2Qr +9Byzv8UrmRsRtfDb29uDJRdIlDnzj12zMhKM740LuG1DolrDqaNyHyFsiind +d9IWszUr+fMuhClEx4KYHYlUXQ/t+/uuDtzWeFH8BXPMbd3smMOJ8Fz6F6tO +e2b/Di9yr4t3IJEncdT6pU8NlNQTH7a7iPt7U/uO42gK5CzaE6jqSKL5WOss +9qwW+IlV78DySzhezn6QeOZcBR+Z2X17iVlstDUwLCgRltZ4lZZfxvu9p9Tz +3dZ8GL4i3trHiURL7ePNznVFARebMhEDZ7yfpys3d66rhQao87GkC7M/u4Ve +3vuG2WylrydxshyudVk42H2FROwG1iMf6wrgjx6exURXfF6afR58eV0CXohL +lF+8SqIZeE/hpUMybL2larPvGokyxt4GKGxrhRkjehv43Eg0dnbc8I59JvTd +bj8yiVled1deumg5tA7wDq66jusBW8EX9vMQaryOP+zvjs/XTkNn/c3+UEal +/MepG7iekAw5PmnZBLkjuyo23ySRix3HvfBVpWD200vbH5i/KJnln76SBQYO +f9346BaJHN/d2j+v1QArkpePpXjg/abnSCXaN8HoBYnQy564XrK9brRHKhJe +09mrdvA2iRY3PL9mEeoPjPP0f/F7kSgwSXPpIZtouOeffdU7zL4/rWNFlhQA +ERMf+1pvEjX17T7ywSsO/KlI2HTXB++XdXYWXJ2t8DVXxVMTXxJpahf5HnGq +Ae1WD8O2+pFI9uXPj6Wr4mF28yv135jFC/ZRH16mwTtrvv3u92fOv92lFTXp +d0hkaB4Q3lUaDrS6JC+6BOD5FrguNvAzHciKAvEjd0nUF/b5l0VMCuB1N5hY +E4jj5bfEwFxBCGlDDhFTmM/K7B7ZX1kGH2/xPdpwj/n9Gt/Ev/eCSCTQkXo2 +dTEXxj2vqDULxvEr93fji02ZwH1Xt+P2EDz/l72m58cq4enQ1xL/MOsl/FS/ +kF4M9019ezYUSqKjFuzQa6YOiB7gjcoKw/mJnU9p+lc9XJIgpXk1HJ9XYOPY +5pcB3tEAi0YEiRoff+VnGyuFnZqG9WsjSTQLLFt1SzNgftbFy58wLwZvX7Fc +qxre++Ur1RzF7O9Fw6QXIdF4/DI5h1qDq6BOaWX02RgS6bMnlZ4oqYPyS3u0 +FGLx+SL4S1oGiuAqi8klrHG4Plvr1fK3AMGF+u8NTzA7x+8wFxKLgGMr+Zxz +40kkZaeetC6oCDbYS2++nsBsL+n+vlfHEpnsIXIqdl0SPl+bl9ff/V0Mzl51 +PD6LWYtd8JJvYS042O/H1ppMIqHzizJ9LlVAXDq5KTwF75chche+HUF2ryoX +y1QSGa/VXLu5uwp+fNojszMN16vDFzOc5vJBj8Kb1+zpJDrd7DQTJ1sCi+8t +xo1htl4sk5FzqoGhb/l0CzLw+Th440+5egJ02ruZ42Ymzgd87kMXH9VAvZj9 +UDsL13Ncr4SuvaqAO4lTrhuyScR7SVZyhWEhENC4tJXCfDGm6XV5bwr8nub/ +pi2HRHfUD33/eTIMTnxPTojKxesZUHioFEZDeLL6hHUenk8pY0PX+1UwrbB3 +qVI+vj/IcwX7RcRBb9a3LZwFJBI1tEvJNk8GVmY/rk5g3mWY+jZcMxeq1ayU +LS4k0TvX02pDWcVAeoXMO48inK/rqtlWXc+Ay2wOJOkWkyj1rV/sqGcznGk1 +0ttUgs+PkNmvrxYVsG/t5WXzmFVXPNuemlwBypzvoAelJEoHYk+uHMuFkT0p +brFluJ6fgE4iEc3QVbxmu205iXpqniUf+RsDT9169F6lAuevbdYiYw4FUHnk +bTJ3JYm6uxyfXpvMBcLbf+q/wJwwWiPY/KYC/rrDz11WhevJbLEVxw9WwJev +ZNq9qkkEeMJuzkjGAqR80F2vhkTTesk/c1QKYGaEsbxELa5fFCYrJ843Qb9P +l6cWMJcv/cXecSYf2BwOSO2qI9ElfeQ0ei0CHEtONUyox/GY/LXuuFsu3LpQ +w+PQwNxfy3X67u9tJFF8X0QObI4FZO67GyuaSBTF4bejwaIRDv39qfC6ifl+ +lfGq6YpmEql0ec7v+pQFYyq2pPtCEnH6rddu3ZYL3bgOGRm2kMjHRKs6Wz4Z +mFiZrJBuZf5+b7NTx3fMr4bnE8qeVsINa+7e6kEk2uLunzRblQz+OaYpJreR +KFHC0v0KTyx401n7ybEdx7tx9T7pB0Hgwcb+jP338X53cJBUCK4CudffG698 +QKKFrB9ifeGZ8O7QL963D/5zHxMrMGiqgvZbVndVd+D74ZMR5+Lsaqjtu9Xz +Tieu9wxVL+VtKQHbnx/aZdzF7N/KXaafZR6SSKamuS+MaIHzIc5ZPzEbt6Ul +XboRAkc+3DXt6yaR0XtB8ac6ebBuf/rKtB4SWTVZ1s1klMOE+LqHTr34fhhb +rm/xPhLcpPXfPvQI7we3M9uvZWdAc80Pu1f3kehZT+u/U/kBYH/W79n3mOPX ++OYMCVfCTb9W59T14/jrZNLTfLUYshpuMwscINGfNnVDS4lk+KHk8KrTgzgf +LS7w5ZTlwYccp3u2DZGIjHu7ZHZfFSw86+L9Z+i/6pH6QOXBYTyfme7KFlO5 +8NLKDDLjMYkGjAv9td2q4An7+twrT5jv77g/YK42gvN/yI7vIRcy4WqRqdWC +o/i+cPonm5pnHvzq+qf3I+aayYzM5r0V8GnfGt/GMXzeinr6Pq6pAk1SsnuC +n5IofIfJLpPaEphy+wjNfBzXd0ve6rYb1cHbT0/ny02QaKe0gk7w03p4TuHK +WZZnOJ/qhC66/iqCh+/dE3iMeTDHlYCtoVDybUZf9nMSLZHMeHgkIBQs3dvg +d+0FiUJFDIQGTxeB6ehB1aMvSVShJ6ieEVMLemen5oRekcjuQr6PD28lLFH/ +WzCDuUuR/0CQXiIISxM4B1+TyD31h9ZQYwVw+S67NmySRE92uf6xVWuEBifV +BizekCju+suVZ/5lgN2FZnd2vMX1kb8475lPmWAtqytge4fb31yT2WV+F/w4 +HfRlBHOCl4CluUk+fF6dWZT3Hudbw9MdORUZsGV5o6X7B7x/XY7eaPLwB+kX +hoS0pnD+Wz4ikm1ZCX1aPw6KfCTRo8mcd8n8SfD82n8BBOa8UoWLKTMVUMNZ +cD+aJlHL06kyXp54INOz/WvEJxyfvRd1L6yLhtzi6iVWM7ieWfeaY/fhdDh7 +0/z8rs8kOrRj429VsTIw8MR13dJZXP8bKj908qiBFbLBw08xJzr/fj19MQFE +3ckKLCTwfnvzMeynaB28+qrxwC2SuR+MlIe/HadwPs5KfZ9dXQhVIqZLN9JI +FOAdl7tCNhiIfPpnTaMx3/9zaO36+3MkUn/wmPfn7nD4OknuSfQ883n7F/Wg +C19wfW4lxhoVkwmzj585pLxAol/+UsfPmWWBO7lXF5d9xfXa9umtkUsaoO3f +4PJnmNWqv+r6i0dBTeNsm5Jv+P5iIVbW7wHhtoqmDbe/k8j8Vvc7RclyuILr +8ciJRXz+FJbe24/vmzTLT8FiP/B9+WGj0pnFJvi4ieXIF8xjeZNmLVQeqFkt +9LPjJ4lOvMjdKbClHsY5ylfG/cL1J495ifNCIHDv1LCz+43rfanfnNczquHp +jWdFVf8wxwOuXxvj+Uui49rfMgZqquDGoZDQl5jltl89FXK3ErJsyVEr/4fj +4e0gWpxREXjr0/zLm4VCpsmT38+/TgWdzx5X6S+h0KxRZNmf8VCYv3PGXpKV +QiGi4XqBihWQ7sNRKGhjCntidT6k+3AUukUaeNrMl0K6D0eh6TiHPXxLIgDd +h6NQpv9G8VMwG9B9OAqd6LAJnWnPBXQfjvr//tN9OCbTfTgKNXB+/PLWvZTh +w1FodP2XcCmDKIYPR6G7eyXd3UzzAd2Ho1D5oblj/d+LId2Ho1BlVPYaWZcc +SPfhKJTUPaSSv7IW0n04Cl1xmU8X9WuGdB+OQifrl7kQI6UMH45CdmkaB0QS +Sxg+HIUEhYtjeFekALoPx+wv3YdjMt2HYzLdh6PQQ9qPXyr2dxk+HPM53Yej +0O+JsC+/GrIg3Yej0NWjrpkWV6og3YfD4+PjkwrnrmH4cBTSVPwtITebAeg+ +HPN7dB+OQlF5/q9m+iMA3Yej0JdLM3d3ONRBug9HIXdKZHlVcR3Dh6OQl315 +R2lOA6T7cBQKW2f459NYI8OHoxCb23lbmfPlgO7DUWh/WbC31dlcQPfhKCTT +N3f44LN4QPfhmP2h+3BMpvtwFBre+PqpklYSw4fDfEfM1HewFNB9OAq1rrvW +r5qdCug+HIWU1bcFb3UvAXQfjkI9Z7seCX3MAHQfjkIDy/6MuivnMXw4CrmG +0ebvS9UwfDgKRTyxcchTLmL4cBQK1tQai87MhXQfjkJanFo8RH0Kw4ej0Gsp +herGFQ2Q7sPh88LDoyK+oobhw1Go/UzZtvvn4xg+HIUe/GyvS9NKYfhwzPHS +fTgK/Xr/UDl1TRXDh6PQ3Nond7+SVYDuw1Ho55UOore6meHDUYjm1xxi5VAE +6T4chZZd+M36laMU0H04CrlNizx9u6QC0H04Cg0dOyAqL58F6D4chS4/VNwZ +E1fK8OEo5C1mGaHaXwfpPhyFer1Snt+TzAd0H45CXdPbdDWb6xg+HIUEAEdy +GpHE8OEotCVbW3PEPR/SfTgKxRypUuDMKWb4cBRKrznw6q9rFaD7cJglCmyo +M1WQ7sNRKLJq9++v1eUMHw6Pp4bt/svfkZDuw1Hoq1zHrKxNKcOHo5Cv6mZB +D4EYhg9Hod2rhXaETVcxfDjm/NJ9OApFN6iwmrZmQLoPRyHZ9OEgtKeW4cMx +36f7cBSaWeow5nAqm+HDUejgnuuRxlG5DB+OQiqcr6JKaFEMH45C5yZ4eGR/ ++DN8OLzftgwZOD6sBHQfjkLjUbHbJS0qGD4chawDw07nTaUwfDgKdS89Obek +thTSfTi837iM/2Svb4R0H45Cedw1vUnOBQwfjkIGOcXVktuqGT4chc6Mrf63 +VrwO0n04CvUJHFe7rZHF8OEopF2V/Yi9K5fhw1Go3reqa3FFHaD7cMzx0304 +JtN9OAp9lB+Xc3pcBuk+HIWuWanOv3oZAug+HIWq1ocnXeDIBHQfjkLHOGcK +ragahg9HIYcSdOSxbgqg+3AUOhr8Z24gOxTQfTgKJcRef/BbMx/SfTi8PlYi +5ZXyjQwfDp8HretDHn8rGD4cbn+2aYuOfQTDh6NQmnDvXNnbIED34SjkItSu +OZBVw/DhKBR39snL+7HhkO7DUajfJmk357s8hg9HIauMR0bvAvMh3YfD7c85 +cVfLJEK6D8ecD7oPx2S6D4e/PwTOqARmMnw4HI+KVO8+UM1k+HA431X+S9oH +IiDdh6PQhpolS0N9YgHdh6PQVB+lKj31fz4czpcuV5ylr1UyfDgK2aYqLtUp +jAV0H45C/Ivm504eLId0H47ZH7oPh+N5ek7Nraoshg+H5+fhQJooaz3Dh8P7 +Vbhl1KQsjeHDUejwrVDNAf90hg9HoaLmuCfNltUMHw7n/2Z+O+FbdQwfjtke +3YejUOHWxNzinExI9+Fw/uGXPHfrfgqg+3AU4vvg4OxhG8nw4ShUttzaIkUq +EtB9OArV+Iz9PjZWzfDhKJS48z21vbeY4cNR6Obsk8gh9UpI9+EoZKh6P68q +LhfQfTgKDVZLalU3xDF8OArtGr6AOtvrIN2Ho9CpJu3wVbj+pftwFFK74GOr +EJ0F6D4cczx0Hw7vj7QSNf2n2YDuw1Ho4ou7kxyHshg+HIUCfIp8b5hXQLoP +h/tPVKZ+qYgAdB8Ox0t5aq1BZxqk+3A4nspLpHzbEsfw4fD6SQcnXV4VBug+ +HD4/HIpqd7YFAboPh9fD98LIo1VlDB8Or2ehxlxWZArDh8PjvT9n7sWWB+g+ +HLP/dB8Ox/ehdUcX+RMYPhyOj9tpYe1ydZDuw1FI93h4rF9mDKT7cBTac/Vq +p29KLqD7cMzv0X04fP77vOyevMxl+HDM53QfDvfflBU1vwtl+HC4/qoLaICP +IiDdh6PQ5LJZFstrQQwfjkL5XodTVilVM3w4vN87hFUvGNQzfDgKFSuYxn9S +CwV0Hw6vx5cy6aUncwHdh6OQKI+qiYdIBMOHY/aH7sPheLLnziMRv1qGD4fX +f6Kz5pdLJKD7cBT617XmnZlMPsOHo9DzI+dd12jWQLoPR6HPur4gXCWL4cPh +8e0PM7cPKGH4cDhfDnLrQ8sEQPfhmO3TfThc/65MGdfoSWT4cBTKeebw6a5b +JcOHo9Cfaf4FFadsQPfhcPxxu/Xeb009w4ejkN4BER+PG/EMH45CL5aMJDex +FDB8OFyvcB4ZmU3LAXQfjkKwpKLNfmU2pPtwuD6ZStTxL6yEdB+OQk2lPpur +jtQyfDhc30nz3pJBxQwfDu9P9VgvLZF4hg9HIe7gcjme/RmA7sNRaGsxjwzx +Ix3QfTjcXly960BRKsOHw+cJWsMug1BA9+GY80H34Si07qWhpvHpOoYPh9f3 +qkShqGwDpPtwOF7udt8VwNnI8OH+Ey9c83sXChk+HPN7dB8Ox/eMI8aW+ysB +3YfD9cA4aty+KYPhw+H7ya+orVvbIwHdh6PQYiXiXdaUBeg+HD5f6dISE6qV +DB8Ox68uW9p5uWKGD4fPr8/ipuk9RZDuw1HoZV7EjqnkSoYPRyGFwg3wh08R +pPtwFCJ0+yxOKIUDug9HoTpECz6clsXw4Shkvnli9fftWYDuw+F68Kv/gn1o +OqT7cBS6MX5RVMw7keHD/Vc98r8+HK7/89cZt8lEMnw4Cn16q+ex90c+w4ej +EKe2Bf95IhvQfTgK7dPZGnXteQWk+3DM79F9OBz/ZbKhgXYKpPtwFNppsiOB +j7cI0n04vN4ycGjxUj2k+3AUMku/OjqXWM/w4Sj0bjrhbEFWEsOHw/lyL/eJ +gKB7DB8O129RPD8O8sUCug+H61VZ6RzzoHCGD4frOaQYK6tUAOg+HK4HXZzD +L7SUMHw4CjUqcPeP2eUwfDgcf+u4Cpfi80n34ZjjoftwuP553GZ/600ZoPtw +uB6XElrj/S+T4cMx36f7cPi5oD2rg1wRw4ej0N9P5Ww7NkUwfDgKqe8e32Rp +mM/w4fB986go2/auWED34SjUedLlVsPBGIYPR6G9PtY/u7LLGD4csz26D4fX +zx+XqTszId2Hw/ttk+sRm4P1DB+OQlyvlU74Vd8FdB8Oz1/ZaaHrAhkMH45C +YxHzIcqmyYDuwzG/T/fhmEz34fD9FN27XN8cDeg+HIXii+5fdWLPBXQfDt/H +9vUe+fg3C9B9OByfzLZtA9/zGT4czjenDs9/kw1k+HAUyn63gjuwKgjQfThc +vw397eOva2T4cLi+6B/sTOWqgnQfjkLVxGgdbWUGw4fD44u/BHVKsxk+HLO/ +dB+OQmsEl1ZKKwcBug+H6+W44wIcN0sA3YfD9++8TZyn3+QyfDjm7+k+HK5X +0itHhu4EMHw4HG+CApdNeJcCug+H80WQ07cTy8sA3YejUHKMKMekQQag+3A4 +vzgfX3eczGH4cDgeupSxn2tNhnQfDsePZa75SlvqGT4chW7vvrJEgv8OoPtw +uH0Vl70e2qUMHw7PN5dd26ZftQwfDtfj8cEZ1t8rGD4chRbc526boEhI9+Eo +ZP/2vMTSwEKGD8ccH92Hw/MvmuagfqaW4cPh+4emb0Ld0kiGD0ehII2mZ06x +xQwfDu/f23N7phpKGT4czl/vyTyom8Hw4XA9rrOY3plVBek+HK7HFvxedTyt +ZvhwFDqkaGN71D6G4cNRqCBHufW9TCjDh8PnsfmPzrL2IoYPRyFJe25r8k4J +pPtwOP4EObKfnquGdB+OOR66D4fr3xBHaHioEtJ9OByPo/z4WnH+p/twzPfp +Ptx/1SP/68Ph/JqrmSoSkMPw4XB/GzTuy/FEMXw4PJ6s16+XPchj+HC4XvLe +nxRoXg3pPhyuvy7l7H/skg/oPtx//X3kf304Cl1J8T7QsO//fDgKbbTmRU8X +CwHdh6PQ97fbz7Q+KGP4cPj8byXXsVzPg3Qfjvk9ug/HZLoPh/OlpsWZAu5U +hg/HfN44s3blchYaan0cfs1DrxJujc/+fBVzTZH9WQd7fD88Iv/wJeaA4IKY +tPlMsJzWlKWxhPb/v/dM0bhdjjnP86DQm8Z6SB57bCrEynxu8fXMbh/Mswpz +LBwd9XAo89PKGcy0vLurct/lgkO612b12Whoq6WwzrfKbFD1k6W7GfPBmAX2 +4V2VUCI/JFuSnYYual9c92+wAsQYCHmFYo4SKOV5djwRcLDknP6Gea6ItfAV +Zz50K5FXsuCgofY3bmrr5mrgR5Nm/m7MDsOsux7wRkITjqOEwlIaejmWt1n3 +YCzsrXzcnYjZ9vcM9+6lUWDv2bM5rJw0ZHQZ5F9rTwQl3DNeFzmZ49lQf81s +BLOobHzf5rM4P5xforxvGQ3db8weXD5eDf/xha7Kwxx8I+WRhlc5dIZCJC8X +DfH2KzScESyCb+xyeq5j1q5R35Ugnwn0BRRyJzHfztAeUngaAx+0N3trctNQ +25NvBV2HIuCuy0fNq7iZ7eeue6IswkNDN9mSJeZgFhB8eHa1P+axuS2OAg21 +8K7rDDmLObfpi+Df1Dq4KOrWe2o5DfW5lGkXpTVA+74lea2YhbtTRwN/FcBn +7qE+m1fQ0NWUIKn7TfegtpTwmQjMjqb9+YmVmRAO56j8wCx0qEe1O78Qbr+t +sMaKl4aO7bScVioogmlbIdWL2cbqkHtjaDZc+fToo518NDQkeL/Q5UEc9PF7 +kpeC2fscy2ZpuTIwL2/hy7ES93f9wwHa5iB4/sXMmcuYz0+GkVWnA+BIoNue +p5h3e15QWLWkGKjvZhU4yE9DunLGrYdP5sG6N6G0Asz2vwYODgQXws1hwn38 +q/D+PKfF5rOxCSao5ubfXMWcL66PCn7vMIsEGXd/WB4DbkbDs8dX09AfKZvH +e4aT4OcDx1RrMXvt+s5lLFAIzWefCGxcw/x9f4LFXABmt5KBDPkTVXC/+uc+ +CvPTVB8VsJgLy+fcCkwEaKhxhd3lPc0hcFMaq3+7APP3kVphFlsFacigTuzT +WHEsZP0uvDcac1y++qfFimLgmp0r+BuzopZ5fnZoHvhwYse89VoaCk3Qnk3Z +nAmNfsP+fsxV8t0ZUu/C4cOCY4VKQszvq5wa8U/HrLSXI+vLjghYuOTcuWXC ++LxFnbm5H9eP68o+73XBrFGiHpGqngqDT19f+wxz+gLfwG2tFPB7KduXw+to +qLNzqfbc8XJwqTpsoBjzirtV+1bszgOvLNYVrRGhIVDy7U/vqjR4YnneHU/M +O+0Ss1J3x8C2hh2WU5jDAjiMuaVSwY4LLeDEehqKv6Xcw6kbB7P4NYUa1jP7 +u7p15MumDTR0xuPe64A11dDf4dzgvQ3M518FZ4vmMRvflrTWGq2BNg+uB5ht +pKFLBdbbtLZkg6dObFYdmOdjuqa92krhsfXh+7aL0lBxqfYVxc5I2NS9TjgO +82aJX6cHz+fAbdfyFv5ibl44oMuP81+KmOKQ7SYaCimXyLwrUA5XDLQUD2E2 +YO8eajMoh7dvat7dI4bnz71ix6HqDEBJj1plYW56tSX7+vVqeO7Juf084jSk +TO5QDM2rhsNes8JXxZn9Pyzr/vUFZgcHpXsLsiGwepxtWF2ChlL6Uj2jpZKh +5J3wkjLM1VudttCiMkDsDpHAtZJ4PcR2OAhG1MClr/LOe2NeNaE26/cvG14P +UjzwCTP3z8sRy+cq4LRS6zp9KRracc/5t5hpLDB9p/mtSYrZfm/46LCENI43 +eypl1xnHw73AsjQE86/S6coi81RQMj0b+BXz4W1f/6bLRIMNse7WZzfTEJvf +sWx5qgyGH2I/+BCzDbFfK/BHBmAhw0UUZGhIj3jRlHMqGLgkiXxPwDxUthI6 +X82AbzXyHy/ZQkMfTcx9EzSjgMEXxTIHzDdPpvyYYsmAHemt955sYfZv93Gt +C2ArDQWJ7uJe8MgFeYujB3MxBxvpJj3PT4Rrcy3X827D72uW7bU90ggD9Yjv +bphz2Md73T0K4I8/7k9eYy437j3roZ8NHYrYy4/J4vkZ9e0xTkqDz40igiox +63FePyrypBAeZ1tvs247DW3Id22/qJUGWsrzD/lhVsn8rTPWGwvlzHdumMX8 +wVXU1PpZKUhfhhYN5WjISUp4ZsXONLiyVmukRY7Zfx/LsXJpeRpa0souumlb +EZxfYRUcjjn/77fEU18zgXUTYbOIueXGy5Im5So4anPjsKUCDYn9nnuVvrYE +aqzm2NiLmYX256zZkzRYjyJ+KO5gfl/Gcf1oMmYno8N/j12phYlCBRXsivh8 +B+zSGM/JgdydO0MuYb7hEGhEGVXCWy7IdgzzC1JvsWAsE85u0D5yYCcN9V83 +c+aWLoFnesc2Fuxkfn/Azernyl009Piu+o0uhSp4QIIcvYFZO323orhzNqgY +vFH5FrP5+FpFX/k8IObBEaq9G++XK3Hxk6y5IEom0q4Gc4Ywf4icwz3INrpe +bYMSDcVwanVPejfCqz4FogGYx23dFwa8s+CH7bt+kUrM9o2eoTFjZZw/y0+s +tTNvgg8DtKvaMGeVOwfnbsoCe3Y+Dd2igusDa32u5a2RoOi1lX0U5mhVbnfK +oAKKhJBqv1SY3wtRubnJeg+OF+svS5TPFsE/7zl+92G2mXG870ulg8uRkU93 +q+J6gRDeIBlTDV/v21Cdhnnd9jDeg58r4cmZgjDOvXh9GkRUavkCYXvcLgdn +zB4potvUurKA4pE29QnMPZW0Kv3tOSCb0hY7DGjoAhdM1+BLgWtSnv4uAsz+ +3Dl2fnz1PhrKXGG/8cOKGPBtgaz2wFwyunROaEUhtM28Gf4Bs9DPKGEZkRo4 +rrP0ou5+XH8QWxL1TJOh5s9IjXrMsOhphMJoLWzK2yC+6QANdT80IGN3VMBt +BoV/AjHbXTl+LvlXI0z5t2tiDnMpP/eI0a9suKKkreb0QVzPbRJYKROQArxM +jkc8wPxX8JIN6EuCNPbxi7KHaIi0ErrzOSMCWlaePxqL+XLqDq8A+STw+Awl +/hfziPuVTfec08AR7lt/bQ7TkIzuppVL8ytgTd3SZ4OY/YPfdA0IZUOp81G1 +KkeY44/j2xiZifkN91n3Xb3lkBMWOnKr0dC+XAG50OPV0N1u9zFXNeb7n9a0 +S7zAvNdo+E9sfyU83X78n5o6Hm92/B5WzQLw6NL4s1LMgXFtBx955UOwzrpO +UIOGUr9Pr5SxjgelXVSkF+Y/Ic4RD2tj4EbXW5emMXekVmu7K+SDcFFOTb2j +zPZY+qIkm/6LXdw3skgcoyEdrlxi649o+Fay6HkwZpGzcS9SUhug4fDu+oVj +zPc7PdujzmgyWWmrzuUuzO9thdx2rWyE+WPjmvJaNHRIJXLjkEUmEPKzlkrA +vPB1dfr8mkhwT57GskSbhlDxt5Ao3kLw8/mtF/aYb3Qo/e23TgQXAzkbHmMu +q7J4bv02HbzYFR299zieP+Ii50hnFNR5s9EpB/OxtolBLpN82BpapLVCB58P +FprxI7c6KK+qJO2GeQqYT+rL1sGMqfYlrzG/Nrgck/q2HPJH67w8qktDaySG +nkcczgO+ByYaKjAXdIOFDtZ8+OWzdYzwCRoyPX77pHxWMLROoDn5YraMfmRW +TKTBUTUP7c+YeT5P2p06VAo15jg3G55kzkd9ajRrC+aLGvETfTWJYIuW6Csp +PebzpG9FjWGYj2i+Ed6WWwt5spViv//Xc48T953P6dPQ3aY4heBVmZD4pXO8 +B7P93T1nn6xsgmcLJjYrGtBQcrxHz3R9Hhg0vMCWjFkvj+9r19licHDJ3Cs2 +QzzeFx9yJUziQGWpR5MjZpmVFhqnk8ug+OllcaOYyyJ7BV+5VcLopTEu+09h +FmBPNPTOBuzVojr5p5j9uWZRLLPSCO+3dWzBAZfC4RSPMvsNzLX5C7oLIWXQ +uOH+6zeYnUs09tqnVMNua91mLWPm7/fwP4urxmzyaPd84toaWNRy4cp6Exq6 +5r3mRLt4DBBxmNO5Y/Jf8UzQcwuJ+WFK0x2N6nDw9/4yDmNTXO9Ymlk9X58N +nZxiJpEp8/1JkU1Q5jQNVajuDticVQD0uovjIzFz2awFThzh8P5VZdefp5nv +7xR7oHvejIaG3T/JjujfBTn9ulv7MG+xMp4UPlgNBW4+49htznw/QNrmTSrm +bY6RW6Y1M+D3x3Nw6Rmc346uE7gpUwHtvDwTnM4w35/YxnV1HPOPo/uRrmUs +1BqPOXHoLI4XTyWHr7vlwWZ/nDUxK5w8WPhmvgLK7ihZutqChiQW2xe22FTA +1JfKb29hdg4TWulvWgJ5gx60vMe881T9y77TOcBL6USizjl8XxTweix2OQXQ +3j67Wof5Vs34Y5EzCcAy3OakqCU+f7+VeQMiisCTvfPbAjF7C2sIJ1TehWrT +npxzlsz+1sZwvTO1wvWhT9JT3d5cKH0otvU+5hmuT7yzZXEwntiUtO08DclV +BEmjmGi4LKnkWgxm/bMfr7G/qIQ3NFT0/mDW5ohbOV7WCGfmH8jaWOPz/MnS +/m98HDRLP7FsEPPtsz6dml1FsE/7+TvlCzTEoRP/3G64HO5btEEZmJ9Nm12e +7MuAZTnzSVw2+P5pzHfPgb0Uiurddrtiw+xvxB8u/eeYOS9e6Ja9mwGXFMVu +V7PF9WzakMuBlEJwxUiMqxSzS6GX8E/eSviOtfS9gB2Oh5ftTLnG0oBhuUrb +bcynXqd5moSXwk6zjuSPdv8Vz5advH7SnoaW2aiqljcmwYKa5/qN9v+p969d +le3MAcKWtnLiDrh+0jNb+PMtHAat+MIVjLlxvPnN07FG+Kvx9ocvmLsVuc4L +fI8Cjjbc7eYXcf4RvXxGFNcDL1fFpXRiLk1y/dv9PhPqIjF3OUdm++hiqUE8 +5p8h7Fbm0hVQQWiPPMslHG92dUh8lSuGmR0d3PaY/y78YRGOyYarXE5ODWM2 +mU6GH30zoN+GF+2ql/F9IL6T9ZZzI1zosU3NxvzaoTlYf1kAuOD2xX25Ew0N +DOuLaGzOh2PiXobXMG/pTHV5yxsOjg5yK7zCHCtttme9YzBsuBXHc9SZhq4v +f3EkqDoSbJER/1ju/J/7dfbTVVQOTBopvS/kQkPux4f4WFMLAY/PnjQfzEcH +vq9vFU4HHts7b8xg5vUI2vfxVyYgJ06eMriC42PY3pFts6XAIuCFAsT8ke3C +twfHM8CQot1yKVd8fhVu7UuYLwCHXn/5GIo5pjQuWU08EVQFez34hlnXYbFk +uCkPSKjwpFtcxfXU/siALPEcEPM+7mY35hW7HruYSWcCjkhxox3X8P3GTk0x +aVUFcNtXtiMJ8/LVqSV7P2WCj5/2rGBzw/VmkfHWzMg8YBLXOX0Rc9LaaVl7 +wwzQc1ivYwRz2fc3AsMny4Eq9SJ933V8P323tXxvYxooTra7lYf5Ue9Q5k23 +LLD+2IIRnzsNLf4Z4i8wTAOhC16K7piVebTUQxdLwN8MHt43mPuyLxzqfREH +nHTiP2neoCFN9c7guuIMMPlDvLMK8yfVsgv7eHKAXl5ZhshNHP8nvY3EDULB +A31VD3/MHq9ND6mlZYJd/zqNCcxlpl05xtFlILdYb6fRLTw/1e7+asr5QNDk +JS/CfMDGT9xwPhXcZbef2exBQ/KjMqqSQflgsWKhMwLzARH2F4kv8oD9Ge/M +H5i3WIRY9Mhmgmdcyz2tPHH8e2zg2xKeAbTr4k0eYQ7y97H5GZ8OoJXErl23 +acjzl1TMG+ccsJ2vnC8V873f8/6X1fJAWrPqZw4vXI/3Pt7r2p8G+Oy6ui5j +Vu4SGI5XTgHea/SznmJ+XfLNRpAIBHNtLz0PeuP7Y6X4crV9+cDqkr1pIeZ9 +GraV1bj+fCL8ddcqHxpafYUjynUqG6h1ea+8hflMVsrY7dJ8UHtl+ew7zAHT +X417gouBtGjCw+O+eD+rmF58diwPJDySyK7F/M75j7/++hzA5V5+e6MfDUnv +f57uppcDbkruPX0Xs9DfKpEyz2zweahrNw3znMn7/VZXs4C5pz6/qT/eXyuD +L1idiQT9W17NtmPebZh5hScmGuwfs+/eegffvwndyJe5haDc92t2NOZw2w7/ +53EZYJO8j9dvzNU9I+m+fpkg8vlyswsBON9rbRjr00wHrIEJSgOYm+S+2UxX +ZQDXXZKrlO/i/DYmkGKA49v7yXIiHXPpgXTVAd1icCp0b8+yQBr6tjS/TXl7 +Eeja8zDHBXOA6snP3mZhQHlK3/sZZrbn2U5WDuWgIOqV2ZF7eH3OLapKx2YA +4QMOyiWYQ1exDf9QrARBn7+uEgiiIcci5ev1asXgV7wP6Yn5xZiJpKtgOrik +tqJ3CjOve0EkOVQEXtESck8E09Dzj/yeFTsLwIlUSZ8GzDcLp0Y2/80AbZoV +5mIhuJ6s+zox8j0f7Pi2VyUIMzVf/kkxPBtkZT1c/QXzqeyWiWLif5q673gu +v/dx4A2lrUiS0i4a2vuIpKIhLaJBSUVSqbQXDUrZe6+XPV72uLmMKGmKSklF +lDTctxJCv+v9Oaef75/X48X9uu9zn3Od63g/31dORGbjlh87bjfB6geFY1XF +EeTqn+oHdzEeJeUZJ785ivyKMBfNvIP1k5N+o88nEdm/teWKB8YuK1vzzVQT +ycseNrv+Yjz+8tZ7slVRRCtu8JKDjk1g1f7E9vE5b5Jp4D38GcZvhs4j2kfj +yLS+k5uWOOHnxhLnjseGE9+kxNIQjH/8ONpwvyqIDDIiEQOdcX/5cna03NlA +cmHgfZsTGA9rUE3s3R5Ovqdv2f0W4/zzJY3OrZ7EaN+7Jatd8Lx0qe+SgENi +8nToIdkEjDdIt+tvWedNNHJamuRcm2DczvoXuY3BJNnM5uFljOe/Xu9UPyuR +TBoxJLIB44evNdWXqfkS9wJv281uTZCkvie6b4eI9D0y2Sgb4/N2K5ZEhrmT +UwripZPcmyBDpmOAWV48+XyPjLiN8dRptet2vvYnBifu878wnt8kIYx7KSYP +xm19tNsD78/h6NO3M6LJskfvIu9hvP/IoDljWiJI7JlDV2d7NsEEt6YZYpVQ +MmbKbyNvjF2qL87WUwgmd8pslvXywvPf6Gi3gV7B5O/FIXKHMLaSzvMfs8WX +HJ3uIzz3+q9eb24bNE9EPryc/Jh4N8Hk+jlng+/4kc1XxVHhGFsNWPlY3zmO +FM5WvTbEpwmuudbPPTsigsx/e9/4FMZd+rG6jieiSLj9VvIe474hhmZdb9yJ +3ML3ctq+TaD7pWyi3qggYldzqFmM8azUkcabPiWStju/H4/yw/qkbdH51Kdx +xHyZbbQtxipBm0V6ErHkzach179ibHvrC1EviSDr3Xz2bPNvghOl72y954hI +jvoU1VyMG1W2m+bv8CAq38QjpwbgfCY9NCtWuZNAb9WfjhhLjpM7VPvVjwxd +XfKkFeOgA7GnPGz8yBVha8yeQHxfSuKwbdKhRAh4f/0BxvVB14/P3BBPTNZZ +7J0XhPdfG39d8VEkKf/9W9UP4/3nxmzVbAwlq8Js5fsEY3689eH0jSEBJE1X +6tdhjF0GfX9X4xBJpnb6PH2Bcdqw03PEeV7EK2pKrFpIEyScWDLoQm4g6a+X +dCMS4/0Fy7cGrwomZ3stNxkWis8DuVL7L0eRxviS5WcxfhQ8J7PhdhTZtWPb +qFqM78WGuJT+CSKPJT/8WheG86ep30Wlb8FELcXiWQrGl8lDrR7ng0iicWvs +mHCc//3TLmkP8SfjB1+1u46xyTUv8VBxJHHJlNr3A2OJDWkP+u0Skd77fdW2 +i3C+rjHZ+assnpyQnqqQj7HfIqIPNyJIXW5Si3IEnl9Va6/s3BtH9A4tL3PB +OPFSISgt9yP35B7E/cH4+R3X8fpzA8jiu9vs90U2weHYHYdft0aTqKMf9j3C +uEbnqn72pkAyasxh9YVReL5Qt+x8fyaQ3CppVQjEeOHusY+qJMJJx8mrvyWj +sd6QFq3xmhtFDk8Y+vwoxmsd5ewGbfAh1Y994ysxth3xuWba7Fiy8dzUmxox +OF5BDy0kQkQkb2qyaQzGWyoUnRvfhZC55ctXDI/F89gXm623AoNJ6OUHoy9g +fCjebL5lSRQZPlOvtQ5jD5e/p0KPism1yg/PdeKaoOxM7uk9NbGk5drhhHSM +5w+cKPNtcwQ5MLft5rh4XM+Oe8tWjg8kr6qv7rfH2GJPsWGFZiTRvjVUQ8C4 +/ZF8xtvVYSRrkd+YHQlY3xIXlb7TEsn0j1PbCjEeOs27T/6yYOLnlFw+I7EJ +XposObDeO5IMVlVLdMfY1OWhoWNFJLnY8OBWF8YF32dxYYUu5Ie73oEDYqy/ +nHfJ6He6EmONGo2nGKeOWmsboBxEnn0/rLgkqQmMxu3vOITvQ8O3rS0YY23t +y55bJ0aR5DXXKgYkYz6/dLUiEKLJpJ9DxccxnrW//YOZTjhxD/JzqMJYZ56V +1NSP0URyg9LBVSlNYPnqmdyV5Hhyui15ZTzG1/23LRkkH04awtXGyqViPn55 +fHNUbSwx3FzafgljpY7XR1PHRZHSLr0XnzEm/H17O8kgQmJqxJvSmuDpArOl +NsFhJE7f8nYWxp0mP6DdNZQoSrQfnJjeBEuXuNsuNwwijonXNB0wfv58g+1c +v0jSY9ewcb8w9jua1Pa2KZYc6+//Z1cGjt8miYR3HXGkJlXpZTHG4t6m0xyL +E8mWvSlJszKxnrj9K1JWO5jcHaJ+xwvjdWF7VxVvFZEF2aVmPbPwPLMy4Pjl +xFgiOqC/yhzjefYinR4Xwojc8NpxzzG+bfR+7+MhkcQuz7JjWTbWW38qXjiM +DydtFu0vwzBueTs8zPhUBDGXv548mGsCrVTrwuE6IaSqaJijNcZ91pkVjlwT +TDZY+Zu/w1gmZ1ej9A8XkquovForB8f72bh5UplhZFZpyngxxvuLlC4H5oeS +oFPqnfK5WP8dcQ29WBFGhk16+MoG46mHA3cPO+pNbJ7qpzRivHeOs9arnyGk ++Xyt41ZoAilND1PLOXFkn/KRQzkYTz/eq8R6ZQipqGhfPSUP898AC6c9HxLI +apvrExwxtkuTMjjrlkDSVaS7fmPc6nYyy1Uzmii98a80zsfz7MQyB33/COJ9 +Qzm1BOMeAbt9552NJAPmpzrNLcDnTZZqf2vtR869V7fwxfio7+/3pVsDyVeH +h2skCjE/O2ww2zgsiOxasn3iYYzTQvIT82+Ek8d1tV0VGF/8u+LXuPYoouZy +5PXyuzh/RxGJCt9QIl7+JzUC4+NGJ10V8wPIhMbrzkOLcH3dOnsh44s/cfWU +PnwG43HDM7fIjY0mEpoBWjUYS+q4boqYHU5ONilPWlfcBEXj766U3uRK6v1S +/yZjvCDZX7fnIRHR117xZvQ9XI/lj1/JuEWS+78epl3D+KBJtuv6vFCyJGS7 +y3eMidnEx6IVkSRa5+Nh/ftN8OTngZppW8KJwp8j2nkYFywxGjVweBBxiPgz +SbmkCT5rhi6Ibo4hnVtu9HDB+Fi/xuQ788OJZQ+ZqnaMTwRI9HpaH0TexQak +mzzA5y1Y5a1lE0F0Daa5PsRYNNthYVadiOT3SbNcUIr7Q21dzrsLmM+SVqwN +wLiXu3ypMMCZhO5+NFnyIdaPhtkDLmu7k+EDDXoexdgrbmfnF9cAcj39Y9Ur +jOf7Hc++fsWD/DY5mrHiUROkuM97HmEZTg4O7XCNxthZpTP64/5YUsndOCLz +GM9fXlW/q/MiyVozmXXnMd534tIm77fOJFs2cEodxnPeWh0UFgeTGQXTeuk8 +wfmkdTfv+KNw4m+Z9jYNY42kD0Y9rsSQIQoamWOfNsEX7RtFI0eJyKV7j9zs +MC5wmlGUOj+KNB03OMpjHDl+r8WdET5kz7i6dYbP8DwQGz58So+bpOzh0amF +GBvnDf5aKxNEVp7p6DWjrAnm3t6puD4phKRMtqt2w3jvxarUZ5oBZHKZTFYn +xoOXS46RsY4iHhcD3fc/b4KOlsv9/8tXktOnH3uCsQr3V3JH/xBy+mXa+sXl +uB/09hQZKnqSBlsNpWCMHT4nT6hXCyM7Zj/uPaAC57dPxuNpu+PJwyqDd1YY +r9qxLJvUxhBV+7qsNxg7Vpup6j0JJ/ELjnlovsB6Q++P7LudQWRsTcexOIx9 +96gPljscTZzu2G0Y8RLn+4vQnH4LgknPZcOVL2Gs2CdaGKHvQaw+BUp8xrhH +hOSbP0phpNZ1+nvdV03woaHE6l56JNmqnp6difFf39IbLTgfi75qeE6obAL/ +nOCsJVPCyULvx1a3MDaQTKk073QmEasMdX5iHH/V+fGjYn8yUqhT3vW6CeQO +7Sleq+tE7AOO9SnGuN1U0rUV97/2tZ3vVd40gU3eSSdJtRBy6Lcd54lxReKC +PtqPI0hV6HCvHlX4/WOOSy7v7UU26AYdN8N47cKcpt5LEklux/SNZRh72dV6 +K6p6k1lR6dOWvcX6Qq/c/+YmEQnetrJvGMbmxMxx77kQIt3ryYdB1U2guaBk +vNhOTGzjDXNOYpwdlO2irx1BfhrWe1Vj/OukZ7bp0ABiKml1Ys07fN/nY2zG +8qHkRXLnxkSMQ2cunfCuPZKsMbafLv8e13tX0rcJvTxIxiBZSRuMJa/eeqej +4kmUM4NqvmA8iPN7MO5wCPExnZG75QPuf4WXpPNWepGB0hneHMaJvTn/se8d +yfnclScn12C9d7S9z7z+weSb+RPdOxifV1a4OfFcNNktt2PGb4z7T7i5/mqk +F3lSWC9pXNsE1gOvDPLL8ybqR61q72N8uF42fsSFWCIe3ZU75yOeJ4XMkUmp +jmRCib2PD8buVo5Nfo+iiOtJWevedU2gf0BGeu3+CCIxIXiTBcZth6Jjd7hE +E+vHM2ZWYLxoxPxmhXli8ulsRr/l9Vg/1Q5f+XpFMNk+VfOjCGP1jhGHE5PD +ScnzJyD1CfePAK9Hnx1EZOnlHb6nMTa278vrrhCRmBmfrD9g7GHZcv/0LH8y +utJq89rPuN6nbClQ3C8it691zUzG2Fqz7f2u6Ymka87N/qMbmmCE5HWNh9MT +yJFq2bqrGE+9/3Bf6rkw8v5mcN43jAe1bTcZdjqIbFo000/vSxO86vtaNXrP +HVJQm3EKMO4aVrRr4Ek/Ms9Jc4tSYxNwPbScbUq9SRh5quKMcZY56eV9xZnI +NuwY0I6x0YJnQ3TVfch19091e79ivkmQH2DzKIT8XnE8vxRjT/u4nruORJKD +37v85n/D/b42aPkzrE8rfW6e9sd42ez2eg+/ULJuzYitfb/j/BbfTfK+5EG4 +5uBZRzD+sL/txi7VIDIzaObAVxhPCs+3sXAKJgHrM+vVf2D9c3/ZECe3aCLV +plkQhbFK3IXG/qeiyOXwp/7STbjenaZusRzkTPhNO8+cw9go38Rli2Qk2dv1 +aetHjG+vWnT950Iv8jz6+OwNPJ6fQ11l9i53JJr6fwemYaxa/mie6HUMSe19 +65Oi0ASzdVRX11R4kSmJIwpvYGzro/LVMCaaeO4MCWjC+NPUlSpDLYNJv/4q +Zw2am6By7fN9zUYR5Exq5rYCjD9Vl+q91xWRL3tWzZn+swmW1AoPSUko2THk +2SA3jE8umXZgU14weZi183MHxj1VnHMUiyOI6oHPhaa/muBAy4DjFvGYz2RO +BD7GOBce1kZqh5BxeX/PLmrB9dipJ6sRKybOFrf0gjBWdPtdM5l3I73k5eb2 +/437u7HTaK41hBwvChlshfGOnX/ejr8bQj4eU2l4jfHZhEVtVi8DyTbFrLsr +W3E/rvp03md7MCl+sCooFuPR7crVCztDyKJTz87JtjXB69IEmx2LnUnkxF36 +FzF+KL3WaZNGHJF/+nnuJ4xvGKhFGZeIyM3zJ4botmP9Msd2b7BsJPmj1ONL +BsYrXRO/gZ4nsai4VTT+TxPc3DBS6UBGFHl7RS74Jsay3PAUbrYf0VEJPd+M +cbmxKcw+4EPgtcr2nR2YH7YVR2zOiCazb2TNK8J4TeKhiJhNcSR43moplU58 +/+njVqw/5kyk3z/74oHxyoM+r3+/iSG2DruK/2J8eMhO+6XjPMivxQ3BB7vw +fX37Kal4K57srztx4RnGDZVD3DJHhZCXzj0Mlv5tgjPa+tJuNq5Ea7nD/FCM +lVuPSrl+DSTUw/HQePz9AjXZUEI9HA/7rh2cva4jhlAPx8PHgYMHyk4PYx6O +h/7mh/1ELh6EejgeHmmabHs43IdQD8eDkYPm8JxCEaEejgeFh0Kb9uhIQj0c +D8k99ft8+ShiHo4Hrn9j+tISZ+bheDBrXj9h65YAQj0cD9b+blLTfMKYh+Ph +ti/3xCf8DvNwPPjd2L6vKs6bUA/Hw2vldrWlDkGEejgeBiUUPN3sGUKoh+Mh +vCXp8vkLwYR6OB6E92P8YsL8mYfD651JvHqzOJh5OB5Mbly/YTkgklAPx8Op +GIOWs4VxhHo4HuKdW3THcmGEejgest4McH06PoxQD8eD866axS9mRBPq4XgY +Mzx16dtFcczD8dBRFaSuPSOGUA/Hw48lzXYlJ6MJ9XA81FxQl88aFkKoh+Mh +d5Vhk/IWb+bhePis995GxzScUA/Hw7p7i4rn5XsR6uF4KNVUy8lUDCPUw/Hg +uMZJb5KUA6EeDp9X/Zr6kInBhHo4How/LbM5ddOfUA+H49ev6E2jeSShHo6H +Pu4e5itXuxHq4XiIKTCLLp0TRKiH4yG25MzQSTXRhHo4HjqVRKcvrgtnHo6H +Z1o1luVaoYR6OB5upJRP1X/sS6iH46HZVOrJQutY5uF48JZtvLCnXkSoh+MB +HjR96SQ+hHo4/Hn1/L9LMyII9XA8BJdt76wLjiXUw/Ewa8Lo761FwczD4Xxq +T30kbx9KqIfjob59qPbzcx6EejgeArzixsluSiDUw/FgWjJE4+MNB0I9HA+t +icfUWtPjCPVwPMjdSTsnZxJNqIfjYf/trcd0G8MI9XA8nHf2Cb18PpxQD8eD +140vtZH9w5mH4+Gh2+mdw/tGMQ+H82nR+jU68tGEejgeJkn96bfvbzShHg7H +96F7hUt5IKEejof7ZxTFs0eHEOrheHjx8oHNzdhYQj0cDzZnBtiWuIcQ6uF4 +WFvXr8eXc6HMw+F8OJFjYoznKerheJjx7XOTTh835uF42DjrUvHVIj9CPRwP +1b7KwsVdgYR6OB6SXo69KDM4ink4HpY9cpG1GSom1MPxoPP9ygznj5GEejge +fgsrtNdpBxDq4Xisr+OnzWq5SaiH46FC6ZlJTFsIoR6Oh0M97EMnzIxiHg5/ +/8aZsWt0wwn1cDxsf2Xb3oLnL+rheHDvU1YyBPM39XA8fA9Pr7fC90s9HA8R +vdL9ffqICfVwPAyMFG6Y7Ykh1MPhejjiZedRJWIejod20T615TURhHo4HvK3 +Fu246B9OqIfjIXroAcU03oVQD8dD7+H1p8d5+RLq4Xio/eD44dchP0I9HA9L +/rxxNg6NYR4O38fLkPFbCkMI9XA8jHX6sdv6SSChHo6HC/MO7tiU6U6oh8P5 +PO3iiZYUX+bheMi5Yff1snwYoR6Oh4uNq12PdEUT6uF4WNH6Uuaqqi/zcDx8 +cnubV6wexDwczie7bQZWezwI9XA8zIwxHnswJpx5OB6O/cxp+z0gnnk4vN63 +tpU/65wJ9XCYT21Llwb/CmEejofNZ2w7NBWdCPVwPAzxqfFc8t6dUA/Hw5NP +OmPcNwYxD8fD9coQz1BRCKEeDsfP0KB2/Ht/Qj0cDxO2m5+sEIcQ6uF4OJcn +NdvFPJRQD4fr69lgJeuP8YR6OB7Ea080zz3tTaiH4yF776UrgSf+eTgedm9q +yalbH02oh8Pv+2qW+svXg3k4HtQWK95/vTyUUA/Hw9VJA3QlIIhQD4f5sTDo +wL2J/oR6ONwP5GV6Fai4MA+H+1fjx7xhwX6EejhcDz3c/zy+FUyoh+Nhw+Zp +sh4hwYR6ONxvDTde1ZYSEerhcP6ZL87MvhZAqIfD9dDqsrAez8/Uw2H+JDqu +tYIHoR4O81H7ct/ghGBCPRwP791OJJ3yCyLUw/EwUnHPi7PysYR6OB7aYvpf +c18bR6iH42HngN0/njfEMQ+H+2dAea3l8RDm4XC/1fJKc14VxjwcrrdO6+f9 +9sUQ6uF4qBR9+znlUiihHg7vX7LXynqtREI9HA/vRvCnvp7yI9TD4XpcIbro +5JRIqIfjodw067LxdT/m4TB/x98Sbd0QxDwcDz+l1r1SfxtLqIfjwcpr4qL3 +kjGEejge/CefDP9SF0Koh+Mh5Xz929NloczD4fWPlyd63gwj1MNhvQNhDs2W +YkI9HA+qKaZBJEdEqIfD/eHA0AcyeiLm4XgILJ44zrpXLPNwPMg0u0XMMvEi +1MPx8OdFhVT9mQhCPRwPTd9H9Ap6EM48HK7HuMgm0VpfQj0c7i/K65aaGUQQ +6uEwHwUvajgrFUWoh8P9/srDJ4/GRBHq4fD7suVM3X8HEerheLjz6/ztYRrR +hHo4Hpxe2irMTgsl1MPxwA9criyrGs08HA+extn3p3NRhHo4vJ8h6cuCZ7gR +6uEwPyVstNt7xYl5OB7e6s49+awynHk4HrZtM+8XuT6BUA/Hg1TKxqrPvu6E +eji8/3MqdU+f+RLq4XB+GRxoCNkUS6iH4yHDstq0I92PUA+H+c1krtyi7zGE +ejgcv8vp/R9WBxHq4TA/lMXP6vPFl3k4rKemXN9efFBEqIfj4Vt5nP+j7bHM +w/GgXvRljHpyHKEeDvcTpUmuQmYo83A8yEpfsnwjhfnsfx4O14OH2YG2/DBC +PRy+X6971uNuBBPq4XiQHzf85qIiL0I9HA9Hi9SKh+pEEurhcP6uk24tmS4i +1MPh/rg27fT4llBCPRwPmTlFRYaKQczDYX63aVx9dWg883A8XJ45sNnRyZV5 +OPy8PKP5vIUn83D4fdrGc6LCo5mH42FNY9DLt5pBhHo4zB/xx2cHDvEj1MPh ++j+S/OzahjuEejjMP2fSxSW5wYR6OKyPQlNKh5QFE+rheOi3TDNMy8uNeTic +X4ZPVaXnRhHq4XB/MGsz+l6dSKiHw/324MncNSHRhHo4rBd2V/mk8QmEejge +Dof/+nVLIYpQD8fD8y+X1lgGiJmH42Gir4VCn0NRhHo4zPfRP/uvPuhBqIfD +/DBvoL78Rk9CPRzmj/WSdQUJMYR6OKxvd32Y5TrZm1APx8O8FKlLwydGMg/H +wwO5Y6NX7IlkHg7fX9uGSd+l45mHw/Vhpec2vW8EoR6OB9faFapVV2MI9XA8 +PL01ZPOBVg/m4XB8dVrHLhqaSKiH4+GgoDBlvFcAoR6OB02n4t8rpoUT6uFw +fzEbEWsYFE6oh8P9KGjJufPbwgn1cJi/uWRJmxH+hHo4Hr4+3hG/MjyCeTjc +3947r7ZdEkmoh+PhQFmgcXxrKPNwWJ90HPJv3hlFqIfD8UvWULP9Hkqoh+Nh +vd6k+kOhvszD8aDSeeHVU5kkQj0c5pMxTyVuLIki1MNhPZ/8VVHqnDvzcLhf +H/VzikiJJdTD8TC3sE/VvekhhHo4PI88HKncJZFAqIfjIdRicplFVCShHo6H +xMNT7r1SiSbUw+F5aVVEyoKMUEI9HNZPkdu5FcMSCPVwWF+EK8eopwcS6uGw +njGWvnKzJpFQD4frdeOgz41/ggj1cDw0xB8gvw77MQ/Hw9l22z8S328xD4f5 +5U/6d4/ekczD8XB6YKH9L31P5uFwfuzp/W6tczihHg7H97Zt1pr7PoR6OFz/ +/cH8zKpwQj0c1tuDa6+lJ4US6uHwfHgle3Lo7QhCPRy+75xdp+OURIR6OB4W +1VnorNwYSKiH4//7/0H67h8TTKiH42Hq99P5MljfUw+H91MvV+/QEEOoh+Mh +76LSxwHNCYR6OB6I7Ik/5i88CPVwOD/GHbvfVy6cUA+H+/HFoVL79X0I9XD4 ++aHRy+b9SGQeDp/fYHGneVcEoR6Ohx7hKZeMF8QyD8fDq8e3VQKckwj1cHi+ +OjMmY8PQKEI9HObvoT10TXaHEerheFjoHWz/oV3EPBzOv4qqE3/OhxHq4XhY +Vdqe+eRCPKEejoeW6UeOOavHMA/Hg6+k52KLxZGEejgeJIYHZm+1jCXUw+H8 +LCo7TrA+ph4O89HR7aMv/U0k1MNhvSk+NPb30DBCPRzOb6OfO4eIogn1cDxM +Mb1W5agXQaiH+6/+bJ0ZEORKqIfD/SO8IdLG3o15OHz/hb02nJFPINTD4fxR +3iy+5uDNPBzO70MSwe6LEgn1cFg/aIzlVfuEMg+H++MPy04Pj3BCPRy+rysa +Zqs5R+bheNjbXnApKC2eeThc71cmfZbyi2YeDtdfdvwCMx1/5uF4mD2n/mRf +5wjm4Xi4tGWgQnpZFPNwPGhlPl8w5HoY83D4fQscJEw4d+bhsF6VcRg0wNuD +eTisRzenaFus+ufhMP+0Hd5+QiGeeTj8/VLX6/u++jIPh/XQ5FIzk4PhzMPh +/HEePmNPiCfzcFif2qqpHc+JZh4Oz2P61yw+uIUxD8fDHC29D8rfEpiH4yHo +lJvFOd1Q5uFwfw9qMB6aF8w8HOb3EjvlBDyvUw/Hg+TKZLmOmgTm4XD/cr+s +cfm2G/NwPBgt3rT1x8gw5uF4uFJza8oA1X8ejgf9d8Ep9qrezMPx8OuYYpDm +80Dm4XA8OvOqZ70MYh4O88flyCsnMyKZh+PhzQ6DnbVSgczDYT3oVpI/5nEM +83A4n+aOf7hCJ455ONw/jcfptX4XMw+H9f3PrQcy34YzD4fff9CyXDJezDwc +D+nhLxylpiYwD4f7h9UCiRU5iczD4fn542PDMbJBzMPh/ntF6UzQumjm4XjY +OvlBr7uNIczD8bClaMr2et9I5uGw/jUUNs38Hsw8HA+lPYWKGebhzMPh91t8 +32ttEMs8HA+6YcLosX6OzMPheJzcUjXGwYV5OB6K7ms/6VzuxjwcD6aqGhnj +XYOYh+PhlrKadUDPQObheFBU77lz/exw5uF4SLAtMd7nG8Q8HA99lL4UnR7r +xTwcD8WFLmYnFJOYh8PxPrRPvmKfiHk4HmZovCntPSiMeTh8/sGHpku5ipiH +w3zzYmpllWEg83BYD5cW97GelMg8HI7nyOW7HVcnMQ/Hw5jOttUn7scyD8eD +T59dO7+tCmEeDtdH1IqthpJxzMPh/BwVFrdrZhzzcHi+FxIk+R2xzMNhvt4w +/sw83WDm4Xhws9DroWefwDwc5qvkS/f8xycyD4fzY4rbEiWjBObheFi3X313 +5Hl35uEwf39fNDjEW8Q8HO4f5OJqdVEc83C4HxaoZ+tfETEPx8OXBSd9jQIj +mIfjoXrAFveUolDm4XgoW7/w7GiZUObheLCtkDzq3xjGPBwPdi9zj52dE888 +HA9H6qxXJLRGMg+H5webyMqaiFDm4Xiourdq7CL/cObhsP5SnPRbZ0AE83C4 +P+orTV3aFMI8HM4P+dC3icXRzMPxMFSIFg9dHM48HA9LRNfF6x5EMg/Hw/Q1 +p2+/loplHo6H4cnrHg36G8E8HObfv9eOh8kmMg/HQ93x5bVZA+KYh+NhT+63 +ZV9NRMzDYT1ytKRkzwYX5uEwv26vMpo+0ot5OB66wg5dX4HnA+rheIgqqFkz +C9839XCYfzoKL3jt9mAejof9Nx5plhyIYR4O97/L0UOGyYUyD8dDz5deHy2e +xzAPx4O0+ibX9cE+zMPh/hvh73i2KYZ5OFwPjo0RZzrDmYfD+lzx97BR//ne +/3k4HnJDb2me+PbPw+H8f/s25NWoOObheBixSPNdubMX83CYLz5s2cXnhDMP +h/v7+cldmfujmYfj4e8yrYfOx3yZh8P9MOHDvJtyCczD4Xodo32lc1Yk83D4 +/Wnea1P+RDIPx8OQydNsF8tFMw+H82ncx4RFmK+ph8Pz1Grr4b76YczD4fm3 +zmTetKhY5uFwPAws++nh/k89HA/DMl1snKbFMg/HQ5zykwz9zGDm4XjIuX7p ++YJGP+bh8HxxvmDDWMkQ5uFwPlkUfDzpGMw8HA8h+40Gaw3xYB6Oh499Wq31 ++jowD4fnRaexxXpDkpmHw/Ho0fquZp8j83A8WF18VzsM8yv1cFhfJFyPuqQe +xTwc1v8e/fx+lEYyD8eDhZmtzzG7eObh8DxvFNm0Z6eIeTh83h9mnno9E5iH +w3yh6XJEzj+MeTg879xemZ22Q8w8HA/mF5efHbwzmHk4XN9XM3xUC9yYh8Pz +5vRn+8rEIczD8TBf182x59Qg5uGwXjpslt72yYV5ODxvlSxQSD4Zxjwc//// +fwPq4XhIi/DZ0ZHwz8PxoLf9iEbjsEjm4XC/eJtxYaa/D/NwmI/vSNxeauHD +PBwPZ1rFARqFsczD8dA/oPTi/FlRzMPhes7zXHrUNYJ5OJy/Jjpre7dFMA+H +891gsWVqXALzcHge29DToc+6JObhcL0uPzTsflUI83C4X2rssIteGsY8HF6v +0tV9ZqSYeTisX90TzS6t/ufhcPzUfDQHnUxgHg73xxM5BpMKRMzD4Xx+46X9 +DvMX9XA86KyR8jRLEDEPh+/3Ze3AHD8R83CYTxJ1ri10EDEPx0N+gv3h+3j+ +pB6Oh8FGWzRUa2KYh8PzQHrt8ycD/ZiH42HHuY8nu7xcmYfDenPcSIfO40HM +w/Gg4ZRsdXlyBPNwPKQ2Lg006whjHo6Hn/P7yepFRTAPh/vn/uVdXblezMPh +9+vcUxsmdmYejgfICTO6fj6GeTicv4ZzljikhjMPh+f3m1J2FstjmIfDeuGP +S49n6/55OB5unulveXxfFPNweP4fJPVmVU4o83BYj8VPTjwzQcQ8HA+vp4hl +f/wMYR6Oh4fro543VMUxD8fDs9WtRRInRczD8XB5xue4RRdEzMPx0HF458eS +a/88HD5vXHldpRDGPByOt7bM8J9rApiHw/zQLnfj3oQI5uF4cO+aRy7u8GMe +DuvZKXE7XNfGMA+H5yX30PCuJhHzcFgvXylxyHwpZh6OhwX2V6ou7w1gHg7P +0xf0QncPTGAejocPi8/uXqYSyDwczs+fC46OWRnFPBwPHtZitdxF/zwc5r9v +7/i71cHMw2F90JZYdWt0NPNw//19Y9fNln2xzMNhPfJLUnneq3jm4Xjwav4i +/XBFCvNweP/CozCNKfHMw/Ewrk+JzYgjfszD4fu5daLqcLI/83BYz+zavVf3 +YxTzcJhv1b7WWTWGMw+H6+/ogpw1gcHMw+F6enU72f9dPPNwuD9MlUtXux7D +PBzOpyd7qm8uSWYeDus99y1OJ7CeoR4Of37mdykzX2/m4Xh4PLMgfeXBUObh +cH4cdtjyozaEeTgetsuVGAw38GQejoe+B8o0NbWDmYfD89c4rX2/8PxNPRzu +d4n9tJZtjmQejofe38hiv77BzMPh900pqz+mFMQ8HOaDlI6WHzdCmIfjIdxa +1ceqM5Z5OMx3a5LVzJ2imIfD+XSnqLpIM4h5OB46H47Xm+6fyDwcDxf1Dq05 +HRzNPBwPxvd65g2+Esk8HJ4/gm/uTvaJZB4O87/U/T5Wy6OYh8P8u1t++tvb +EczD4fON0DsRMz2UeTisx0JcT7R4hjEPx8PynbZD1h6MZx4O9z+Frwur+7gx +D8fD53tlJY0HYpmHw/NW9JbNjpVBzMPx8L7APTFMypt5OB5ObjhlUPguhHk4 +HkzcMpV3icOZh+PhWum5GJlncczD4XlA18mEk/RjHo6HCxZ7XB0Uo5iHw3p1 +0Zme3qII5uHwPOFxbNyW+mjm4XgQGX4vUrcKYh4O5+t7helJgph5OB64oRek +R1eJmYfD6+8pTNAeFMU8HA+798qcP1MUzTwcDw6qA1QfS6QwD4frffSyRr2C +aObheBilWjJo1qdw5uF4iL82REXvvoh5OFwfM7WsKjLCmIfDeuN2umLswkjm +4bAeGKHbMmaBmHk4PO+6lydH3Y1mHg7vZ/fPfZ9+/PNwuL8uLcu/UhzCPBzW +g7MMjHOrg5iHw/VgF7gooDWOeTjMd6KUKeU+EczD4fe1yyRsDYlgHo4HZYm2 +aQ0lYczD8eDyuOTsRPlk5uFwfAz7eUtnxTAPh/nghNvhQ3J+zMPh+WlnqjHf +lsw8HO4v/IxYrdBg5uFw/xzb795YfN/Uw/FwaemyAm/cr6mHw/UoI/v+0nQx +83B4XmrWs5+3I5B5OJyP5uXWdysjmIfD+rhS0kjfOI55OB58bw/+PLYkmHk4 +HkrkZY60FQYwD4f7S+OoZTqtoczD8RBmGvJXfWc883B4fy5D9PqoJjEPx0Ol +9hrjU+MimYf7r17ZaLVtYgJHPRzmb8dtPrK/gznq4XD//H3dKqhPEkc9HA8R +Pe7W/lZxZx4O6wu/mUEFM5yZh8PzVUafd/PnZ3PUw+H7jd7VtH50Ekc9XHe9 +RD0cvt/6+1f2V4o56uF4aLj4atY0y0SOejisfw/6NYlTPJmH4+HGd11vdYkw +5uFwf2jfUCgoFnLUw3Vfn3o4fL+Os7vCdiQzD4f1YEG13ouUAObhun+eejis +B6fMfHfxUAJHPdx/77fOd0BdFkc9HM7fU7Py76UmctTDdf8+9XBYDxxxuNBv +SAzzcFgfDVbydHTN4aiHw/OO5hkd+dspHPVwPGwWFzTqfMviqIfD/emQUcL3 +fC/m4bBe0h3qd/1iEkc9HA8SW6veLn7uxVEP999575SrXN8sjno4zPeqV3u0 +vE5lHg7zn9beoe3K/hz1cFhPh4Oqkqk383C4/xpGpaQrBnPUw/FgejVoeFff +ZI56ODwPHA7UVuDDOerhsJ7//VW7fZgT83A8TFJdONo9RMQ8HA8tXH3ckzdi +jno4vJ+6k9J9a72Yh+OhSG9i/DLZOI56OB56de0ouGOYyFEPx8PCyA+7+zz3 +5qiHw/ng8sPY7XsU83B4/or/7V5yRcRRD9c9/tTDYf2vtGejvEYg83B4vp6y +jqTuCeWoh+Oh9eZFg4U12Rz1cDzcHfFtQnlAJkc9HM7HHvU3ZppnctTD8bD2 +0sgIVT/gqIfr/j7q4XD/7elseelnMkc9HJ73pHusF5dFMg/X/fPUw+H6UjxX +3feNK0c9HA8GSsNTU6eGcdTDdf889XA8WE7yX+ClnsdRD9f9OfVwPLRrf1g3 +STqVox6Ohzv51+0kdiRz1MNhPgm3+br9mT9HPRzWK+brd/ppZnLUw/3332c/ +b/zw1515OLz+92lnk3/aMw/Hw4lj9cKAL/Ec9XC4/k+q2dxeksJRD4f57Xbe +q+KF+Rz1cN33Rz0cD/2sDq2d2iuUox5OgF1x/Nms2mTWH06AoTdEG+risll/ +OKH738/5n4cTwLh2mPe1olCOejgBTj64tHOcbgzzcALM/yIesCkslqMeTgAN +vsrqbFYO6w/XfT3q4QRIWS/hVW6SyDycAFd+GbpI6v/rDydAqZLG6oyydI56 +OAH8Sr+4DzQTc9TDdV+PerjumHo4AWRzi3r81hex/nAChPYse51dZ8/6wwlg +mtNL2u2OiKMeToCVf19Vfcf8TT1c9/WohxNAfo79Hh/1fNYfToBNioFC8ud/ +/eEEuC7lf964vzfrDydApv3a30Nvh7L+cN3Xox5OAOtLrTe847NYfzgBZm+x +cw3tFLP+cALo+KiIPO5kctTDCXBX59a+jin/PJwAl/X63TY8ksf6wwlwI/qS +lacom/WHE2BY6QbLePjXHw6/vy6sNDWEY/3huu+HejgBFE2t7JaZBrH+cN2f +Uw8nwNRA/VyPua7Mwwmwtl9SwkXzGNYfTgApr36vF89OZf3hun+fejgBqkod +0n3OpbD+cAJYNR6X22rqyfrDCTBxbcy+wMAIjno4ARbYVrdJm7ux/nA4v77P +dNlxJov1hxPgzeGE0wvksll/OAGCJT72XWgWxDycAL7L5u3hfMQc9XAC9NG+ +38/UL4v1hxOgUkH+75pz3qw/nAC9c03i/NZ7Mw8nwBKlnT2eKQay/nACqN7M +1VQozWL94bqfj3o4AZReTeilVpDJUQ8nwK1N+2pWJ4hZfzi8vmNXzdguL456 +OAGq9fXkarQiOOrhBHh6cG5SIGSy/nA4P565BlaNz2b94QRoyQuV/9s7hKMe +Duf3/alKT9ams/5w3fdDPZwADVk7b70q8WIervtz6uEEOFEUe3GegwtHPZwA +y08MuNTXIZOjHk6AIwq6d3drJzIPJ0DGslg7I/8o5uG6r0c9nACJErLjt4QE +s/5wmF/CcxSu7nBhHk6ARZMyNMWD0lh/OAH68uJZEbqJzMPh/NiuJDr0OZb1 +h8N8FBF2b82NBI56OAH6jbIUBQxPZv3hBDjuLzX8+h4n5uEEaLMrL0mN9WL9 +4QT4lfEhQKoRWH84ARxtQo/nTUpj/eG67596OAHsk/om6yZ5Mw+H38999u/T +L5F5OFyfLwoqU9eHMQ8nQEVkzK/KE8kc9XACbI8dZDLgoIj1hxMg3rgjt9H6 +n4cT4Nua9RmVb1I56uEEyPsUvcA3NZb1hxPg/Mkjr8qtE1h/OAHWScrXRRum +sv5wArR7W6V8LU5mHk6A078XXln0IYN5OFwPRsKtFiMP1h+u+/moh8P7H/SE +D7gXwTwczu/1VVKvb/mz/nACNC5q6FwsiuKohxMAZHPSpkRkcNTDCaDgujRk +wytn1h9OgJd9h9nsHFDAUQ8ngG1dH8Nbv0WsP5wAK1bP2rpEHMBRDyfAaOvF +64vxfEA9nADSC01vdqYmMQ8ngMTud8BNTmf94QQ42Lt4YsHEUObhBBh8VdU+ +akQI6w8ngFdca8R3hXzWH04AyxmpkfJfxKw/nACrH8y96aXgxlEPh/Nvy+Nd +zrLRrD9c9/hQD4fxzSp7u6AE5uEECG+fuun4NFeOejic/0vOknsz01h/OMyv +WZqOqdvzOOrhBAhcusF5lFQY6w+H4xWwo6TRIop5OAE2a2+KmnY1j/WHEyCn +9M0vg/Vi5uEEEL2+p68tzmP94QSQW3km3XxlGvNw3fdLPRzON1Wf57x/DOsP +J4BL65Is0z9+zMN1/zz1cN0x9XC4XkzPlStLRzIPJ8DhxJl9JvwUc9TDCXA1 +uF00WiGcox5OAJkpD9q2rfNgHg7n5+3Bq7ROerH+cPi8zuscRl3OYP3hMP9Y +pemWXCjgqIcTwH9B5PbIma7Mwwnwd86bGB2bXI56OFxPB2a8mm1WwFEPJ8Cl +p2rynsaFrD+cANzSHbNlMhI56uFwP9bctmDN3yTm4QRIWvs+wfZoAEc9HO4H +Le6SZ5xiWX84Ac74Oi6euCCMeTgcf8OXzfK2Qaw/HH5f+VXJuXIijno4AdT6 +rV2wqjGNox5OgC/96xr1fuez/nAC1OsOmj7NPp+jHk6AuH3PRZeOZXDUwwmg +ffHl2DdeoczDCXBH30v5ft801h8O643leh2aiTGsP5wAbnUV5447JTIPJ8D4 +4BX18ooRrD8cPq/qnUqFncGsP5wA57ifjVF78lh/OAEO3SpvHeUTx1EPh/M9 +5V1nk0U+6w/X/f6phxMgOmWp1dnLzszDdX9OPZwACZm7RkdLuzEPJ8CBK0da +V9TFs/5wmB+0lo3IseU46uEEiPj4YGTriTDWH04Aw9aRfYfGRzMP13196uEE +2Hc/MqbDOZz1hxMg/9vqnCnJKRz1cFjflFxdXJqZyvrDCfCzRthuZ+zCUQ8n +QJqncb8y2xTWHw7zFxhaH1aO5aiHw/1dt39glLMr83C43xkf/FFoHcFRD9d9 +P9TDYT3yaM55GQkf1h9OgEeRmtVaDbkc9XC4f8z7q2H3Ioh5uO7fpx6uO6Ye +DvNDxXdPcjqPox5OgK8JspM+mHly1MNhPZISO+JvUzRHPRyu//V2L2+9TmIe +DtdTWmfnlMOxrD8c1jPnx9WeWerM+sMJcP/y/Y+9G4JYfzjcH3/+fWmZH876 +w2E+uqWXNHdOAOsPh+OtJatzYBvHUQ+H+VF54+5YgWP94XC/97ssF7I4iPWH +EyB5dYfpSkk31h9OgA+6Sx+L9iWx/nACTOgx0HzIxTvMwwlwdMvMklUleRz1 +cAKMlPvZpm/gwlEPJ0Bd9jWFrrAs5uG6x4t6OAHmuLrtlN2XzfrD4f6ru33s +g9Ag5uEEOLuuc3RcTSLzcDi/tZOekEI/jno4AUpu+h75mpbIUQ+H+ab/kurF +UzNZfzgBzHvEDxrwOZJ5OAE+tZX3n741j6Mervt+qIfrjqmHw3p0vn2RrJ+Y +eTgBwtpMlxRKAesPh/NLYfCXPlH5HPVwApiYLZljoR3N+sPh+xjrMOmUegFH +PRyuD6PgzKedjszD4XrS/zV/9pYQjno4nM+nL5berolh/eEE4BUMQ/O3iznq +4XC8d50zOLylgKMeDutNr5fW+/LTWH84Afr3jdyt0JrNUQ8nwJOLvqqOMwM5 +6uEw321/fUEpOp/1hxNActD6zwNtA5mHE2CS6VCpUo1k5uGwPldOW2zzNIP1 +hxNgoeVzHyMDB+bhBIiSvnXSdW8m6w+H42/98afRPo71hxNgWtocT0EnnvWH +w3xyUL9uxIs05uEw/y+TCS57n8f6w+H1p3f02veqkKMeDuunp45Do66FMA+H +7/vGfLnFDZ7Mw2F9oRVv+TwjiqMeDusbI3HkNuMg1h9OgD9frHrPqU7lqIfD +8+BY/xS9p57Mw+F8euIzvtKqgPWHw3r9U/32G4/us/5wWB+umpqSPKyQ9YfD +8/C2h9X7tPNYfzjMp3dUZ/PBSczD4X7g/8L+xlk31h8Oz6+zXkyaI8pn/eEE +2PPqhsekA77Mw+H7uVv4cnOvRNYf7r/zy5Etv6ILOerhcPwCvavuaIpYfzgB +Zv36NJkoJjEPh/V7x9jXs9vDmIfD8ZM3MTytXcBRD4fr2fTEy8KMFObhcDyN +OmJ3nSzgqIfD+Zj39t6TugTWH657/lMPJwBxUi91b09j/eG6P6ceDs9nmx/4 +3Vway1EPJ0CWRIFV4ORI1h9OgM7Y6v1HRqey/nACLFu9XH0w1svUwwkw1+Jh +r5dpEaw/HK5/Uxk+rX8q6w/X/X3Uw+H4adW+1/2Ty/rDCbChZZt0Wk4O6w8n +QPGBn55ql/M46uFw/T/nB1fOSGH94XB93FWpjf4WzDycAHsnz4xRirrL+sMJ +EGLudUz/WiFHPVz391MPh/kje9SLTZ53OerhcLytW2bPjktkHg7HS1klsqgO +OOrhsH5oCPKy3p/CUQ8nQOvwsHYdqRSOejg83638eKZd3515ONwP5zjvduzn +yTwc1iOaMY9UA7M46uEEEPR12v0Dwjnq4bCeNk9tMWtJYx4O9wP9AJXltqEc +9XD/5+8j//NwOD7+g6fHZ2dx1MPheO4prFkxK4l5OKy3by0NmbAtkaMeToBj +44eZ1urf56iHE0Dxu6KcJx/HUQ+H89MTGtc9TuWoh+v+Purh8H1P3jXyp3sq +Rz2cADbpnIbiynCOejg8/8xcpy+em8Y8HJ63LRT3dK4u5KiHE6Bg9KZePmvz +OerhcP1+GhDgN4XjqIfD+TVW21vJ4C5HPZwA7/hxey46OjAPJ4Cm8WwTL7ts +5uHwfW86a7VqYDxHPZwAysHPYyea3+GohxNAfckPr9tfgjnq4bBevN//yhuN +cObhBEiXNiseqlLMUQ+H83+/V2TSvFCOerju56ceTgAnm2eHw7H+px6u+3Pq +4XD/85SV1TXM56iHw/l6dozSxrdijno4AUZY9eiYMyyTox6u+/eph+uOqYfD ++6v85mKRlcg8HNYb675wL7XFHPVwAkx91EdVHH+fox4O68cPSnFeSXc56uHw +PLd52KOSo9HMw3Vfn3o4rD/y1z7L2Z7MUQ8nQEB0veZizIfUw2E99a2qQv5K +Ekc9nABKldzz9c+yOerhBJiSuvZx1oZEjno4rO/yOypbdMXMwwlwAZ6WhWoA +Rz0cXv9pTYn2xHTm4brvh3o4fJ+9Fk7tv5vjqIcTQOwy7a/J8nSOejgB1vw5 +rj0w2Zd5OAH0PvRYbtm/kKMeToAt4wYPFemlcdTDdV+fejg8P4TIdaYtyuGo +h8PzGamYsnS9iHk4AZqH9Bz22DeLeThcLycmz9gQ5M48nAAqoX16XzvOMQ8n +QFlMyuPc/R4c9XBYH4RoPaxVT2YeToDM3DVh3vJZzMNh/ugaq3QoOI55OFy/ +HXzhEdN//eG675d6ODx/5z4632ISylEP1/059XD//b0radDOtAKOerj/8/fe +/3k4PG8ZdFVE/fZiHq77c+rhMD+FKVnWluVx1MMJ0G4wdcrl9kTm4XD+bTWV +Hnv9n4fDekh854X6j3COerju61EPh/uzXueS5iNJHPVwWL9vHq9TNOwuRz1c +989TD4f1Z574T2a/fI56OAGkrkdMt1fL4KiHE6DjVe6l8X9KOerhun+fejgB +NFb7rVmfns08HObPn7KjpZYnctTDCRDbNinqZcJdjno4PG/pFxTsz07nqIcT +wP3Qy2vX5yYxD4f7p2Fy7Zj4XI56OAEy+HMqV+fncdTDCeD14rNp2ZkYjno4 +AfJOLokQa6Vy1MN13x/1cLh+1JOqYj7GMw8nwCCtv1cicX5SD4f5tFmi4tuy +JObh8PzV+cJhm14JRz0c1oMbypfneuUyD4f7zWoZafN7HEc9nADPX14SkeR0 +jno4AYZbn02OlX3CUQ+H+VbvVFpejwcc9XD4/kort7rmFHLUw+H+Msn/5UGs +F6iHw3ritOv4u31zOOrhup+HejjMN7MOu5qPEHHUw+H1clwWrDlWylEPJ8Di +TtUycyMR83ACjOn34GCrUgFHPZwAs+W+V4IgZh5OALt71i0JfZI46uHw/Oy6 +YnvxvIcc9XBYf8g02itPzuSohxNgZi+5P8bLxBz1cAK8CPN+dzrfjaMeToCN +W0tGVD7z46iHw/PvxBl5ry4GcNTDdT8P9XBY7/tNsRg06hbzcDi+Xlfc+9jG +cNTD4f70y1VLyjeUeTgBzBb/fX52RTLzcN3Xox4O509Dze8Mtacc9XC4H4cZ +CcV98jnq4fD9RJtYvDycwzwc1n/HPFUbios56uEwvxc5CE6ZyRz1cP/n773/ +83CYP0r/dtVPdmEeTgDnH+/i24ancdTDYb4pU/PVqkjhqIfD+Sk70VHtezBH +PRy+jzctPaMM8zjq4bqvTz2cAJs/Weu1aIg56uG6P6ceDveval+jKzfjmIfD +emnCwfo7W/71hxPAY/nPux/nJjMPJ8AOq0kTX7sUcNTDYT1Ya3fg3tUnHPVw +AlQfOOhT5HuXox4Oz6e2tp92v3ViHk6AwsYdE06p5nPUw/2fv/f+z8N1x9TD +CZDmHXNh1ttY5uFwvV3uKbq/O5F5OJxP9lFHBkvGc9TDdf8+9XD4/GNCHm+A +Yo56OAFcdi9csW54DEc9HJ5PJtQ/qNr0z8MJ4PNI02B4RBZHPZwA2yOys8yt +HnHUw2E+PJ4fUu7ylKMeTgCtmZoWd8+IOerhcP6PHfyid14eRz0crg/5qXdE +w2OZh8PPA7r2uCQlMQ8nwLr3urcXrSnkqIcT4Ouc2GNVUkkc9XB4//0lK5O/ +5jMPJ0BTy5ctmTOimIcT4GqNwfd4gyjm4fD5I59+0rqVyTycABbktffThU4c +9XBYP83+MGbbXI6jHg7fh4NXllZUOkc9nAA3b9acOlCZz1EPJ0DfybMPZsy6 +zTycAB8nHzWRmebGPByeb5THbz/akMY8HO735odumSx+ylEPJ8A1v1XzR+Dz +UQ+H+7M2N3ipbgjzcAJYx3+72l8zkXm47vdHPZwAM8aenHvh5FOOejgBxnbo +27abp3PUw2G98FGldvrEaI56OMxXGzpUHgwq4qiHE2D1n4EXXR+WctTD4X6o +ZdWvJDaLox5OgO9RFcofJ8Vy1MMJEJRpPuuL2jOOejgBTv0NKws96c08nAD7 +y6ZFz9bMYx5OgJghnQ3vLUs56uGwvrlcfNAmNo6jHu7//L33fx5OgNpTOjYj +jt3jqIcTIFf9y5uVQ8s56uFwv3y3pizEJJ95OByvUbldAzKyOOrh8Dw82WOM +yoYnHPVwmC+m7i2cbZ/DUQ+H56PAaTe6rj3jqIcTYOfZtusNGgXMwwlwts+8 +7c+eAfNwAuzqf3vX1UulzMMJsMBmgMdIowLm4QQ4kmw2Jj7nPkc9nACe9dOf +6B391x8O852qU99Ld9I56uFwPMd6xTy5ncFRD4f1M+jOkaku5KiHw+d5rGgS +/SKDox5OgLVBzd98TLw46uFwve0csdOpVyrzcAJMOvOiokH0kHk4HM+WTX7J +vtkc9XACOHxxHLZe/p+Hw3ohxX7/X6GEox4O7zcBXilNKOeohxPgdZimbwd+ +Tj0c5g8t78Fqea856uHw+9UPwdvBpRz1cFj/9sjKGeaRz1EPJ0CU3N2r1h8y +OerhMF7maHf1bz5HPZwAl78cXTP7UQBHPRzuF6OCeiunZzAPJ8C9az8PaSSH +ctTD4Xo7f8eAv3SXeTg8f/WPVdgX+oyjHg7Pl1s+9rRp8uaohxPgkuWcrEMu +hRz1cAJ0DQzJm+2WzTwc1kO64xrnVdzjqIcTYNuHrZe/3hMzD4fr9fk8j+R9 +iczD4fNu8xh59lQu83C4/z9yHObqlc1RDyfA1qk7onuZ5HHUw+F4rd87OMwi +h3k4AZZGhncNtC9iHg7P1/FmJz6UP+aoh8N8t/dO6IpjEczDda8H6uEE4E/1 +q7Z0KuSoh8P6e83KGS77c5mHw583kDCDZZnMw2E9k3Rkuun6Mo56OAEORu76 +uW1qBEc9nACWu2Qi7we95KiH6/4+6uFw/auXnjuP75N6OMw/T63tJymVc9TD +CZBaMStuAinmqIfDfKdsbGCuImYeDuuFBY/Gp80u46iHw/z55tbW8uRE5uEE +kD35afIdhZcc9XA4Hjv9Nk40v8s8HMY/Z+77k5LOPBzmr3qttZP2ZTEPh+fh +sS7ycnwZ83ACaPdPFnW1FjAPh+s3Y+oN2f5ZzMMJ0KIw/6CCZj7zcFg/7ZrR +cHtwOfNwAoStcRwpN/0ZRz0c1td9Ez5rDg1iHk6AR58r7UzvF3HUwwnw94+p +Hbf9IUc9HNYbpy80xP1MYx5OgHllg+3EO94zDyfAqpkuYzWvPmUeDt/34vff +1xdUMA8nQHblxc/22yo56uEE6GmiXJ0Z/4CjHg7n9+b358fOqmQeDusNOa0f +01a8YR5OgJS509ZE2Sey/nAC1O83Gr7v6xvm4QRINPfXkb13n3k4nK+778+M +MnjHUQ+H78sh+nr/01nMwwlwp+r04EOGT5mHE+ChkZasYshbjno4nH9S6yum +vErnqIfD8/FlQe5BQSLzcPi+fAdq+xXlsf5wArzc3XOAiXch83ACiCSMZnau +KWb94fDnb0++aNXmxvrDCXDc1+CX08V3HPVwApj6fA/cMKmMox4O6yUJuzfD +4t4xD4frM2PClE36/zwcnu8sv7n3e1XDPBzuB15/A05aP+WohxPAddS+DWce +lDMPJ8DAAUvV7PbXMA8ngGSB78iVKtXMw+H+/KRhysx1WczD4fOO3mM3vl8l +83ACDPC5UDrBPImjHg7PH/1vKITKe7P+cJjfXEbpyPWvYR5OgAZpGdvwY3XM +w+F+8vSX1tqAao56OAECymMmOL9+yDwcXk/tw7y4eZnMw2G9vN1SZfSTx8zD +CXB7QbHt67Zw1h8O7/9br7udL/xZfzisb7wzjkUceco8nADT1S5PeKvzgaMe +Dn8+4InVbvu7zMPh+W2pl7nHtSqOerju/EA9HNazO8n92pUVrD8cnv+zdQbk +Fr1jHk6ANyrj9pRU3WMeDusvhWMTKqXzmYfD87XsN32r+mfMwwnwYP7cRdv6 +vOWohxOgqGlz73EXXjAPh+dls2tWM05+56iHE0BQ2RXff91njno4nE8KZ2Q1 +7MuYh8P6MPHYO68en5iHw/HtFe29s+o9Rz0crr+4zDXDv1YwD4f1vL9CgPfA +Vxz1cN3PSz0cvv+Dvn8UFj5m/eG6P6ceDuen/W7DM8OLWH84rDcUPx07fu8x +83ACXJ/Wq98Y/QaOejh8H3omyb+mfWMeDvONfyVX2NXAPByez4c8tVDdUsw8 +HOZXD/nELsNKjno4AXwdHYpGdzxh/eGaIXp91++xzfdYf7hmmL50bcG7kHrm +4ZrhhVfEohXV7zjq4ZrBOT1LpHznE/NwzeDq7Jgme72O/XupzfBgVHuH7Mlq +1h+uGczGKgUaO71gHq4Z/FrCuaUu+aw/XDPExjivcEqLYR4O74fPVAj/0Mw8 +XDOsM0pa5NoqMA/XDGvjbb1C7N6x/nDNsOp5wOrq4gTWH64Z1CeZvV9r+p79 +e6nNcKBjXdkUn+fMwzXDs1kr4k1Cq5iHa4Zv9zRKG55ms/5wzXD4Q712+8gW +jnq4Zqi19Zhf/bOOox6uGUzEazUC+vxhHq4Zjo+eflAh8xbrD9cMRiNr5E+3 +1DAP1wzWNy44rJjUyjxcMxTvHPfpyLCfHPVwzTBwxbNtLb155uGawT+kZl7P +OW3MwzVDuZJk9Zo9bczDNUPS2TLbE/c+Mw/XDIFXG5bFzqhlHq4ZlkxSyKos +FZiHa4Yc/0KpyGsSOdTDNcP9P3N1k/o2Mg/XDDLNftZ5hwX276U2Q3vu4U9n +kyVzqIdrhvGKD5dcvVjG/r3UZvhkofS+U5pn/15qM0T2LpEtiPjG+sPh+BVV +10X96JVDPVwzvL+ZaDNB3M76wzWD+SvDnnMi+uZQD9cM135slX6v2cw8XDNM +SS9fq/PwL/NwzTDYqaLndtNBOdTDNUP1V9JmvL2R9YdrhsawVeu8K3rmUA+H +1/84JPvCOPkc6uGaodfjaLVcF9kc6uGawfHsefs0pWfMwzXDtG/zJ88+LalK +PVwzdLy/N8/DRVaVerhmuLwhdcUrNYlc6uGawXRicu7I9RK5/w8qDbPw + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Hue[0.1421359549995791, 0.6, 0.6], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + GrayLevel[0], + Dashing[{Small, Small}]], + Line[{{-1.3, 0.}, {0.1, 0.}}]}, "Charting`Private`Tag#3"]}}, + "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + ListPlot, "GroupHighlight" -> False|>|>, + "DynamicHighlight"]], {{}, {}}}, AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], Axes->{False, False}, AxesLabel->{None, None}, AxesOrigin->{-0.8500000000000019, 0}, DisplayFunction->Identity, Epilog->{ + EdgeForm[ + GrayLevel[0]], RGBColor[1, 0, 0], { - PointBox[ + DiskBox[ NCache[{(Rational[-732, 325] Log[ Rational[13, 4]] - (Rational[535824, 105625] Log[ Rational[13, 4]]^2 + (Rational[1464, 169] + @@ -9865,8 +29522,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2])^Rational[-1, 2], - 0}, {-1.1927019496292608`, 0}]], - PointBox[ + 0}, {-1.1927019496292608`, 0}], + Offset[2]], + DiskBox[ NCache[{-(Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -9885,7 +29543,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), 0}, {-0.9056115040444783, - 0}]]}}, + 0}], + Offset[2]]}}, Frame->{{True, True}, {True, True}}, FrameLabel->{{ FormBox[ @@ -9923,51 +29582,52 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== AbsolutePointSize[6], "DefaultPlotStyle" -> { Directive[ RGBColor[0.368417, 0.506779, 0.709798], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.880722, 0.611041, 0.142051], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.560181, 0.691569, 0.194885], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.922526, 0.385626, 0.209179], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.528488, 0.470624, 0.701351], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.772079, 0.431554, 0.102387], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.363898, 0.618501, 0.782349], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[1, 0.75, 0], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.647624, 0.37816, 0.614037], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.571589, 0.586483, 0.], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.915, 0.3325, 0.2125], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.40082222609352647`, 0.5220066643438841, 0.85], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.9728288904374106, 0.621644452187053, 0.07336199581899142], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.736782672705901, 0.358, 0.5030266573755369], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.28026441037696703`, 0.715, 0.4292089322474965], - AbsoluteThickness[1.6]]}, "DomainPadding" -> Scaled[0.02], + AbsoluteThickness[2]]}, "DomainPadding" -> Scaled[0.02], "PointSizeFunction" -> "SmallPointSize", "RangePadding" -> Scaled[0.05], - "OptimizePlotMarkers" -> True, "OptimizePlotMarkers" -> True, + "OptimizePlotMarkers" -> True, "IncludeHighlighting" -> "CurrentSet", + "HighlightStyle" -> Automatic, "OptimizePlotMarkers" -> True, "CoordinatesToolOptions" -> {"DisplayFunction" -> ({ Identity[ Part[#, 1]], @@ -10002,7 +29662,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00007367762342155748301523487167256014`12.359602911792443}, { + 0.00007367762302569534818062616964418574`12.359602909459023}, { Rational[-1, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -10021,7 +29681,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00007367762168187108116500353983195545`12.359602901537821}, { + 0.00007367762168187108116500062441130649`12.359602901537821}, { Rational[-11, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -10040,7 +29700,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00008912996205952914995811703421820709`12.442226613324836}, { + 0.00008912996205952914995811703421819828`12.442226613324836}, { Rational[-3, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -64362,6 +84022,46 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), 5.01736681618155517742722926959185`11.030439346200719*^-6}, { + Rational[-287, 1000] - (Rational[19289664, 2640625] Log[ + Rational[13, 4]] + + Rational[-79152, 105625] (Rational[-1647, 52] + + Rational[732, 25] Log[ + Rational[13, 4]]) + + Rational[-26352, 8125] (Rational[535824, 105625] Log[ + Rational[13, 4]]^2 + (Rational[1464, 169] + + Rational[-38232, 4225] Log[ + Rational[13, 4]]) (Rational[-1647, 52] + + Rational[732, 25] Log[ + Rational[13, 4]]))^Rational[1, 2])^Rational[-1, 2] ( + Rational[732, 325] Log[ + Rational[13, 4]] - (Rational[535824, 105625] Log[ + Rational[13, 4]]^2 + (Rational[1464, 169] + + Rational[-38232, 4225] Log[ + Rational[13, 4]]) (Rational[-1647, 52] + + Rational[732, 25] Log[ + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.9072779775390178998515246863`7.101350901354929*^-10}, { + Rational[-2869, 10000] - (Rational[19289664, 2640625] Log[ + Rational[13, 4]] + + Rational[-79152, 105625] (Rational[-1647, 52] + + Rational[732, 25] Log[ + Rational[13, 4]]) + + Rational[-26352, 8125] (Rational[535824, 105625] Log[ + Rational[13, 4]]^2 + (Rational[1464, 169] + + Rational[-38232, 4225] Log[ + Rational[13, 4]]) (Rational[-1647, 52] + + Rational[732, 25] Log[ + Rational[13, 4]]))^Rational[1, 2])^Rational[-1, 2] ( + Rational[732, 325] Log[ + Rational[13, 4]] - (Rational[535824, 105625] Log[ + Rational[13, 4]]^2 + (Rational[1464, 169] + + Rational[-38232, 4225] Log[ + Rational[13, 4]]) (Rational[-1647, 52] + + Rational[732, 25] Log[ + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.8933491716604456781053067276`7.100378909567528*^-10}, { Rational[-717, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -64380,7 +84080,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 1.167004894985176260472171786039`8.39714265426269*^-8}, { + 1.916032168901276410714628`2.612472772890876*^-14}, { Rational[-2867, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -64399,7 +84099,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 2.84937401753227870026583608652`8.784872673704738*^-8}, { + 1.04225448891747109486549`2.3480969881850373*^-14}, { Rational[-1433, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -64417,8 +84117,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 5.610368900337784495021887660755`9.079167866951646*^-8}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.5251780321149311881151`1.3090495501466262*^-16}, { Rational[-573, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -64436,8 +84137,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 9.748966110277053603027428118753`9.319188240923063*^-8}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.916086441936132745170031`2.6126447781078777*^-14}, { Rational[-179, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -64455,8 +84157,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 1.5519666889485772045960035071985`9.521165304698822*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.231718676363737084140774`2.678922357167215*^-14}, { Rational[-2863, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -64474,8 +84177,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 2.3185765463703383551075336634472`9.695557573995202*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.92005142859607705661663`2.2290641372603206*^-15}, { Rational[-1431, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -64494,7 +84198,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 3.3003101681077797859944459827042`9.848944116570584*^-7}, { + 3.03593036260394668394811`1.8126811647590906*^-15}, { Rational[-2861, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -64512,8 +84216,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 4.5223000161212505205670129478946`9.98580195068465*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.261030130662192325647701`2.43116804361305*^-14}, { Rational[-143, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -64531,8 +84236,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 6.0092320802138881776121615678154`10.109314775180925*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.06058981188412306955771`2.179336779877361*^-15}, { Rational[-2859, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -64550,8 +84256,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 7.7853511795487095187777615749019`10.22182722097297*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.27858727589863166326982`1.9618494089434444*^-15}, { Rational[-1429, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -64569,8 +84276,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 9.8744663869879958722551933310767`10.325115862171598*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.005999350996779777765427`2.33319992700836*^-14}, { Rational[-2857, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -64589,7 +84297,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 1.22999565004219418888642932392305`10.420559012032017*^-6}, { + 5.92679968181829420823718`2.1034756855082843*^-15}, { Rational[-357, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -64607,8 +84315,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 1.50847755159213722105183927860013`10.50924749558345*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.40935596121780275327728`2.2554713803199786*^-15}, { Rational[-571, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -64627,7 +84336,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 1.82514580759271007098104580012849`10.592059413997696*^-6}, { + 3.29240026948096079039783`1.8482744775526863*^-15}, { Rational[-1427, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -64645,8 +84354,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 2.18221248772831286269185075322307`10.669712087738928*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.79123095905720468254027`1.9095952933819502*^-15}, { Rational[-2853, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -64664,8 +84374,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 2.58184880255783351348319661490622`10.742799056304756*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.02663461649365216371225`1.3422841547847733*^-15}, { Rational[-713, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -64683,8 +84394,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 3.02618563414889934090432524970587`10.81181701293*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.052540015877436717726706`2.353160063945648*^-14}, { Rational[-2851, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -64702,8 +84414,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 3.51731406000634284271664517560632`10.877185790179734*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.09199740922588710850918`1.9429099924982705*^-15}, { Rational[-57, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -64721,8 +84434,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 4.05728587142010082448918361951836`10.93926344259968*^-6}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.00050632614459559938014`1.80822238108652*^-15}, { Rational[-2849, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -64740,8 +84453,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 4.64811408558568480481469743583527`10.998357802309464*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.11364124886020818744469`2.2907729526236094*^-15}, { Rational[-178, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -64759,8 +84473,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 5.29177345158035797481189381661652`11.054735453161493*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.17361500915311125287596`1.9516465960378528*^-15}, { Rational[-2847, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -64778,8 +84493,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 5.99020095020481696473721310997651`11.108628785932929*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.12879748082294721670124`1.659321742410389*^-15}, { Rational[-1423, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -64798,7 +84514,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 6.74529628772345020486880110604166`11.160241606801105*^-6}, { + 2.7510351360000285805359`1.7707367137789614*^-15}, { Rational[-569, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -64816,8 +84532,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 7.55892238355050114338841747213903`11.20975364109804*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.63549270758335036941684`2.0822256479912697*^-15}, { Rational[-711, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -64835,8 +84552,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 8.43290585193857965672411956818921`11.257324183589208*^-6}, { + Rational[13, 4]]))^ + Rational[1, 2]), -5.5936199231151492263787`2.079039886116215*^-15}, { Rational[-2843, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -64854,8 +84571,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 9.36903747773175297082472034691778`11.303095082288186*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.77819498514341077748061`1.908684474196642*^-15}, { Rational[-1421, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -64873,8 +84591,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001036907268624905776081835777716026`11.347193196692954}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.45601638960765021868079`1.9804000583470138*^-15}, { Rational[-2841, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -64892,8 +84611,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001143473200736644500352883664295205`11.389732437764161}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.36051762870889670602119`2.060713176786914*^-15}, { Rational[-71, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -64911,8 +84631,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001256770153386637813194973863279895`11.430815472238702}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.10529039728574275938375`1.6548716247190502*^-15}, { Rational[-2839, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -64931,7 +84652,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00001376963337412486800966182287491996`11.470535155449257}, { + 4.809186806811981176322`0.013684426732603084*^-17}, { Rational[-1419, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -64949,8 +84670,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001504214609920585598477933270228923`11.508975742948943}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.00007734385271206788858`2.1098227905175873*^-15}, { Rational[-2837, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -64968,8 +84690,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001638682518443269066327341256891643`11.546213920693404}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.43766291437385606756916`1.7186927517590935*^-15}, { Rational[-709, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -64987,8 +84710,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001780522344550608365011692850863036`11.582319685439744}, { + Rational[13, 4]]))^ + Rational[1, 2]), -4.90664600109286569905543`2.02255698504982*^-15}, { Rational[-567, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65007,7 +84730,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00001929886146923744356634825479395257`11.61735710075852}, { + 3.8602548120746240688561`0.9184413868924101*^-16}, { Rational[-1417, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65025,8 +84748,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00002086922803896592776181121751484666`11.651384949169277}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.58974165812025061283081`1.5332051212586557*^-15}, { Rational[-2833, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65044,8 +84768,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00002251778055472695781708780203469026`11.684457297069704}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0881381833722577480795`1.3686157669371313*^-15}, { Rational[-177, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65063,8 +84788,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00002424594544823935381397162262288316`11.71662398608847}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8202854530703629132332`1.5921243630657298*^-15}, { Rational[-2831, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65083,7 +84809,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00002605511859277768875839019662761551`11.747931062069554}, { + 2.2049604614713115016813`0.6754388165201097*^-16}, { Rational[-283, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65102,7 +84828,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0000279466657079959894367490529402737`11.778421150953152}, { + 1.75047371122580074811364`1.5752467464142008*^-15}, { Rational[-2829, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65120,8 +84846,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00002992192275977450139164373877492392`11.808133789251446}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.21240739218524075363977`1.9566746607469125*^-15}, { Rational[-707, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65139,8 +84866,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00003198219635512004897219311249397879`11.837105715545368}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.41456329332327775745231`1.9770851810737868*^-15}, { Rational[-2827, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65159,7 +84887,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00003412876413227242041247660674097882`11.865371128393896}, { + 1.9229852900206823028428`0.6162265317406571*^-16}, { Rational[-1413, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65178,7 +84906,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00003636287514596923457871975652561285`11.892961915193816}, { + 4.8769901323187340541365`1.020455580689345*^-16}, { Rational[-113, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65196,8 +84924,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00003868575024802159549231699706658446`11.919907855831363}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.25255219142363437722082`1.4301526668494764*^-15}, { Rational[-353, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65216,7 +84945,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00004109858246323765706382040448921541`11.946236804385421}, { + 1.69072349249637218930399`1.5604825525923136*^-15}, { Rational[-2823, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65234,8 +84963,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00004360253736077443794792000762024739`11.971974851661443}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.38961837162797109474102`1.710791641355844*^-15}, { Rational[-1411, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65253,8 +84983,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00004619875342100028808546681757615647`11.997146470932726}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.61516620005908791216419`1.7500155126146797*^-15}, { Rational[-2821, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65272,8 +85003,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00004888834239796297593706454026487765`12.021774648929487}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.76903950591479590073386`1.5803068727315406*^-15}, { Rational[-141, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65291,8 +85023,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00005167238967757959825778016933676679`12.04588100383224}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5131260173499196171862`1.7328367282174892*^-15}, { Rational[-2819, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65311,7 +85044,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00005455195463170084269109332726603799`12.069485891787462}, { + 6.647378912321395761448`1.1553260156606566*^-16}, { Rational[-1409, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65330,7 +85063,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00005752807096826551798224001145311799`12.09260850326062}, { + 8.8775692410990784181643`1.2810227654232058*^-16}, { Rational[-2817, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65348,8 +85081,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00006060174707787459162050026261620728`12.115266950370087}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.40751014892067399063191`1.4812333465179037*^-15}, { Rational[-176, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65367,8 +85101,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00006377396637732252989090140054726519`12.137478346199119}, { + Rational[13, 4]]))^ + Rational[1, 2]), -6.196475560959059495907`1.1249796648582542*^-16}, { Rational[-563, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65386,8 +85120,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00006704568765102056036599679759300425`12.159258876959134}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.88604409484168671799452`1.7931909960081485*^-15}, { Rational[-1407, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65405,8 +85140,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0000704178453920308750697073860963057`12.18062386777265}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.23827760114531858670093`1.843255217611277*^-15}, { Rational[-2813, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65424,8 +85160,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00007389135014605025992958933539239039`12.201587842758059}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.81333697807187957371787`1.7822160021311373*^-15}, { Rational[-703, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65444,7 +85181,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00007746708886519611263543847215095849`12.222164580032299}, { + 8.5429178453218864669156`1.2646535812528585*^-16}, { Rational[-2811, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65463,7 +85200,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00008114592528652649877381202790010681`12.242367162210423}, { + 5.8508688726992637962634`1.1003208180183552*^-16}, { Rational[-281, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65481,8 +85218,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0000849287003701026250447684195994896`12.262208022999122}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.33315355264122}, { Rational[-2809, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65501,7 +85237,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00008881621397944872014816785480418397`12.281698898194115}, { + 8.3853381139404699575493`1.2567272291285165*^-16}, { Rational[-351, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65519,8 +85255,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00009280929071495930936840596003395778`12.30085119065316}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.33325974569986}, { Rational[-2807, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65538,8 +85273,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00009690869096090949437021288316174166`12.319675557279924}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.33478299350563700156262`1.458723503835225*^-15}, { Rational[-1403, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65557,8 +85293,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00010111516610904423037380407751920225`12.338182220798911}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.21692569637579927085782`1.4186299923010923*^-15}, { Rational[-561, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65576,8 +85313,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00010542944604871447207713022705353887`12.356380934827133}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.87192350150495931460357`1.60570711683335*^-15}, { Rational[-701, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65595,8 +85332,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00010985223946101336438697164345828527`12.374281012137281}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.55377193328288419179961`1.7406542171233192*^-15}, { Rational[-2803, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65614,8 +85352,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00011438423412091325754981293797348429`12.391891350867782}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.02896383691171822913134`1.3459253046268522*^-15}, { Rational[-1401, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65633,8 +85372,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00011902609854360075059928856227159566`12.409220463727033}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.69707772471213794725205`1.764471738596652*^-15}, { Rational[-2801, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65652,8 +85392,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00012377847535994585161513132985281753`12.426276475301604}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.38148503228445331287474`1.8627388273349774*^-15}, { Rational[-7, 25] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65671,8 +85412,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00012864199526692754840071964745642213`12.443067192889258}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.24837749745227016598637`1.430030369188384*^-15}, { Rational[-2799, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65690,8 +85432,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0001336172641944050710204158523199262`12.459600077923193}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.61097976830811379821175`1.5408276013936457*^-15}, { Rational[-1399, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65709,8 +85452,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00013870486897933157611708811000503845`12.47588228421983}, { + Rational[13, 4]]))^ + Rational[1, 2]), -9.9365972354480598193327`1.331028277485474*^-16}, { Rational[-2797, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65728,8 +85471,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00014390537822260935848862823128390372`12.491920676310496}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.7388830943016414072167`1.0095196595419582*^-16}, { Rational[-699, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65747,8 +85491,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00014921934093594674652233916226286869`12.507721839883041}, { + Rational[13, 4]]))^ + Rational[1, 2]), -6.6231597444636192868166`1.154961966326859*^-16}, { Rational[-559, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65766,8 +85510,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00015464728755284919304775409606783969`12.523292098906104}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.76372422412972318048312`1.5803804861606086*^-15}, { Rational[-1397, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65786,7 +85531,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00016018973004634639887101684993139821`12.538637528894412}, { + 1.18045542397136662788398`1.4060524664168597*^-15}, { Rational[-2793, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65804,8 +85549,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00016584716218323508947257393902156811`12.553763969742837}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.334055940595757}, { Rational[-349, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65823,8 +85567,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00017162006835334455530191704845960414`12.568677059450422}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.58327941989750620862736`1.5336665715987463*^-15}, { Rational[-2791, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65842,8 +85587,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00017750888642180855478660161550690213`12.58338215028748}, { + Rational[13, 4]]))^ + Rational[1, 2]), -5.700050825630256101872`1.0900407955733247*^-16}, { Rational[-279, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65861,8 +85606,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00018351406999253478808180118505999061`12.597884477995597}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.92158086651522775384678`1.6178737937939571*^-15}, { Rational[-2789, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65880,8 +85626,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00018963604192615290052301215964625933`12.612189051252308}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.1238953870302192611351`1.2944484839543833*^-16}, { Rational[-697, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65900,7 +85647,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00019587520840143300291337807705753482`12.626300697034894}, { + 1.0352763666074552745016`0.34937754386574543*^-16}, { Rational[-2787, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65919,7 +85666,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00020223195900205519978831350044278189`12.64022406892839}, { + 1.5248906665414107904308`0.5176130052740899*^-16}, { Rational[-1393, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65938,7 +85685,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00020870666688441531168516830599486133`12.653963655165768}, { + 8.5512218871427555918086`1.2664555280944427*^-16}, { Rational[-557, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65956,8 +85703,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0002152996889779071750693637366204034`12.667523786290056}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.4974608782419839687154`0.8782332693234273*^-16}, { Rational[-174, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65976,7 +85724,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00022201136619833712630682535448204622`12.6809086424142}, { + 8.0085319184518000693095`1.2380863647019555*^-16}, { Rational[-2783, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -65994,8 +85742,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0002288420236661839549305210987147457`12.694122260084871}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.69965824743145256744402`1.5649481079321845*^-15}, { Rational[-1391, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66013,8 +85762,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00023579197092596751327931338252194045`12.70716853876767}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.38075467816009737456098`1.7113541946582809*^-15}, { Rational[-2781, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66032,8 +85782,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00024286150216490340649292236356992186`12.720051246974506}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0023440525093703431373`1.6362312949984272*^-15}, { Rational[-139, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66052,7 +85803,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00025005089642998359960654392447077217`12.732774028054754}, { + 8.708362181844428853153`0.27468211880441384*^-17}, { Rational[-2779, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66070,8 +85821,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00025736041784302203765269369719865521`12.7453404056711}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.5555382015839043243615`0.742281054241467*^-16}, { Rational[-1389, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66089,8 +85840,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00026479031581343765546998504061357924`12.75775378897992}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.38954190758605224188354`1.4777233655735746*^-15}, { Rational[-2777, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66109,7 +85861,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00027234082524865958109414435802173015`12.770017477534786}, { + 3.6489780245899929157142`0.8970760018796349*^-16}, { Rational[-347, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66127,8 +85879,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00028001216676210111193085966944237926`12.782134665930595}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.67536602955453016780868`1.559067495271949*^-15}, { Rational[-111, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66146,8 +85899,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00028780454687868328199237037107879124`12.794108448204515}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.04286770432365099778701`1.645251067108621*^-15}, { Rational[-1387, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66165,8 +85919,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00029571815823790827350870131500711011`12.805941822008649}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.15412753944562871279723`1.3973176612385172*^-15}, { Rational[-2773, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66184,8 +85939,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00030375317979449417292246709536606399`12.817637692568702}, { + Rational[13, 4]]))^ + Rational[1, 2]), -9.1386521990724170025315`1.295999037291825*^-16}, { Rational[-693, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66203,8 +85958,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00031190977701658917079396922812089864`12.829198876441493}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.09209105669196514136919`1.6557505004810795*^-15}, { Rational[-2771, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66222,8 +85978,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00032018810208158720473915647835724029`12.840628105083493}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8228921956883294141373`0.7859172383604439*^-16}, { Rational[-277, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66241,8 +85998,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00032858829406956934174771469644418421`12.851928028241586}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.75837420926531605247676`1.580387276619884*^-15}, { Rational[-2769, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66260,8 +86018,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00033711047915439652389996110340438942`12.863101217176828}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.00707272851221760609273`1.3383898314428881*^-15}, { Rational[-173, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66279,8 +86038,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00034575477079248003219820705774674568`12.87415016773059}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.748290367455178563032`1.5779955836770478*^-15}, { Rational[-2767, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66299,7 +86058,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00035452126990925638059637465696315596`12.885077303242596}, { + 7.276957949720623677563`0.19738490561496536*^-17}, { Rational[-1383, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66318,7 +86077,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00036341006508339347302685644468307853`12.895884977329137}, { + 6.1842772369660647432343`1.1267770105235788*^-16}, { Rational[-553, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66336,8 +86095,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00037242123272875482521569047100680033`12.9065754765295}, { + Rational[13, 4]]))^ + Rational[1, 2]), -5.4398617461933536523532`1.071128940516486*^-16}, { Rational[-691, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66356,7 +86115,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00038155483727414852394505251065890341`12.917151022828063}, { + 4.655141820612893584326`1.003527011353492*^-16}, { Rational[-2763, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66375,7 +86134,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00039081093134088740348337646796860466`12.927613776058948}, { + 2.3260416097069285185781`0.7022645893854047*^-16}, { Rational[-1381, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66393,8 +86152,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00040018955591818668442674354404127529`12.937965836199727}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.309067262908142623942`0.8554057186345764*^-16}, { Rational[-2761, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66412,8 +86171,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0004096907405364250588113940157124152`12.948209245560397}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.64497701750201101669527`1.5519129702407306*^-15}, { Rational[-69, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66431,8 +86191,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00041931450343829492679017109976445729`12.958345990873081}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.0778473590571124326345`1.2937890220477009*^-16}, { Rational[-2759, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66451,7 +86212,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00042906085174786720094605641919845941`12.96837800528805}, { + 3.6732971325549417410611`0.9009152148985545*^-16}, { Rational[-1379, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66469,8 +86230,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0004389297816375957988719496203252445`12.97830717028088}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.74028778688953258015691`1.576533234996263*^-15}, { Rational[-2757, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66488,8 +86250,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0004489212784932866460053572941255527`12.98813531747554}, { + Rational[13, 4]]))^ + Rational[1, 2]), -6.8771846191095903425194`1.173375851852307*^-16}, { Rational[-689, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66507,8 +86269,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00045903531707705571095514105114694425`12.997864230387801}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.55006019648749292987775`1.526366737500909*^-15}, { Rational[-551, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66527,7 +86290,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00046927186168830029613955355652056485`13.00749564609314}, { + 2.2950723581475126538011`0.6968675586524317*^-16}, { Rational[-1377, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66545,8 +86308,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00047963086632270750847530707782491361`13.017031256822882}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.51293207858649219677198`1.5159436092230976*^-15}, { Rational[-2753, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66564,8 +86328,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00049011227482932353881656381394392678`13.026472711492495}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3979969524050426266362`1.4816834027869685*^-15}, { Rational[-172, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66583,8 +86348,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00050071602106570708532804696014355495`13.0358216171653}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.4058880189086799287578`1.2058073178782693*^-16}, { Rational[-2751, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66602,8 +86368,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00051144202905118996532566120503196787`13.045079540454832}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.40632703049058586096404`1.4843694984786322*^-15}, { Rational[-11, 40] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66621,8 +86388,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00052229021311826767256266861203788579`13.054248008868985}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.20786638502677262342`1.418355065147256*^-15}, { Rational[-2749, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66640,8 +86407,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00053326047806214235263532365997030764`13.063328512098899}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.1685905975384305486409`1.2986917454015092*^-16}, { Rational[-687, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66659,8 +86427,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00054435271928844038823021600671224614`13.07232250325501}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.81612254847182429352854`1.5955873083282706*^-15}, { Rational[-2747, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66679,7 +86448,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00055556682295912650839833329821595993`13.081231400053168}, { + 3.1857542558971353640529`0.8397074214993502*^-16}, { Rational[-1373, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66697,8 +86466,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0005669026661366360619514034073408737`13.090056585953011}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.8677694310470905771455`1.2323997641270734*^-16}, { Rational[-549, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66716,8 +86486,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00057836011692624682444697901553223342`13.098799411250948}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.0459413294308662294132`1.1180650573367719*^-16}, { Rational[-343, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66736,7 +86507,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00058993903461671144105730965837099289`13.107461194129938}, { + 1.114632793472798052701`0.38378593281222684*^-16}, { Rational[-2743, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66754,8 +86525,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00060163926981917134388952622124459745`13.116043221668024}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.5303327263373263576996`1.3158151660406654*^-16}, { Rational[-1371, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66774,7 +86546,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0006134606646043727220190786220106382`13.124546750807646}, { + 1.11316215342493683043293`1.3833185201452183*^-15}, { Rational[-2741, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66793,7 +86565,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00062540305263820486558680386248718691`13.13297300928743}, { + 4.7922896757369627817723`1.0173561331683678*^-16}, { Rational[-137, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66812,7 +86584,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00063746625931558095176020637509402799`13.141323196538309}, { + 3.613149327014943417565`0.8947519646828588*^-16}, { Rational[-2739, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66831,7 +86603,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00064965010189268109013611518984381135`13.149598484545521}, { + 5.2659642392422041216182`1.0583969404529072*^-16}, { Rational[-1369, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66850,7 +86622,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00066195438961757719822723239542649911`13.157800018678035}, { + 1.0514788332408746683332`1.3587725492463927*^-15}, { Rational[-2737, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66869,7 +86641,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00067437892385925903399003345442689608`13.165928918486902}, { + 3.6625117860904579869453`0.9008040240961619*^-16}, { Rational[-171, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66888,7 +86660,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00068692349823508047187581423109271721`13.17398627847393}, { + 3.2900017331608903657117`0.8542740970895158*^-16}, { Rational[-547, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66907,7 +86679,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00069958789873664487157953090694442566`13.181973168831924}, { + 5.6661901012249305842858`1.0904220873401684*^-16}, { Rational[-1367, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66925,8 +86697,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00071237190385414815448121569574649198`13.189890636157802}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.28273157414310866653516`1.445319705900302*^-15}, { Rational[-2733, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66945,7 +86718,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00072527528469919797168078866250658483`13.197739704139819}, { + 2.3179317705005951654052`0.7023375425465394*^-16}, { Rational[-683, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66963,8 +86736,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00073829780512612711947767132458268127`13.205521374219849}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.00976333485500232637968`1.341509463752966*^-15}, { Rational[-2731, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -66983,7 +86757,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00075143922185181913310053168959452295`13.213236626232034}, { + 2.3843857803246066693445`0.7147193607742495*^-16}, { Rational[-273, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67001,8 +86775,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.000764699284574063767408796784653441`13.22088641901858}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.1714256265246950733258`1.1277813069248548*^-16}, { Rational[-2729, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67020,8 +86795,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00077807773608845985412562096064702744`13.228471691023866}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.11892759002175622835121`1.386250758546827*^-15}, { Rational[-341, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67039,8 +86815,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00079157431240388280888153793202067562`13.235993360867601}, { + Rational[13, 4]]))^ + Rational[1, 2]), -4.881605388956315441512`1.0260644130229435*^-16}, { Rational[-2727, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67058,8 +86834,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00080518874285653384790921224168683482`13.24345232789811}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.337554709476212}, { Rational[-1363, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67077,8 +86852,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.000818920750222587763593152259544367`13.25084947272634}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.31162091054357490307202`1.4554160062852903*^-15}, { Rational[-109, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67096,8 +86872,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00083277005082945590020503509419878022`13.258185657741576}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6066075770045133410024`0.5435704491567974*^-16}, { Rational[-681, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67115,8 +86892,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0008467363546656807660069956449810905`13.265461727609525}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.01576628923083341032977`1.3445073965848138*^-15}, { Rational[-2723, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67135,7 +86913,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00086081936548947851544391867409003459`13.272678509753488}, { + 3.5018362429543740097988`0.8820623957769782*^-16}, { Rational[-1361, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67154,7 +86932,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0008750187809359453353340219824840149`13.27983681481938}, { + 4.2189401863002670263987`0.963022891203098*^-16}, { Rational[-2721, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67172,8 +86950,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00088933429262294357176791868322665895`13.286937437125044}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.4301540211575809945605`1.0726846303430924*^-16}, { Rational[-34, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67191,8 +86970,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00090376558625568323980349724868544245`13.29398115509481}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.33792544038667}, { Rational[-2719, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67211,7 +86989,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00091831234173001436596147101672364703`13.300968731679585}, { + 1.6266438071508936634414`0.5492708615436716*^-16}, { Rational[-1359, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67229,8 +87007,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00093297423323444542394894533734081826`13.30790091476315}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.5574783832097317040102`1.2703771641386634*^-16}, { Rational[-2717, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67249,7 +87028,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00094775092935090293693095823374690021`13.314778437555287}, { + 5.9772573765075378033372`1.1145862648981706*^-16}, { Rational[-679, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67268,7 +87047,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00096264209315424713499829965421068718`13.321602018972133}, { + 4.0834527757984986156199`0.9491647994636607*^-16}, { Rational[-543, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67286,8 +87065,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00097764738231055837421013336257327287`13.328372364004286}, { + Rational[13, 4]]))^ + Rational[1, 2]), -4.5482394022109111285958`0.996033530981888*^-16}, { Rational[-1357, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67305,8 +87084,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00099276644917420884368865528067541726`13.335090164073131}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.8297135030941245748702`0.9214094524485616*^-16}, { Rational[-2713, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67325,7 +87105,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00100799894088373390967733082931588582`13.341756097375947}, { + 4.2281049200370175048071`0.9644418727297102*^-16}, { Rational[-339, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67344,7 +87124,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00102334449945651727021175095064245958`13.34837082921993}, { + 2.2750364475639648656295`0.6953374252662853*^-16}, { Rational[-2711, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67363,7 +87143,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0010388027618823039210608965643501533`13.354935012345944}, { + 2.4676028544634567014048`0.7306772790122903*^-16}, { Rational[-271, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67381,8 +87161,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00105437336021555476284513756366196032`13.36144928724202}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.6149373375727594503655`1.1589806970850105*^-16}, { Rational[-2709, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67401,7 +87182,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00107005592166665651069461082779380688`13.367914282447247}, { + 3.827336906250293120776`0.9214046022764157*^-16}, { Rational[-677, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67419,8 +87200,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00108585006869200040144717376301794783`13.37433061484623}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.4323091270854813676529`1.0735453301428484*^-16}, { Rational[-2707, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67438,8 +87220,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00110175541908294302916881661959436611`13.380698889954587}, { + Rational[13, 4]]))^ + Rational[1, 2]), -8.999566285022807449077`0.2928353790425326*^-17}, { Rational[-1353, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67457,8 +87239,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00111777158605366247768158215375230804`13.387019702195753}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.7911935660816999403085`1.3295023788900335*^-16}, { Rational[-541, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67477,7 +87260,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00113389817832792275877546533838975328`13.393293635169382}, { + 5.6898309553223467946003`1.0938190487240274*^-16}, { Rational[-169, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67495,8 +87278,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00115013480022475940683265884106036932`13.399521261911737}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3669782156713510149148`0.4745342202141028*^-16}, { Rational[-2703, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67515,7 +87299,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0011664810517430989246765053418250972`13.405703145148246}, { + 3.4299604235236133183286`0.8741146761300311*^-16}, { Rational[-1351, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67533,8 +87317,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00118293652864532462154566590800760397`13.411839837538615}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0686423249811299184982`0.36771087777963984*^-16}, { Rational[-2701, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67552,8 +87337,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00119950082253980123215877568840830995`13.417931881914653}, { + Rational[13, 4]]))^ + Rational[1, 2]), -4.9954807095733921261572`1.037508731737845*^-16}, { Rational[-27, 100] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67571,8 +87356,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00121617352096237055584909962713124178`13.423979811511138}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5916706692921697306101`0.8942808913543652*^-16}, { Rational[-2699, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67590,8 +87376,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0012329542074568302066856854126488234`13.429984150189965}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.8811533170931816605714`1.0275597691655918*^-16}, { Rational[-1349, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67609,8 +87396,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00124984246165440741933089421964918081`13.435945412657777}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.5090391826297300811724`1.2146746265387771*^-16}, { Rational[-2697, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67628,8 +87416,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00126683785935223971108801375398090093`13.441864104677297}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.48497670350636606362358`1.5108628314978372*^-15}, { Rational[-337, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67647,8 +87436,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00128393997259087405814134452262463708`13.447740723272641}, { + Rational[13, 4]]))^ + Rational[1, 2]), -7.2023406598581709317562`1.196669784181083*^-16}, { Rational[-539, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67666,8 +87455,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00130114836973079610335949517245728665`13.453575756928771}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1176301978774795814763`1.3875471861401234*^-15}, { Rational[-1347, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67685,8 +87475,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0013184626155280007741957906281590296`13.459369685785248}, { + Rational[13, 4]]))^ + Rational[1, 2]), -7.2023524930037933799117`1.196776363317808*^-16}, { Rational[-2693, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67705,7 +87495,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00133588227120861555215321477233991285`13.465122981824589}, { + 1.6721913412812021269234`0.562640892589324*^-16}, { Rational[-673, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67723,8 +87513,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00135340689454258749996106179406777191`13.470836109055293}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.8314087167714825451939`0.9227663358896148*^-16}, { Rational[-2691, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67743,7 +87534,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00137103603991644501901269288614445285`13.476509523689788}, { + 7.952529064041655992023`1.2399660477117702*^-16}, { Rational[-269, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67762,7 +87553,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00138876925840514517771506959826393102`13.48214367431744}, { + 2.9157253354032101932738`0.804260322207572*^-16}, { Rational[-2689, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67780,8 +87571,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00140660609784301732117798450527536623`13.487739002072804}, { + Rational[13, 4]]))^ + Rational[1, 2]), -8.46166289347057867654`0.2670223591361053*^-17}, { Rational[-168, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67799,8 +87590,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00142454610289381354410139203442413224`13.493295940799248}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.447039515238172674011`0.8770658290462394*^-16}, { Rational[-2687, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67818,8 +87609,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00144258881511987648178054570256360073`13.49881491720811}, { + Rational[13, 4]]))^ + Rational[1, 2]), -5.514333533246407305683`1.0811655243051648*^-16}, { Rational[-1343, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67837,8 +87628,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00146073377305043474881868618298767289`13.504296351033544}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.4075450859801640170071`0.8721670299923046*^-16}, { Rational[-537, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67856,8 +87648,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00147898051224903623139403122415802445`13.509740655183226}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2691385372959994482162`0.8542116692725068*^-16}, { Rational[-671, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67876,7 +87669,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00149732856538012931675034232984888637`13.515148235884928}, { + 1.140672598337769331256`0.39699227703924306*^-16}, { Rational[-2683, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67894,8 +87687,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00151577746227480202294724344133450529`13.52051949282929}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.8669897636103271898373`1.1766505914572645*^-16}, { Rational[-1341, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67914,7 +87708,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00153432672999568887279690831354756066`13.525854819308725}, { + 5.0991069433739388024115`1.0474312344840662*^-16}, { Rational[-2681, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67933,7 +87727,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0015529758929010552383071813317816108`13.53115460235267}, { + 7.6322596815408683154868`1.2226431742163955*^-16}, { Rational[-67, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67952,7 +87746,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00157172447270806876582741281882265759`13.536419222859319}, { + 4.4158566517296863432869`0.9850579235692366*^-16}, { Rational[-2679, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67971,7 +87765,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00159057198855526737743232769100876155`13.541649055723857}, { + 5.0685499805469765309489`1.044979610419541*^-16}, { Rational[-1339, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -67989,8 +87783,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00160951795706423323086144599875892517`13.546844469963425}, { + Rational[13, 4]]))^ + Rational[1, 2]), -9.727449423165275618815`1.3281477779714366*^-16}, { Rational[-2677, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68008,8 +87802,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00162856189240048190853755850908825949`13.552005828838793}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.0989295732088594855499`0.9528721718016933*^-16}, { Rational[-669, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68027,8 +87822,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00164770330633357599579843147715985306`13.557133489972946}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.6879073151718879946115`1.2791698125499886*^-16}, { Rational[-107, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68046,8 +87842,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00166694170829647209947244762696593609`13.562227805466643}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.7079947129053863750512`0.9094466570479686*^-16}, { Rational[-1337, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68066,7 +87863,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00168627660544411025029273051146413852`13.567289122010944}, { + 4.8264734452234040306079`1.0239903885797317*^-16}, { Rational[-2673, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68084,8 +87881,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00170570750271125452635715799053929716`13.572317780997029}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.11374995042244064411656`1.3872010811838593*^-15}, { Rational[-167, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68103,8 +87901,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0017252339028695936298855203728791833`13.577314118623114}, { + Rational[13, 4]]))^ + Rational[1, 2]), -6.5063925664501981345511`1.153806561876786*^-16}, { Rational[-2671, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68122,8 +87920,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00174485530658411004588215031107550091`13.582278465998774}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.34051921338401}, { Rational[-267, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68141,8 +87938,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00176457121246872630896512905733320491`13.587211149246631}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0590380017448043596548`0.6542364893952548*^-16}, { Rational[-2669, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68160,8 +87958,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00178438111714123680355439128712410663`13.592112489601504}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.6142202031092357157785`1.2222504730752066*^-16}, { Rational[-667, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68180,7 +87979,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00180428451527753342280368854227711488`13.596982803507114}, { + 4.5148239493183622408959`0.9953187734037503*^-16}, { Rational[-2667, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68199,7 +87998,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00182428089966513331309865191135358645`13.60182240271047}, { + 8.833049218451697239471`0.28684151563446725*^-17}, { Rational[-1333, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68217,8 +88016,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00184436976125601683360857894844123277`13.606631594353829}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.5754888090370261769154`1.3219447296811642*^-16}, { Rational[-533, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68236,8 +88036,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00186455058921878376425675412708715115`13.611410681064521}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9528896900603111172161`0.6315144000161546*^-16}, { Rational[-333, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68255,8 +88056,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00188482287099013570054702385223619893`13.616159961042557}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.7018919207887061261099`0.9093133325821287*^-16}, { Rational[-2663, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68274,8 +88076,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0019051860923256924799371416453607419`13.620879728146125}, { + Rational[13, 4]]))^ + Rational[1, 2]), -7.715807313892514027231`1.2283238805272587*^-16}, { Rational[-1331, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68293,8 +88095,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0019256397373501503918664564732629774`13.62557027197503}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.8425375859393994483627`1.1075969308861466*^-16}, { Rational[-2661, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68312,8 +88115,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00194618328860678983211143826658883965`13.630231877952147}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.8136456516536178450666`0.599600763227698*^-16}, { Rational[-133, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68331,8 +88134,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00196681622710633997184213814885088773`13.634864827402936}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2498843929806368226698`0.8529691442414439*^-16}, { Rational[-2659, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68350,8 +88154,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00198753803237520792257099987666815322`13.639469397633091}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.1365479660457873524083`1.3019362777323205*^-16}, { Rational[-1329, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68369,8 +88174,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00200834818250307979010771777482761481`13.644045862004347}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.341207045763014}, { Rational[-2657, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68389,7 +88193,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00202924615418990092364552734304035892`13.648594490008474}, { + 2.2573290809144452009055`0.6948548283872897*^-16}, { Rational[-166, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68408,7 +88212,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00205023142279224258019107489212705556`13.653115547339631}, { + 1.2707464128503433364161`0.44537174971802396*^-16}, { Rational[-531, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68426,8 +88230,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00207130346236906213969770100879751876`13.657609295964944}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.3506317596065305413435`1.0697708253001592*^-16}, { Rational[-1327, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68445,8 +88250,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00209246174572686392245664707379690164`13.662075994193552}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.0040580159447513456538`1.2958569512980032*^-16}, { Rational[-2653, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68465,7 +88271,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00211370574446426757752860796816931758`13.666515896743956}, { + 3.1796607690294049593957`0.8438523590975084*^-16}, { Rational[-663, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68484,7 +88290,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.002135034929015990929245653828853576`13.670929254809904}, { + 6.995917159456463785882`0.18636913217348824*^-17}, { Rational[-2651, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68503,7 +88309,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00215644876869625408806746553314280465`13.67531631612477}, { + 5.063034640373515379673`0.04598827556366966*^-17}, { Rational[-53, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68521,8 +88327,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00217794673174161155232289587855702857`13.67967732502441}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.9541579313838433297671`1.0366001263186477*^-16}, { Rational[-2649, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68540,8 +88347,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00219952828535321894859508885655000053`13.684012522508688}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2836602887879466447225`0.8580414018609829*^-16}, { Rational[-331, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68559,8 +88367,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00222119289573854098070295221951026295`13.688322146301513}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.9130658821600866242729`0.9342532423759675*^-16}, { Rational[-2647, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68578,8 +88387,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00224294002815250708038105177013723838`13.692606430909663}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.625403094194793734831`0.09194263107646042*^-17}, { Rational[-1323, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68598,7 +88408,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00226476914693812117685152369119557936`13.696865607680147}, { + 3.5449533864011245458381`0.8914524126907449*^-16}, { Rational[-529, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68617,7 +88427,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00228667971556653192750310155604003324`13.701099904856434}, { + 1.7590321897777339399758`0.5871685707758305*^-16}, { Rational[-661, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68636,7 +88446,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00230867119667656967783171615302625214`13.705309547633338}, { + 1.7468459233192122176003`0.5842022840066041*^-16}, { Rational[-2643, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68655,7 +88465,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00233074305211375634564240607615825345`13.70949475821075}, { + 4.9750812522698623092892`1.038800760381841*^-16}, { Rational[-1321, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68673,8 +88483,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00235289474296879435225169825661585757`13.713655755846178}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5066001300992461265222`0.7411385389418436*^-16}, { Rational[-2641, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68692,8 +88503,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0023751257296155406521322170932563109`13.717792756906137}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.3507729931522849990558`1.0705229056940269*^-16}, { Rational[-33, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68712,7 +88524,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00239743547174847154277115984897441397`13.721905974916433}, { + 2.5948057763759463156209`0.7562641331624221*^-16}, { Rational[-2639, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68731,7 +88543,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00241982342841964684547753392477392168`13.725995620611329}, { + 1.9484876512229101473493`0.6319098322491425*^-16}, { Rational[-1319, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68749,8 +88561,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00244228905807516891038609322396457717`13.730061901981726}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.6490751622931381783152`1.0096316390447437*^-16}, { Rational[-2637, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68768,8 +88581,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00246483181859116502343890570755315834`13.734105024322236}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4252341004659608613921`0.7270716341872192*^-16}, { Rational[-659, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68787,8 +88601,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00248745116730926788553189143219304632`13.738125190277252}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.2001723263487885274492`1.3061668264072144*^-16}, { Rational[-527, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68806,8 +88621,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00251014656107162666156765502064491529`13.74212259988612}, { + Rational[13, 4]]))^ + Rational[1, 2]), -8.1731679928964915782438`1.254814185731242*^-16}, { Rational[-1317, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68825,8 +88640,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00253291745625543973280892419837252559`13.746097450627268}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.6987988014955551297081`0.9105373638428047*^-16}, { Rational[-2633, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68845,7 +88661,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00255576330880701907927757272153573062`13.750049937461418}, { + 1.1324118918489045447422`0.3965339721000229*^-16}, { Rational[-329, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68863,8 +88679,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00257868357427539115082457181136440192`13.753980252873959}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8790020439682685547194`0.6165096979727579*^-16}, { Rational[-2631, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68882,8 +88699,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00260167770784543961054767630727866241`13.75788858691635}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.3136387765283149465677`1.1429150707336446*^-16}, { Rational[-263, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68901,8 +88719,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00262474516437059527184131703613383186`13.761775127246702}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.342688233897846}, { Rational[-2629, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68920,8 +88737,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00264788539840507848872590716887086594`13.76564005916954}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.0835797510836849165542`0.661551255784235*^-16}, { Rational[-657, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68940,7 +88757,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00267109786423569919821188188390813802`13.769483565674685}, { + 2.2718284409990494076732`0.6991695531892762*^-16}, { Rational[-2627, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68959,7 +88776,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00269438201591321975329771455819993364`13.773305827475374}, { + 2.0028427460697365868667`0.6444937659424578*^-16}, { Rational[-1313, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68977,8 +88794,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00271773730728328562577145689463491625`13.77710702304563}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.342899806873447}, { Rational[-21, 80] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -68996,8 +88812,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00274116319201692899927273174779304657`13.78088732865681}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.342952699345755}, { Rational[-164, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69015,8 +88830,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00276465912364065021506738986509477223`13.78464691841342}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.7850887402052155277406`1.0228955877703787*^-16}, { Rational[-2623, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69035,7 +88851,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00278822455556608197568117446631332398`13.788385964288258}, { + 5.851128392723161799629`0.11029811146291704*^-17}, { Rational[-1311, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69053,8 +88869,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00281185894111924115492279307488686982`13.792104636156816}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.7200033417774303124427`1.1005076575547894*^-16}, { Rational[-2621, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69072,8 +88889,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00283556173356937300689196841368442777`13.795803101830954}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.7481876382337418539218`1.2850823559482074*^-16}, { Rational[-131, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69091,8 +88909,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00285933238615739251130564343553130751`13.799481527091972}, { + Rational[13, 4]]))^ + Rational[1, 2]), -5.1552209752903364616372`1.05546444324352*^-16}, { Rational[-2619, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69111,7 +88929,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00288317035212392753787698170563055666`13.803140075722958}, { + 2.6643691757427844741219`0.7688644489297213*^-16}, { Rational[-1309, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69130,7 +88948,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00290707508473696845853868179378405725`13.806778909540563}, { + 1.618594094265948491894`0.5524608903370287*^-16}, { Rational[-2617, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69149,7 +88967,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00293104603731912878300607615503631642`13.810398188426097}, { + 2.0498211865755574601254`0.6550918068307199*^-16}, { Rational[-327, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69167,8 +88985,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00295508266327452134051828729084576326`13.813998070356005}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.343428719336917}, { Rational[-523, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69186,8 +89003,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00297918441611525447856925428943702854`13.817578711431816}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3955242395003498932568`0.7228821789215243*^-16}, { Rational[-1307, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69205,8 +89023,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00300335074948755269803671842340898985`13.821140265909392}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.34353449889898}, { Rational[-2613, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69224,8 +89041,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00302758111719750609332837281171875705`13.82468288622776}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.5391860693610529744532`1.0005653739792473*^-16}, { Rational[-653, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69243,8 +89061,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00305187497323645291598255033939564023`13.82820672303724}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2441892887726785977211`0.4385267358078533*^-16}, { Rational[-2611, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69262,8 +89081,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00307623177180599953057836328150174204`13.831711925227138}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8911788430552894837308`0.8047681238639682*^-16}, { Rational[-261, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69281,8 +89101,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0031006509673426819828195381888242439`13.835198639952807}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.0644840639837233576389`0.8301034228450026*^-16}, { Rational[-2609, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69301,7 +89122,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00312513201454227335124983345107459783`13.838667012662304}, { + 6.2963717471156078391`0.14288930578507356*^-17}, { Rational[-163, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69319,8 +89140,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00314967436838374100622850807491120731`13.842117187122454}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.343851832822892}, { Rational[-2607, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69338,8 +89158,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00317427748415285785253455130062737463`13.845549305444443}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7373753226262611039269`0.7812390689780799*^-16}, { Rational[-1303, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69357,8 +89178,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00319894081746547158527110419566611123`13.848963508108914}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.3218864779211075107649`1.1448043023617185*^-16}, { Rational[-521, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69377,7 +89199,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00322366382429043594259962312999059574`13.852359933990648}, { + 4.0841036594511925534803`0.9551072539497829*^-16}, { Rational[-651, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69395,8 +89217,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00324844596097220789323986286474736316`13.855738720382696}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.7247114378720159428077`1.0184386744927347*^-16}, { Rational[-2603, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69414,8 +89237,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00327328668425311465161979930236144339`13.859100003020133}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.0199604132294536474453`1.2993209047180299*^-16}, { Rational[-1301, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69433,8 +89257,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00329818545129529436904236647207322796`13.862443916103313}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.34416916087059}, { Rational[-2601, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69453,7 +89276,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00332314171970231430524663774554019233`13.865770592320711}, { + 2.6722763527371450492111`0.7711034169933114*^-16}, { Rational[-13, 50] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69472,7 +89295,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00334815494754047024127321595477140431`13.869080162871402}, { + 1.3604188579693830713963`0.4779475793025386*^-16}, { Rational[-2599, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69490,8 +89313,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00337322459335977085159057777305502637`13.872372757486993}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9090148875030897649401`0.6251371384596931*^-16}, { Rational[-1299, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69510,7 +89334,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00339835011621461071099449831114325333`13.875648504453299}, { + 4.701404552429109467184`0.01660833395468999*^-17}, { Rational[-2597, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69528,8 +89352,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00342353097568413556985010216761366661`13.878907530631498}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.344433597586214}, { Rational[-649, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69547,8 +89370,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00344876663189230348979927162191779288`13.882149961479016}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0580250166538594387139`0.3689824212265071*^-16}, { Rational[-519, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69566,8 +89390,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00347405654552764539109889921623841772`13.885375921069912}, { + Rational[13, 4]]))^ + Rational[1, 2]), -9.99884854267926210141`0.3444893616156274*^-17}, { Rational[-1297, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69585,8 +89409,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00349940017786272852228169087756296095`13.888585532114991}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.201097576672966634095`0.20199095433918648*^-17}, { Rational[-2593, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69604,8 +89429,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00352479699077332632283487834821344321`13.891778915981524}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.391413256290903412096`0.7232997777830801*^-16}, { Rational[-162, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69623,8 +89448,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00355024644675729811006733736698243865`13.894956192712563}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.4895926538548782470726`0.8874727662428415*^-16}, { Rational[-2591, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69642,8 +89468,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00357574800895318198227636096723224966`13.898117481046008}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.8276955058662972206392`1.2383848424761414*^-16}, { Rational[-259, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69661,8 +89488,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0036013011411585042917259133598016564`13.90126289843325}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5010849264545166659902`0.7429322445904187*^-16}, { Rational[-2589, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69681,7 +89509,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00362690530784780900280287371374373499`13.90439256105752}, { + 2.4908186511065682453671`0.7411988015111345*^-16}, { Rational[-647, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69699,8 +89527,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00365255997419041021302093085861321296`13.907506583851895}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.0404237521588863267822`1.0473766285982598*^-16}, { Rational[-2587, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69719,7 +89548,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00367826460606787107728784412409086846`13.910605080517003}, { + 1.5524195618627638270495`0.5359715724227497*^-16}, { Rational[-1293, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69737,8 +89566,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00370401867009121233903525026630952727`13.913688163538435}, { + Rational[13, 4]]))^ + Rational[1, 2]), -7.6168218447012300972028`1.226789150169643*^-16}, { Rational[-517, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69756,8 +89585,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00372982163361785363542565217729895002`13.91675594420377}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5326326124154877078709`0.5305063016748615*^-16}, { Rational[-323, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69775,8 +89605,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00375567296476829070789332370074662861`13.919808532619411}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.345121125799594}, { Rational[-2583, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69794,8 +89623,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00378157213244251161373932864496579758`13.922846037727059}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.0282263946624950021563`0.9502878832908225*^-16}, { Rational[-1291, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69813,8 +89643,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00380751860633615499938047265602963842`13.9258685673199}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.2132386906270831224507`0.6902551528761932*^-16}, { Rational[-2581, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69832,8 +89663,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00383351185695641346114264409160368382`13.928876228058568}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.4790975505235529988789`1.0839888186273772*^-16}, { Rational[-129, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69851,8 +89683,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0038595513556376849851855819934377506`13.93186912548675}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.2172658303424068125756`1.2037053744164592*^-16}, { Rational[-2579, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69870,8 +89703,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00388563657455697542424362980051337892`13.934847364046615}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.6277281040222627916369`1.2812820096486588*^-16}, { Rational[-1289, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69889,8 +89723,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00391176698674905493536055228795338296`13.937811047093867}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2890557224275146935536`0.8625096775568512*^-16}, { Rational[-2577, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69908,8 +89743,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00393794206612137126968113475514771056`13.94076027691269}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.9938833155967687188419`0.9468867056669388*^-16}, { Rational[-161, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69928,7 +89764,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00396416128746872277263323590448906775`13.943695154730275}, { + 4.2461385678150377841026`0.973538382922358*^-16}, { Rational[-103, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69946,8 +89782,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00399042412648769392048648022965476541`13.946615780731236}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.0324976097427364639097`1.1927067004257939*^-16}, { Rational[-1287, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69966,7 +89803,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00401673005979085618730316517089782463`13.949522254071713}, { + 2.5304893985708243326068`0.7488545164944951*^-16}, { Rational[-2573, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -69984,8 +89821,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00404307856492073700469859705486936973`13.952414672893216}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1000908402854823061581`0.8370773014274087*^-16}, { Rational[-643, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70004,7 +89842,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00406946912036355954559739248742829195`13.955293134336342}, { + 2.1436496610748039389323`0.6769095784136495*^-16}, { Rational[-2571, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70022,8 +89860,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00409590120556275603230478245176834427`13.958157734554126}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.345808656185625}, { Rational[-257, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70041,8 +89878,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00412237430093225723870318756955109074`13.961008568725264}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9537429131779287787193`0.8162342363302357*^-16}, { Rational[-2569, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70060,8 +89898,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00414888788786956082622990533297523028`13.96384573106711}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.9065212374092066021725`0.626156078677065*^-16}, { Rational[-321, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70079,8 +89917,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00417544144876858112348733116889149711`13.966669314848398}, { + Rational[13, 4]]))^ + Rational[1, 2]), -4.497830422230930517545`0.9989703965881616*^-16}, { Rational[-2567, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70098,8 +89936,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00420203446703228292987844875471411634`13.969479412401824}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.2577252247344481585149`0.9751978373641986*^-16}, { Rational[-1283, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70118,7 +89957,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00422866642708510189454315034113603187`13.972276115136383}, { + 2.5253917228668881283723`0.7484018475433926*^-16}, { Rational[-513, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70136,8 +89975,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00425533681438515399309111892049530265`13.975059513549494}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2934817033361774487745`0.8637812380574746*^-16}, { Rational[-641, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70155,8 +89995,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00428204511543623659618040883468741043`13.977829697238947}, { + Rational[13, 4]]))^ + Rational[1, 2]), -5.741763861988985990183`1.105224198794089*^-16}, { Rational[-2563, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70174,8 +90014,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00430879081779962359587344096606320188`13.980586754914702}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.2540883126528098999252`0.699202686867886*^-16}, { Rational[-1281, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70194,7 +90034,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00433557341010565702790987659603127279`13.98333077441037}, { + 3.8463687355000400404675`0.9313355641563972*^-16}, { Rational[-2561, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70212,8 +90052,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00436239238206513760056479569561948379`13.986061842694625}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.346337536990426}, { Rational[-32, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70231,8 +90070,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00438924722448051651360687721532005972`13.988780045882418}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.7662820353219575058068`1.2366035839738556*^-16}, { Rational[-2559, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70250,8 +90090,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00441613742925689092403100758983437071`13.991485469245921}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.3651119121938424008487`0.873442826985427*^-16}, { Rational[-1279, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70269,8 +90109,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00444306248941280538870912553816203885`13.99417819722542}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.7279091414465427540309`1.0211653262253595*^-16}, { Rational[-2557, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70288,8 +90129,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00447002189909086158787839165547449365`13.99685831343993}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.346549093814392}, { Rational[-639, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70307,8 +90147,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00449701515356813860746324389445926144`13.99952590069772}, { + Rational[13, 4]]))^ + Rational[1, 2]), -5.570382180066325752143`1.0924869763481373*^-16}, { Rational[-511, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70326,8 +90166,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00452404174926642603260390608562696348`14.002181041006601}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5586900781738030327074`0.7546725584815566*^-16}, { Rational[-1277, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70345,8 +90186,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00455110118376227207943484440126400515`14.004823815584118}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.346707763529633}, { Rational[-2553, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70364,8 +90204,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00457819295579684896711895071812712899`14.007454304867512}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5220174496508911374328`0.5291802854402208*^-16}, { Rational[-319, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70384,7 +90225,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00460531656528563770739335248337495607`14.010072588523602}, { + 1.493521391208832865293`0.5210249916804293*^-16}, { Rational[-2551, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70403,7 +90244,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00463247151332793446441723132484509044`14.01267874545846}, { + 2.3562426869542698234311`0.7190864548835918*^-16}, { Rational[-51, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70421,8 +90262,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00465965730221618061352744713236682682`14.015272853826902}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.6695377595415926750185`1.0161932179076885*^-16}, { Rational[-2549, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70440,8 +90282,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00468687343544511860360072439919965157`14.017854991041963}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.34697221759424}, { Rational[-637, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70460,7 +90301,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00471411941772077570408832025042421195`14.020425233784062}, { + 8.662319209277144796504`0.2846592927303883*^-17}, { Rational[-2547, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70478,8 +90319,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00474139475496927769442715847780661406`14.022983658010117}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.347078000966583}, { Rational[-1273, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70497,8 +90337,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00476869895434549453043712283315153419`14.025530338962545}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.1937182514355962077424`1.0626092794011859*^-16}, { Rational[-509, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70516,8 +90357,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00479603152424151999948433911852024881`14.028065351178038}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.347183785417652}, { Rational[-159, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70535,8 +90375,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00482339197429498735362166353629769198`14.030588768496257}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3454848243186104549383`0.47611548179791424*^-16}, { Rational[-2543, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70554,8 +90395,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00485077981539722288760709902403294753`14.033100664068403}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.347289571000978}, { Rational[-1271, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70574,7 +90414,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00487819455970123940664538646264335548`14.03560111036561}, { + 2.2754349314249230169119`0.7044068851234955*^-16}, { Rational[-2541, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70593,7 +90433,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00490563572062957150689450760825011781`14.03809017918727}, { + 2.0560318261853748299759`0.6604251907729531*^-16}, { Rational[-127, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70612,7 +90452,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0049331028128819545702242740479128333`14.040567941669169}, { + 3.6221027061845630316614`0.9064090123635834*^-16}, { Rational[-2539, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70631,7 +90471,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00496059535244284935340558238421152847`14.04303446829156}, { + 2.6719565339972705076044`0.774330534761224*^-16}, { Rational[-1269, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70649,8 +90489,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00498811285658881403084334893787977837`14.045489828887066}, { + Rational[13, 4]]))^ + Rational[1, 2]), -7.772029197489373543593`0.2380884637245773*^-17}, { Rational[-2537, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70669,7 +90509,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00501565484389572552914069349238455847`14.047934092648505}, { + 3.9484038745584994771997`0.9440285044852125*^-16}, { Rational[-317, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70688,7 +90528,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00504322083424585197119375371338190044`14.05036732813656}, { + 7.503087581129809541771`0.22289984608725022*^-17}, { Rational[-507, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70707,7 +90547,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00507081034883477802716274883217264908`14.05278960328735}, { + 3.6926868610221241524132`0.9150552068633266*^-16}, { Rational[-1267, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70726,7 +90566,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00509842291017818494954277772868003108`14.055200985419912}, { + 1.547218070634800068884`0.5373171506467626*^-16}, { Rational[-2533, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70744,8 +90584,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00512605804211848704966457271482990524`14.057601541243516}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.0547615175311712285862`0.9557838338514172*^-16}, { Rational[-633, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70763,8 +90604,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00515371526983132635328831094434000348`14.059991336864941}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.347871414322757}, { Rational[-2531, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70782,8 +90622,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00518139411983192715350991964972589006`14.062370437795602}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1000856625821981569762`0.8392980058198958*^-16}, { Rational[-253, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70801,8 +90642,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00520909411998131215997644240700418896`14.064738908958546}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.2837840804036957659181`1.0709222706028627*^-16}, { Rational[-2529, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70821,7 +90663,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00523681479949238192440233786240295021`14.067096814695418}, { + 9.610156758857046893391`0.3307605780913805*^-17}, { Rational[-158, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70839,8 +90681,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00526455568893585920358946931993583893`14.069444218773269}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2257132144849916144308`0.8567087576698852*^-16}, { Rational[-2527, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70858,8 +90701,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00529231632024609990257745532563160771`14.071781184391273}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.9367574708817537666004`0.94327456312278*^-16}, { Rational[-1263, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70877,8 +90720,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00532009622672677222218546204788882793`14.074107774187365}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.348188801662708}, { Rational[-101, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70897,7 +90739,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00534789494305640561704893368220096381`14.076424050244773}, { + 3.2110209872905115050423`0.8548848450747563*^-16}, { Rational[-631, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70915,8 +90757,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00537571200529381115230271440024268278`14.078730074098445}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.3382398018648072204687`0.9856081551723556*^-16}, { Rational[-2523, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70935,7 +90778,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00540354695088337482931308246566732045`14.081025906741415}, { + 2.4031147426394081685017`0.7291220085114496*^-16}, { Rational[-1261, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70953,8 +90796,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00543139931866022543331299242930530658`14.083311608631012}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.2625834096174733727729`0.703004999632195*^-16}, { Rational[-2521, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70972,8 +90815,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00545926864885527843844493320870847534`14.08558723969507}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.8604645228477258583942`0.9350928679699064*^-16}, { Rational[-63, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -70991,8 +90835,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00548715448310015748856191639241257404`14.087852859337971}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5679875541243787661087`0.7580991182577542*^-16}, { Rational[-2519, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71010,8 +90855,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0055150563644319949551768975724307136`14.09010852644665}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.5202771661894039184533`1.0037241704594801*^-16}, { Rational[-1259, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71029,8 +90875,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00554297383729811305718212003172319922`14.092354299396497}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.6848865333646946470615`0.7775379444620358*^-16}, { Rational[-2517, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71048,8 +90895,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00557090644756058701038019930150503533`14.094590236057169}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.34866491063634}, { Rational[-629, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71068,7 +90914,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00559885374250069165847601165211017031`14.096816393798317}, { + 1.8346837410134560342743`0.6122790261317329*^-16}, { Rational[-503, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71086,8 +90932,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00562681527082323302097040990826370297`14.09903282949528}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.7447497195548781786168`1.1080418301970578*^-16}, { Rational[-1257, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71105,8 +90952,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00565479058266076617737129385827578822`14.101239599534614}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.8507399594569898477212`1.1845611046500455*^-16}, { Rational[-2513, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71124,8 +90972,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0056827792295777008912924647232887528`14.10343675981964}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3992541495032961325369`0.7289527810396407*^-16}, { Rational[-157, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71144,7 +90993,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00571078076457429636234387507199875858`14.105624365775801}, { + 2.3215067655562803416877`0.7146993852838938*^-16}, { Rational[-2511, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71162,8 +91011,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00573879474209054647822625490100842126`14.107802472356092}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.3489823372801}, { Rational[-251, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71181,8 +91029,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00576682071800995692412658498272720288`14.109971134046258}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.34903524344621}, { Rational[-2509, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71200,8 +91047,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00579485824966321549136645924516320752`14.11213040487002}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.4787685164653227523746`0.890513681092629*^-16}, { Rational[-627, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71219,8 +91066,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00582290689583175691228101338557716598`14.114280338394217}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4791958882199250907349`0.7434519002626503*^-16}, { Rational[-2507, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71238,8 +91086,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00585096621675122353349980656081719467`14.116420987733841}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.8163652121706288602834`1.0319133759229195*^-16}, { Rational[-1253, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71257,8 +91106,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00587903577411482312516086087143324512`14.11855240555704}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.34924687327141}, { Rational[-501, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71276,8 +91124,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00590711513107658510911304777724143613`14.120674644090004}, { + Rational[13, 4]]))^ + Rational[1, 2]), -4.5847074042431998983959`1.010611406291367*^-16}, { Rational[-313, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71295,8 +91143,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00593520385225451647484824382585908429`14.122787755121868}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.34935269136808}, { Rational[-2503, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71314,8 +91161,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00596330150373365863775126606684668498`14.124891790009453}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3324025815661845147386`0.47404106671157575*^-16}, { Rational[-1251, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71333,8 +91181,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00599140765306904648026066953060611739`14.126986799682012}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.1561709804338901460777`1.1387691850011523*^-16}, { Rational[-2501, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71353,7 +91202,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00601952186928857080269519747325170392`14.129072834645852}, { + 1.4752894646254059430872`0.5183886636633158*^-16}, { Rational[-1, 4] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71371,8 +91220,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00604764372289574539681719476287585624`14.13114994498898}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.243187712833716136899`0.44410104326516026*^-16}, { Rational[-2499, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71390,8 +91240,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00607577278587237994167382328257788058`14.13321818038557}, { + Rational[13, 4]]))^ + Rational[1, 2]), -5.276093253072157512641`0.0719297092388614*^-17}, { Rational[-1249, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71409,8 +91259,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00610390863168115990787767517139659039`14.1352775901005}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0847232572073057513687`0.6687185704144544*^-16}, { Rational[-2497, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71429,7 +91280,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00613205083526813464325860659013670513`14.137328222993721}, { + 3.8210511488307947486494`0.9319059234925309*^-16}, { Rational[-156, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71448,7 +91299,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00616019897306511479973657453609855291`14.139370127524584}, { + 4.95446082541105644439`0.04477238496607158*^-17}, { Rational[-499, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71466,8 +91317,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00618835262299198024832923637637468342`14.14140335175621}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.6477810589647076473821`1.1017067531852938*^-16}, { Rational[-1247, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71485,8 +91337,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00621651136445889961641637158111359734`14.143427943359644}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.9067120776124233549023`0.9416932208361135*^-16}, { Rational[-2493, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71504,8 +91357,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00624467477836846256873413371249915001`14.145443949618084}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.7993310691192151758264`1.0311154409778902*^-16}, { Rational[-623, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71523,8 +91377,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00627284244711772594106408463293717609`14.147451417431009}, { + Rational[13, 4]]))^ + Rational[1, 2]), -5.3505266224181713323644`1.078384176956419*^-16}, { Rational[-2491, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71543,7 +91397,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00630101395460017482321326891552286029`14.149450393318187}, { + 3.0805939061867328786052`0.8386750165617678*^-16}, { Rational[-249, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71561,8 +91415,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0063291888862075996756506412956882288`14.151440923423774}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.4753601459636590823843`0.518991529757119*^-16}, { Rational[-2489, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71580,8 +91434,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00635736682883189055207037010653107257`14.153423053520225}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9956253931220692785658`0.8266339036175474*^-16}, { Rational[-311, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71599,8 +91454,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00638554737086674948819233083769208461`14.155396829012208}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.350199319103844}, { Rational[-2487, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71618,8 +91472,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00641373010220932210528292127455044809`14.157362294940494}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.0261690321497147631846`0.831145421234024*^-16}, { Rational[-1243, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71637,8 +91491,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00644191461426174946518363707482399942`14.159319495985734}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0777623861196159068819`0.6679010386176762*^-16}, { Rational[-497, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71656,8 +91511,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00647010049993264120206912677192113771`14.161268476472229}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.7566267911641900294351`1.1800880103578202*^-16}, { Rational[-621, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71675,8 +91531,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00649828735363847094471919916205518377`14.16320928037165}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.2665979813056877132656`0.7057854985182509*^-16}, { Rational[-2483, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71695,7 +91552,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00652647477130489503177900314594067662`14.165141951306694}, { + 2.5236200750552054177951`0.7524878964856412*^-16}, { Rational[-1241, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71713,8 +91570,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00655466235036799551129687764484265488`14.167066532554713}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.7099665486188389509859`0.9198868395248927*^-16}, { Rational[-2481, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71732,8 +91590,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00658284968977544840476873223106745505`14.168983067051256}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.350569769223622}, { Rational[-31, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71751,8 +91608,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00661103638998761820497984015653496244`14.170891597393629}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3539633007543468178057`0.4822295863058248*^-16}, { Rational[-2479, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71771,7 +91629,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00663922205297857956611819437575783071`14.172792165844319}, { + 3.1866945718096719816522`0.8540160588021494*^-16}, { Rational[-1239, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71789,8 +91647,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00666740628223706713393670085898238824`14.174684814334515}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5001609710910677418579`0.5268664062140218*^-16}, { Rational[-2477, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71808,8 +91667,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00669558868276735445316308574501873668`14.176569584467394}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.6945497765229901215039`0.9183429921677622*^-16}, { Rational[-619, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71828,7 +91688,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00672376886109006287889511409741562203`14.178446517521566}, { + 7.991329002517921831103`0.2534534078707175*^-17}, { Rational[-99, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71847,7 +91707,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00675194642524290140837321503100482106`14.180315654454308}, { + 7.405071595000964667277`0.22041658643379855*^-17}, { Rational[-1237, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71865,8 +91725,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00678012098478133833929155380859506126`14.182177035904843}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.7838563225345510425075`0.79558707020043*^-16}, { Rational[-2473, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71884,8 +91744,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00680829215077920565069067521953343415`14.18403070219761}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8311030095930260643858`0.8029488536052625*^-16}, { Rational[-309, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71903,8 +91764,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00683645953582923699246876899112062214`14.185876693345376}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.4266739240535684529968`0.9971236443494763*^-16}, { Rational[-2471, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71923,7 +91785,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00686462275404354015965309760006778227`14.18771504905242}, { + 2.3143315861342826851355`0.7155246252967009*^-16}, { Rational[-247, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71941,8 +91803,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00689278142105400491778691549575025501`14.189545808717615}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.7151762415753974869246`1.1081816014612862*^-16}, { Rational[-2469, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71960,8 +91823,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00692093515401264703610904747461997702`14.191369011437526}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.351204906084494}, { Rational[-617, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71979,8 +91841,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00694908357159188937563194882283913563`14.193184696009373}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.4181285945199936264572`1.0851071473644909*^-16}, { Rational[-2467, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -71998,8 +91861,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00697722629398478086975832175974389211`14.194992900934094}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.7345175287404720556338`1.1098076571622446*^-16}, { Rational[-1233, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72018,7 +91882,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00700536294290515422571500718656064564`14.196793664419221}, { + 1.2440318764908233752997`0.44619521562816294*^-16}, { Rational[-493, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72036,8 +91900,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00703349314158772316582471773958627637`14.198587024381856}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7998696400787517631111`0.7985544535195839*^-16}, { Rational[-154, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72055,8 +91920,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00706161651478812001848005189135799673`14.200373018451504}, { + Rational[13, 4]]))^ + Rational[1, 2]), -4.5799393058369324958703`1.012329300797052*^-16}, { Rational[-2463, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72074,8 +91939,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00708973268878287445962896764933451185`14.20215168397295}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.1274011212271692226333`0.9671991926573074*^-16}, { Rational[-1231, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72093,8 +91959,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0071178412913693341966253504819537155`14.203923058009051}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.2995749818091917299267`0.9850009795497462*^-16}, { Rational[-2461, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72112,8 +91979,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00714594195186552837744134939769811377`14.205687177343489}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.35162839072074}, { Rational[-123, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72131,8 +91997,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00717403430110997449947865711063372635`14.207444078483563}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9196017435401766585787`0.8170049441955524*^-16}, { Rational[-2459, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72150,8 +92017,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00720211797146142958355276782123336999`14.20919379766284}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6455044484257595273234`0.5680333305122729*^-16}, { Rational[-1229, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72169,8 +92037,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00723019259679858637005636541703767531`14.210936370843854}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5547599453334985482914`0.5434505541333242*^-16}, { Rational[-2457, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72188,8 +92057,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00725825781251971528583429499052068409`14.212671833720764}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9297501726179618197954`0.8186707406984756*^-16}, { Rational[-307, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72207,8 +92077,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00728631325554225292192198350063462015`14.214400221721915}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6755322294589789753862`0.5760458806624194*^-16}, { Rational[-491, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72226,8 +92097,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00731435856430233775401064591093755698`14.216121570012469}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.958757986248016728343`0.6439268180431734*^-16}, { Rational[-1227, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72245,8 +92116,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00734239337875429382930509851759589594`14.217835913496906}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.351998981953017}, { Rational[-2453, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72264,8 +92134,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00737041734037006313533247113708174312`14.219543286821581}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1889557903155207192376`0.8557004253923635*^-16}, { Rational[-613, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72283,8 +92154,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00739843009213858735824154630085361911`14.221243724377159}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.352104872686706}, { Rational[-2451, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72302,8 +92172,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0074264312785651397302018506404146076`14.222937260301128}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.2133476809884340348988`0.6972074592349105*^-16}, { Rational[-49, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72321,8 +92192,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00745442054567060765766798721790065221`14.224623928480188}, { + Rational[13, 4]]))^ + Rational[1, 2]), -5.123251997125121826536`1.0617564848700773*^-16}, { Rational[-2449, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72341,7 +92212,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0074823975409907268145170454327534453`14.226303762552652}, { + 2.8757188721926596383789`0.8110101427598069*^-16}, { Rational[-153, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72359,8 +92230,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00751036191357526737639428672223790782`14.22797679591082}, { + Rational[13, 4]]))^ + Rational[1, 2]), -5.0756600845021904478476`1.057809194304209*^-16}, { Rational[-2447, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72379,7 +92250,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00753831331398717306501372047967453949`14.229643061703335}, { + 1.45559314068224340706`0.5154096149746318*^-16}, { Rational[-1223, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72398,7 +92269,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00756625139430165366365470769641648399`14.231302592837482}, { + 2.5143922064877586954737`0.7528555875287388*^-16}, { Rational[-489, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72416,8 +92287,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00759417580810523165767242326123651534`14.23295542198145}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5451393565205481778012`0.5414431722104008*^-16}, { Rational[-611, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72435,8 +92307,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00762208621049474364649794616231853015`14.234601581566649}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.4589127319604862152559`1.0896346219408302*^-16}, { Rational[-2443, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72454,8 +92327,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00764998225807629716634201550342417384`14.236241103789881}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.221705677940933238895`0.6992679490108786*^-16}, { Rational[-1221, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72474,7 +92347,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00767786360896418355563418553423246891`14.237874020615603}, { + 9.507291495296186239705`0.3306911883506399*^-17}, { Rational[-2441, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72492,8 +92365,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00770572992277974748812534173197107419`14.239500363778054}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.8986335477730712216622`1.0427622866117499*^-16}, { Rational[-61, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72511,8 +92385,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00773358086065021379155541981343925515`14.241120164783464}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.104952269690647622541`0.20430145540175035*^-17}, { Rational[-2439, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72531,7 +92406,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00776141608520747216283882825583031276`14.242733454912127}, { + 2.5857908166781232266992`0.7653866367924521*^-16}, { Rational[-1219, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72549,8 +92424,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00778923526058682038384665058180042431`14.244340265220556}, { + Rational[13, 4]]))^ + Rational[1, 2]), -4.5204660594523575405891`1.008029419179307*^-16}, { Rational[-2437, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72568,8 +92443,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0078170380524256666350663445786901238`14.245940626543545}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.2927518787776135171684`1.0765807005873054*^-16}, { Rational[-609, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72587,8 +92463,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00784482412786219149769552004838899382`14.247534569496219}, { + Rational[13, 4]]))^ + Rational[1, 2]), -6.8841728111094599244984`1.190803889526853*^-16}, { Rational[-487, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72606,8 +92482,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00787259315553397022807563278650912371`14.249122124476074}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.1205222451678517991293`1.0623193441083099*^-16}, { Rational[-1217, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72626,7 +92503,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00790034480557655588179325819803070658`14.25070332166499}, { + 8.262079569553791180596`0.2701474222185612*^-17}, { Rational[-2433, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72644,8 +92521,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00792807874962202385827019084776547756`14.252278191031209}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.0347856708515812804866`0.8352390368612528*^-16}, { Rational[-152, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72663,8 +92541,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00795579466079747843022815342118137718`14.253846762331326}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1363345717127675655004`0.4086701958556397*^-16}, { Rational[-2431, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72682,8 +92561,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00798349221372352181604859654486508914`14.255409065112186}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.7848206386159879323728`0.6048115202585429*^-16}, { Rational[-243, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72702,7 +92582,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00801117108451268634675214549025462359`14.256965128712835}, { + 8.295798813633479713936`0.27212811815340077*^-17}, { Rational[-2429, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72721,7 +92601,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00803883095076783027309492593905574669`14.258514982266426}, { + 1.2852296291464753044718`0.4623036029495442*^-16}, { Rational[-607, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72739,8 +92619,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00806647149158049775211951276847420884`14.260058654702062}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.5081706020832405175334`1.0943832248294902*^-16}, { Rational[-2427, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72758,8 +92639,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00809409238752924354640583621535178611`14.261596174746673}, { + Rational[13, 4]]))^ + Rational[1, 2]), -4.6846454344965183876853`1.024105536876257*^-16}, { Rational[-1213, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72778,7 +92659,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00812169332067792296324130063777736448`14.263127570926871}, { + 3.169171434055130001151`0.8544275133192342*^-16}, { Rational[-97, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72796,8 +92677,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00814927397457394755496888298123994326`14.264652871570684}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1030669663266765025683`0.6763878533620427*^-16}, { Rational[-303, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72815,8 +92697,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00817683403424650709587635016910973184`14.266172104809476}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.9401938908400390561584`0.9491053167811063*^-16}, { Rational[-2423, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72834,8 +92717,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00820437318620475834515824468789553846`14.2676852985796}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5228851153085240677731`0.9005391770499532*^-16}, { Rational[-1211, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72854,7 +92738,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00823189111843598109971422175456549746`14.269192480624206}, { + 4.917787542348071455782`0.04546343282126047*^-17}, { Rational[-2421, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72872,8 +92756,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00825938752040370203484197407509206944`14.270693678494967}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.443701854628892041388`0.8907721898197591*^-16}, { Rational[-121, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72892,7 +92776,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00828686208304578682523965397723798889`14.272188919553821}, { + 2.6729883490043452691652`0.7807966870886281*^-16}, { Rational[-2419, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72911,7 +92795,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00831431449877250103315070838198064082`14.273678230974612}, { + 1.0932886694106407630334`0.39258744556597375*^-16}, { Rational[-1209, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72929,8 +92813,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00834174446146454024496269842980721766`14.275161639744807}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.9029337126306926987134`1.0443615980943417*^-16}, { Rational[-2417, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72948,8 +92833,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00836915166647102993211030927540745444`14.276639172667124}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0817030232966369827448`0.3880665991057403*^-16}, { Rational[-151, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72967,8 +92853,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00839653581060749550673070109295757356`14.278110856361216}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.8815017352009560217517`0.9430113203932322*^-16}, { Rational[-483, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -72986,8 +92873,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00842389659215380303717595190032376366`14.279576717265241}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1579515686402464891898`0.8534699822220179*^-16}, { Rational[-1207, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73005,8 +92893,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00845123371085207108320194624225217528`14.281036781637503}, { + Rational[13, 4]]))^ + Rational[1, 2]), -5.0864555044395208731346`1.060532748980312*^-16}, { Rational[-2413, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73024,8 +92912,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00847854686790455410542502842893848536`14.282491075558028}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.4073388675308521289066`0.735707710402673*^-16}, { Rational[-603, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73043,8 +92931,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00850583576597149789846642970399488425`14.283939624930102}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9349089079889287839708`0.6408839911105901*^-16}, { Rational[-2411, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73062,8 +92951,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00853310010916896749208926756032005635`14.285382455481884}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2715491387898958369317`0.4586096006562637*^-16}, { Rational[-241, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73082,7 +92972,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00856033960306664795957318183558609461`14.286819592767879}, { + 5.549634734841036110324`0.0985938389093797*^-17}, { Rational[-2409, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73100,8 +92990,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0085875539546856185675668027736009273`14.288251062170518}, { + Rational[13, 4]]))^ + Rational[1, 2]), -5.0658971390812045063806`1.059038794249259*^-16}, { Rational[-301, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73119,8 +93009,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00861474287249610069670763458849487649`14.289676888901571}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8240136576984047518731`0.6154635015331226*^-16}, { Rational[-2407, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73138,8 +93029,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00864190606641517995740198486540242684`14.291097098003748}, { + Rational[13, 4]]))^ + Rational[1, 2]), -4.901703069682949520524`1.0448354050049504*^-16}, { Rational[-1203, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73157,8 +93048,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00866904324780450292031368293647099217`14.292511714352065}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.3106591400658536697238`0.9890850794452898*^-16}, { Rational[-481, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73177,7 +93069,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00869615412946794887631892357253155041`14.293920762655329}, { + 2.4438377999911629823111`0.7426667665779353*^-16}, { Rational[-601, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73195,8 +93087,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00872323842564927703594506706194633273`14.295324267457628}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.354647381831406}, { Rational[-2403, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73214,8 +93105,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00875029585202974957362305080401151995`14.296722253139675}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.354700376164928}, { Rational[-1201, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73233,8 +93123,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00877732612572573091744565530121462646`14.298114743920259}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.5321473557288411826356`1.0110573934558942*^-16}, { Rational[-2401, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73253,7 +93144,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00880432896528626368053665977174461955`14.299501763857622}, { + 1.7282289032957191820685`0.5924076323164501*^-16}, { Rational[-6, 25] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73271,8 +93162,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00883130409069062162559836682158603857`14.300883336850864}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.4907093171136399284942`0.8977730511293627*^-16}, { Rational[-2399, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73290,8 +93182,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00885825122334584004971652536236550795`14.302259486641253}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3196091195816912063166`0.7203271723303278*^-16}, { Rational[-1199, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73309,8 +93202,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00888517008608422397206179615127695763`14.303630236813612}, { + Rational[13, 4]]))^ + Rational[1, 2]), -9.979047677430179949788`0.3540544624154939*^-17}, { Rational[-2397, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73329,7 +93222,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00891206040316083450273505107253606889`14.304995610797658}, { + 2.3433274762858312743947`0.7248513508040348*^-16}, { Rational[-599, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73348,7 +93241,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00893892190025095376665944780122195128`14.306355631869291}, { + 1.1546182686128869236113`0.4175097930470358*^-16}, { Rational[-479, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73366,8 +93259,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00896575430444752875212485406275646158`14.307710323151921}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.8012580517707891033778`0.9350517248925925*^-16}, { Rational[-1197, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73385,8 +93279,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00899255734425859444933929456490187149`14.309059707617749}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.355177376482928}, { Rational[-2393, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73405,7 +93298,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00901933074960467664013714897816824619`14.310403808089056}, { + 3.6976675017570194147041`0.9231582386678834*^-16}, { Rational[-299, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73423,8 +93316,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00904607425181617469583433704320475677`14.311742647239454}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.366087748287350392172`0.15915600984282294*^-17}, { Rational[-2391, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73442,8 +93336,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00907278758363072473610618872042318813`14.313076247595147}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.6591122897321968470171`1.0236395750742855*^-16}, { Rational[-239, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73462,7 +93357,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00909947047919054349769362068432208461`14.314404631536169}, { + 5.289589503163716295556`0.07881137697456116*^-17}, { Rational[-2389, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73480,8 +93375,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00912612267403975325771713844228484705`14.315727821297623}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5525651385803394464045`0.7624192510958717*^-16}, { Rational[-597, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73499,8 +93395,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00915274390512168815239557451542950491`14.317045838970833}, { + Rational[13, 4]]))^ + Rational[1, 2]), -6.948737476972893197605`0.1974013336313184*^-17}, { Rational[-2387, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73518,8 +93414,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00917933391077618222802688153755856424`14.318358706504654}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1255734764153669587595`0.40692229286638076*^-16}, { Rational[-1193, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73537,8 +93434,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00920589243073683955719125430453720368`14.319666445706526}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.0173340533337263966354`0.9595394025664573*^-16}, { Rational[-477, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73556,8 +93454,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00923241920612828674928189159704958501`14.320969078243788}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.9320779353911613074085`0.9502765889979885*^-16}, { Rational[-149, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73575,8 +93474,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00925891397946340818065536715098430131`14.322266625644708}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0475016624666404390542`0.3758622093862897*^-16}, { Rational[-2383, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73594,8 +93494,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00928537649464056426592140483600776032`14.323559109299731}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5095978350274972528025`0.5346217706623027*^-16}, { Rational[-1191, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73613,8 +93514,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0093118064969407930881603964668294279`14.324846550462597}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.173381923771189291738`0.42525291895081185*^-16}, { Rational[-2381, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73632,8 +93534,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00933820373302499570216581735653231459`14.326128970251427}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.977309741366938149951`0.13237230710231807*^-17}, { Rational[-119, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73651,8 +93554,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00936456795093110542115734541728959477`14.327406389649884}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9724760868416514108927`0.6509313140913694*^-16}, { Rational[-2379, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73671,7 +93575,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00939089890007124139379853999050585088`14.32867882950825}, { + 2.6164759689990813866656`0.7736893404412071*^-16}, { Rational[-1189, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73689,8 +93593,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0094171963312288467747799572422897321`14.329946310544562}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.869434630896985008875`0.6277358978256959*^-16}, { Rational[-2377, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73708,8 +93612,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00944345999655581178869414534981918085`14.331208853345625}, { + Rational[13, 4]]))^ + Rational[1, 2]), -8.123221410928540042674`0.2658069302574127*^-17}, { Rational[-297, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73727,8 +93631,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00946968964956958198343265509720089761`14.33246647836816}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.5712529826026880811082`1.0161669227825145*^-16}, { Rational[-19, 80] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73746,8 +93651,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00949588504515025196587660491162347643`14.333719205939799}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.35618469391548}, { Rational[-1187, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73765,8 +93669,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00952204593953764490923104351282739276`14.33496705626017}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.35623772302991}, { Rational[-2373, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73785,7 +93688,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00954817209032837811796895256648836233`14.336210049401933}, { + 1.168372113546767372385`0.42387193619915914*^-16}, { Rational[-593, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73803,8 +93706,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00957426325647291493300282495707270274`14.337448205311812}, { + Rational[13, 4]]))^ + Rational[1, 2]), -4.289800199928867938495`0.9887808502176559*^-16}, { Rational[-2371, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73822,8 +93725,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00960031919827260325638994498328956863`14.338681543811576}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.026086239387225968715`0.31189629670598984*^-17}, { Rational[-237, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73841,8 +93745,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00962633967737670097160139286269428237`14.339910084599115}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.356449852303214}, { Rational[-2369, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73860,8 +93763,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00965232445677938853214400976428169081`14.341133847249369}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6281370164350078154109`0.5681938381445104*^-16}, { Rational[-148, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73880,7 +93784,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00967827330081676898811870788969009059`14.342352851215368}, { + 6.789358819820862177656`0.18838468658546043*^-17}, { Rational[-2367, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73898,8 +93802,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0097041859751638557171272139361548591`14.343567115829176}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.9541192631037654055016`0.647560028752186*^-16}, { Rational[-1183, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73917,8 +93821,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00973006224683154812280221890005842411`14.344776660302868}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8470175654535251551883`0.8110521489776524*^-16}, { Rational[-473, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73936,8 +93841,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00975590188416359556113260214203995196`14.345981503729504}, { + Rational[13, 4]]))^ + Rational[1, 2]), -6.375830591637497366333`0.1612518122722142*^-17}, { Rational[-591, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73955,8 +93860,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00978170465683354975168553661767255416`14.347181665084058}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.0150544773284025430833`0.8360632487854934*^-16}, { Rational[-2363, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73974,8 +93880,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00980747033584170592779050298615497686`14.348377163224376}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.8726756897935216232244`0.815107727810068*^-16}, { Rational[-1181, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -73993,8 +93899,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00983319869351203297674618481355952331`14.349568016892075}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.4967375739177591269548`0.532019834684962*^-16}, { Rational[-2361, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74012,8 +93918,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00985888950348909281813953117885626208`14.350754244713524}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.356927219515455}, { Rational[-59, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74032,7 +93937,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00988454254073494926542660653353547882`14.351935865200675}, { + 1.8512921753967762878383`0.6244552325241116*^-16}, { Rational[-2359, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74050,8 +93955,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00991015758152606661301685444959708749`14.353112896752037}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.4194833265367636742815`0.509163611353712*^-16}, { Rational[-1179, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74069,8 +93974,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00993573440345019818822573958469172154`14.354285357653533}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.9571962354487356390451`0.9544739531454933*^-16}, { Rational[-2357, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74088,8 +93994,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00996127278540326510461506230214272131`14.355453266079412}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1438085944793558954524`0.6883254250571283*^-16}, { Rational[-589, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74108,7 +94015,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00998677250758622545042522819860263933`14.356616640093081}, { + 6.964189011892110662354`0.20006301984616107*^-17}, { Rational[-471, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74126,8 +94033,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01001223335150193414301906935175431751`14.357775497648014}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.35724552447874}, { Rational[-1177, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74146,7 +94052,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01003765509995199367750212814115279559`14.358929856588619}, { + 6.072180390748061959732`0.14064324506348802*^-17}, { Rational[-2353, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74164,8 +94070,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01006303753703359599495930441312480835`14.36007973465104}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.357351637052616}, { Rational[-147, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74183,8 +94088,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01008838044813635569305211256357294163`14.361225149464047}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.8365623288124840556933`1.0419414843106085*^-16}, { Rational[-2351, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74202,8 +94108,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01011368361993913479905418038223404214`14.362366118549852}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0540495734823766796941`0.6700686760247725*^-16}, { Rational[-47, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74221,8 +94128,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01013894684040685932276473334932806203`14.363502659324936}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.5467793556367382017172`1.0152146957266033*^-16}, { Rational[-2349, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74240,8 +94148,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01016416989878732780413033710078455268`14.364634789100881}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2045781007823193456457`0.4383988422532624*^-16}, { Rational[-587, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74259,8 +94168,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01018935258560801206782381102845653697`14.36576252508516}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6041045362899101221558`0.5628496097220449*^-16}, { Rational[-2347, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74279,7 +94189,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01021449469267285039447567491396524003`14.366885884381956}, { + 2.2987531519851364413025`0.7191623458232769*^-16}, { Rational[-1173, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74297,8 +94207,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01023959601305903331572744893090888221`14.368004883992956}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.9212792910857364648261`0.641313576477345*^-16}, { Rational[-469, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74316,8 +94226,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01026465634111378223777729944375573437`14.369119540818126}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.0567897847767508957169`0.8430417163471511*^-16}, { Rational[-293, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74336,7 +94247,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01028967547245112109561661622380646101`14.370229871656525}, { + 1.3598215312606103947403`0.49131112604215627*^-16}, { Rational[-2343, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74354,8 +94265,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01031465320394864123771083168685285673`14.371335893207021}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.3921968095319555711984`0.8883633249375175*^-16}, { Rational[-1171, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74373,8 +94285,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01033958933374425973845886344073204524`14.372437622069123}, { + Rational[13, 4]]))^ + Rational[1, 2]), -4.8671621413775835441106`1.045211170308698*^-16}, { Rational[-2341, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74392,8 +94304,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01036448366123297133337269489616349663`14.373535074743684}, { + Rational[13, 4]]))^ + Rational[1, 2]), -4.986613917978314275392`1.0557941747447503*^-16}, { Rational[-117, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74411,8 +94323,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01038933598706359416955152516478352559`14.374628267633693}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1806536269674628155764`0.8605578822517412*^-16}, { Rational[-2339, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74430,8 +94343,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01041414611313550956168334226728453086`14.375717217044988}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5328437920078920265556`0.5435924813033758*^-16}, { Rational[-1169, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74449,8 +94363,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01043891384259539594149042919558951695`14.376801939187022}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.145758124057341421712`0.4172406055020737*^-16}, { Rational[-2337, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74469,7 +94383,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01046363897983395718624393003705557814`14.377882450173571}, { + 1.4021817511889937560668`0.5050050505012762*^-16}, { Rational[-146, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74487,8 +94401,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01048832133048264550970591559584061662`14.378958766023448}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.9820497164108851570949`0.65536836481149*^-16}, { Rational[-467, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74506,8 +94420,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0105129607014103790966151301152520656`14.380030902661268}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0560826305267703174261`0.3820048033653433*^-16}, { Rational[-1167, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74526,7 +94441,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01053755690072025465961451112236015439`14.381098875918106}, { + 2.6363883719074595545537`0.7793693750821562*^-16}, { Rational[-2333, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74544,8 +94459,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0105621097377462550953243942819905472`14.382162701532222}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3202332831784502234331`0.7239447254939455*^-16}, { Rational[-583, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74563,8 +94479,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0105866190230499524140947885190594764`14.38322239514975}, { + Rational[13, 4]]))^ + Rational[1, 2]), -5.3782827031174965985729`1.089109786819144*^-16}, { Rational[-2331, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74582,8 +94498,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01061108456841720611582298043316365362`14.384277972325405}, { + Rational[13, 4]]))^ + Rational[1, 2]), -5.110051703121414152413`1.0669445429591302*^-16}, { Rational[-233, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74601,8 +94517,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01063550618685485718209875086414001179`14.385329448523153}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.2339760765420387934198`0.9853207383952649*^-16}, { Rational[-2329, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74621,7 +94538,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01065988369258741785283841281405949328`14.386376839116885}, { + 6.653826798893990918046`0.18169692195792794*^-17}, { Rational[-291, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74639,8 +94556,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.010684216901053757353490463958257286`14.387420159391095}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.1323814857653448035899`1.0689974527229633*^-16}, { Rational[-2327, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74658,8 +94576,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.010708505628903783736839646553795469`14.388459424541551}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.4001142305506665610663`0.8902251254188367*^-16}, { Rational[-1163, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74677,8 +94596,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01073274969399512200140238321320445768`14.389494649675939}, { + Rational[13, 4]]))^ + Rational[1, 2]), -8.485619845222215583474`0.2874682850368809*^-17}, { Rational[-93, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74697,7 +94616,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01075694891538978864639467192423544271`14.390525849814516}, { + 7.734882399750453885712`0.247291526125699*^-17}, { Rational[-581, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74715,8 +94634,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01078110311335086282126334364039397348`14.391553039890788}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.4645164212470184544911`0.5245851556870251*^-16}, { Rational[-2323, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74734,8 +94654,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01080521210933915422580287909397099602`14.39257623475208}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4915686330075326930826`0.7554168653300297*^-16}, { Rational[-1161, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74754,7 +94675,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01082927572600986791493251909167025389`14.393595449160271}, { + 1.670257735957523125778`0.5817806044979303*^-16}, { Rational[-2321, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74772,8 +94693,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01085329378720926616028195785835235877`14.394610697792334}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.35905021617594}, { Rational[-29, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74791,8 +94711,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01087726611797132751882825790052276862`14.395621995241006}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.35910332129593}, { Rational[-2319, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74811,7 +94730,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01090119254451440325694154573022849139`14.39662935601537}, { + 1.0055158437708108225027`0.36154534605936567*^-16}, { Rational[-1159, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74829,8 +94748,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01092507289423787127633232141622336753`14.397632794541542}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9163123331677897689431`0.6416758308389996*^-16}, { Rational[-2317, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74848,8 +94768,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01094890699571878768654862451037052872`14.39863232516316}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.359262645915265}, { Rational[-579, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74868,7 +94787,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01097269467870853616684663000526570641`14.399627962142095}, { + 3.0896600718871771643085`0.8492264576763053*^-16}, { Rational[-463, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74886,8 +94805,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01099643577412947525845328853653691807`14.400619719658986}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.6331065022658424937046`1.0252411536344674*^-16}, { Rational[-1157, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74906,7 +94826,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01102013011407158372645416529288926311`14.401607611813848}, { + 2.4006141084081585379423`0.7397443386407546*^-16}, { Rational[-2313, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74924,8 +94844,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01104377753178910412877346457677850768`14.402591652626658}, { + Rational[13, 4]]))^ + Rational[1, 2]), -4.5841874633111172046458`1.020737469650047*^-16}, { Rational[-289, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74944,7 +94864,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01106737786169718472796614647661052961`14.403571856037923}, { + 1.7642465833588495532589`0.6060875031451867*^-16}, { Rational[-2311, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74962,8 +94882,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01109093093936851987981384571621963231`14.404548235909255}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3918726684526977901891`0.5031808441280311*^-16}, { Rational[-231, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -74981,8 +94902,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01111443660152998903100678970783015557`14.405520806023965}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.5370708246745338725244`1.0164100167257264*^-16}, { Rational[-2309, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75000,8 +94922,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01113789468605929445650288460900542016`14.406489580087582}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.0779297657490486058948`0.8479462858805852*^-16}, { Rational[-577, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75019,8 +94942,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0111613050319815978654823983987958054`14.40745457172846}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.649480305968420222197`0.18252840829220954*^-17}, { Rational[-2307, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75039,7 +94963,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01118466747946615600316202441245608835`14.408415794498312}, { + 2.1945697628934145997085`0.7011432208399135*^-16}, { Rational[-1153, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75057,8 +94981,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01120798186982295537409536529304212573`14.409373261872735}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.35984695681518}, { Rational[-461, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75076,8 +94999,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01123124804549934621096784591341897127`14.4103269872518}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8099583891154639702234`0.6175686760950475*^-16}, { Rational[-144, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75095,8 +95019,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01125446585007667581129255654259435168`14.411276983960535}, { + Rational[13, 4]]))^ + Rational[1, 2]), -6.97629326640441277691`0.2035779448411551*^-17}, { Rational[-2303, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75114,8 +95038,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01127763512826692136282935847310868189`14.412223265249526}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4861299165859276354891`0.7555301674176662*^-16}, { Rational[-1151, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75133,8 +95058,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01130075572590932237698256961059747863`14.413165844295388}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.7487320613749478389018`1.0366371473328369*^-16}, { Rational[-2301, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75152,8 +95078,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01132382748996701284788250527286170635`14.41410473420132}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2551435179746402252899`0.45880600409079914*^-16}, { Rational[-23, 100] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75172,7 +95099,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0113468502685236532533228997499468824`14.415039947997624}, { + 1.3280821641970154884585`0.48339069760174147*^-16}, { Rational[-2299, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75190,8 +95117,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0113698239107800625122095990926219727`14.415971498642213}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2123486286058716153191`0.8670415638863587*^-16}, { Rational[-1149, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75210,7 +95138,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01139274826705085001167571910735608902`14.416899399021105}, { + 2.5966589951352344662838`0.7746869517274353*^-16}, { Rational[-2297, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75229,7 +95157,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01141562318876104781553453053904592978`14.417823661948976}, { + 1.6378973374346153163053`0.5746118504878385*^-16}, { Rational[-287, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75247,8 +95175,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01143844852844274316427349370229414209`14.418744300169632}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0648524082908804599452`0.38766773437212215*^-16}, { Rational[-459, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75266,8 +95195,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01146122413973171137534094703154852005`14.4196613263565}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.5936536873116948293008`0.562825413872038*^-16}, { Rational[-1147, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75285,8 +95214,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01148394987736404925104078965985261488`14.42057475311314}, { + Rational[13, 4]]))^ + Rational[1, 2]), -5.0072374194637147419587`1.060082792696222*^-16}, { Rational[-2293, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75304,8 +95233,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01150662559717280909992992053052356435`14.421484592973712}, { + Rational[13, 4]]))^ + Rational[1, 2]), -6.191205394311197835262`0.1523129690035346*^-17}, { Rational[-573, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75323,8 +95252,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01152925115608463347620804082714426338`14.422390858403498}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.4212819349863772328086`0.8947797711912145*^-16}, { Rational[-2291, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75342,8 +95272,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01155182641211639074019952959040978009`14.423293561799326}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.7797782085925851378768`0.611009943636567*^-16}, { Rational[-229, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75361,8 +95291,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01157435122437181154165230295610295514`14.424192715490108}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0250930698513711912245`0.3714605094422521*^-16}, { Rational[-2289, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75381,7 +95312,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01159682545303812632621870592206324332`14.425088331737287}, { + 1.6500529450845717740795`0.5782482465859152*^-16}, { Rational[-143, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75399,8 +95330,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01161924895938270396413840408406885613`14.425980422735277}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.36080352368747}, { Rational[-2287, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75418,8 +95348,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01164162160574969159881278522675211305`14.426869000611978}, { + Rational[13, 4]]))^ + Rational[1, 2]), -7.762837509468487683297`0.2508771777503715*^-17}, { Rational[-1143, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75438,7 +95368,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01166394325555665581164439255914603798`14.427754077429194}, { + 3.4527593541493957299593`0.8990761526822033*^-16}, { Rational[-457, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75457,7 +95387,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0116862137732912251982132399549728394`14.42863566518311}, { + 2.7446169406277674987211`0.7994447435513357*^-16}, { Rational[-571, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75475,8 +95405,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01170843302450773444957435365375986229`14.429513775804752}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.9634864214577835003301`0.832819109082465*^-16}, { Rational[-2283, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75494,8 +95424,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01173060087582387003118739499072294347`14.430388421160409}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1832664331863630434796`0.7001760703282927*^-16}, { Rational[-1141, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75513,8 +95444,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01175271719491731755072959695002533677`14.431259613052106}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9921147759965094296455`0.6604368562486393*^-16}, { Rational[-2281, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75532,8 +95464,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01177478185052241090479734737417443837`14.432127363218017}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.0335166173791818595155`0.843122046425911*^-16}, { Rational[-57, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75552,7 +95484,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01179679471242678329326942878090206219`14.432991683332931}, { + 9.875619315047826041478`0.3557931788515613*^-17}, { Rational[-2279, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75570,8 +95502,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01181875565146802018888603576830174832`14.433852585008662}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5547569129152040880391`0.5529445069785522*^-16}, { Rational[-1139, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75589,8 +95522,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01184066453953031434839209429737106104`14.43471007979449}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.700124957001909966588`0.7927190472677783*^-16}, { Rational[-2277, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75609,7 +95542,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01186252124954112295040096262269283119`14.43556417917758}, { + 1.4085711639017073682494`0.5101671530983887*^-16}, { Rational[-569, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75628,7 +95561,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01188432565546782694395516269568957206`14.436414894583427}, { + 2.2247947520457183949686`0.7087314884450812*^-16}, { Rational[-91, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75647,7 +95580,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01190607763231439269059423638004907176`14.43726223737623}, { + 8.915279581909939977582`0.3116296831500895*^-17}, { Rational[-1137, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75665,8 +95598,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01192777705611803598158600715742686011`14.438106218859344}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.36154789674569}, { Rational[-2273, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75684,8 +95616,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01194942380394588851083632098223472805`14.438946850275707}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.073036489179598854582`0.8491687963216558*^-16}, { Rational[-142, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75703,8 +95635,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01197101775389166688286360682504346142`14.439784142808175}, { + Rational[13, 4]]))^ + Rational[1, 2]), -4.4295410536639052333403`1.008012994615456*^-16}, { Rational[-2271, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75722,8 +95654,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01199255878507234423410820690890396924`14.440618107580017}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.560738531545661911692`0.29421868217313724*^-17}, { Rational[-227, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75742,7 +95675,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0120140467776248245447422487813337548`14.441448755655252}, { + 5.683284441484653984785`0.11636002972626171*^-17}, { Rational[-2269, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75760,8 +95693,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01203548161270261971705373766119862044`14.442276098039079}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.647957659901161268858`0.7847248633307135*^-16}, { Rational[-567, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75779,8 +95712,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01205686317247252949539841081425496833`14.443100145678251}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.187724933411510948068`0.7018597345223337*^-16}, { Rational[-2267, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75798,8 +95731,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01207819134011132430164459026600823435`14.443920909461495}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.0058879969666294510951`0.664226890733809*^-16}, { Rational[-1133, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75818,7 +95751,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01209946599980243105897967152381449468`14.444738400219858}, { + 2.2641681669296996098384`0.7168820861268431*^-16}, { Rational[-453, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75836,8 +95769,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01212068703673262207590187105275254214`14.44555262872715}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.0599939112660015904304`0.8477471649598043*^-16}, { Rational[-283, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75856,7 +95790,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01214185433708870706118730224698015067`14.446363605700267}, { + 6.369031886484608258577`0.16615322424400558*^-17}, { Rational[-2263, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75874,8 +95808,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01216296778805422833960023808055068157`14.447171341799583}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2236101681425395687493`0.4497760785827499*^-16}, { Rational[-1131, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75893,8 +95828,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01218402727780615933710342931335263305`14.447975847629383}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.362186203312785}, { Rational[-2261, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75913,7 +95847,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01220503269551160640332546215030599141`14.44877713373814}, { + 1.7773795616747447200072`0.612019588990331*^-16}, { Rational[-113, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75932,7 +95866,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01222598393132451403805324194235683361`14.449575210618965}, { + 2.2602201051510415858669`0.7164433465218907*^-16}, { Rational[-2259, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75950,8 +95884,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01224688087638237358753966446114885267`14.450370088709928}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5453548603789754268083`0.9120055311601126*^-16}, { Rational[-1129, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75969,8 +95904,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01226772342280293547544926928997701174`14.451161778394448}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.435012505028690790728`0.17094842467676927*^-17}, { Rational[-2257, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -75988,8 +95924,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01228851146368092503230804798508358179`14.451950290001628}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.362452240561}, { Rational[-141, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76008,7 +95943,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01230924489308476198637749111042425614`14.452735633806627}, { + 8.600224856947758241763`0.2970152596931881*^-17}, { Rational[-451, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76026,8 +95961,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01232992360605328367793729246415679577`14.453517820031008}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.362558668200688}, { Rational[-1127, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76045,8 +95979,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01235054749859247205803577640149718391`14.45429685884311}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2324453478871496485289`0.8721430756098805*^-16}, { Rational[-2253, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76064,8 +95999,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01237111646767218453185196688733439842`14.455072760358357}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2500841521277637390988`0.45960435264030486*^-16}, { Rational[-563, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76083,8 +96019,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01239163041122288870590816770498396462`14.455845534639643}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.7653592320703420784652`0.9385247395634579*^-16}, { Rational[-2251, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76102,8 +96039,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01241208922813240109747686616644380398`14.456615191697646}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5733264290328201449717`0.9158442370151589*^-16}, { Rational[-9, 40] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76121,8 +96059,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01243249281824262986364060290207168816`14.457381741491192}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.6157006203317215447917`0.571185661175191*^-16}, { Rational[-2249, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76140,8 +96078,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01245284108234632160658806415623051471`14.458145193927573}, { + Rational[13, 4]]))^ + Rational[1, 2]), -8.671075681219896659772`0.300950972016832*^-17}, { Rational[-281, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76159,8 +96097,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01247313392218381231086394788396414964`14.458905558862888}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4542417208563597521737`0.7528485575264876*^-16}, { Rational[-2247, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76178,8 +96117,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01249337124043978246743402932503410481`14.459662846102391}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5747479880433951459081`0.9162298828265819*^-16}, { Rational[-1123, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76197,8 +96137,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01251355294074001643858020519836837253`14.460417065400772}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.36303768381331}, { Rational[-449, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76216,8 +96155,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01253367892764816611680302884743793217`14.461168226462544}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.7183290939024729996449`0.9334387418140307*^-16}, { Rational[-561, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76235,8 +96175,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01255374910666251893008126326792444294`14.461916338942345}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0797049576756153871765`0.3964492477312389*^-16}, { Rational[-2243, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76255,7 +96196,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01257376338421277024501917769574543673`14.46266141244522}, { + 8.777446029006994540568`0.30656555696537796*^-17}, { Rational[-1121, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76273,8 +96214,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01259372166765680021860260009157559276`14.463403456527018}, { + Rational[13, 4]]))^ + Rational[1, 2]), -8.840694610078701453913`0.3097370168297138*^-17}, { Rational[-2241, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76292,8 +96233,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0126136238652774551484840172108889882`14.464142480694624}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.6930065479508237501792`0.9306839465939737*^-16}, { Rational[-28, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76311,8 +96253,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01263346988627933337092519179001197345`14.46487849440632}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.443106404115667322403`0.7512994937144806*^-16}, { Rational[-2239, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76331,7 +96273,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0126532596407855757547427494979216957`14.465611507072104}, { + 1.1387405348760899320823`0.4198351363422218*^-16}, { Rational[-1119, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76349,8 +96291,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0126729930398346608388278844783328399`14.466341528053988}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.759584263244153330434`0.9386034257248655*^-16}, { Rational[-2237, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76368,8 +96310,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01269266999537720466004565028863946145`14.467068566666264}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.6740129706304202901984`0.9286575362278471*^-16}, { Rational[-559, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76387,8 +96330,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01271229042027276531756215254875462152`14.467792632175863}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.082651150141855602477`0.21376595285639202*^-17}, { Rational[-447, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76406,8 +96350,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01273185422828665231889925131700145728`14.468513733802656}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6392113984108670518526`0.5782583188517532*^-16}, { Rational[-1117, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76425,8 +96370,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01275136133408674075227602672523806161`14.469231880719692}, { + Rational[13, 4]]))^ + Rational[1, 2]), -8.117053691059687196973`0.2730750263298018*^-17}, { Rational[-2233, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76444,8 +96389,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01277081165324029032906417328356943337`14.46994708205356}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8425636211453386103044`0.8174400573978735*^-16}, { Rational[-279, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76463,8 +96409,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01279020510221076933946057997657990944`14.470659346884647}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.363783121698525}, { Rational[-2231, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76482,8 +96427,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01280954159835468356376453920584058288`14.47136868424747}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9404766799247253052785`0.8322541210947855*^-16}, { Rational[-223, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76501,8 +96447,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01282882105991841018093922307624516784`14.472075103130887}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9023668459743143131747`0.6431839120053778*^-16}, { Rational[-2229, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76521,7 +96468,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01284804340603503671543718665932987446`14.472778612478482}, { + 6.660509874066195766356`0.18745038370656578*^-17}, { Rational[-557, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76539,8 +96486,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01286720855672120506257762176258290142`14.473479221188759}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.363996172964043}, { Rational[-2227, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76558,8 +96504,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01288631643287396063207880932947612`14.474176938115509}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1376621624912428655645`0.4200627547648983*^-16}, { Rational[-1113, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76577,8 +96524,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01290536695626760664867262269720301709`14.474871772068019}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.36410271026724}, { Rational[-89, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76596,8 +96542,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01292436004955056364805893720710013417`14.475563731811393}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.9739859290726794219032`0.9633823068954194*^-16}, { Rational[-139, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76615,8 +96562,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01294329563624223420579632460396821823`14.476252826066807}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.4345797530921997207309`0.9000828608146383*^-16}, { Rational[-2223, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76634,8 +96582,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01296217364072987293607137461678061333`14.476939063511796}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.8373121904748016984955`0.9482896642398077*^-16}, { Rational[-1111, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76653,8 +96602,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01298099398826546179664231325609989129`14.477622452780532}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.5616220376609325694117`1.0234351064729992*^-16}, { Rational[-2221, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76673,7 +96623,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01299975660496259073561320068086594946`14.478303002464074}, { + 8.726453139173738754433`0.3052068487098747*^-17}, { Rational[-111, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76691,8 +96641,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01301846141779334371506281477873669526`14.47898072111063}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5467443181809382006207`0.9142522509353898*^-16}, { Rational[-2219, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76710,8 +96661,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01303710835458519014592728446795802767`14.479655617225873}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4967218884342773601438`0.7618458213529636*^-16}, { Rational[-1109, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76729,8 +96681,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01305569734401788176791755455785381408`14.480327699273122}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.7028755338635495333825`0.9330680522543562*^-16}, { Rational[-2217, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76748,8 +96701,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01307422831562035500764176797306757239`14.480996975673705}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.364582225445346}, { Rational[-277, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76767,8 +96719,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01309270119976763884749856820484952747`14.481663454807107}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3566259317537148908156`0.4970956291213294*^-16}, { Rational[-443, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76786,8 +96739,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01311111592767776823731008271703277687`14.482327145011327}, { + Rational[13, 4]]))^ + Rational[1, 2]), -8.686325451040006407433`0.3035249032635626*^-17}, { Rational[-1107, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76805,8 +96758,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01312947243140870308007287518019231807`14.482988054583062}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.7629281896554373072012`0.80611169703368*^-16}, { Rational[-2213, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76824,8 +96777,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01314777064385525282262138005997082864`14.483646191778025}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.7884906226615727580114`1.0449940362304626*^-16}, { Rational[-553, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76843,8 +96797,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01316601049874600668142118721159741363`14.48430156481112}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.36484869234837}, { Rational[-2211, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76863,7 +96816,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01318419193064026953313895743348339083`14.484954181856748}, { + 1.3858632821427340278137`0.5066223802273188*^-16}, { Rational[-221, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76881,8 +96834,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01320231487492500349907165383552668039`14.485604051049055}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5652026115685869946407`0.5595258570373359*^-16}, { Rational[-2209, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76900,8 +96854,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0132203792678117752519601005274923875`14.486251180482137}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.49248237330681697767`0.017494978414824124*^-17}, { Rational[-138, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76919,8 +96874,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01323838504633370907316056238527081964`14.48689557821032}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5503995807283172938494`0.5555055443622864*^-16}, { Rational[-2207, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76938,8 +96894,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01325633214834244568760301106652962599`14.487537252248396}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.36511520960535}, { Rational[-1103, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76957,8 +96912,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01327422051250510690342593727516322414`14.488176210571845}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.36516851914429}, { Rational[-441, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76976,8 +96930,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01329205007830126608264492245816673042`14.488812461117094}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.41616907487575595647`0.7483491521099356*^-16}, { Rational[-551, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -76995,8 +96949,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01330982078601992446868563027937494096`14.489446011781757}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.3061226402281926012492`0.8845941039790393*^-16}, { Rational[-2203, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77014,8 +96969,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01332753257675649339609135564714021754`14.490076870424826}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4064027555308921850724`0.7466967762247394*^-16}, { Rational[-1101, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77034,7 +96990,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01334518539240978240720071373664189823`14.490705044866981}, { + 2.2745642417038498636057`0.7222799850725841*^-16}, { Rational[-2201, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77052,8 +97008,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01336277917567899330008240096119949731`14.491330542890738}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.36543509750108}, { Rational[-11, 50] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77071,8 +97026,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01338031387006072013151115247976681202`14.491953372240726}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.1256485614811898690032`0.9809806496815495*^-16}, { Rational[-2199, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77090,8 +97046,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01339778941984595519827199549454173346`14.492573540623928}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9874283884852393761272`0.6638332322320889*^-16}, { Rational[-1099, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77110,7 +97067,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01341520577011710101958859384447720415`14.493191055709863}, { + 1.8952196613902060912664`0.6432546223874926*^-16}, { Rational[-2197, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77128,8 +97085,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01343256286674498834298583741957036959`14.493805925130845}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3719282510469333448176`0.5029797965041479*^-16}, { Rational[-549, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77147,8 +97105,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01344986065638590019541679051376084083`14.494418156482187}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.36570172733348}, { Rational[-439, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77166,8 +97123,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01346709908647860200100961782340294593`14.495027757322422}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.8412717647020705895469`0.9502300935118326*^-16}, { Rational[-1097, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77185,8 +97143,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01348427810524137778632109741757255034`14.495634735173544}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7602315173516835308385`0.8067539042970081*^-16}, { Rational[-2193, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77204,8 +97163,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01350139766166907249351974929404422583`14.496239097521185}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3189735434774960794207`0.4860978145250058*^-16}, { Rational[-137, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77223,8 +97183,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01351845770553014042146339932418695468`14.496840851814898}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.3659150686014}, { Rational[-2191, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77242,8 +97201,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0135354581873636998141831053093999736`14.497440005468292}, { + Rational[13, 4]]))^ + Rational[1, 2]), -4.7778369204525496729085`1.045199731254458*^-16}, { Rational[-219, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77261,8 +97220,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01355239905847659361583773892985881485`14.498036565859291}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8067569799779210653661`0.8142265631347392*^-16}, { Rational[-2189, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77280,8 +97240,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0135692802709404564107610895540694023`14.498630540330346}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1451055907859626114886`0.6975237713195392*^-16}, { Rational[-547, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77300,7 +97261,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01358610177758878756678607875590357236`14.499221936188642}, { + 4.502624593485277932161`0.019594182546005628*^-17}, { Rational[-2187, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77319,7 +97280,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01360286353201403059959849408194397308`14.499810760706266}, { + 7.632620834143814216059`0.24885548082281558*^-17}, { Rational[-1093, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77337,8 +97298,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01361956548856465877544551381490453778`14.500397021120484}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5066409321427938139605`0.9111264413537916*^-16}, { Rational[-437, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77356,8 +97318,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01363620760234226696910214842918367604`14.500980724633903}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0345114737267434330137`0.38102380841578287*^-16}, { Rational[-273, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77375,8 +97338,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01365278982919866979358151692361850497`14.501561878414654}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.6861204819821466007905`0.7954673403780954*^-16}, { Rational[-2183, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77394,8 +97358,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01366931212573300601766255557585811401`14.50214048959666}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9241701198235967721411`0.8323978444473585*^-16}, { Rational[-1091, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77413,8 +97378,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01368577444928884928690127176206393671`14.502716565279757}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.366448569003254}, { Rational[-2181, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77432,8 +97396,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01370217675795132516338895572405936095`14.50329011252998}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.5109256695461444778501`1.0207676016294214*^-16}, { Rational[-109, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77451,8 +97416,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01371851901054423449912279846947796641`14.503861138379675}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.36655529457882}, { Rational[-2179, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77470,8 +97434,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01373480116662718315746108480226115371`14.504429649827742}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3985421420916136782809`0.5122842180241461*^-16}, { Rational[-1089, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77489,8 +97454,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01375102318649271809674648776107326883`14.504995653839847}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.888785917963100895986`0.20480471716725682*^-17}, { Rational[-2177, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77508,8 +97474,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01376718503116346982979693595735932068`14.50555915734856}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.366715399025534}, { Rational[-136, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77528,7 +97493,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01378328666238930127258401042464883476`14.506120167253592}, { + 1.7224428713626156458071`0.6029135979581212*^-16}, { Rational[-87, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77546,8 +97511,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01379932804264446299504380508312339365`14.506678690421976}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7693221960728417286356`0.8091956326556852*^-16}, { Rational[-1087, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77565,8 +97531,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01381530913512475488659460774986341822`14.507234733688234}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.366875522867062}, { Rational[-2173, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77584,8 +97549,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01383122990374469424856958023274856067`14.507788303854609}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.3868125445839781320874`1.0090779785227935*^-16}, { Rational[-543, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77603,8 +97569,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01384709031313469032541079036480747401`14.508339407691194}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5270060824275059912251`0.9143884915441175*^-16}, { Rational[-2171, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77622,8 +97589,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01386289032863822528611343027293014188`14.508888051936161}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5555481399441783124893`0.9179422293071051*^-16}, { Rational[-217, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77641,8 +97609,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01387862991630904166705579860835282251`14.50943424329595}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.8696326433282094941282`0.9547587896797404*^-16}, { Rational[-2169, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77660,8 +97629,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01389430904290833628700158524251520215`14.509977988445387}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3448736349977897589402`0.4958239190522138*^-16}, { Rational[-271, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77680,7 +97650,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01390992767590196064471613085774657332`14.51051929402796}, { + 1.0844866220714470032013`0.40242002820548733*^-16}, { Rational[-2167, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77698,8 +97668,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01392548578345762780929759720243848089`14.511058166655914}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.36724922118476}, { Rational[-1083, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77717,8 +97686,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01394098333444212581298733325194223207`14.511594612910482}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5617356162013360463396`0.5609101301291614*^-16}, { Rational[-433, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77737,7 +97707,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01395642029841853755589111528274341581`14.512128639342036}, { + 1.7993906072521551772882`0.6224814609709416*^-16}, { Rational[-541, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77755,8 +97725,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01397179664564346723171433253636856163`14.512660252470285}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.959604134759561284743`0.31969823194653935*^-17}, { Rational[-2163, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77774,8 +97745,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01398711234706427328328954276451575861`14.513189458784419}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.2695374514112532810023`0.7234001650376721*^-16}, { Rational[-1081, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77793,8 +97765,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01400236737431630789635409198718075811`14.513716264743312}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0180344824365695892357`0.6724447971169256*^-16}, { Rational[-2161, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77813,7 +97786,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01401756169972016303971863916726494546`14.514240676775673}, { + 8.634347196621685647484`0.30379912768257483*^-17}, { Rational[-27, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77831,8 +97804,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01403269529627892305965440853944315881`14.514762701280242}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.4783838432438350036057`0.761791596246163*^-16}, { Rational[-2159, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77851,7 +97824,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01404776813767542383601776977908417659`14.515282344625911}, { + 1.5706247234132406548867`0.563748866162985*^-16}, { Rational[-1079, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77870,7 +97843,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01406278019826951850732527922430637924`14.51579961315197}, { + 5.5754794370178106758`0.1140120670471327*^-17}, { Rational[-2157, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77888,8 +97861,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01407773145309534977169056454825146305`14.51631451316819}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5225197925713293935037`0.5503462098777462*^-16}, { Rational[-539, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77907,8 +97881,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01409262187785862877023636160268919356`14.51682705095505}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.6405703265464217942559`0.789534417718634*^-16}, { Rational[-431, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77926,8 +97900,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01410745144893392055930057700410313701`14.517337232763873}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1879379859431833509871`0.44268386746281063*^-16}, { Rational[-1077, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77946,7 +97921,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01412222014336193617746441519364704801`14.51784506481698}, { + 8.810710939328694767318`0.3129544717998201*^-17}, { Rational[-2153, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77964,8 +97939,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01413692793884683131314333634961893247`14.518350553307917}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0118285349796956686771`0.3731038646555099*^-16}, { Rational[-269, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -77984,7 +97960,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01415157481375351157819786423453156498`14.518853704401515}, { + 3.0330344059773694050242`0.84992770390998*^-16}, { Rational[-2151, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78002,8 +97978,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01416616074710494439274100376968434429`14.519354524234142}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.6115273607652602414634`0.9257947040461197*^-16}, { Rational[-43, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78021,8 +97998,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01418068571857947748604222018443679066`14.519853018913796}, { + Rational[13, 4]]))^ + Rational[1, 2]), -8.612932057184118850703`0.3033082458091175*^-17}, { Rational[-2149, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78040,8 +98017,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01419514970850816401815453869637444151`14.52034919452032}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5665915892394135117417`0.7775674233531106*^-16}, { Rational[-537, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78060,7 +98038,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01420955269787209432662130992867511463`14.520843057105498}, { + 8.589500046933012394944`0.3022319773831392*^-17}, { Rational[-2147, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78078,8 +98056,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01422389466829973430235251611688401809`14.521334612693277}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.7368699090613040794085`0.9408255067353017*^-16}, { Rational[-1073, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78097,8 +98076,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01423817560206427039849713142037014925`14.521823867279872}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8520474034015544903572`0.8235277061255849*^-16}, { Rational[-429, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78116,8 +98096,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01425239548208096127587796151741315289`14.522310826833916}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.1045513885594331731948`0.9816901050999196*^-16}, { Rational[-134, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78136,7 +98117,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01426655429190449608829853866689405741`14.522795497296668}, { + 1.877305051244858981745`0.6420126999171234*^-16}, { Rational[-2143, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78154,8 +98135,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01428065201572635941077800446116354953`14.523277884582109}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.368531296966317}, { Rational[-1071, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78173,8 +98153,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01429468863837220281351943981827619221`14.523757994577096}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.3189893427438138179795`0.733883497785036*^-16}, { Rational[-2141, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78192,8 +98172,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01430866414529922308416976696101645321`14.524235833141576}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.732388444138315380988`0.9406250314745986*^-16}, { Rational[-107, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78211,8 +98191,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01432257852259354710068511814201009003`14.524711406108633}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1801938490502745150283`0.7071867580024398*^-16}, { Rational[-2139, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78230,8 +98211,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01433643175696762335687440797281080899`14.525184719284711}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.36874510288487}, { Rational[-1069, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78250,7 +98230,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0143502238357576201424557280147479477`14.525655778449739}, { + 1.065342104766971429701`0.39628765178541137*^-16}, { Rational[-2137, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78269,7 +98249,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.014363954746920830379225071733000922`14.526124589357279}, { + 2.6864602684490418313335`0.7980324415698857*^-16}, { Rational[-267, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78287,8 +98267,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01437762447903308311470476327846394712`14.52659115773465}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.36890548147915}, { Rational[-427, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78306,8 +98285,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01439123302128616167440977344313736219`14.527055489283088}, { + Rational[13, 4]]))^ + Rational[1, 2]), -5.3071135730538908932652`1.093817327329895*^-16}, { Rational[-1067, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78326,7 +98305,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01440478036348522847364382945608699436`14.527517589677911}, { + 1.3889313796904030377873`0.5116932020016118*^-16}, { Rational[-2133, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78345,7 +98324,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01441826649604625648951383129001524664`14.527977464568611}, { + 8.224615484218552062103`0.28418148392854503*^-17}, { Rational[-533, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78363,8 +98342,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01443169140999346739363054538813933463`14.52843511957903}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.280166502966720124478`0.7270859133395148*^-16}, { Rational[-2131, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78382,8 +98361,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01444505509695677634574582706805400751`14.528890560307476}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4770769299991198484439`0.7631123200261841*^-16}, { Rational[-213, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78401,8 +98381,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01445835754916924344836169548941043201`14.529343792326918}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.369226301223357}, { Rational[-2129, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78420,8 +98399,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01447159875946453186213442047069513328`14.529794821185016}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5133435603413433690645`0.7695316376684564*^-16}, { Rational[-133, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78439,8 +98419,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01448477872127437258168734939227264444`14.530243652404375}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.7753039236752925749706`0.9462851792087976*^-16}, { Rational[-2127, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78459,7 +98440,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01449789742862603587123947601451792506`14.530690291482593}, { + 5.958721277663319899575`0.1445398141223369*^-17}, { Rational[-1063, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78478,7 +98459,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01451095487613980935925270265291253828`14.531134743892446}, { + 7.349492541215766352281`0.23569758120063153*^-17}, { Rational[-17, 80] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78496,8 +98477,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01452395105902648279109934446178741449`14.531577015082018}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5010520713635976096291`0.7676164489485187*^-16}, { Rational[-531, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78515,8 +98497,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01453688597308483943855264155051416046`14.53201711047478}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2465843207414350196414`0.4652688651830139*^-16}, { Rational[-2123, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78534,8 +98517,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01454975961469915416470685354274763031`14.53245503546982}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1606343492509816902902`0.7041819733745815*^-16}, { Rational[-1061, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78554,7 +98538,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0145625719808366981427398845279166977`14.532890795441858}, { + 1.674134548666944377011`0.5934445507305125*^-16}, { Rational[-2121, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78573,7 +98557,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01457532306904525022674029696235740454`14.533324395741479}, { + 2.5310812714254031090537`0.7730137790538542*^-16}, { Rational[-53, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78592,7 +98576,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01458801287745061497263199405146433662`14.533755841695198}, { + 2.1066736410540620501589`0.6933584493963425*^-16}, { Rational[-2119, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78611,7 +98595,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01460064140475414730704375485644092578`14.534185138605626}, { + 1.3856932066020460000571`0.511481777552638*^-16}, { Rational[-1059, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78630,7 +98614,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01461320865023028384178716846279922629`14.534612291751548}, { + 1.0673935627397492706976`0.3981927730935835*^-16}, { Rational[-2117, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78649,7 +98633,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01462571461372408083142530693791163521`14.535037306388121}, { + 1.2341269051068049504573`0.4612815206380408*^-16}, { Rational[-529, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78668,7 +98652,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01463815929564875877123567567135490345`14.535460187746938}, { + 2.0383925921433649324819`0.6792630413739086*^-16}, { Rational[-423, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78687,7 +98671,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01465054269698325363269455848086483538`14.535880941036176}, { + 1.6549715802963894546222`0.5888192605467871*^-16}, { Rational[-1057, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78706,7 +98690,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0146628648192697747334358082833428083`14.536299571440717}, { + 1.0878886380045703483113`0.40666667478127927*^-16}, { Rational[-2113, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78725,7 +98709,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0146751256646113692384653971410517696`14.536716084122292}, { + 2.3828050605632096312101`0.7472242635718009*^-16}, { Rational[-132, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78744,7 +98728,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0146873252356694932892436073181321534`14.53713048421958}, { + 1.7476256498767563879973`0.6126376784015012*^-16}, { Rational[-2111, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78763,7 +98747,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01469946353566158975707959309665771057`14.537542776848309}, { + 1.5051773116556373018575`0.547830452316374*^-16}, { Rational[-211, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78782,7 +98766,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01471154056835867261711814722963309501`14.537952967101445}, { + 1.2238701472597058533852`0.45803165373591814*^-16}, { Rational[-2109, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78801,7 +98785,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01472355633808291793903584202431331433`14.538361060049224}, { + 2.7722739912633684433017`0.8131859883216592*^-16}, { Rational[-527, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78820,7 +98804,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01473551084970526149040325937122470898`14.538767060739362}, { + 9.746190997271844690453`0.3592382838426026*^-17}, { Rational[-2107, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78839,7 +98823,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01474740410864300294851175302475552007`14.539170974197095}, { + 1.9239364462449669929531`0.6546476180365766*^-16}, { Rational[-1053, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78858,7 +98842,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01475923612085741671630707680215811917`14.539572805425339}, { + 2.6504073417047809453292`0.7938230549702521*^-16}, { Rational[-421, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78877,7 +98861,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01477100689285136933791824103975115735`14.5399725594048}, { + 1.4425068160257332291613`0.5296818382967041*^-16}, { Rational[-263, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78896,7 +98880,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01478271643166694350911810380400068001`14.540370241094104}, { + 1.6399454484496246774393`0.5854469038871415*^-16}, { Rational[-2103, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78915,7 +98899,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01479436474488306867790244040978885415`14.540765855429859}, { + 1.6379120053532576155937`0.5849616083768039*^-16}, { Rational[-1051, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78934,7 +98918,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01480595184061315823022654238865491117`14.541159407326827}, { + 2.0796672858863608738713`0.6887184450187238*^-16}, { Rational[-2101, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78953,7 +98937,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01481747772750275325579275304379017668`14.541550901678029}, { + 1.1705978140106405716641`0.43918583858530724*^-16}, { Rational[-21, 100] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78972,7 +98956,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01482894241472717288863872922022592219`14.541940343354826}, { + 1.3028062959297713874954`0.4857115259380868*^-16}, { Rational[-2099, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -78991,7 +98975,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01484034591198917121713460622574592674`14.542327737207053}, { + 1.5221937979283408176444`0.5533551752848628*^-16}, { Rational[-1049, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79010,7 +98994,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0148516882295166007578576134992540275`14.542713088063143}, { + 1.8574180009388974299542`0.6398484296780257*^-16}, { Rational[-2097, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79029,7 +99013,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01486296937806008248767502139684864393`14.543096400730207}, { + 2.388018732240148392259`0.749030063532785*^-16}, { Rational[-131, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79048,7 +99032,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01487418936889068242823057332635679644`14.54347767999416}, { + 1.680153505392506113353`0.5963948539900733*^-16}, { Rational[-419, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79067,7 +99051,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01488534821379759477689575159782875137`14.543856930619855}, { + 1.4378780758970580783069`0.5288215131769933*^-16}, { Rational[-1047, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79086,7 +99070,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01489644592508583157811531917231502987`14.544234157351148}, { + 1.5808580140118547000233`0.5700458787177033*^-16}, { Rational[-2093, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79105,7 +99089,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01490748251557391892894655259549000996`14.544609364911004}, { + 1.5198302113492300004016`0.5530016514024185*^-16}, { Rational[-523, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79124,7 +99108,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01491845799859159971246341361684536401`14.54498255800168}, { + 1.8567882493154761850591`0.6400225243457275*^-16}, { Rational[-2091, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79143,7 +99127,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01492937238797754285257057834383088521`14.545353741304716}, { + 2.7781626927867575677539`0.8150713899199833*^-16}, { Rational[-209, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79162,7 +99146,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01494022569807705908364773349390930956`14.545722919481138}, { + 1.8576379989092118514698`0.6403283732106732*^-16}, { Rational[-2089, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79181,7 +99165,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01495101794373982322832183981579354246`14.546090097171524}, { + 1.7380541011902838178914`0.6114841523870449*^-16}, { Rational[-261, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79200,7 +99184,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01496174914031760297654413368586330057`14.546455278996097}, { + 2.4785456115417614120587`0.7656713540774053*^-16}, { Rational[-2087, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79219,7 +99203,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01497241930366199415902947007357880059`14.54681846955485}, { + 2.4907896764491010459547`0.7678650752220068*^-16}, { Rational[-1043, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79238,7 +99222,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01498302845012216250799818453525657329`14.547179673427646}, { + 1.1411913678004952806169`0.4289400781304732*^-16}, { Rational[-417, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79257,7 +99241,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01499357659654259189804494985499173162`14.547538895174302}, { + 1.866542053786071054756`0.6426729639239023*^-16}, { Rational[-521, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79276,7 +99260,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01500406376026083905984510581336425744`14.547896139334712}, { + 1.6411019892022160979903`0.586824343689295*^-16}, { Rational[-2083, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79295,7 +99279,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01501448995910529475929662992700401104`14.548251410428945}, { + 1.7430038325879342956481`0.6130407033898724*^-16}, { Rational[-1041, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79314,7 +99298,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0150248552113929514345852746500915668`14.548604712957331}, { + 9.72493555712202959863`0.3596826855105183*^-17}, { Rational[-2081, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79333,7 +99317,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0150351595359271772835514044340074658`14.54895605140058}, { + 2.0689496219174008207591`0.6875994638413536*^-16}, { Rational[-26, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79352,7 +99336,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01504540295199549679362970635784610749`14.549305430219865}, { + 1.5052423061033462512633`0.5495095610465555*^-16}, { Rational[-2079, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79371,7 +99355,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0150555854793673777065272031078281207`14.549652853856925}, { + 1.3096520081230708124247`0.48911265779803226*^-16}, { Rational[-1039, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79390,7 +99374,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01506570713829202440970084941337228907`14.549998326734162}, { + 8.694818031081385503421`0.31127084405199457*^-17}, { Rational[-2077, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79409,7 +99393,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01507576794949617774659342533770450746`14.550341853254755}, { + 1.1691927401175732735254`0.4399500613847945*^-16}, { Rational[-519, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79428,7 +99412,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0150857679341819212374854349412843506`14.550683437802732}, { + 1.9710581496856460560214`0.6668169954499926*^-16}, { Rational[-83, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79447,7 +99431,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01509570711402449370272125983356234402`14.551023084743061}, { + 6.946846561465987312042`0.2139588747282871*^-17}, { Rational[-1037, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79466,7 +99450,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01510558551117010827996988722039504599`14.551360798421793}, { + 2.1099358382292959087242`0.6964940293557301*^-16}, { Rational[-2073, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79485,7 +99469,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01511540314823377782708411463038950297`14.551696583166096}, { + 1.4289683575739796847364`0.5273010073238872*^-16}, { Rational[-259, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79504,7 +99488,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01512516004829714670202721212177872188`14.552030443284396}, { + 1.3302265495446570716631`0.4962576240889799*^-16}, { Rational[-2071, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79523,7 +99507,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01513485623490632891124258115834877038`14.552362383066427}, { + 1.6343978955841161789236`0.5857434268705963*^-16}, { Rational[-207, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79542,7 +99526,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01514449173206975261774997138986000175`14.552692406783379}, { + 1.7516493357569568736963`0.6158864235855844*^-16}, { Rational[-2069, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79561,7 +99545,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01515406656425601100016128633538691408`14.55302051868794}, { + 1.7072662352149550510891`0.6047941311485783*^-16}, { Rational[-517, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79580,7 +99564,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01516358075639171945371991066497546028`14.553346723014403}, { + 1.7003146154079115829996`0.6030757952566381*^-16}, { Rational[-2067, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79599,7 +99583,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01517303433385937912437980978491526551`14.553671023978778}, { + 1.8478789027837632531741`0.63927364459946*^-16}, { Rational[-1033, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79618,7 +99602,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01518242732249524676685437129289803468`14.553993425778838}, { + 2.3056476882997424974098`0.7354467162835385*^-16}, { Rational[-413, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79637,7 +99621,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01519175974858721091748006227650687316`14.554313932594281}, { + 1.1288639647828660714193`0.42534901517741136*^-16}, { Rational[-129, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79656,7 +99640,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01520103163887267437265645123350334563`14.554632548586731}, { + 1.7738672495100924102784`0.6216821586302762*^-16}, { Rational[-2063, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79675,7 +99659,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01521024302053644296354197360041208302`14.554949277899894}, { + 2.0115874206207832598391`0.6763535945970015*^-16}, { Rational[-1031, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79694,7 +99678,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01521939392120862061760399064561388431`14.555264124659617}, { + 1.2858467986325093619693`0.4820575543709716*^-16}, { Rational[-2061, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79713,7 +99697,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01522848436896251069754218812364841304`14.555577092973971}, { + 1.1211856444066976906552`0.4225995004026391*^-16}, { Rational[-103, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79732,7 +99716,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01523751439231252360802616905757258553`14.555888186933375}, { + 1.1814036709425529375865`0.44537393606898446*^-16}, { Rational[-2059, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79751,7 +99735,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01524648402021209066061119992375433054`14.556197410610629}, { + 1.6876234480789278064483`0.600304821678702*^-16}, { Rational[-1029, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79770,7 +99754,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01525539328205158418712045711074997928`14.556504768061043}, { + 1.3668966570136637059724`0.5088186054415993*^-16}, { Rational[-2057, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79789,7 +99773,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01526424220765624389170777671118995837`14.556810263322502}, { + 1.199470935753248955335`0.45212630905692297*^-16}, { Rational[-257, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79808,7 +99792,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01527303082728410943174182152347144896`14.557113900415548}, { + 8.100453217021611149721`0.2816995566145877*^-17}, { Rational[-411, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79827,7 +99811,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01528175917162395921758073077462694538`14.557415683343478}, { + 1.3107827726335544199684`0.49077462445244946*^-16}, { Rational[-1027, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79846,7 +99830,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01529042727179325542123569685019911796`14.557715616092427}, { + 1.3466507972437193352671`0.5025525557918786*^-16}, { Rational[-2053, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79865,7 +99849,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01529903515933609518385250569773767369`14.55801370263145}, { + 8.884237745607383300659`0.3219714014849659*^-17}, { Rational[-513, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79884,7 +99868,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01530758286622116801187187015764923054`14.558309946912578}, { + 2.1769303285055124329579`0.7112494283910623*^-16}, { Rational[-2051, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79903,7 +99887,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01531607042483971935166236500902787818`14.558604352870939}, { + 2.6679735112688063268054`0.799640083445263*^-16}, { Rational[-41, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79922,7 +99906,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01532449786800352033235392587110883728`14.558896924424834}, { + 1.9098895879221649791405`0.6545205052943086*^-16}, { Rational[-2049, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79941,7 +99925,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01533286522894284366653518828107733964`14.55918766547578}, { + 2.1422794151224124265021`0.7044420357166437*^-16}, { Rational[-128, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79960,7 +99944,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01534117254130444569841440541562508566`14.559476579908633}, { + 1.2227849567436646741206`0.46096968759175594*^-16}, { Rational[-2047, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79979,7 +99963,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01534941983914955458898128030728553344`14.559763671591671}, { + 1.5209862505875921130305`0.5557985705718783*^-16}, { Rational[-1023, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -79998,7 +99982,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01535760715695186462764576842779717698`14.560048944376636}, { + 1.7966081099462799024391`0.6281803228831014*^-16}, { Rational[-409, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80017,7 +100001,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01536573452959553665976973669746266948`14.560332402098831}, { + 1.0304567996862369254291`0.3868104437998459*^-16}, { Rational[-511, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80036,7 +100020,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01537380199237320461944829298829182195`14.560614048577227}, { + 9.865003688507989322741`0.3679315963568346*^-17}, { Rational[-2043, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80055,7 +100039,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01538180958098398815683961379963110851`14.560893887614474}, { + 2.0920386536442923099836`0.6944577415182465*^-16}, { Rational[-1021, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80074,7 +100058,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01538975733153151134928518490499777143`14.561171922997072}, { + 2.8112262754559739307905`0.8228375355913575*^-16}, { Rational[-2041, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80093,7 +100077,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01539764528052192748540651842610633642`14.561448158495347}, { + 2.1402692565373895703915`0.7044638433335416*^-16}, { Rational[-51, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80112,7 +100096,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01540547346486194991130960813582151681`14.561722597863618}, { + 1.9702563822993957486069`0.6685718736934919*^-16}, { Rational[-2039, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80131,7 +100115,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01541324192185688892797462109753941299`14.561995244840206}, { + 1.8118499149557209817756`0.6322250538442906*^-16}, { Rational[-1019, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80150,7 +100134,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01542095068920869472885558640555450689`14.56226610314755}, { + 1.2399937076796651175431`0.46757602113199853*^-16}, { Rational[-2037, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80169,7 +100153,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01542859980501400636666311930822684974`14.562535176492274}, { + 1.2641101163289157187168`0.4759951552046362*^-16}, { Rational[-509, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80188,7 +100172,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01543618930776220673825250000047943977`14.562802468565248}, { + 1.7529150314030919106686`0.6180248246913058*^-16}, { Rational[-407, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80207,7 +100191,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01544371923633348357648969960568660794`14.563067983041668}, { + 1.0817941473120888839733`0.4084623009188394*^-16}, { Rational[-1017, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80226,7 +100210,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01545118962999689643791920018733105921`14.563331723581138}, { + 2.1541388681063898257936`0.7076450869384909*^-16}, { Rational[-2033, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80245,7 +100229,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01545860052840844967500968000802370634`14.563593693827745}, { + 1.0541837808305321668473`0.39734143928657434*^-16}, { Rational[-127, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80264,7 +100248,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01546595197160917138170681877066466217`14.563853897410123}, { + 2.4761685781725856872685`0.7682590393526905*^-16}, { Rational[-2031, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80283,7 +100267,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01547324400002319830097660942822765763`14.564112337941527}, { + 1.5918784072363240032816`0.5764424475920132*^-16}, { Rational[-203, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80302,7 +100286,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01548047665445586668297763263923888725`14.564369019019912}, { + 1.8937151157145921056527`0.6519009287620294*^-16}, { Rational[-2029, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80321,7 +100305,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01548764997609180908245674648853879859`14.564623944227984}, { + 9.838447746007306592042`0.36756659633950606*^-17}, { Rational[-507, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80340,7 +100324,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01549476400649305708391955720981456809`14.564877117133316}, { + 1.6053725745447412373186`0.5802695850969292*^-16}, { Rational[-2027, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80359,7 +100343,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01550181878759714994308485596471962896`14.565128541288354}, { + 1.0505855939061880600691`0.39617892233214497*^-16}, { Rational[-1013, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80378,7 +100362,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01550881436171524913309092198794247073`14.565378220230565}, { + 1.4536037204615855664218`0.5372472450114406*^-16}, { Rational[-81, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80397,7 +100381,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01551575077153025878388119343712727426`14.565626157482425}, { + 1.7015980206800548197452`0.6057119316510355*^-16}, { Rational[-253, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80416,7 +100400,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01552262806009495200315728403269556311`14.565872356551559}, { + 1.5604969295270863666559`0.568171621904082*^-16}, { Rational[-2023, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80435,7 +100419,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01552944627083010306724866608127235429`14.566116820930763}, { + 1.558274626353556186811`0.5676064486770609*^-16}, { Rational[-1011, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80454,7 +100438,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01553620544752262547021053889316890927`14.566359554098101}, { + 2.0721579253764217881934`0.6914390497888098*^-16}, { Rational[-2021, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80473,7 +100457,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01554290563432371581942444617716091082`14.566600559516946}, { + 1.3153903760339144098076`0.49412461026251975*^-16}, { Rational[-101, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80492,7 +100476,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01554954687574700356594008707005324634`14.56683984063609}, { + 1.5359012195866999652057`0.5614869898311902*^-16}, { Rational[-2019, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80511,7 +100495,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01555612921666670655776147348058074159`14.567077400889762}, { + 1.3696923569899412818417`0.5118004940434249*^-16}, { Rational[-1009, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80530,7 +100514,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01556265270231579240424611193834254103`14.56731324369773}, { + 1.7619507463775224456766`0.6212249854694476*^-16}, { Rational[-2017, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80549,7 +100533,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01556911737828414563975222177886177002`14.567547372465354}, { + 1.6858846174039557218826`0.6021128320858904*^-16}, { Rational[-126, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80568,7 +100552,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01557552329051674067463613399944366291`14.567779790583652}, { + 1.8904213999102551735472`0.6518973743010182*^-16}, { Rational[-403, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80587,7 +100571,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01558187048531182052166993731690557623`14.568010501429375}, { + 1.5063763354350743523302`0.5533260018626152*^-16}, { Rational[-1007, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80606,7 +100590,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01558815900931908128591814077030102915`14.568239508365059}, { + 1.9072538949929960098509`0.655854798653443*^-16}, { Rational[-2013, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80625,7 +100609,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01559438890953786240608159665487426572`14.568466814739107}, { + 6.138030865143392428875`0.1635291296481276*^-17}, { Rational[-503, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80644,7 +100628,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01560056023331534263528716475552154453`14.568692423885837}, { + 8.585520623095387506014`0.30932047373431704*^-17}, { Rational[-2011, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80663,7 +100647,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01560667302834474174927258996640326569`14.568916339125547}, { + 1.6418442827145580187389`0.5909395819790713*^-16}, { Rational[-201, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80682,7 +100666,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0156127273426635279698878017272404284`14.569138563764591}, { + 1.4561953492828623938419`0.5388810384691438*^-16}, { Rational[-2009, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80701,7 +100685,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0156187232246516310918063166523207241`14.569359101095447}, { + 1.6061104676596682849004`0.5814905958978793*^-16}, { Rational[-251, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80720,7 +100704,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01562466072302966130031362674166934998`14.569577954396749}, { + 1.1416622906699186303894`0.4333066270864061*^-16}, { Rational[-2007, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80739,7 +100723,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01563053988685713366801337619683993567`14.569795126933395}, { + 6.799294724247788608642`0.20828662733984996*^-17}, { Rational[-1003, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80758,7 +100742,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0156363607655306983182667617558204459`14.570010621956548}, { + 9.730459475989763320234`0.36400990184589654*^-17}, { Rational[-401, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80777,7 +100761,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01564212340878237624315592633716510406`14.570224442703774}, { + 1.9348236373791489125223`0.6625717336025632*^-16}, { Rational[-501, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80796,7 +100780,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0156478278666778007637381454507083603`14.570436592399046}, { + 1.6750335172216764905185`0.6000076491925198*^-16}, { Rational[-2003, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80815,7 +100799,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01565347418961446462033432218558973521`14.570647074252815}, { + 1.564561460966938497786`0.5704305770146139*^-16}, { Rational[-1001, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80834,7 +100818,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01565906242831997268057270159963898876`14.570855891462113}, { + 1.5690407042962251750769`0.5717259629473179*^-16}, { Rational[-2001, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80853,7 +100837,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01566459263385030025288678106710157766`14.571063047210531}, { + 1.5741023017483586031717`0.5731785133921293*^-16}, { Rational[-1, 5] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80872,7 +100856,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01567006485758805699314512173208826608`14.571268544668364}, { + 1.5988925181543637808955`0.58001863924062*^-16}, { Rational[-1999, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80891,7 +100875,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01567547915124075639207014988344270865`14.571472386992635}, { + 1.5791789518639552098821`0.5746845281351693*^-16}, { Rational[-999, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80910,7 +100894,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01568083556683909083108306811173018397`14.571674577327133}, { + 2.1827830129840967228918`0.715317561725516*^-16}, { Rational[-1997, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80929,7 +100913,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01568613415673521219419266690939720446`14.57187511880251}, { + 2.2586629324934508113706`0.7302122389198843*^-16}, { Rational[-499, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80948,7 +100932,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01569137497360101802352713038645232852`14.572074014536314}, { + 2.4503934383001757779335`0.7656504558851176*^-16}, { Rational[-399, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80967,7 +100951,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01569655807042644320608985753048128012`14.572271267633065}, { + 1.4036802707409598945541`0.5237366543566364*^-16}, { Rational[-997, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -80986,7 +100970,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0157016835005177571793028655509330735`14.572466881184301}, { + 1.3088438524697723254134`0.4934101224094344*^-16}, { Rational[-1993, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81005,7 +100989,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01570675131749586664288449699923927029`14.572660858268645}, { + 2.5386711328816098703604`0.7811825587686737*^-16}, { Rational[-249, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81024,7 +101008,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01571176157529462376459191030963036417`14.572853201951851}, { + 2.1981409512352974924755`0.7186854832524538*^-16}, { Rational[-1991, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81043,7 +101027,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01571671432815913986734318699478139978`14.573043915286847}, { + 1.6634406668326904136567`0.5976910954835403*^-16}, { Rational[-199, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81062,7 +101046,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01572160963064410458521883086482514934`14.573233001313863}, { + 1.6359088546841620998399`0.5904967215569583*^-16}, { Rational[-1989, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81081,7 +101065,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0157264475376121104758279582984107231`14.573420463060389}, { + 1.7475184330584845163125`0.6192132243141335*^-16}, { Rational[-497, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81100,7 +101084,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0157312281042319830765105768341654194`14.573606303541293}, { + 1.9958156855687947164038`0.67696573366512*^-16}, { Rational[-1987, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81119,7 +101103,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01573595138597711639183401529412181176`14.573790525758868}, { + 9.167239333987958013246`0.339137718097341*^-17}, { Rational[-993, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81138,7 +101122,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01574061743862381379982879549306412439`14.573973132702875}, { + 9.233577579318869542103`0.34232299960370777*^-17}, { Rational[-397, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81157,7 +101141,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01574522631824963436439701659389613847`14.574154127350612}, { + 1.6701914868675329052009`0.5997731146646293*^-16}, { Rational[-124, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81176,7 +101160,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01574977808123174454131465167341339243`14.574333512666938}, { + 1.7824245152671999867615`0.6280718503422135*^-16}, { Rational[-1983, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81194,8 +101178,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01575427278424527526523802546795591546`14.574511291604368}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.377114561032702}, { Rational[-991, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81214,7 +101197,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01575871048426168440511414604476162619`14.574687467103118}, { + 1.4196813304869321708952`0.5293592925286598*^-16}, { Rational[-1981, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81233,7 +101216,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01576309123854712457538449482982399942`14.574862042091143}, { + 6.55938987871341184233`0.19408572988574302*^-17}, { Rational[-99, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81252,7 +101235,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0157674151046608162903623326211429112`14.575035019484176}, { + 1.1207956484283426962241`0.4268025866289974*^-16}, { Rational[-1979, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81271,7 +101254,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01577168214045342644915454759683094485`14.575206402185836}, { + 1.2971996119540946061886`0.4903368294922722*^-16}, { Rational[-989, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81290,7 +101273,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01577589240406545213849054862558243196`14.575376193087621}, { + 7.202139061596804176633`0.23484539378376915*^-17}, { Rational[-1977, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81309,7 +101292,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01578004595392560974081268720254816183`14.575544395069006}, { + 1.2045628835066039022381`0.45826724277049796*^-16}, { Rational[-247, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81328,7 +101311,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01578414284874922933497516792865452802`14.57571101099747}, { + 2.4089420751780875787234`0.7593180004138327*^-16}, { Rational[-79, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81347,7 +101330,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01578818314753665437689137455397263213`14.575876043728536}, { + 1.4303585296970712670696`0.5329904337323259*^-16}, { Rational[-987, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81366,7 +101349,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01579216690957164664746299020317867423`14.576039496105878}, { + 2.1514198430290084946578`0.7103245766972413*^-16}, { Rational[-1973, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81385,7 +101368,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01579609419441979645511822054573829833`14.576201370961293}, { + 1.551597006908567215773`0.5684322260509554*^-16}, { Rational[-493, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81404,7 +101387,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0157999650619269380802808314764426588`14.576361671114828}, { + 2.1186513274926191357042`0.7037666713805466*^-16}, { Rational[-1971, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81423,7 +101406,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01580377957221757044908658250699244429`14.576520399374777}, { + 1.7206114936239829962993`0.6134438933855251*^-16}, { Rational[-197, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81442,7 +101425,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01580753778569328302365896776897871054`14.576677558537758}, { + 1.7522058783605114320887`0.6214001014794328*^-16}, { Rational[-1969, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81461,7 +101444,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01581123976303118689625196258550400477`14.576833151388756}, { + 1.3127167222476880844026`0.4960398837439833*^-16}, { Rational[-123, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81480,7 +101463,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01581488556518235107456370933454154795`14.576987180701172}, { + 5.44600594411019895171`0.11400087769654353*^-17}, { Rational[-1967, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81499,7 +101482,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01581847525337024394552175621120586401`14.577139649236862}, { + 2.6445528496133742425823`0.800328920638291*^-16}, { Rational[-983, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81518,7 +101501,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01582200888908917990483758096608389667`14.577290559746228}, { + 4.861520361095428221681`0.0648026854609831*^-17}, { Rational[-393, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81537,7 +101520,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0158254865341027711396256832774271299`14.577439914968206}, { + 7.652138273682835492643`0.26186729479348037*^-17}, { Rational[-491, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81556,7 +101539,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01582890825044238455138050868718863874`14.577587717630362}, { + 1.2184970160260785525285`0.46396286782023544*^-16}, { Rational[-1963, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81575,7 +101558,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01583227410040560380660286863194712839`14.577733970448909}, { + 1.250931400638484180041`0.47542580713301213*^-16}, { Rational[-981, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81594,7 +101577,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01583558414655469650236633972254294686`14.577878676128789}, { + 1.0033220806980739556543`0.37968660099830764*^-16}, { Rational[-1961, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81613,7 +101596,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01583883845171508643411335581796057784`14.578021837363693}, { + 1.2298491933277564208986`0.4681520123724101*^-16}, { Rational[-49, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81632,7 +101615,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01584203707897383095297034340176133831`14.578163456836105}, { + 1.9544274218734621272416`0.6693736230121172*^-16}, { Rational[-1959, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81651,7 +101634,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01584518009167810339987128915895792367`14.578303537217394}, { + 1.6806044582643531524238`0.6038735136005642*^-16}, { Rational[-979, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81670,7 +101653,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01584826755343368060377956337653498485`14.578442081167776}, { + 1.9887425166562660295077`0.6770404904383822*^-16}, { Rational[-1957, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81689,7 +101672,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01585129952810343543129864881350575238`14.578579091336477}, { + 1.4115243752954134905549`0.5282042468371463*^-16}, { Rational[-489, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81708,7 +101691,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01585427607980583437496363702069999676`14.578714570361658}, { + 2.2123734939404220522065`0.7234282467009271*^-16}, { Rational[-391, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81727,7 +101710,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01585719727291344016750694780179671773`14.578848520870554}, { + 1.8104064122981651259998`0.6363998177192669*^-16}, { Rational[-977, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81746,7 +101729,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0158600631720514194093936977123258168`14.57898094547948}, { + 1.7335591142145399242708`0.6176163355844022*^-16}, { Rational[-1953, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81765,7 +101748,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01586287384209605519692448536016039052`14.579111846793866}, { + 1.6783423213467555501932`0.6036121702260822*^-16}, { Rational[-122, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81784,7 +101767,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01586562934817326473820607001678680399`14.579241227408339}, { + 2.1574376004636508818999`0.7127238150381588*^-16}, { Rational[-1951, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81803,7 +101786,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0158683297556571219442934909408785866`14.57936908990672}, { + 9.521422175202953619649`0.35754134378611246*^-17}, { Rational[-39, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81822,7 +101805,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01587097513016838498281060317107145339`14.579495436862143}, { + 1.8319564979083362694867`0.6418086315745991*^-16}, { Rational[-1949, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81841,7 +101824,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01587356553757302878135978672795679931`14.579620270836992}, { + 1.508651827139997125042`0.5575364542032298*^-16}, { Rational[-487, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81860,7 +101843,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01587610104398078246803571559037741589`14.579743594383041}, { + 8.524311264149077545238`0.3096606897672511*^-17}, { Rational[-1947, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81879,7 +101862,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01587858171574367173636254593841359473`14.579865410041473}, { + 2.0195559187507221164888`0.6843112349844105*^-16}, { Rational[-973, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81898,7 +101881,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0158810076194545661219786954938404809`14.579985720342894}, { + 1.7990855579713330630619`0.6341611346206756*^-16}, { Rational[-389, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81917,7 +101900,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01588337882194573117839853289320276176`14.580104527807388}, { + 1.2224655523509973743422`0.4663999153692432*^-16}, { Rational[-243, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81936,7 +101919,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01588569539028738553918577350042727546`14.580221834944602}, { + 1.525872315464965405743`0.5627354497855798*^-16}, { Rational[-1943, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81955,7 +101938,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0158879573917862628538791815521818619`14.580337644253733}, { + 1.9386832509096872748765`0.6667780884871234*^-16}, { Rational[-971, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81974,7 +101957,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01589016489398417858501730372293612767`14.580451958223588}, { + 9.239163149394146904484`0.3449578432839986*^-17}, { Rational[-1941, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -81993,7 +101976,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01589231796465660165361540183490620862`14.580564779332647}, { + 1.9308083135566007252955`0.6651183471427082*^-16}, { Rational[-97, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82012,7 +101995,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01589441667181123092045450830346538115`14.580676110049092}, { + 1.3246420643415460971502`0.5015317119753833*^-16}, { Rational[-1939, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82031,7 +102014,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01589646108368657649054959282647282373`14.580785952830842}, { + 1.9016326615863578721176`0.6586137838144642*^-16}, { Rational[-969, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82050,7 +102033,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01589845126875054582817119866670544361`14.58089431012561}, { + 7.760984331635925049551`0.26945795129847727*^-17}, { Rational[-1937, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82069,7 +102052,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01590038729569903466980257755251580668`14.581001184370912}, { + 2.0245265670718384107218`0.6859186167130203*^-16}, { Rational[-121, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82088,7 +102071,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01590226923345452272242231968868024799`14.581106577994158}, { + 1.3686035695968935180583`0.5159267997325618*^-16}, { Rational[-387, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82107,7 +102090,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01590409715116467413451073562521868331`14.581210493412652}, { + 8.359428372321054607815`0.30187971006969194*^-17}, { Rational[-967, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82126,7 +102109,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01590587111820094272718679581621180758`14.581312933033663}, { + 6.349876659197294797806`0.1825224196231676*^-17}, { Rational[-1933, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82145,7 +102128,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0159075912041571819728912676947507944`14.58141389925444}, { + 1.5536407852072833847557`0.5711617474366676*^-16}, { Rational[-483, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82164,7 +102147,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01590925747884825970904080511647260008`14.58151339446226}, { + 2.0151876125835199777202`0.6841806256378232*^-16}, { Rational[-1931, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82183,7 +102166,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01591087001230867757408713724536542007`14.581611421034493}, { + 2.2321784111572414214751`0.7286480541123421*^-16}, { Rational[-193, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82202,7 +102185,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01591242887479119515342516957529180025`14.5817079813386}, { + 1.6650770409719418547806`0.6014074960825948*^-16}, { Rational[-1929, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82221,7 +102204,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01591393413676545882260374504138213489`14.581803077732191}, { + 1.9471874528244991406068`0.6694349418514773*^-16}, { Rational[-241, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82240,7 +102223,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01591538586891663527530301436045363285`14.581896712563093}, { + 1.7201668902172768380326`0.6156517824775337*^-16}, { Rational[-1927, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82259,7 +102242,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0159167841421440497235528281694592541`14.581988888169338}, { + 1.4723642257108766739484`0.5481504770999482*^-16}, { Rational[-963, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82278,7 +102261,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01591812902755982875767728556683143334`14.582079606879246}, { + 1.8579676864719035896382`0.6492274018400279*^-16}, { Rational[-77, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82297,7 +102280,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01591942059648754785346155070126394514`14.582168871011424}, { + 1.3141146048931117662587`0.49887651533685257*^-16}, { Rational[-481, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82316,7 +102299,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592065892046088351404827753268769971`14.582256682874863}, { + 1.5987397111938073177007`0.5840750669326539*^-16}, { Rational[-1923, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82335,7 +102318,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592184407122227003408245928487804392`14.582343044768898}, { + 1.4997810179460606949912`0.5563791910844774*^-16}, { Rational[-961, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82354,7 +102337,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592297612072156087363523992917314196`14.582427958983327}, { + 1.3638954694646572054121`0.5151864623421122*^-16}, { Rational[-1921, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82373,7 +102356,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592405514111469462944918683225040633`14.582511427798373}, { + 1.7327406308436192002977`0.6191929749866709*^-16}, { Rational[-24, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82392,7 +102375,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592508120476236559105972305197651529`14.582593453484792}, { + 2.095079290749835796172`0.7017139229637224*^-16}, { Rational[-1919, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82411,7 +102394,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592605438422869886935985129418674432`14.582674038303871}, { + 1.4516423519785764182105`0.5424271355750098*^-16}, { Rational[-959, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82430,7 +102413,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592697475227993008518796590629355813`14.582753184507453}, { + 1.3235699536722721922909`0.5023684542344083*^-16}, { Rational[-1917, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82449,7 +102432,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592784238188308960553144117172589451`14.582830894338018}, { + 1.3719779987415960701982`0.5180227539252035*^-16}, { Rational[-479, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82468,7 +102451,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592865734620469131495180030910511957`14.582907170028678}, { + 1.6391438623760620170602`0.5953467342155051*^-16}, { Rational[-383, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82487,7 +102470,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592941971860942590985060673247423082`14.58298201380325}, { + 1.5616150682153584783365`0.5743577117403724*^-16}, { Rational[-957, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82506,7 +102489,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593012957265885870320877408935965042`14.583055427876248}, { + 2.0573416764797029452405`0.6941442062650182*^-16}, { Rational[-1913, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82525,7 +102508,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593078698211013192744576119087820836`14.583127414452974}, { + 1.5681989707993207269143`0.5762930115137902*^-16}, { Rational[-239, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82544,7 +102527,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593139202091467152305909904561742682`14.583197975729517}, { + 7.454781269509056888075`0.2533808200704658*^-17}, { Rational[-1911, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82563,7 +102546,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0159319447632168984007188867026697344`14.583267113892774}, { + 2.176849501595090560232`0.7188283902325535*^-16}, { Rational[-191, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82582,7 +102565,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593244528335294416450628742788717989`14.583334831120556}, { + 2.2386694957437564310853`0.7310440409100756*^-16}, { Rational[-1909, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82601,7 +102584,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593289365584937128399965384319429773`14.583401129581526}, { + 2.1882820920569564376917`0.7212114425526892*^-16}, { Rational[-477, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82620,7 +102603,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593328995542189770292670704522730202`14.583466011435325}, { + 1.2013505064466474308342`0.4608319520719351*^-16}, { Rational[-1907, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82639,7 +102622,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593363425697412587211618741315222152`14.583529478832562}, { + 1.9678110929873426615884`0.6751997038228752*^-16}, { Rational[-953, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82658,7 +102641,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593392663559627619449758087311188237`14.583591533914827}, { + 2.0245756923161484440339`0.6876044038235151*^-16}, { Rational[-381, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82677,7 +102660,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.015934167166563924869912900898419485`14.583652178814779}, { + 2.0142722256182187030879`0.6854426392109737*^-16}, { Rational[-119, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82696,7 +102679,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593435592533674612752007060908180218`14.583711415656163}, { + 1.2679547056952313964335`0.4844823070173351*^-16}, { Rational[-1903, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82715,7 +102698,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593449298755725883358319814346927246`14.583769246553805}, { + 1.9972235150476511168054`0.6818593334212115*^-16}, { Rational[-951, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82734,7 +102717,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593457842904957746246096918913845529`14.583825673613708}, { + 2.5362524752784593244596`0.7856792451523614*^-16}, { Rational[-1901, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82753,7 +102736,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593461232581816741862049039068467819`14.583880698933049}, { + 2.2402116108569401178567`0.7318299067566709*^-16}, { Rational[-19, 100] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82772,7 +102755,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593459475404660469752020354107608459`14.5839343246002}, { + 1.087842745643612719024`0.4181610876875197*^-16}, { Rational[-1899, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82791,7 +102774,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593452579009633987322195027982065215`14.58398655269481}, { + 1.5664171501395446453955`0.5765565050439541*^-16}, { Rational[-949, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82810,7 +102793,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0159344055105054664006088977659525025`14.584037385287786}, { + 1.3910354068030880950664`0.5250413711106775*^-16}, { Rational[-1897, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82829,7 +102812,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0159342339919874932201028347957141984`14.584086824441371}, { + 1.5538873407138656178492`0.5731768293378067*^-16}, { Rational[-237, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82848,7 +102831,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593401131143012165279131245051566456`14.58413487220915}, { + 2.5145113508304563557813`0.7822650184404268*^-16}, { Rational[-379, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82867,7 +102850,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593373754589402657389223097671272947`14.584181530636082}, { + 8.369324886362442595769`0.30455596532625345*^-17}, { Rational[-947, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82886,7 +102869,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593341277261164185250076262815804248`14.584226801758534}, { + 1.1523421382297112722398`0.44350110511313084*^-16}, { Rational[-1893, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82905,7 +102888,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593303706898595004558094608772085227`14.58427068760435}, { + 1.4702589945436172220919`0.5493676334665748*^-16}, { Rational[-473, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82924,7 +102907,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593261051258927633418188929338258831`14.58431319019282}, { + 1.0757479694092239480856`0.41373845325710296*^-16}, { Rational[-1891, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82943,7 +102926,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593213318116208668987627152511343908`14.584354311534769}, { + 1.150103156649398747718`0.44281884662019433*^-16}, { Rational[-189, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82962,7 +102945,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593160515261179025943673998370173092`14.58439405363254}, { + 7.024263229742615754407`0.22873696531946772*^-17}, { Rational[-1889, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -82981,7 +102964,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593102650501154595578384836184232735`14.584432418480084}, { + 6.434897974658283048892`0.19073199193991547*^-17}, { Rational[-118, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83000,7 +102983,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593039731659907324325738264830200932`14.58446940806293}, { + 5.483434842975644238963`0.12129715603024856*^-17}, { Rational[-1887, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83019,7 +103002,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592971766577546710528126021968383723`14.584505024358267}, { + 1.9671391379620047739312`0.6761336933168548*^-16}, { Rational[-943, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83038,7 +103021,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592898763110401718251066979070149596`14.584539269334936}, { + 1.4859465490606692434934`0.5543559505040871*^-16}, { Rational[-377, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83057,7 +103040,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592820729130903106956873966653418196`14.584572144953484}, { + 1.2441699774539867513624`0.4772866319185815*^-16}, { Rational[-471, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83076,7 +103059,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592737672527466175849877765006525846`14.584603653166198}, { + 1.7075912576797292702759`0.6148449921813894*^-16}, { Rational[-1883, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83095,7 +103078,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592649601204373921707701560675800717`14.584633795917128}, { + 1.3129053149465496669617`0.500748634035967*^-16}, { Rational[-941, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83114,7 +103097,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592556523081660609014981281115397851`14.584662575142104}, { + 1.8246111134381368460395`0.6437397045901341*^-16}, { Rational[-1881, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83133,7 +103116,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0159245844609499575121784225451277102`14.584689992768784}, { + 1.1159461665488611707568`0.4302667979063722*^-16}, { Rational[-47, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83152,7 +103135,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592355378195568501919370376843829568`14.584716050716697}, { + 2.3230408322182912562216`0.7487345643163515*^-16}, { Rational[-1879, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83171,7 +103154,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592247327349972454838256183948701937`14.584740750897241}, { + 2.1616445581626880968956`0.7175161755059373*^-16}, { Rational[-939, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83190,7 +103173,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592134301540090851354742705550200072`14.584764095213712}, { + 2.453566096601711257526`0.772583827448168*^-16}, { Rational[-1877, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83209,7 +103192,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592016308762982194469972505720773542`14.584786085561399}, { + 1.5172661935899162923135`0.5639020239827734*^-16}, { Rational[-469, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83228,7 +103211,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01591893357030766268006805677747033626`14.584806723827514}, { + 2.4887698587029537391666`0.7788791613403672*^-16}, { Rational[-3, 16] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83247,7 +103230,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01591765454370510559882168550348030223`14.584826011891295}, { + 2.0334908401840721482289`0.6911908268616341*^-16}, { Rational[-937, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83266,7 +103249,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01591632608824117088282992268847628261`14.584843951624014}, { + 1.9293692723682694066542`0.6684181506034718*^-16}, { Rational[-1873, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83285,7 +103268,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01591494828448209629579811033407656977`14.584860544889002}, { + 1.0776817979517980630587`0.4155475305787479*^-16}, { Rational[-117, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83304,7 +103287,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01591352121314021346814111403418728648`14.584875793541688}, { + 1.7153322516943934702023`0.6174594292370392*^-16}, { Rational[-1871, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83323,7 +103306,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01591204495507282817597556511378741247`14.5848896994296}, { + 1.1685495758703066131767`0.4508125142926723*^-16}, { Rational[-187, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83342,7 +103325,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0159105195912811046026325207201314527`14.584902264392426}, { + 1.1041607174233628166895`0.42625186385109637*^-16}, { Rational[-1869, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83361,7 +103344,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01590894520290895357111274526275848436`14.584913490262045}, { + 8.757016802885848198867`0.3256299572741783*^-17}, { Rational[-467, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83380,7 +103363,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01590732187124192473592745330450324565`14.584923378862523}, { + 1.1961567941307504300765`0.46111609148068405*^-16}, { Rational[-1867, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83399,7 +103382,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0159056496777061027227880909543028701`14.584931932010166}, { + 1.3945373936373913183364`0.5278123532260228*^-16}, { Rational[-933, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83418,7 +103401,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0159039287038670072046295680991704471`14.58493915151355}, { + 7.130663538400515604947`0.23656634606661434*^-17}, { Rational[-373, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83437,7 +103420,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01590215903142849690247228555503714605`14.584945039173514}, { + 2.212581446356958355563`0.7283898831554934*^-16}, { Rational[-233, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83456,7 +103439,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01590034074223167749964932755169545782`14.584949596783236}, { + 1.6460043580721550569653`0.5999758163042624*^-16}, { Rational[-1863, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83475,7 +103458,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01589847391825381345794630905429643515`14.58495282612824}, { + 1.2316710094236494283662`0.47409377676411796*^-16}, { Rational[-931, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83494,7 +103477,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01589655864160724372422257744179974803`14.584954728986423}, { + 1.3402045655838730120226`0.5108243756841462*^-16}, { Rational[-1861, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83513,7 +103496,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01589459499453830131610376721021664727`14.584955307128064}, { + 2.2153404313417037893814`0.7291479846735*^-16}, { Rational[-93, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83532,7 +103515,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01589258305942623677535709286447395968`14.584954562315895}, { + 1.4281329380116030455312`0.5385303782448836*^-16}, { Rational[-1859, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83551,7 +103534,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01589052291878214547758223724614198267`14.584952496305068}, { + 1.855462990239991932627`0.6522682735307784*^-16}, { Rational[-929, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83570,7 +103553,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01588841465524789878687224847324390576`14.584949110843255}, { + 8.199793354706062882788`0.2976731231558719*^-17}, { Rational[-1857, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83589,7 +103572,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01588625835159507904412049672079031457`14.584944407670594}, { + 1.485462234184220247274`0.5557860716455914*^-16}, { Rational[-116, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83608,7 +103591,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01588405409072391837767146054334150233`14.58493838851981}, { + 9.687878589743763299923`0.37020738874065195*^-17}, { Rational[-371, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83627,7 +103610,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01588180195566224132503490964988077625`14.584931055116128}, { + 2.0891097213500236916582`0.7039941989984433*^-16}, { Rational[-927, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83646,7 +103629,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0158795020295644112544049253210364138`14.584922409177405}, { + 1.1984291054216677415872`0.46269954791372675*^-16}, { Rational[-1853, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83665,7 +103648,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01587715439571028057474714936284443652`14.584912452414093}, { + 7.84019015881437354553`0.2784680506350029*^-17}, { Rational[-463, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83684,7 +103667,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01587475913750414472323967599139011814`14.584901186529294}, { + 7.541150305316765465523`0.261633308757059*^-17}, { Rational[-1851, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83703,7 +103686,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01587231633847369991887509672889952932`14.58488861321874}, { + 1.424114599259731148813`0.537794911196465*^-16}, { Rational[-37, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83722,7 +103705,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01586982608226900467105337467224962638`14.584874734170908}, { + 1.7918379606713470997906`0.6376029696364585*^-16}, { Rational[-1849, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83741,7 +103724,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01586728845266144503201745979544777185`14.584859551066959}, { + 1.635254767017979498771`0.5979439277484159*^-16}, { Rational[-231, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83760,7 +103743,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01586470353354270358200585971203129827`14.584843065580788}, { + 1.0167662847983395189701`0.391633911373102*^-16}, { Rational[-1847, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83779,7 +103762,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01586207140892373213601874901290377025`14.58482527937907}, { + 1.846413141729896175862`0.6507959308400515*^-16}, { Rational[-923, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83798,7 +103781,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01585939216293372816111663338814766452`14.584806194121287}, { + 2.131169305019439090961`0.7131392778268133*^-16}, { Rational[-369, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83817,7 +103800,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01585666587981911489319308073417994926`14.584785811459703}, { + 5.505222907613022845678`0.1253505140878859*^-17}, { Rational[-461, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83836,7 +103819,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01585389264394252514218558885221047849`14.584764133039451}, { + 1.4979851681420927794134`0.5601374027395496*^-16}, { Rational[-1843, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83855,7 +103838,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01585107253978178877471127669074591745`14.584741160498531}, { + 1.3198106779778960468392`0.5051958140307925*^-16}, { Rational[-921, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83874,7 +103857,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01584820565192892386313676191958891229`14.58471689546782}, { + 2.2505784606701355332844`0.7370326246237761*^-16}, { Rational[-1841, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83893,7 +103876,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01584529206508913149011432050851644436`14.584691339571107}, { + 8.864060523117685550869`0.3324254727281858*^-17}, { Rational[-23, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83912,7 +103895,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01584233186407979419763921249950114096`14.584664494425153}, { + 2.1579618060317266036069`0.7188908105755093*^-16}, { Rational[-1839, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83931,7 +103914,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01583932513382947806970590090256894438`14.584636361639639}, { + 7.12525523324747185227`0.23770178263445632*^-17}, { Rational[-919, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83950,7 +103933,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01583627195937693843766378622307136177`14.584606942817285}, { + 6.809627038894133572417`0.21807898659619468*^-17}, { Rational[-1837, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83969,7 +103952,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0158331724258701291973960261700348462`14.584576239553774}, { + 1.6194082638002107599544`0.5943663179968678*^-16}, { Rational[-459, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -83988,7 +103971,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01583002661856521572746800724356869408`14.584544253437857}, { + 1.8491220127017257317888`0.6520298454575634*^-16}, { Rational[-367, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84007,7 +103990,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0158268346228255913974150808131287167`14.584510986051333}, { + 1.3923772408467119886937`0.5288755059601467*^-16}, { Rational[-917, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84026,7 +104009,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01582359652412089765536226965098047349`14.5844764389691}, { + 2.3589509936711185826865`0.7578918252999418*^-16}, { Rational[-1833, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84045,7 +104028,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01582031240802604768419179036591919282`14.584440613759138}, { + 9.859783538565642602861`0.37909460705126025*^-17}, { Rational[-229, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84064,7 +104047,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01581698236022025361549742149518036451`14.584403511982575}, { + 1.9727955672807563955022`0.6803636331011095*^-16}, { Rational[-1831, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84083,7 +104066,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01581360646648605729058797487617280468`14.584365135193691}, { + 1.6806279508509993109948`0.6108074582708808*^-16}, { Rational[-183, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84102,7 +104085,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01581018481270836455782539806883728741`14.584325484939946}, { + 1.891002582689531691697`0.6620823279722201*^-16}, { Rational[-1829, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84121,7 +104104,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0158067174848734830956063467814805106`14.584284562761988}, { + 2.1157694280313051707947`0.7109128765760806*^-16}, { Rational[-457, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84140,7 +104123,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01580320456906816375031941723155140231`14.584242370193696}, { + 1.4216552880482194437319`0.5382931803571208*^-16}, { Rational[-1827, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84159,7 +104142,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01579964615147864537863361792489587937`14.58419890876219}, { + 1.5945580918858333613608`0.588193561130871*^-16}, { Rational[-913, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84178,7 +104161,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01579604231838970318349708725403990218`14.584154179987861}, { + 1.4133626830353938762402`0.5358611789077272*^-16}, { Rational[-73, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84197,7 +104180,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01579239315618370053324852640343541485`14.584108185384403}, { + 1.7391425730702799572427`0.6259970913404167*^-16}, { Rational[-114, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84216,7 +104199,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01578869875133964425326731512701758538`14.584060926458788}, { + 2.5769414260111117850115`0.7968208017767681*^-16}, { Rational[-1823, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84235,7 +104218,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01578495919043224337961180986353274843`14.584012404711364}, { + 1.9573581440112861394549`0.6774409049169466*^-16}, { Rational[-911, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84254,7 +104237,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01578117456013097136411888822505405258`14.583962621635807}, { + 1.6649057150108531503408`0.6072146082826273*^-16}, { Rational[-1821, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84273,7 +104256,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01577734494719913172046139999435432754`14.583911578719192}, { + 2.3501166945179178315724`0.7569687515920853*^-16}, { Rational[-91, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84292,7 +104275,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01577347043849292710068381127009364838`14.583859277441976}, { + 9.139009356366201996111`0.34683280907546904*^-17}, { Rational[-1819, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84311,7 +104294,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01576955112096053179175998419319311518`14.583805719278049}, { + 1.4634090640676678248709`0.5513537942491822*^-16}, { Rational[-909, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84330,7 +104313,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01576558708164116762174071867224092318`14.583750905694744}, { + 7.923373734700829652509`0.284952564930584*^-17}, { Rational[-1817, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84349,7 +104332,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01576157840766418326508239361359924137`14.583694838152859}, { + 2.2169339237417079706666`0.731849545687789*^-16}, { Rational[-227, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84368,7 +104351,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01575752518624813693677178227883262373`14.583637518106686}, { + 1.9368053130118560596736`0.6732371409651107*^-16}, { Rational[-363, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84387,7 +104370,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01575342750469988246488587847641931494`14.583578947004018}, { + 1.736370696451057939269`0.6258480010227224*^-16}, { Rational[-907, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84406,7 +104389,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01574928545041365873124935629741270175`14.583519126286179}, { + 1.1875804518273146290367`0.46092297663373677*^-16}, { Rational[-1813, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84425,7 +104408,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01574509911087018246987609498937049758`14.583458057388036}, { + 1.086270683243450218365`0.4222523817545396*^-16}, { Rational[-453, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84444,7 +104427,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01574086857363574441290503130499396729`14.58339574173805}, { + 1.5375823492821263038759`0.5732070978545356*^-16}, { Rational[-1811, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84463,7 +104446,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01573659392636130877376445324960418693`14.583332180758248}, { + 1.6343364634995416861906`0.5997645766830775*^-16}, { Rational[-181, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84482,7 +104465,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01573227525678161605732272058477770498`14.583267375864288}, { + 1.3668311509479823072938`0.522192371208513*^-16}, { Rational[-1809, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84501,7 +104484,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01572791265271428918680728773608391921`14.58320132846546}, { + 1.4759987625212684299363`0.5556178966243578*^-16}, { Rational[-113, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84520,7 +104503,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01572350620205894293729781292462929556`14.5831340399647}, { + 9.291992346087679991271`0.35469515015315095*^-17}, { Rational[-1807, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84539,7 +104522,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.015719055992796296665623062430881045`14.583065511758615}, { + 1.4965493214817604078768`0.56173174801842*^-16}, { Rational[-903, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84558,7 +104541,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01571456211298729032651525995119098157`14.582995745237534}, { + 8.098934843800785268021`0.29512302899169907*^-17}, { Rational[-361, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84577,7 +104560,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01571002465077220376489948708248837851`14.582924741785465}, { + 1.6663074945620481450706`0.6085046852429241*^-16}, { Rational[-451, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84596,7 +104579,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0157054436943697792742197111369975069`14.582852502780186}, { + 4.845041646816176777627`0.07210146914166271*^-17}, { Rational[-1803, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84615,7 +104598,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01570081933207634741072699982934479599`14.582779029593192}, { + 9.863472279818137193776`0.3808882034786298*^-17}, { Rational[-901, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84634,7 +104617,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01569615165226495605367947798390935443`14.58270432358979}, { + 1.1785064866052496341058`0.45824477621072934*^-16}, { Rational[-1801, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84653,7 +104636,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01569144074338450270142758838502683607`14.582628386129045}, { + 2.3432541887647196682384`0.7567866282342726*^-16}, { Rational[-9, 50] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84672,7 +104655,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01568668669395886999338223635030762884`14.582551218563884}, { + 1.1003639150752357711362`0.4285579967089626*^-16}, { Rational[-1799, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84691,7 +104674,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01568188959258606444788742467296360686`14.582472822241014}, { + 2.1557345698534250002373`0.7206713771326164*^-16}, { Rational[-899, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84710,7 +104693,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01567704952793735840604302138856767959`14.582393198501034}, { + 1.3683200047744228017588`0.5233182047464686*^-16}, { Rational[-1797, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84729,7 +104712,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01567216658875643517154734652077456832`14.582312348678412}, { + 1.8168102625607762753207`0.6464945436576011*^-16}, { Rational[-449, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84748,7 +104731,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01566724086385853733665331470661570509`14.58223027410148}, { + 1.71125729731739307572`0.6205547266546833*^-16}, { Rational[-359, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84767,7 +104750,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01566227244212961828435592756143942132`14.582146976092503}, { + 2.1524104153171792238721`0.7202189461471361*^-16}, { Rational[-897, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84786,7 +104769,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01565726141252549685695297199421719481`14.582062455967678}, { + 1.2608676854949215433329`0.4880178268152267*^-16}, { Rational[-1793, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84805,7 +104788,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01565220786407101518114484761285319905`14.581976715037142}, { + 1.9918568070810563736286`0.68666088108329*^-16}, { Rational[-112, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84824,7 +104807,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01564711188585919963986351706424406389`14.581889754605003}, { + 7.452614731966926390355`0.25976589557889357*^-17}, { Rational[-1791, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84843,7 +104826,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01564197356705042498104464684220563174`14.58180157596935}, { + 1.7503163136504940927848`0.6306282269335581*^-16}, { Rational[-179, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84862,7 +104845,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01563679299687158155358108198552256079`14.581712180422285}, { + 2.5087439739588336221024`0.7870224934820609*^-16}, { Rational[-1789, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84881,7 +104864,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0156315702646152456607198754054354814`14.581621569249933}, { + 9.588984175425965392543`0.3693932213590115*^-17}, { Rational[-447, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84900,7 +104883,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01562630545963885302118917056330819384`14.58152974373244}, { + 1.3830388342898838055243`0.5285094659694356*^-16}, { Rational[-1787, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84919,7 +104902,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01562099867136387532836531411148855059`14.581436705144046}, { + 1.7599180632830673876549`0.6332220150877501*^-16}, { Rational[-893, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84938,7 +104921,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01561564998927499989781465216907552481`14.581342454753045}, { + 1.3857950057312584129224`0.5294830367430104*^-16}, { Rational[-357, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84957,7 +104940,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01561025950291931239356853939452489197`14.581246993821823}, { + 1.6809234114107266270618`0.6133864528931713*^-16}, { Rational[-223, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84976,7 +104959,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01560482730190548262351416321309783104`14.581150323606911}, { + 1.6407405976533979959605`0.6029329366148937*^-16}, { Rational[-1783, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -84995,7 +104978,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01559935347590295339430785574252549553`14.581052445358935}, { + 1.0091900093954173729202`0.3919204438781868*^-16}, { Rational[-891, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85014,7 +104997,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01559383811464113241624163242753820374`14.580953360322697}, { + 1.4803388157397486477964`0.558363120616665*^-16}, { Rational[-1781, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85033,7 +105016,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0155882813079085872485177584445483918`14.580853069737158}, { + 1.5006318096639327501145`0.5643306377841659*^-16}, { Rational[-89, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85052,7 +105035,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01558268314555224327541020088231565061`14.58075157483545}, { + 1.4686216888346037460421`0.5550209265674354*^-16}, { Rational[-1779, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85071,7 +105054,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01557704371747658470381587586192146458`14.580648876844938}, { + 1.0614514757257574352066`0.4140656368679577*^-16}, { Rational[-889, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85090,7 +105073,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01557136311364285857272264445796611617`14.580544976987166}, { + 2.2292363981096298395265`0.7363761233654619*^-16}, { Rational[-1777, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85109,7 +105092,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01556564142406828176514504885887342211`14.580439876477971}, { + 7.969432942123536610494`0.2897019282977081*^-17}, { Rational[-111, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85128,7 +105111,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0155598787388252510131028100029580403`14.580333576527378}, { + 1.9095132131620487358946`0.6692516887009878*^-16}, { Rational[-71, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85147,7 +105130,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01555407514804055588624112930149782702`14.580226078339741}, { + 1.5976180426885937945577`0.5918564940595296*^-16}, { Rational[-887, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85166,7 +105149,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01554823074189459475471584937295641884`14.580117383113683}, { + 2.086799223402394043079`0.7079187240244335*^-16}, { Rational[-1773, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85185,7 +105168,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01554234561062059371699053133327742197`14.580007492042112}, { + 1.6916953786798732561724`0.6168147441213038*^-16}, { Rational[-443, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85204,7 +105187,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01553641984450382848321649849454392749`14.57989640631228}, { + 1.674843007284063507127`0.6125212126424253*^-16}, { Rational[-1771, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85223,7 +105206,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01553045353388084920489087770450616055`14.579784127105786}, { + 8.724540932023986663589`0.3293442233904011*^-17}, { Rational[-177, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85242,7 +105225,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0155244467691387082415116394070523676`14.579670655598557}, { + 1.921141551830628856658`0.6722155382698183*^-16}, { Rational[-1769, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85261,7 +105244,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01551839964071419085497259522094190213`14.579555992960927}, { + 8.086927102947037972787`0.29649423880383763*^-17}, { Rational[-221, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85280,7 +105263,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01551231223909304882246525683152175055`14.579440140357583}, { + 7.774100359515099381018`0.27941539437656454*^-17}, { Rational[-1767, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85299,7 +105282,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01550618465480923695867839168518224656`14.57932309894764}, { + 1.7637312914707219118157`0.6352522161470392*^-16}, { Rational[-883, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85318,7 +105301,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0155000169784441525381100287954482863`14.579204869884634}, { + 2.4451094244467725099225`0.7771726437228641*^-16}, { Rational[-353, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85337,7 +105320,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01549380930062587760833057134550803731`14.579085454316534}, { + 1.7421900298805483788737`0.6300244197160905*^-16}, { Rational[-441, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85356,7 +105339,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01548756171202842418505956114576773322`14.578964853385765}, { + 2.2165736315883235287062`0.734665613266415*^-16}, { Rational[-1763, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85375,7 +105358,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01548127430337098231994251282525138304`14.578843068229217}, { + 1.8480985648940543187525`0.6557631390598834*^-16}, { Rational[-881, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85394,7 +105377,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01547494716541717103193809235745679938`14.578720099978263}, { + 2.3412972688803931128077`0.7585491298609476*^-16}, { Rational[-1761, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85413,7 +105396,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01546858038897429209324975460842531962`14.578595949758792}, { + 1.7482953170303816893757`0.6317619311914524*^-16}, { Rational[-22, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85432,7 +105415,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01546217406489258666075977751664132369`14.578470618691208}, { + 7.145276878457872989024`0.24322076831648182*^-17}, { Rational[-1759, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85451,7 +105434,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0154557282840644947439474357492098847`14.578344107890445}, { + 1.2365482433030198833876`0.48146734343593234*^-16}, { Rational[-879, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85470,7 +105453,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01544924313742391750029684371042544963`14.578216418465965}, { + 1.2313251189043164288519`0.4796835938893555*^-16}, { Rational[-1757, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85489,7 +105472,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01544271871594548234922376609902246021`14.578087551521831}, { + 5.256701873806757167521`0.11007878176289282*^-17}, { Rational[-439, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85508,7 +105491,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01543615511064381089557444331779316532`14.57795750815667}, { + 9.734267744441311122755`0.37772330510600033*^-17}, { Rational[-351, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85527,7 +105510,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01542955241257278965377320843861188727`14.577826289463685}, { + 1.1288893462280350266654`0.44212597878079546*^-16}, { Rational[-877, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85546,7 +105529,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01542291071282484356371938162988406457`14.577693896530734}, { + 1.8490148945558621378483`0.6564696041682913*^-16}, { Rational[-1753, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85565,7 +105548,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01541623010253021228955761648033565984`14.577560330440257}, { + 8.126756773365587939094`0.29950105026178825*^-17}, { Rational[-219, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85584,7 +105567,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01540951067285622929246954002889320057`14.577425592269355}, { + 6.787867661481018311548`0.22137175226553982*^-17}, { Rational[-1751, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85603,7 +105586,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01540275251500660366865817406658652838`14.57728968308978}, { + 2.0861450336164740716817`0.7090374848449389*^-16}, { Rational[-7, 40] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85622,7 +105605,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01539595572022070474372024895214223575`14.577152603967967}, { + 1.118450650092947255027`0.4383644172399549*^-16}, { Rational[-1749, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85641,7 +105624,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01538912037977284941462512232271676487`14.577014355965016}, { + 1.3851037147127318568575`0.5312844929190446*^-16}, { Rational[-437, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85660,7 +105643,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01538224658497159223054259323689726777`14.576874940136745}, { + 1.5384256752413641355151`0.5769333297742317*^-16}, { Rational[-1747, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85679,7 +105662,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01537533442715901820378545701584649732`14.576734357533669}, { + 1.6864942398992335785845`0.6168962876948866*^-16}, { Rational[-873, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85698,7 +105681,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0153683839977100383421561769149945864`14.576592609201063}, { + 8.593951492637676625863`0.32415894211848195*^-17}, { Rational[-349, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85717,7 +105700,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01536139538803168789401055533229965309`14.576449696178903}, { + 1.2162381595647162744982`0.47503929155807845*^-16}, { Rational[-109, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85736,7 +105719,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01535436868956242729737476911675996792`14.576305619501968}, { + 1.4403556023125584845187`0.548545018134655*^-16}, { Rational[-1743, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85755,7 +105738,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01534730399377144582447559026453636145`14.576160380199777}, { + 1.4614658102389231014819`0.5549185811212703*^-16}, { Rational[-871, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85774,7 +105757,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01534020139215796791306704446819488692`14.576013979296649}, { + 1.3687910282482616025498`0.5265217040738777*^-16}, { Rational[-1741, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85793,7 +105776,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01533306097625056217596016521127529425`14.575866417811715}, { + 2.0608651657217137758912`0.7042887690762829*^-16}, { Rational[-87, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85812,7 +105795,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01532588283760645308018587997611272605`14.575717696758899}, { + 1.9669604452266230302094`0.6840894570598502*^-16}, { Rational[-1739, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85831,7 +105814,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01531866706781083528724441726312252756`14.575567817146974}, { + 1.5549559221254591652407`0.5820665568276785*^-16}, { Rational[-869, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85850,7 +105833,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01531141375847619064591794811651468635`14.575416779979548}, { + 9.684663361565717793145`0.37648765051554145*^-17}, { Rational[-1737, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85869,7 +105852,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01530412300124160782914647333201502099`14.57526458625508}, { + 1.1706122787874437586699`0.4588708471832103*^-16}, { Rational[-217, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85888,7 +105871,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01529679488777210460649023710928531737`14.575111236966922}, { + 1.9380697127727822715448`0.677881820945952*^-16}, { Rational[-347, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85907,7 +105890,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01528942950975795274372518923472087202`14.57495673310329}, { + 9.963798895917105525051`0.38899203756099965*^-17}, { Rational[-867, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85926,7 +105909,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01528202695891400552114123057198669004`14.574801075647306}, { + 1.9650178765938207768945`0.683988251349226*^-16}, { Rational[-1733, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85945,7 +105928,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01527458732697902786213616033887463277`14.57464426557701}, { + 9.651860470031902019178`0.37528744573213574*^-17}, { Rational[-433, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85964,7 +105947,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01526711070571502906372139800386230514`14.574486303865354}, { + 7.49713552372692925734`0.26562644093856025*^-17}, { Rational[-1731, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -85983,7 +105966,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01525959718690659812057867729459979077`14.574327191480242}, { + 2.1718348632125209339143`0.7276125519822307*^-16}, { Rational[-173, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86002,7 +105985,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01525204686236024163433000442920861386`14.574166929384536}, { + 1.5162074597488818599467`0.5715990565545256*^-16}, { Rational[-1729, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86021,7 +106004,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01524445982390372429970623692025284735`14.574005518536035}, { + 5.726464349970414914361`0.14878166834342302*^-17}, { Rational[-108, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86040,7 +106023,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01523683616338541195932267282657751243`14.573842959887548}, { + 6.999378585175704831175`0.23600927448074027*^-17}, { Rational[-1727, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86059,7 +106042,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01522917597267361721879304281090987903`14.573679254386864}, { + 1.7857273363123968408399`0.6428196236621992*^-16}, { Rational[-863, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86078,7 +106061,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01522147934365594761393626847741166507`14.57351440297678}, { + 1.8206689033355918993656`0.6512901416010699*^-16}, { Rational[-69, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86097,7 +106080,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01521374636823865632185328989431490605`14.573348406595098}, { + 1.3677719763667048072558`0.5271275623184954*^-16}, { Rational[-431, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86116,7 +106099,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0152059771383459954076741726381813633`14.573181266174682}, { + 1.8220203354931760027844`0.6517217781996357*^-16}, { Rational[-1723, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86135,7 +106118,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01519817174591957159879857981996187389`14.573012982643414}, { + 1.1773306867721629700193`0.4621217234409309*^-16}, { Rational[-861, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86154,7 +106137,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01519033028291770457847553706366582071`14.572843556924235}, { + 1.7428018533559895302633`0.6325259773735663*^-16}, { Rational[-1721, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86173,7 +106156,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01518245284131478779059122800760779344`14.572672989935164}, { + 1.738919371212272249656`0.6316121180947913*^-16}, { Rational[-43, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86192,7 +106175,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0151745395131006517475563342908772412`14.572501282589304}, { + 1.6201805104074864580318`0.6009507882524244*^-16}, { Rational[-1719, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86211,7 +106194,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01516659039027992983320717688390524311`14.572328435794835}, { + 9.468679244621795539445`0.3677315051544145*^-17}, { Rational[-859, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86230,7 +106213,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01515860556487142659265762473678795237`14.572154450455065}, { + 1.5120893447628010608543`0.5710742725763613*^-16}, { Rational[-1717, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86249,7 +106232,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01515058512890748850106141177147564181`14.57197932746842}, { + 1.628986301081998475903`0.6034689745381732*^-16}, { Rational[-429, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86268,7 +106251,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01514252917443337720326714395792099176`14.571803067728444}, { + 8.461094984973114472294`0.3190328394341634*^-17}, { Rational[-343, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86287,7 +106270,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01513443779350664521637088431856657639`14.57162567212383}, { + 1.9435574644668749651902`0.680258384882538*^-16}, { Rational[-857, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86306,7 +106289,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01512631107819651408719377493231805514`14.57144714153844}, { + 1.5498879583179678204255`0.5820160369831011*^-16}, { Rational[-1713, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86325,7 +106308,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01511814912058325499673469109641972444`14.571267476851283}, { + 1.5778494023681821247723`0.5898360200298418*^-16}, { Rational[-107, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86344,7 +106327,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01510995201275757180367042349333599806`14.57108667893658}, { + 2.4193707827068244321711`0.7755276430898*^-16}, { Rational[-1711, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86363,7 +106346,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01510171984681998651899834924628752559`14.5709047486637}, { + 1.4253443645522834993543`0.5457997591936709*^-16}, { Rational[-171, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86382,7 +106365,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0150934527148802272039389818814064525`14.570721686897267}, { + 1.375438428498247719279`0.5303758585860503*^-16}, { Rational[-1709, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86401,7 +106384,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01508515070905661828323818320105567716`14.570537494497087}, { + 1.3113199185317842492856`0.5096981147331272*^-16}, { Rational[-427, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86420,7 +106403,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01507681392147547326603117667031387921`14.570352172318202}, { + 1.8756361051317771983033`0.6651927965146391*^-16}, { Rational[-1707, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86439,7 +106422,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01506844244427048986645282188963754842`14.570165721210897}, { + 2.0028611203273870245605`0.6937498078594206*^-16}, { Rational[-853, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86458,7 +106441,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01506003636958214751620089283813030976`14.56997814202071}, { + 1.131183543721559443577`0.4456868132757491*^-16}, { Rational[-341, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86477,7 +106460,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01505159578955710726128134859450701462`14.56978943558844}, { + 9.896566211164845995946`0.38769303611029177*^-17}, { Rational[-213, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86496,7 +106479,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01504312079634761403518679395152703567`14.569599602750166}, { + 2.2988145851499237517199`0.7537672158286483*^-16}, { Rational[-1703, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86515,7 +106498,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01503461148211090130078149851337005318`14.569408644337232}, { + 9.876978392561902858619`0.38694214965154555*^-17}, { Rational[-851, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86534,7 +106517,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01502606793900859805318847628660587081`14.569216561176319}, { + 2.259964925681056780539`0.74647452271079*^-16}, { Rational[-1701, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86553,7 +106536,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01501749025920613817599622323095610945`14.569023354089381}, { + 1.3528545077836010113797`0.5236786981781096*^-16}, { Rational[-17, 100] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86572,7 +106555,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01500887853487217214312476751600901465`14.568829023893702}, { + 1.9006157532944891175075`0.671376714789693*^-16}, { Rational[-1699, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86591,7 +106574,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01500023285817798105871270612914785081`14.568633571401913}, { + 1.4066355481731390179427`0.5407187675622499*^-16}, { Rational[-849, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86610,7 +106593,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0149915533212968930274088817955813021`14.568436997421967}, { + 1.2802891244775716777659`0.4999000277642533*^-16}, { Rational[-1697, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86629,7 +106612,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01498284001640370184747429570559797315`14.568239302757174}, { + 2.075658403620352837605`0.7098026496604399*^-16}, { Rational[-106, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86648,7 +106631,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01497409303567408801912175410218633922`14.568040488206215}, { + 2.0324952824418672960603`0.7007311136234988*^-16}, { Rational[-339, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86667,7 +106650,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01496531247128404206054261017300374497`14.567840554563164}, { + 1.9497966158487888105672`0.6827456831838482*^-16}, { Rational[-847, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86686,7 +106669,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01495649841540929012409178672655801545`14.56763950261744}, { + 1.152633643577216979524`0.45450246994014026*^-16}, { Rational[-1693, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86705,7 +106688,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01494765096022472190512404963013458136`14.567437333153888}, { + 1.2272169161735816363544`0.4817873220907305*^-16}, { Rational[-423, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86724,7 +106707,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01493877019790382083599624676535143798`14.567234046952766}, { + 7.961959225677465032515`0.2939407528463701*^-17}, { Rational[-1691, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86743,7 +106726,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01492985622061809655777193213978292076`14.567029644789722}, { + 1.7176199889026006782146`0.6279027075885909*^-16}, { Rational[-169, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86762,7 +106745,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0149209091205365196621864596061653662`14.566824127435867}, { + 1.0589583267061278757875`0.4179093136473149*^-16}, { Rational[-1689, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86781,7 +106764,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01491192898982495869645225521439219506`14.566617495657725}, { + 1.5307002559840705239808`0.5779754246104697*^-16}, { Rational[-211, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86800,7 +106783,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0149029159206456194235055613886962035`14.566409750217288}, { + 1.2236810578652067635217`0.4808083366079193*^-16}, { Rational[-1687, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86819,7 +106802,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01489387000515648633031748972017309082`14.566200891871995}, { + 1.2175071406609263351426`0.4786664494349336*^-16}, { Rational[-843, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86838,7 +106821,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01488479133551076637691372203257753269`14.565990921374766}, { + 9.932741138892389509743`0.39031888659787933*^-17}, { Rational[-337, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86857,7 +106840,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01487568000385633497876866136052694226`14.565779839474}, { + 6.308373208784840960722`0.19322198856106854*^-17}, { Rational[-421, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86876,7 +106859,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01486653610233518421526125541993980322`14.565567646913596}, { + 1.0109885645916293320528`0.3981056975054886*^-16}, { Rational[-1683, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86895,7 +106878,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01485735972308287325690109490060422837`14.56535434443293}, { + 1.1619982445621838956408`0.458619774263607*^-16}, { Rational[-841, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86914,7 +106897,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01484815095822798100405472732232784568`14.56513993276691}, { + 1.3354266195628638305979`0.5190891830264773*^-16}, { Rational[-1681, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86933,7 +106916,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01483890989989156092992342412550831124`14.564924412645976}, { + 7.844148235966076976761`0.28806980182304265*^-17}, { Rational[-21, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86952,7 +106935,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01482963664018659812054489397261216769`14.564707784796067}, { + 1.8249555051394136222383`0.6548311490964731*^-16}, { Rational[-1679, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86971,7 +106954,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0148203312712174685046126487809090525`14.564490049938694}, { + 1.0566394587810182560722`0.4175605566419782*^-16}, { Rational[-839, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -86990,7 +106973,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01481099388507940026592790065395277727`14.56427120879091}, { + 8.836517438069073549105`0.339969737404742*^-17}, { Rational[-1677, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87009,7 +106992,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01480162457385793743131999749725323775`14.564051262065336}, { + 2.1435927980229313770482`0.7248857582848149*^-16}, { Rational[-419, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87028,7 +107011,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01479222342962840562689249256352524293`14.563830210470138}, { + 1.3472759816039454644868`0.5232549107733211*^-16}, { Rational[-67, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87047,7 +107030,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01478279054445537999547298834808269902`14.563608054709103}, { + 1.297202856976733686945`0.5068611177111789*^-16}, { Rational[-837, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87066,7 +107049,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.014773326010392155268165898022425131`14.563384795481573}, { + 1.0365152170974534813534`0.40948378528445667*^-16}, { Rational[-1673, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87085,7 +107068,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01476382991948021798292822783281641615`14.563160433482514}, { + 1.2941685029767937798924`0.5059538142919875*^-16}, { Rational[-209, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87104,7 +107087,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01475430236374872084310940148322000132`14.562934969402486}, { + 1.1317938986341473191551`0.44778522597843734*^-16}, { Rational[-1671, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87123,7 +107106,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01474474343521395920891702235313927119`14.562708403927694}, { + 7.58972010379754905428`0.27429853071300386*^-17}, { Rational[-167, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87142,7 +107125,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01473515322587884971479130135867430636`14.562480737739941}, { + 5.592137915454808804185`0.14170554091975812*^-17}, { Rational[-1669, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87161,7 +107144,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0147255318277324110056916672396217779`14.562251971516694}, { + 1.3155789943190006523205`0.5132994983524709*^-16}, { Rational[-417, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87180,7 +107163,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0147158793327492465853198219402227812`14.562022105931042}, { + 6.209705821156132782105`0.18730849845485076*^-17}, { Rational[-1667, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87199,7 +107182,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01470619583288902976932420644166823518`14.561791141651739}, { + 2.0846412309345958374484`0.7133237032857646*^-16}, { Rational[-833, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87218,7 +107201,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01469648142009599073655150179958985857`14.561559079343224}, { + 1.5036276067521775502952`0.5714875827143188*^-16}, { Rational[-333, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87237,7 +107220,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01468673618629840567143140613982916027`14.561325919665606}, { + 7.764848166072972175732`0.28453517543454077*^-17}, { Rational[-104, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87256,7 +107239,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01467696022340808799060150087538310316`14.561091663274643}, { + 1.1277806977126026055811`0.4466817840811265*^-16}, { Rational[-1663, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87275,7 +107258,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01466715362331988164689954833167949515`14.56085631082184}, { + 9.450876398464950799465`0.36998413333346847*^-17}, { Rational[-831, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87294,7 +107277,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01465731647791115650387104821566771303`14.560619862954354}, { + 1.8730773879136633197643`0.6671226979049434*^-16}, { Rational[-1661, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87313,7 +107296,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01464744887904130577396032184743511032`14.560382320315087}, { + 1.3946915479099282611738`0.5391000765859983*^-16}, { Rational[-83, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87332,7 +107315,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01463755091855124551357379070466542769`14.560143683542652}, { + 9.61275698125568661097`0.37752480517826126*^-17}, { Rational[-1659, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87351,7 +107334,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01462762268826291616822446952668300719`14.559903953271387}, { + 7.536991052172603214399`0.2719297800206837*^-17}, { Rational[-829, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87370,7 +107353,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01461766427997878616098700390381384704`14.559663130131367}, { + 1.1036449513211611015164`0.43761610333076356*^-16}, { Rational[-1657, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87389,7 +107372,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01460767578548135751751284786098656642`14.559421214748411}, { + 1.3019437773958859068511`0.5094338985063993*^-16}, { Rational[-207, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87408,7 +107391,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0145976572965326735208753983541339453`14.559178207744106}, { + 1.9177848397973408272754`0.6776964991025499*^-16}, { Rational[-331, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87427,7 +107410,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0145876089048738283895350807602270904`14.558934109735796}, { + 9.860765189754209699702`0.38886218863114635*^-17}, { Rational[-827, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87446,7 +107429,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01457753070222447897173451228393898462`14.558688921336579}, { + 9.5736770897638593331`0.3760853037269786*^-17}, { Rational[-1653, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87465,7 +107448,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01456742278028235844965395865609921485`14.558442643155356}, { + 6.572584546666257480442`0.21279767107958136*^-17}, { Rational[-413, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87484,7 +107467,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0145572852307227920466773434937880029`14.558195275796812}, { + 1.4998564423350007720364`0.5711661474417584*^-16}, { Rational[-1651, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87503,7 +107486,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0145471181451982147311390691631043277`14.557946819861437}, { + 1.6572689278855234259994`0.6145644111118574*^-16}, { Rational[-33, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87522,7 +107505,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01453692161533769090994186287028503571`14.557697275945497}, { + 1.5863471619694556284364`0.5956246317195933*^-16}, { Rational[-1649, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87541,7 +107524,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01452669573274643610545577194371534426`14.557446644641098}, { + 1.8999049920792916533562`0.6740132559439408*^-16}, { Rational[-103, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87560,7 +107543,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01451644058900534060912829779925285297`14.557194926536157}, { + 2.3622618450313095395273`0.7686643875383818*^-16}, { Rational[-1647, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87579,7 +107562,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01450615627567049510525547884741643241`14.55694212221443}, { + 7.800087660416019338589`0.2874908196389674*^-17}, { Rational[-823, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87598,7 +107581,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01449584288427271825838350854822304049`14.556688232255505}, { + 1.5810534758131646892075`0.5943928832054681*^-16}, { Rational[-329, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87617,7 +107600,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0144855005063170862578302058953867623`14.556433257234822}, { + 1.5558205657106963493362`0.5874608234670448*^-16}, { Rational[-411, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87636,7 +107619,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01447512923328246431283534176501595531`14.556177197723652}, { + 1.4789270676207329351618`0.5655030685173373*^-16}, { Rational[-1643, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87655,7 +107638,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01446472915662104009186846574647137378`14.55592005428917}, { + 1.7469574256831072565055`0.637893631335123*^-16}, { Rational[-821, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87674,7 +107657,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01445430036775785909964247423758808612`14.555661827494374}, { + 1.3166739540665565860668`0.5151445579198939*^-16}, { Rational[-1641, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87693,7 +107676,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01444384295809036198540071168860571794`14.555402517898182}, { + 4.677101970830888150465`0.06569815880844071*^-17}, { Rational[-41, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87712,7 +107695,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01443335701898792377606490287566754692`14.55514212605536}, { + 1.0507672865726112429118`0.41728287449955903*^-16}, { Rational[-1639, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87731,7 +107714,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01442284264179139502785067493486703867`14.55488065251661}, { + 1.7272877695699795998631`0.6331960435509368*^-16}, { Rational[-819, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87750,7 +107733,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01441229991781264488997684355263925433`14.55461809782849}, { + 2.368173008867545905297`0.7702997910492257*^-16}, { Rational[-1637, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87769,7 +107752,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01440172893833410607411400815049771008`14.554354462533485}, { + 1.7382677717081125842273`0.6360580646184214*^-16}, { Rational[-409, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87788,7 +107771,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01439112979460832172323732608627610565`14.55408974717002}, { + 1.0881996099857449027443`0.4327049784690581*^-16}, { Rational[-327, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87807,7 +107790,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01438050257785749417356761578717718669`14.5538239522724}, { + 9.98213915744409833146`0.39527506247597904*^-17}, { Rational[-817, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87826,7 +107809,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01436984737927303560330417329975804212`14.553557078370904}, { + 6.94608807794637348153`0.23784676238203595*^-17}, { Rational[-1633, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87845,7 +107828,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0143591642900151205618718759591209847`14.553289125991707}, { + 1.1149329403271091400912`0.4434102608782822*^-16}, { Rational[-102, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87864,7 +107847,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01434845340121224037342429071580808096`14.55302009565697}, { + 1.3519768158270916172133`0.5271857999567728*^-16}, { Rational[-1631, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87883,7 +107866,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01433771480396075940836360308752524842`14.552749987884788}, { + 1.2896007926289119599432`0.5067268925564011*^-16}, { Rational[-163, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87902,7 +107885,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0143269485893244732166572356999690963`14.552478803189203}, { + 1.9896576406388073775528`0.695105004483956*^-16}, { Rational[-1629, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87921,7 +107904,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01431615484833416851675003292282646939`14.552206542080258}, { + 1.2566418724347386411326`0.49559323035164715*^-16}, { Rational[-407, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87940,7 +107923,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01430533367198718503388985017308147658`14.551933205063936}, { + 1.1043376461166701628628`0.43953863791677*^-16}, { Rational[-1627, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87959,7 +107942,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0142944851512469791817033030280109242`14.551658792642234}, { + 1.8733362197735407883918`0.6691075516272929*^-16}, { Rational[-813, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87978,7 +107961,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01428360937704268958087730234685943557`14.55138330531312}, { + 1.8109715591867487031834`0.6544585163028033*^-16}, { Rational[-13, 80] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -87997,7 +107980,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01427270644026870440882082712703346788`14.551106743570546}, { + 1.73637133751039346215`0.636244563360204*^-16}, { Rational[-203, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88016,7 +107999,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01426177643178423057420016680273024808`14.550829107904512}, { + 1.4597859942665600112666`0.5609462201910367*^-16}, { Rational[-1623, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88035,7 +108018,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01425081944241286471025959911843866549`14.550550398800972}, { + 1.4909141890885140360317`0.5701647520405874*^-16}, { Rational[-811, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88054,7 +108037,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01423983556294216598085815856492697824`14.550270616741939}, { + 1.4515608304327573226279`0.5586024248937639*^-16}, { Rational[-1621, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88073,7 +108056,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0142288248841232306931717936412212819`14.549989762205433}, { + 1.8309712826475415307974`0.6595038014031933*^-16}, { Rational[-81, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88092,7 +108075,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01421778749667026871102880889434043531`14.549707835665503}, { + 2.0621443241366316828181`0.7111964138785594*^-16}, { Rational[-1619, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88111,7 +108094,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01420672349126018166286503978193152061`14.549424837592237}, { + 4.949309485034678114491`0.09147706022867211*^-17}, { Rational[-809, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88130,7 +108113,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0141956329585321429383037148964579519`14.549140768451771}, { + 2.1730345739724329517065`0.734054180815219*^-16}, { Rational[-1617, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88149,7 +108132,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01418451598908717946738342097848257432`14.548855628706292}, { + 7.800597913993046716066`0.28917053694662503*^-17}, { Rational[-101, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88168,7 +108151,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01417337267348775527647600142922598433`14.548569418814044}, { + 1.3555510867297505520195`0.5292136376119689*^-16}, { Rational[-323, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88187,7 +108170,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01416220310225735681495458870707932609`14.548282139229325}, { + 1.23327248567223928002`0.4882118981985092*^-16}, { Rational[-807, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88206,7 +108189,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01415100736588008004669029505973734469`14.547993790402522}, { + 1.9867729415362012529648`0.6953562037890769*^-16}, { Rational[-1613, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88225,7 +108208,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01413978555480021930047436450501961974`14.547704372780085}, { + 1.6458813654991346619302`0.6136616108543875*^-16}, { Rational[-403, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88244,7 +108227,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01412853775942185787348082183175937793`14.547413886804549}, { + 9.103221467321260197534`0.3565133105329775*^-17}, { Rational[-1611, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88263,7 +108246,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01411726407010846038190284165254661142`14.547122332914528}, { + 1.5890015073082368271137`0.5984976343765465*^-16}, { Rational[-161, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88282,7 +108265,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01410596457718246685291420220777411283`14.546829711544763}, { + 1.9749322882869920334948`0.6929806625390831*^-16}, { Rational[-1609, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88301,7 +108284,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01409463937092488855212528470286411559`14.54653602312608}, { + 9.647150227538130797088`0.38188262494852687*^-17}, { Rational[-201, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88320,7 +108303,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01408328854157490554072112946623630152`14.546241268085394}, { + 1.3381304031832940324882`0.5240371567075169*^-16}, { Rational[-1607, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88339,7 +108322,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01407191217932946595648706515381748925`14.545945446845767}, { + 1.4776304172366425354917`0.567159679911581*^-16}, { Rational[-803, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88358,7 +108341,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01406051037434288701294538660841638495`14.545648559826363}, { + 1.86540802238597344795`0.6684228403877425*^-16}, { Rational[-321, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88377,7 +108360,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01404908321672645771084447082071099126`14.54535060744248}, { + 1.1237201406606420797519`0.44836231189247533*^-16}, { Rational[-401, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88396,7 +108379,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01403763079654804325625958874693497919`14.545051590105535}, { + 7.034118493238922168883`0.24496897767942782*^-17}, { Rational[-1603, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88415,7 +108398,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01402615320383169117958249353119023085`14.544751508223102}, { + 1.6943156193828418888259`0.6268087668706067*^-16}, { Rational[-801, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88434,7 +108417,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01401465052855723914969464297369744108`14.5444503621989}, { + 1.0249053709349732894057`0.4085533799954853*^-16}, { Rational[-1601, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88453,7 +108436,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0140031228606599244776366458973526882`14.544148152432776}, { + 1.1891138226006582778406`0.4731482010078196*^-16}, { Rational[-4, 25] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88472,7 +108455,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01399157029002999530410420841229358427`14.543844879320757}, { + 4.867486314355239424686`0.08528467908848664*^-17}, { Rational[-1599, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88491,7 +108474,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01397999290651232346511849698099731127`14.543540543255032}, { + 1.3961069561519796856616`0.5429538013815218*^-16}, { Rational[-799, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88510,7 +108493,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01396839079990601903023643066595115216`14.543235144623939}, { + 8.58851054960252185125`0.33200813821271463*^-17}, { Rational[-1597, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88529,7 +108512,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0139567640599640465076839650193605831`14.542928683812011}, { + 1.4846269516799320259915`0.5697628034811891*^-16}, { Rational[-399, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88548,7 +108531,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01394511277639284271081293477340323477`14.542621161199941}, { + 8.786325377847965160897`0.34200792750246883*^-17}, { Rational[-319, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88567,7 +108550,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01393343703885193628029948183367097584`14.542312577164623}, { + 1.2538058015360979026221`0.4964861066271627*^-16}, { Rational[-797, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88586,7 +108569,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01392173693695356885651950909329826854`14.54200293207913}, { + 1.8360387120014274666238`0.6621928556165838*^-16}, { Rational[-1593, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88605,7 +108588,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01391001256026231789655396929685531781`14.54169222631275}, { + 1.8855051370054106515585`0.673793935874503*^-16}, { Rational[-199, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88624,7 +108607,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01389826399829472113029412161892793832`14.541380460230952}, { + 2.0274212476643106948511`0.7053654076678367*^-16}, { Rational[-1591, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88643,7 +108626,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01388649134051890265013416681063235129`14.54106763419542}, { + 1.8162654554943138518834`0.657655939038925*^-16}, { Rational[-159, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88662,7 +108645,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01387469467635420062875590473781938637`14.54075374856405}, { + 1.3969442854239127336318`0.5437109075515764*^-16}, { Rational[-1589, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88681,7 +108664,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01386287409517079665952724591760478409`14.540438803690954}, { + 1.4387694402707534192324`0.556578236899428*^-16}, { Rational[-397, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88700,7 +108683,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01385102968628934671405355128669222119`14.54012279992649}, { + 2.0652642794470678701`0.7136178797393075*^-16}, { Rational[-1587, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88719,7 +108702,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01383916153898061371143787193849617412`14.539805737617199}, { + 1.6681620699195403273716`0.620935706239145*^-16}, { Rational[-793, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88738,7 +108721,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01382726974246510169382321297921550954`14.53948761710591}, { + 1.2334266331759750218973`0.48986600788562834*^-16}, { Rational[-317, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88757,7 +108740,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01381535438591269160280695301076888171`14.539168438731634}, { + 1.0284566100905358203148`0.4109938857879764*^-16}, { Rational[-99, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88776,7 +108759,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01380341555844227865133451308607938905`14.538848202829676}, { + 1.2136251039481278013079`0.4829476931713627*^-16}, { Rational[-1583, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88795,7 +108778,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01379145334912141128569628633559771147`14.53852690973157}, { + 1.2900172190048455844414`0.5095138830243738*^-16}, { Rational[-791, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88814,7 +108797,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01377946784696593173226871187126572418`14.538204559765097}, { + 1.1482317751543270528146`0.4590031746365869*^-16}, { Rational[-1581, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88833,7 +108816,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0137674591409396181236572040725230592`14.537881153254308}, { + 8.909242845089406030289`0.34886965247761065*^-17}, { Rational[-79, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88852,7 +108835,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0137554273199538281989154309886592803`14.537556690519516}, { + 5.316388559521491120466`0.12470081616263316*^-17}, { Rational[-1579, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88871,7 +108854,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01374337247286714457253217339169310815`14.5372311718773}, { + 1.4295650980914204156933`0.554343287872748*^-16}, { Rational[-789, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88890,7 +108873,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01373129468848502156689368902605990315`14.53690459764053}, { + 1.0521272232873080729014`0.4212628630375008*^-16}, { Rational[-1577, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88909,7 +108892,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0137191940555594336029461548664652087`14.536576968118322}, { + 6.170776466939029944506`0.1895896776107893*^-17}, { Rational[-197, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88928,7 +108911,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01370707066278852514379936375659429327`14.53624828361611}, { + 1.5886039619135193506722`0.6003207661934343*^-16}, { Rational[-63, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88947,7 +108930,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01369492459881626218602941070224617863`14.535918544435601}, { + 1.6730022776640346090028`0.6228569229643696*^-16}, { Rational[-787, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88966,7 +108949,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01368275595223208529345461837700457987`14.535587750874786}, { + 1.5796117101275665917592`0.5979660052588532*^-16}, { Rational[-1573, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -88985,7 +108968,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01367056481157056416817542111232389169`14.535255903227984}, { + 9.048748862176951071278`0.3560594695541159*^-17}, { Rational[-393, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89004,7 +108987,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0136583512653110537536853518322804548`14.53492300178579}, { + 7.831532222302129222674`0.29337295158474713*^-17}, { Rational[-1571, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89023,7 +109006,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01364611540187735186487665710360618246`14.534589046835105}, { + 1.3994562344562406972203`0.5455408155840464*^-16}, { Rational[-157, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89042,7 +109025,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01363385730963735833978040175087877353`14.53425403865916}, { + 1.9418857004723193060363`0.687860444038597*^-16}, { Rational[-1569, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89061,7 +109044,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01362157707690273570789721638384245769`14.533917977537493}, { + 1.3241488298216115671338`0.5216288713571527*^-16}, { Rational[-98, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89080,7 +109063,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01360927479192857136999108874718383332`14.53358086374597}, { + 1.1075903722778040708335`0.444126536271494*^-16}, { Rational[-1567, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89099,7 +109082,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01359695054291304128423480308325396785`14.53324269755678}, { + 6.768915946499235701559`0.2303217835534169*^-17}, { Rational[-783, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89118,7 +109101,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01358460441799707515361179074511180739`14.53290347923842}, { + 1.2562447055470971140169`0.4989322087882937*^-16}, { Rational[-313, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89137,7 +109120,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01357223650526402310949527016273003336`14.532563209055754}, { + 2.1193759933099260982862`0.7261212811084934*^-16}, { Rational[-391, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89156,7 +109139,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01355984689273932388634162500097052922`14.53222188726997}, { + 7.676461271170602458407`0.28512964374427824*^-17}, { Rational[-1563, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89175,7 +109158,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01354743566839017448245099600678452369`14.531879514138593}, { + 1.9331238485424495160849`0.6862835733762316*^-16}, { Rational[-781, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89194,7 +109177,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01353500292012520130176404467884876808`14.531536089915509}, { + 6.051607062646044329381`0.1819499339686454*^-17}, { Rational[-1561, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89213,7 +109196,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01352254873579413277167978555894197753`14.531191614850941}, { + 2.0344160718540175632891`0.7085743132475572*^-16}, { Rational[-39, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89232,7 +109215,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01351007320318747343189527869655003829`14.530846089191485}, { + 1.8540732297273558789006`0.668316744764043*^-16}, { Rational[-1559, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89251,7 +109234,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01349757641003617948928382473109195887`14.530499513180068}, { + 9.160411172647775845279`0.36216015953117514*^-17}, { Rational[-779, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89270,7 +109253,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01348505844401133583384411212661962091`14.530151887056025}, { + 9.780502583519966097019`0.3906616982702729*^-17}, { Rational[-1557, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89289,7 +109272,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01347251939272383451076852943828532823`14.529803211055}, { + 1.7847059972318561941156`0.6519225475954302*^-16}, { Rational[-389, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89308,7 +109291,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01345995934372405464369457514595611756`14.529453485409073}, { + 8.09729578392505334127`0.30875121084548857*^-17}, { Rational[-311, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89327,7 +109310,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01344737838450154380421897361611166256`14.529102710346642}, { + 1.6711974711619913382588`0.623494323400292*^-16}, { Rational[-777, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89346,7 +109329,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01343477660248470082276973820727057587`14.528750886092524}, { + 1.5811401619717447647978`0.599492274368353*^-16}, { Rational[-1553, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89365,7 +109348,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01342215408504046003594701147613154685`14.528398012867903}, { + 2.03025492683177839543`0.7081278319342542*^-16}, { Rational[-97, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89384,7 +109367,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01340951091947397696545905793118137903`14.528044090890349}, { + 5.974438422921582394716`0.1769297069168851*^-17}, { Rational[-1551, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89403,7 +109386,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01339684719302831542379528687826003691`14.527689120373827}, { + 1.3176347836856036359718`0.5204830314637647*^-16}, { Rational[-31, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89422,7 +109405,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01338416299288413604179364166974592631`14.527333101528694}, { + 1.2036279818991394813514`0.48123562290876526*^-16}, { Rational[-1549, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89441,7 +109424,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01337145840615938621327510716714009495`14.526976034561715}, { + 1.1047273549584150826336`0.44405382622463163*^-16}, { Rational[-387, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89460,7 +109443,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01335873351990899145193345951829017888`14.526617919676056}, { + 1.3021626673596939751277`0.515519333303716*^-16}, { Rational[-1547, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89479,7 +109462,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01334598842112454815568371149807722175`14.526258757071268}, { + 1.3164206082778416382325`0.5203041447693005*^-16}, { Rational[-773, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89498,7 +109481,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0133332231967340177736879927283454031`14.525898546943331}, { + 7.265710378307238938241`0.262242937747873*^-17}, { Rational[-309, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89517,7 +109500,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01332043793360142237129284714347721498`14.525537289484642}, { + 2.4526052511173005913972`0.7906478965031625*^-16}, { Rational[-193, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89536,7 +109519,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01330763271852654158812713016615533669`14.525174984883998}, { + 1.2689664114279785479771`0.5045257608782261*^-16}, { Rational[-1543, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89555,7 +109538,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01329480763824461098462484526911579446`14.524811633326632}, { + 1.5044453395774779391739`0.5785074428134563*^-16}, { Rational[-771, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89574,7 +109557,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01328196277942602177225237398803584436`14.524447234994188}, { + 1.6496461532034005469463`0.6185772276622042*^-16}, { Rational[-1541, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89593,7 +109576,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01326909822867602192273462508449282682`14.524081790064741}, { + 1.2317398567096305409938`0.4917608272057964*^-16}, { Rational[-77, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89612,7 +109595,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0132562140725344186515896575023959741`14.52371529871279}, { + 1.3729353760290995766006`0.5389473358402377*^-16}, { Rational[-1539, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89631,7 +109614,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01324331039747528227129631808364381023`14.52334776110929}, { + 1.5484782305159038943035`0.5912577562847314*^-16}, { Rational[-769, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89650,7 +109633,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01323038728990665140943437877621182459`14.522979177421602}, { + 1.621953102756840468799`0.6114463608784911*^-16}, { Rational[-1537, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89669,7 +109652,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01321744483617023958715155934859576213`14.522609547813548}, { + 1.2094446554030277119595`0.4840494877313828*^-16}, { Rational[-96, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89688,7 +109671,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01320448312254114315332668048677739717`14.522238872445401}, { + 1.8505583259222448094058`0.6688216898969856*^-16}, { Rational[-307, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89707,7 +109690,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01319150223522755056981300866258561126`14.521867151473849}, { + 8.616539399669221167332`0.336907218560127*^-17}, { Rational[-767, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89726,7 +109709,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0131785022603704530431606283947540835`14.521494385052057}, { + 1.9327663460483709605349`0.6878091276258534*^-16}, { Rational[-1533, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89745,7 +109728,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01316548328404335649823140954589865411`14.521120573329636}, { + 5.419915215970450248963`0.13567770203339868*^-17}, { Rational[-383, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89764,7 +109747,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01315244539225199488913482718028217794`14.520745716452645}, { + 8.401150832095871954075`0.3260794316784165*^-17}, { Rational[-1531, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89783,7 +109766,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01313938867093404484292753931921374621`14.520369814563598}, { + 1.667320375861344019258`0.6238151519570834*^-16}, { Rational[-153, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89802,7 +109785,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01312631320595884163153423374407651312`14.51999286780151}, { + 5.914906905655465407446`0.17379945683683665*^-17}, { Rational[-1529, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89821,7 +109804,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01311321908312709646736181888331308959`14.519614876301805}, { + 1.7669889936965404268066`0.6491408404282255*^-16}, { Rational[-191, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89840,7 +109823,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01310010638817061511809355585015788462`14.519235840196405}, { + 1.8443804201968370511506`0.6678129562405335*^-16}, { Rational[-1527, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89859,7 +109842,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01308697520675201783616420894578247616`14.518855759613702}, { + 1.2187581321174894414136`0.4879354408026284*^-16}, { Rational[-763, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89878,7 +109861,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01307382562446446059843173047968332452`14.518474634678562}, { + 1.1268561377118968819893`0.4539418541020372*^-16}, { Rational[-61, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89897,7 +109880,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01306065772683135765157539265884867752`14.518092465512325}, { + 7.805714061278327801952`0.2945414871708628*^-17}, { Rational[-381, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89916,7 +109899,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01304747159930610535876463463323462751`14.517709252232795}, { + 1.3608335004466343496013`0.5359893145842902*^-16}, { Rational[-1523, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89935,7 +109918,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01303426732727180734315720663047502778`14.517324994954274}, { + 9.799584865751651726954`0.3934474785761564*^-17}, { Rational[-761, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89954,7 +109937,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01302104499604100092379946554242369825`14.516939693787554}, { + 1.6955196217438777264738`0.6315981022098774*^-16}, { Rational[-1521, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89973,7 +109956,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01300780469085538483951590741391625786`14.516553348839905}, { + 1.3047039486711272754469`0.5178627448638965*^-16}, { Rational[-19, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -89992,7 +109975,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0129945464968855482563892121054166713`14.516165960215076}, { + 9.107722071764805048633`0.3618160275770157*^-17}, { Rational[-1519, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90011,7 +109994,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01298127049923070105444622403081210584`14.51577752801333}, { + 6.073697440129712492788`0.18591490633387714*^-17}, { Rational[-759, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90030,7 +110013,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01296797678291840538917940038525192818`14.515388052331412}, { + 8.949424899767942036488`0.35431237887533995*^-17}, { Rational[-1517, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90049,7 +110032,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01295466543290430852354732475142112971`14.514997533262559}, { + 9.873076205825383874139`0.3970252426491909*^-17}, { Rational[-379, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90068,7 +110051,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01294133653407187692611190948211325093`14.514605970896513}, { + 5.270350810421830736116`0.12446778435526516*^-17}, { Rational[-303, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90087,7 +110070,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01292799017123213163098389487901745922`14.514213365319529}, { + 1.1427675001416877091234`0.46064165184442507*^-16}, { Rational[-757, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90106,7 +110089,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01291462642912338485526219699897217379`14.51381971661436}, { + 1.4213100556884266082988`0.5554281148291695*^-16}, { Rational[-1513, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90125,7 +110108,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01290124539241097786966655899674986253`14.513425024860256}, { + 2.1629285521019460108202`0.7378369790356827*^-16}, { Rational[-189, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90144,7 +110127,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01288784714568702011807682333516726341`14.513029290132982}, { + 2.2439551920578252053343`0.7538645093158839*^-16}, { Rational[-1511, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90163,7 +110146,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01287443177347012958170596403651239119`14.51263251250482}, { + 1.5542363817020470385363`0.5944229270532241*^-16}, { Rational[-151, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90182,7 +110165,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01286099936020517438364779949215402009`14.51223469204457}, { + 1.0125732468819125144806`0.4083878367627908*^-16}, { Rational[-1509, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90201,7 +110184,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01284754999026301562955404726786805626`14.51183582881752}, { + 1.5442606443154479766582`0.5917375274420953*^-16}, { Rational[-377, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90220,7 +110203,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.012834083747940251480209082919472118`14.511435922885518}, { + 1.5647502247770938040022`0.5975174865798745*^-16}, { Rational[-1507, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90239,7 +110222,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01282060071745896245178442514568082054`14.511034974306884}, { + 1.5058725654724225140488`0.580916229437321*^-16}, { Rational[-753, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90258,7 +110241,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01280710098296645793956858973163492363`14.510632983136508}, { + 1.1974613428882066100179`0.4814450588009785*^-16}, { Rational[-301, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90277,7 +110260,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01279358462853502396098153475688889956`14.510229949425788}, { + 2.3869302691826807544141`0.7810788411117354*^-16}, { Rational[-94, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90296,7 +110279,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01278005173816167211369645953498551114`14.509825873222615}, { + 1.8437828584379894223787`0.6690044390511318*^-16}, { Rational[-1503, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90315,7 +110298,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0127665023957678897447052197983550438`14.509420754571464}, { + 1.6236711786044021819993`0.6138483086716225*^-16}, { Rational[-751, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90334,7 +110317,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.012752936685199391326177081822015841`14.5090145935133}, { + 1.7904550036756596624364`0.6563692032731117*^-16}, { Rational[-1501, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90353,7 +110336,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01273935469022587103397395857258514739`14.50860739008563}, { + 5.500764564031973169972`0.14388441877986125*^-17}, { Rational[-3, 20] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90372,7 +110355,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01272575649454075652469865165645438525`14.508199144322512}, { + 1.9767956243911936392615`0.6994787061298979*^-16}, { Rational[-1499, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90391,7 +110374,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01271214218176096390716596390283624749`14.50778985625452}, { + 8.934652016982467025342`0.35465015523816046*^-17}, { Rational[-749, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90410,7 +110393,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01269851183542665390419984893517208795`14.507379525908764}, { + 8.555055525893569957251`0.3358509273661482*^-17}, { Rational[-1497, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90429,7 +110412,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01268486553900098920067302613917554427`14.506968153308915}, { + 1.8374892607047003019139`0.6679084903804177*^-16}, { Rational[-187, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90448,7 +110431,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01267120337586989297371871210889651307`14.506555738475171}, { + 1.1821054416282736114077`0.4763954877624122*^-16}, { Rational[-299, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90467,7 +110450,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01265752542934180860105730302532111003`14.50614228142426}, { + 5.529514766928631109397`0.1464818879880834*^-17}, { Rational[-747, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90486,7 +110469,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01264383178264746054339398657705728458`14.505727782169485}, { + 8.418491438722883113911`0.32908473911449027*^-17}, { Rational[-1493, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90505,7 +110488,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01263012251893961639685636705153517147`14.505312240720672}, { + 1.2785801312060233999969`0.5106340188501394*^-16}, { Rational[-373, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90524,7 +110507,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01261639772129285011145425318998328271`14.504895657084203}, { + 8.042487165774921780776`0.3093520512375644*^-17}, { Rational[-1491, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90543,7 +110526,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01260265747270330637155678539285262419`14.504478031263014}, { + 7.635678709734702807247`0.2868649321996051*^-17}, { Rational[-149, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90562,7 +110545,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01258890185608846613439506696666954938`14.504059363256575}, { + 1.7333334247127506204813`0.6429550135568227*^-16}, { Rational[-1489, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90581,7 +110564,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01257513095428691332261141340146174536`14.50363965306093}, { + 1.3837463855992329887974`0.5451850210883203*^-16}, { Rational[-93, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90600,7 +110583,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01256134485005810266688924424251316557`14.503218900668664}, { + 1.0430563468162773939255`0.4224919156950221*^-16}, { Rational[-1487, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90619,7 +110602,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01254754362608212869471051405493832482`14.502797106068922}, { + 1.6158250092526755750345`0.6126340996920931*^-16}, { Rational[-743, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90638,7 +110621,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01253372736495949586130041235675938038`14.502374269247403}, { + 2.4333247666076175598327`0.7904954829265027*^-16}, { Rational[-297, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90657,7 +110640,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01251989614921088981883185730023823299`14.501950390186368}, { + 1.2824444741775861166541`0.5123896138548563*^-16}, { Rational[-371, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90676,7 +110659,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01250605006127694981997506439478510059`14.501525468864633}, { + 1.5486585188031066998165`0.5943623500686148*^-16}, { Rational[-1483, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90695,7 +110678,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01249218918351804225189018977225891541`14.501099505257573}, { + 8.75644979655952327739`0.3467903915465428*^-17}, { Rational[-741, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90714,7 +110697,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01247831359821403529677372748014302306`14.50067249933713}, { + 2.2513541552604961366776`0.7569617971667909*^-16}, { Rational[-1481, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90733,7 +110716,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0124644233875640747150819821344283437`14.500244451071806}, { + 8.331066822158254185264`0.32527425054192355*^-17}, { Rational[-37, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90752,7 +110735,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01245051863368636074756754205568136403`14.499815360426668}, { + 1.6518593230850392352991`0.622602349442118*^-16}, { Rational[-1479, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90771,7 +110754,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01243659941861792613227724383327904239`14.499385227363362}, { + 1.2660850959306632551148`0.5071478492035081*^-16}, { Rational[-739, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90790,7 +110773,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01242266582431441523267264719859309577`14.498954051840071}, { + 2.4204656256094976836245`0.7886395386107169*^-16}, { Rational[-1477, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90809,7 +110792,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01240871793264986427304652922191897765`14.498521833811562}, { + 5.659931195525860098445`0.15760744170402755*^-17}, { Rational[-369, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90828,7 +110811,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01239475582541648267742135926571765922`14.498088573229175}, { + 1.0330825731054341042565`0.4189870004661708*^-16}, { Rational[-59, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90847,7 +110830,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01238077958432443550812813091196291785`14.497654270040828}, { + 6.241504416694666513484`0.20019692627650473*^-17}, { Rational[-737, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90866,7 +110849,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01236678929100162700027630431972639065`14.49721892419098}, { + 1.4675287634476533464041`0.5715499497128833*^-16}, { Rational[-1473, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90885,7 +110868,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01235278502699348518833795224506037802`14.496782535620682}, { + 1.683213846508304927962`0.6311583103944464*^-16}, { Rational[-92, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90904,7 +110887,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01233876687376274762108150535381933652`14.496345104267547}, { + 1.7151479605296153966659`0.639376298530027*^-16}, { Rational[-1471, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90923,7 +110906,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01232473491268924816110275756477258546`14.495906630065782}, { + 1.3988874649799027568864`0.5509131821498263*^-16}, { Rational[-147, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90942,7 +110925,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01231068922506970486521302005985566948`14.495467112946143}, { + 7.750811765762808888676`0.294533293919015*^-17}, { Rational[-1469, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90961,7 +110944,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01229662989211750894195650337668539241`14.495026552835974}, { + 1.1939868971117565638076`0.4822413697050663*^-16}, { Rational[-367, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90980,7 +110963,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01228255699496251478254116074024108043`14.494584949659192}, { + 1.8336813312202183825317`0.6686213813677135*^-16}, { Rational[-1467, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -90999,7 +110982,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01226847061465083106147934258196868725`14.494142303336293}, { + 1.1353958759409252181711`0.46050054368942583*^-16}, { Rational[-733, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91018,7 +111001,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01225437083214461290324669212067878622`14.49369861378434}, { + 1.5133664922889713778305`0.5853530628382985*^-16}, { Rational[-293, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91037,7 +111020,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01224025772832185511127975502625815431`14.493253880916992}, { + 1.9350941291873771862724`0.69216676613626*^-16}, { Rational[-183, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91056,7 +111039,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01222613138397618645564478264024049611`14.492808104644459}, { + 2.1071922464337565472827`0.7292245565762367*^-16}, { Rational[-1463, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91075,7 +111058,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01221199187981666501572217807210203545`14.492361284873553}, { + 1.4050986304977089766531`0.553282937930229*^-16}, { Rational[-731, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91094,7 +111077,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01219783929646757457426296781314238291`14.491913421507657}, { + 8.02086547199265312613`0.30985309450071175*^-17}, { Rational[-1461, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91113,7 +111096,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01218367371446822205918557839633224127`14.491464514446738}, { + 1.2515367406720881323233`0.5031312047179625*^-16}, { Rational[-73, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91132,7 +111115,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01216949521427273602949305816697540921`14.491014563587335}, { + 1.4639567281994272574946`0.5712715845680615*^-16}, { Rational[-1459, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91151,7 +111134,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01215530387624986620170270850124302783`14.490563568822571}, { + 1.7667276771558225478305`0.6529687048669418*^-16}, { Rational[-729, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91170,7 +111153,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01214109978068278401319187690356298389`14.490111530042158}, { + 1.0501608238113071413162`0.4271106570686661*^-16}, { Rational[-1457, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91189,7 +111172,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01212688300776888421887541641607752944`14.489658447132378}, { + 1.2973284930812379539953`0.5189605569302576*^-16}, { Rational[-91, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91208,7 +111191,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01211265363761958751764203176936198128`14.489204319976098}, { + 1.1156143068385358080893`0.45348043600924276*^-16}, { Rational[-291, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91227,7 +111210,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01209841175026014420498841278033214959`14.488749148452769}, { + 4.51038183618886366109`0.060235435324920296*^-17}, { Rational[-727, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91246,7 +111229,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01208415742562943884830169974632262749`14.488292932438418}, { + 9.051131617878086977115`0.3627807751650167*^-17}, { Rational[-1453, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91265,7 +111248,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0120698907435797959812524340804252421`14.487835671805676}, { + 1.7490105609163320959703`0.6489261001980611*^-16}, { Rational[-363, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91284,7 +111267,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0120556117838767868137717202684764621`14.487377366423726}, { + 1.5336302009277743192445`0.5919100983844217*^-16}, { Rational[-1451, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91303,7 +111286,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01204132062619903695409786248888803378`14.48691801615835}, { + 1.9084672706747209083843`0.6869299445910992*^-16}, { Rational[-29, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91322,7 +111305,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01202701735013803513938924100934104951`14.48645762087192}, { + 1.5128556394110944500687`0.5860985030873936*^-16}, { Rational[-1449, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91341,7 +111324,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01201270203519794297141165984550002858`14.485996180423383}, { + 1.1950474535352173223853`0.48374195610996107*^-16}, { Rational[-181, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91360,7 +111343,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0119983747607954056538198282226260658`14.485533694668256}, { + 6.198382329062522013305`0.198690961253941*^-17}, { Rational[-1447, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91379,7 +111362,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01198403560625936372756403420829986698`14.485070163458658}, { + 1.717612317991845727565`0.6413935457193851*^-16}, { Rational[-723, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91398,7 +111381,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01196968465083086580096442956878379151`14.484605586643292}, { + 1.970779560076266644738`0.7011622527359651*^-16}, { Rational[-289, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91417,7 +111400,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01195532197366288227100667053072450122`14.48413996406743}, { + 1.4871609712005520466196`0.578937990771494*^-16}, { Rational[-361, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91436,7 +111419,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0119409476538201200324239497888894035`14.48367329557293}, { + 7.808324161112843584556`0.29919365844401913*^-17}, { Rational[-1443, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91455,7 +111438,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01192656177027883817114171087727981669`14.483205580998247}, { + 1.0608807030144264659543`0.4323581902829295*^-16}, { Rational[-721, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91474,7 +111457,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01191216440192666463867255700070374145`14.482736820178397}, { + 7.385449895628991394558`0.27512441760405715*^-17}, { Rational[-1441, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91493,7 +111476,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01189775562756241390406005269393003173`14.482267012944998}, { + 5.912897682818046170425`0.17860365081821786*^-17}, { Rational[-18, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91512,7 +111495,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01188333552589590557998126832181319406`14.481796159126235}, { + 1.283119116427277284968`0.5151260918328191*^-16}, { Rational[-1439, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91531,7 +111514,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01186890417554778401962903454321290966`14.481324258546897}, { + 1.5888243939982794340219`0.607990849693187*^-16}, { Rational[-719, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91550,7 +111533,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01185446165504933888100595652031048483`14.480851311028317}, { + 1.6312590353898845085828`0.6194937191476909*^-16}, { Rational[-1437, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91569,7 +111552,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01184000804284232665527328594950146438`14.480377316388456}, { + 1.1727848864557886220714`0.47624499230827083*^-16}, { Rational[-359, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91588,7 +111571,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01182554341727879315580876300707371288`14.479902274441809}, { + 1.4714134405347779181556`0.5748171975253631*^-16}, { Rational[-287, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91607,7 +111590,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0118110678566208969646385201286530575`14.479426184999497}, { + 1.9395468523753555326702`0.6948386052372751*^-16}, { Rational[-717, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91626,7 +111609,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01179658143904073383291908526222258443`14.478949047869177}, { + 1.1156557912625412939872`0.4547244101589569*^-16}, { Rational[-1433, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91645,7 +111628,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01178208424262016203215643393707751956`14.478470862855128}, { + 1.4797103205229196543106`0.5774267490394271*^-16}, { Rational[-179, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91664,7 +111647,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0117675763453506286528599172614249221`14.477991629758167}, { + 1.683129434145307281507`0.6334234255566656*^-16}, { Rational[-1431, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91683,7 +111666,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01175305782513299684733973688613116432`14.477511348375728}, { + 1.3705126251568384501032`0.5442448201552357*^-16}, { Rational[-143, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91702,7 +111685,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01173852875977737401336744813729866684`14.477030018501795}, { + 1.7054710310487498874528`0.6392619997094275*^-16}, { Rational[-1429, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91721,7 +111704,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01172398922700294091542974901269837052`14.476547639926935}, { + 1.5555604591847880016033`0.5993604256703127*^-16}, { Rational[-357, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91740,7 +111723,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01170943930443778174031655564234229222`14.476064212438311}, { + 6.701821371253526719195`0.23372226044384203*^-17}, { Rational[-1427, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91759,7 +111742,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01169487906961871508379507421834512101`14.47557973581964}, { + 1.4703553014060686967478`0.5750075904748871*^-16}, { Rational[-713, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91778,7 +111761,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01168030859999112586513225538941112313`14.475094209851214}, { + 1.8125396803199360467588`0.6659287124196507*^-16}, { Rational[-57, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91797,7 +111780,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01166572797290879816623865977753658758`14.474607634309923}, { + 1.0379501978845498396726`0.4238736005868993*^-16}, { Rational[-89, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91816,7 +111799,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01165113726563374899221737269425530586`14.474120008969201}, { + 1.0670066498020634517279`0.43592011008058906*^-16}, { Rational[-1423, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91835,7 +111818,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01163653655533606295011218239773166667`14.473631333599084}, { + 1.360628215963021982738`0.5415483607807113*^-16}, { Rational[-711, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91854,7 +111837,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01162192591909372784265977942564279338`14.47314160796615}, { + 1.802521007437547068807`0.6637451310468513*^-16}, { Rational[-1421, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91873,7 +111856,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01160730543389247117386124474858735603`14.47265083183358}, { + 1.4939646208877196486903`0.5822610217056632*^-16}, { Rational[-71, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91892,7 +111875,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01159267517662559756319857180025680037`14.472159004961092}, { + 1.1149149638844503730703`0.4552183702168355*^-16}, { Rational[-1419, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91911,7 +111894,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01157803522409382706533241193988187256`14.471666127104998}, { + 1.0870206104431990122445`0.4442703258319772*^-16}, { Rational[-709, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91930,7 +111913,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01156338565300513439212764467531550769`14.471172198018172}, { + 9.875546421317216810438`0.40264960784275305*^-17}, { Rational[-1417, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91949,7 +111932,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01154872653997458903386375310724926685`14.470677217450064}, { + 1.698457344142528071958`0.6381990475183581*^-16}, { Rational[-177, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91968,7 +111951,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01153405796152419627649733163236571958`14.470181185146663}, { + 1.6385932304231133399156`0.6226714941698476*^-16}, { Rational[-283, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -91987,7 +111970,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01151937999408273911185436705100517748`14.469684100850552}, { + 1.8227002654297988401221`0.6689715336884954*^-16}, { Rational[-707, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92006,7 +111989,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01150469271398562103764021594928898322`14.469185964300868}, { + 2.1913021314668908105654`0.7490144814467691*^-16}, { Rational[-1413, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92025,7 +112008,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01148999619747470974416545065163626649`14.468686775233312}, { + 2.2121467824739550304613`0.7531821084079852*^-16}, { Rational[-353, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92044,7 +112027,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01147529052069818168469596325297301187`14.468186533380132}, { + 1.2672870578082487492022`0.5112991199760346*^-16}, { Rational[-1411, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92063,7 +112046,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01146057575971036752634590232604627071`14.46768523847016}, { + 2.0256775959301763589239`0.7150504017716857*^-16}, { Rational[-141, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92082,7 +112065,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01144585199047159847844216994335288784`14.467182890228775}, { + 1.3153662342514139138991`0.52758272724165*^-16}, { Rational[-1409, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92101,7 +112084,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01143111928884805349529932774065897727`14.466679488377919}, { + 8.825497638035452039639`0.35433120653199324*^-17}, { Rational[-88, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92120,7 +112103,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01141637773061160735035384996499683879`14.466175032636079}, { + 1.4456466306896242544102`0.5687101217195172*^-16}, { Rational[-1407, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92139,7 +112122,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0114016273914396795786167188796738127`14.46566952271831}, { + 1.3708714744173502484237`0.5457006872383137*^-16}, { Rational[-703, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92158,7 +112141,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01138686834691508428441338362699582853`14.46516295833622}, { + 1.4379392245879144483916`0.5665004571013306*^-16}, { Rational[-281, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92177,7 +112160,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01137210067252588081139009776141981212`14.464655339197954}, { + 6.56901007101135655007`0.22631583724067747*^-17}, { Rational[-351, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92196,7 +112179,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01135732444366522527177561324615604793`14.464146665008235}, { + 1.1988827714164622038599`0.4876486163316073*^-16}, { Rational[-1403, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92215,7 +112198,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01134253973563122293189713984023446705`14.463636935468312}, { + 1.270164829309380345735`0.5127879721586343*^-16}, { Rational[-701, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92234,7 +112217,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01132774662362678145095937857470189728`14.463126150275986}, { + 1.6283029351090041139182`0.620719091305088*^-16}, { Rational[-1401, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92253,7 +112236,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01131294518275946497010530651142859607`14.462614309125616}, { + 1.4662615070495997817067`0.5752513198959257*^-16}, { Rational[-7, 50] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92272,7 +112255,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01129813548804134904878722727981543959`14.462101411708094}, { + 1.6693477644687975996502`0.6316427116593035*^-16}, { Rational[-1399, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92291,7 +112274,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01128331761438887644548640808030145771`14.461587457710863}, { + 1.4216604239625898015509`0.5619477752799672*^-16}, { Rational[-699, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92310,7 +112293,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0112684916366227137398293990136007022`14.461072446817893}, { + 1.9907311921406762931446`0.7082205370631743*^-16}, { Rational[-1397, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92329,7 +112312,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0112536576294676087931588748246824328`14.460556378709713}, { + 1.5495348321071863415521`0.5994652776002939*^-16}, { Rational[-349, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92348,7 +112331,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01123881566755224904462655252557951803`14.460039253063368}, { + 1.199421586725811935156`0.4882918183028868*^-16}, { Rational[-279, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92367,7 +112350,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01122396582540912063988542096483510221`14.459521069552467}, { + 2.3280852292848304913651`0.776374860437402*^-16}, { Rational[-697, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92386,7 +112369,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01120910817747436838946817032794018053`14.459001827847132}, { + 2.0808208032865544630916`0.7276666981217819*^-16}, { Rational[-1393, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92405,7 +112388,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01119424279808765655394833086636924404`14.458481527614017}, { + 2.0081774490175476620789`0.7122901392640647*^-16}, { Rational[-87, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92424,7 +112407,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01117936976149203045299022094672028152`14.45796016851631}, { + 1.7076719042845442881357`0.6419485275263207*^-16}, { Rational[-1391, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92443,7 +112426,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01116448914183377889540336486955723509`14.457437750213748}, { + 1.1346837627736301171552`0.4644749798883394*^-16}, { Rational[-139, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92462,7 +112445,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01114960101316229742732665691120517453`14.456914272362557}, { + 1.3584997632022810470324`0.5427157565704374*^-16}, { Rational[-1389, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92481,7 +112464,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01113470544942995239186713873979400722`14.456389734615506}, { + 1.4315558026600561105367`0.5655205254743083*^-16}, { Rational[-347, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92500,7 +112483,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01111980252449194582056477831209172253`14.455864136621903}, { + 1.1122649438931572357377`0.4559765519961266*^-16}, { Rational[-1387, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92519,7 +112502,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0111048923121061810948437064140179256`14.455337478027545}, { + 1.7435892013200437477709`0.6512685363796185*^-16}, { Rational[-693, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92538,7 +112521,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01108997488593312945450666186903961425`14.454809758474772}, { + 2.0045980431082875515159`0.7119077355204456*^-16}, { Rational[-277, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92557,7 +112540,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01107505031953569728959592060356789739`14.454280977602416}, { + 1.4639569260224260176102`0.5754648042909508*^-16}, { Rational[-173, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92576,7 +112559,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01106011868637909423751421162161551239`14.453751135045861}, { + 2.1303229009696456586592`0.7384380183151462*^-16}, { Rational[-1383, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92595,7 +112578,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01104518005983070207841593450702002906`14.453220230436957}, { + 1.8659532955872848410764`0.6809494326196356*^-16}, { Rational[-691, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92614,7 +112597,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01103023451315994442606902628535221715`14.452688263404095}, { + 1.478421769881918141048`0.5799030979115612*^-16}, { Rational[-1381, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92633,7 +112616,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01101528211953815721139708606147083802`14.452155233572169}, { + 1.8560522996382922826905`0.6787510486019949*^-16}, { Rational[-69, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92652,7 +112635,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01100032295203845995592059691121515751`14.451621140562558}, { + 1.7442998100394559602386`0.6518380671024627*^-16}, { Rational[-1379, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92671,7 +112654,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0109853570836356278323252861291444092`14.451085983993178}, { + 1.0476675164412231227055`0.43049651121656207*^-16}, { Rational[-689, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92690,7 +112673,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01097038458720596450939483720098971042`14.450549763478401}, { + 1.1215039289007368338917`0.4601299355582226*^-16}, { Rational[-1377, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92709,7 +112692,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01095540553552717577855430986182494995`14.450012478629132}, { + 6.935289120394513527891`0.2514498150137592*^-17}, { Rational[-86, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92728,7 +112711,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01094042000127824395927973840091141473`14.449474129052769}, { + 6.510150893451630639214`0.22403241159690082*^-17}, { Rational[-11, 80] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92747,7 +112730,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0109254280570393030806384630638027202`14.448934714353179}, { + 1.7232200852982217314083`0.6468382217597023*^-16}, { Rational[-687, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92766,7 +112749,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01091042977529151483623380506341868773`14.448394234130742}, { + 1.7068861650904954697084`0.6427581536043485*^-16}, { Rational[-1373, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92785,7 +112768,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01089542522841694530983672242630478107`14.447852687982303}, { + 1.1958083155609332158887`0.4882712904726135*^-16}, { Rational[-343, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92804,7 +112787,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01088041448869844246899608174956534038`14.447310075501221}, { + 2.2432273855949833000491`0.7615391500945036*^-16}, { Rational[-1371, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92823,7 +112806,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01086539762831951442392815000978579872`14.446766396277315}, { + 1.4069870730746802408203`0.5590120941911266*^-16}, { Rational[-137, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92842,7 +112825,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01085037471936420844899485092883641177`14.446221649896895}, { + 1.6839918718284813052683`0.637118117380795*^-16}, { Rational[-1369, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92861,7 +112844,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01083534583381699076408924214384086964`14.445675835942733}, { + 1.0800367936377835861859`0.44427282134721024*^-16}, { Rational[-171, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92880,7 +112863,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01082031104356262707325565779895178451`14.445128953994097}, { + 1.6184227550935600475988`0.6199823950613206*^-16}, { Rational[-1367, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92899,7 +112882,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01080527042038606385385153387386638881`14.444581003626705}, { + 2.00425091796998058286`0.7128986633219653*^-16}, { Rational[-683, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92918,7 +112901,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01079022403597231041742745819465324072`14.444031984412751}, { + 2.4202854075165770520088`0.7948693120874095*^-16}, { Rational[-273, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92937,7 +112920,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01077517196190632168095690618151702856`14.443481895920907}, { + 1.7893213189487842816546`0.6637472282499393*^-16}, { Rational[-341, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92956,7 +112939,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01076011426967288172293232154768280605`14.442930737716283}, { + 1.2228565215260391880831`0.4984905625106039*^-16}, { Rational[-1363, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92975,7 +112958,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01074505103065648806469103627741831884`14.442378509360477}, { + 1.3096945899517069249588`0.5283412632301385*^-16}, { Rational[-681, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -92994,7 +112977,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01072998231614123669681068178306267311`14.441825210411512}, { + 1.5704715319934658113109`0.6072574737129164*^-16}, { Rational[-1361, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93013,7 +112996,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0107149081973107078442768857926669171`14.441270840423893}, { + 1.9559461268572559358687`0.7026404743396142*^-16}, { Rational[-17, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93032,7 +113015,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01069982874524785246782064230531203912`14.440715398948573}, { + 9.124982875969392666898`0.3715718285010498*^-17}, { Rational[-1359, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93051,7 +113034,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0106847440309348794988313719265746424`14.440158885532927}, { + 1.7484372357250392098756`0.6540460065706056*^-16}, { Rational[-679, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93070,7 +113053,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01066965412525314380526029213650693695`14.439601299720794}, { + 1.4584857044204037977912`0.5753543301752528*^-16}, { Rational[-1357, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93089,7 +113072,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01065455909898303488593729162662138513`14.439042641052463}, { + 1.0920462216594234949688`0.44974937246736735*^-16}, { Rational[-339, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93108,7 +113091,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0106394590228038662907330498504950596`14.438482909064632}, { + 1.0866052800583063101246`0.44763636582840055*^-16}, { Rational[-271, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93127,7 +113110,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0106243539672937657640066624439029488`14.437922103290465}, { + 1.8715642184826072092069`0.6838254957874725*^-16}, { Rational[-677, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93146,7 +113129,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01060924400292956610878752526443262663`14.437360223259539}, { + 1.0476564760149894253154`0.4318958761528311*^-16}, { Rational[-1353, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93165,7 +113148,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01059412920008669676914869455625602333`14.43679726849786}, { + 9.798692857654250439831`0.40290133563016123*^-17}, { Rational[-169, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93184,7 +113167,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01057900962903907612823737824226075596`14.436233238527867}, { + 2.0389401313507151562184`0.7211938858549599*^-16}, { Rational[-1351, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93203,7 +113186,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01056388535995900451943662366202445541`14.435668132868411}, { + 1.632740011132633564392`0.6247626730691566*^-16}, { Rational[-27, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93222,7 +113205,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01054875646291705794814065028883405702`14.435101951034772}, { + 2.1388485711747783525799`0.7420819057419108*^-16}, { Rational[-1349, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93241,7 +113224,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0105336230078819825216346321505628843`14.434534692538643}, { + 1.551773668096998284007`0.6027864806623735*^-16}, { Rational[-337, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93260,7 +113243,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01051848506472058958457806392660946191`14.43396635688812}, { + 1.7133281582481159954214`0.6458548944030131*^-16}, { Rational[-1347, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93279,7 +113262,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01050334270319765155759914707410147018`14.433396943587692}, { + 1.00807587984693107203`0.41556380934177406*^-16}, { Rational[-673, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93298,7 +113281,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0104881959929757984765159079296733412`14.432826452138295}, { + 1.143833593056843603857`0.47048968138940245*^-16}, { Rational[-269, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93317,7 +113300,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01047304500361541522970800861633954339`14.432254882037228}, { + 1.2438938152995463363107`0.5069663957938214*^-16}, { Rational[-84, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93336,7 +113319,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01045788980457453949117143383605838464`14.431682232778204}, { + 1.1784722520289899057602`0.48355870611179996*^-16}, { Rational[-1343, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93355,7 +113338,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0104427304652087603467964323254585872`14.431108503851322}, { + 1.7731890668467243408908`0.6610506519369878*^-16}, { Rational[-671, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93374,7 +113357,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01042756705477111761141726097232272199`14.430533694743069}, { + 1.769526007317391427808`0.660208823822793*^-16}, { Rational[-1341, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93393,7 +113376,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01041239964241200183419042241132688164`14.429957804936326}, { + 1.1984852649500283030048`0.49104084402848747*^-16}, { Rational[-67, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93412,7 +113395,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01039722829717905498986620341663733339`14.429380833910349}, { + 1.1013445564306979303093`0.45438763063412807*^-16}, { Rational[-1339, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93431,7 +113414,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0103820530880170718535264116631140085`14.428802781140776}, { + 8.587819259278456422449`0.34640359717937963*^-17}, { Rational[-669, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93450,7 +113433,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01036687408376790205636927251456039433`14.428223646099601}, { + 1.703521825934600587259`0.6439246888550391*^-16}, { Rational[-1337, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93469,7 +113452,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01035169135317035282013048549306332708`14.427643428255218}, { + 1.0428031577689706416332`0.43083561389908287*^-16}, { Rational[-167, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93488,7 +113471,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01033650496486009236773745206515927345`14.427062127072373}, { + 1.3821590650685784231727`0.5532475970124896*^-16}, { Rational[-267, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93507,7 +113490,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01032131498736955400780167242454109134`14.426479742012164}, { + 1.7209322190496164851335`0.6485096348439936*^-16}, { Rational[-667, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93526,7 +113509,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01030612148912784089056226913398016625`14.425896272532068}, { + 2.1025871863996907031006`0.7355561862221185*^-16}, { Rational[-1333, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93545,7 +113528,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01029092453846063143290152988724238175`14.425311718085883}, { + 1.3233594299194637747705`0.5345362972427093*^-16}, { Rational[-333, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93564,7 +113547,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0102757242035900854100612703411601766`14.4247260781238}, { + 9.891430886676135020086`0.40817391432056266*^-17}, { Rational[-1331, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93583,7 +113566,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01026052055263475071169670102467219533`14.424139352092327}, { + 1.0035495048923430776164`0.4145099117188768*^-16}, { Rational[-133, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93602,7 +113585,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01024531365360947075991233983130420089`14.42355153943432}, { + 7.380480055316620895173`0.28111204349795804*^-17}, { Rational[-1329, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93621,7 +113604,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01023010357442529258693234362021880339`14.422962639588967}, { + 7.757135120665237156887`0.3027851154194656*^-17}, { Rational[-83, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93640,7 +113623,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01021489038288937557006543906356426022`14.4223726519918}, { + 1.5400006759426003538922`0.6006610014888825*^-16}, { Rational[-1327, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93659,7 +113642,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0101996741467049008216324141603272634`14.421781576074666}, { + 1.6504947716453014868735`0.6308105786204817*^-16}, { Rational[-663, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93678,7 +113661,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0101844549334709812315318878643025601`14.421189411265766}, { + 1.9440662392031379965991`0.7019638243014213*^-16}, { Rational[-53, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93697,7 +113680,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0101692328106825721601278061207439195`14.420596156989573}, { + 2.0688499000629457090635`0.7290380935649096*^-16}, { Rational[-331, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93716,7 +113699,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01015400784573038277914981834855314039`14.420001812666927}, { + 2.3458437192359464074657`0.7836645362711047*^-16}, { Rational[-1323, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93735,7 +113718,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01013878010590078805830536911633442087`14.419406377714937}, { + 1.1173615429522695641257`0.4616155343215488*^-16}, { Rational[-661, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93754,7 +113737,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01012354965837574139530999551643724013`14.418809851547039}, { + 7.583985787294638257631`0.2933756836599292*^-17}, { Rational[-1321, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93773,7 +113756,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01010831657023268788704995161563073927`14.418212233572964}, { + 1.766317079774816421175`0.6606032050419404*^-16}, { Rational[-33, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93792,7 +113775,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01009308090844447823959888742823219731`14.417613523198753}, { + 1.6020380928921024413653`0.61826374300515*^-16}, { Rational[-1319, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93811,7 +113794,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01007784273987928331481789119203547824`14.417013719826734}, { + 2.0516745287978430624347`0.7257557439967186*^-16}, { Rational[-659, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93830,7 +113813,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01006260213130050931127576040263077288`14.416412822855508}, { + 9.907752204921148890578`0.40967879070999264*^-17}, { Rational[-1317, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93849,7 +113832,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01004735914936671357723389915198728703`14.415810831679972}, { + 1.5403939758337005437933`0.6013918478688215*^-16}, { Rational[-329, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93868,7 +113851,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01003211386063152105344774689587466141`14.415207745691314}, { + 9.480149532591179991832`0.39063161049759376*^-17}, { Rational[-263, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93887,7 +113870,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01001686633154354134354412691537688483`14.414603564276979}, { + 1.2208239077836461248373`0.5005258396643298*^-16}, { Rational[-657, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93906,7 +113889,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0100016166284462864097413615137897836`14.413998286820679}, { + 1.1870215849433688609194`0.48838782613815074*^-16}, { Rational[-1313, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93925,7 +113908,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00998636481757808889168643547481138393`14.413391912702401}, { + 1.3310457586320290092434`0.5381785961851581*^-16}, { Rational[-82, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93944,7 +113927,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00997111096507202104619089957399276963`14.412784441298385}, { + 1.6626549393110098545369`0.6348441422404804*^-16}, { Rational[-1311, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93963,7 +113946,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0099558551369558143056545920560744408`14.41217587198113}, { + 1.8162734938510783328206`0.6732796701598576*^-16}, { Rational[-131, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -93982,7 +113965,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00994059739915177945297361803844029205`14.411566204119383}, { + 2.4758454254430770734962`0.8078783663957428*^-16}, { Rational[-1309, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94001,7 +113984,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00992533781747672741073636484842211837`14.410955437078128}, { + 1.0357329865926315437664`0.42945906668694633*^-16}, { Rational[-327, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94020,7 +114003,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00991007645764189064251864542158565756`14.4103435702186}, { + 2.1338210939573545999594`0.7434256863168054*^-16}, { Rational[-1307, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94039,7 +114022,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00989481338525284516409635215205896407`14.40973060289827}, { + 7.508990613082833333851`0.28990567204839346*^-17}, { Rational[-653, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94058,7 +114041,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00987954866580943316240127006604887798`14.40911653447082}, { + 1.5948684531480043889834`0.617105411333125*^-16}, { Rational[-261, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94077,7 +114060,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00986428236470568622005294095840670509`14.408501364286161}, { + 9.453201363808307705268`0.39001589027481043*^-17}, { Rational[-163, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94096,7 +114079,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00984901454722974914330668926045342447`14.407885091690442}, { + 2.2464130378717090651954`0.765983034707184*^-16}, { Rational[-1303, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94115,7 +114098,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00983374527856380439126511596780256453`14.407267716026011}, { + 1.2435109004276448746104`0.5091994672560242*^-16}, { Rational[-651, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94134,7 +114117,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00981847462378399710420753902007074749`14.406649236631411}, { + 8.775702551214385578161`0.35788821693733264*^-17}, { Rational[-1301, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94153,7 +114136,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00980320264786036072889900716218745036`14.40602965284142}, { + 2.4175637932735459485079`0.7980407215187216*^-16}, { Rational[-13, 100] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94172,7 +114155,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.009787929415656743238747639600113539`14.405408963986984}, { + 8.316603162534382726318`0.33466521877939726*^-17}, { Rational[-1299, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94191,7 +114174,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0097726549919307339466861457635516123`14.40478716939525}, { + 1.5249342608935699889603`0.598026827304463*^-16}, { Rational[-649, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94210,7 +114193,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00975737944133359090866045827482291767`14.404164268389565}, { + 1.0920748732301931062758`0.4530845906481986*^-16}, { Rational[-1297, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94229,7 +114212,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00974210282841016891561546786791750635`14.403540260289427}, { + 1.1700668678386859354423`0.48309933269180894*^-16}, { Rational[-81, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94248,7 +114231,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00972682521759884807187488157465481005`14.402915144410542}, { + 1.5039106830103491977374`0.5921671749465948*^-16}, { Rational[-259, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94267,7 +114250,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0097115466732314629578192350662846341`14.402288920064771}, { + 2.0427144336983241396805`0.7252092726310435*^-16}, { Rational[-647, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94286,7 +114269,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00969626725953323237477307667937535518`14.401661586560124}, { + 8.841406744640131788482`0.36157947467328844*^-17}, { Rational[-1293, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94305,7 +114288,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0096809870406226896700193044336329267`14.4010331432008}, { + 1.7943776544882640702062`0.6690284502484696*^-16}, { Rational[-323, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94324,7 +114307,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00966570608051161363986557833690693159`14.400403589287123}, { + 2.2537381661401982973931`0.7680745557913872*^-16}, { Rational[-1291, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94343,7 +114326,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00965042444310496000869464853833013649`14.399772924115593}, { + 1.5893333615148593744803`0.6164425993384945*^-16}, { Rational[-129, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94362,7 +114345,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00963514219220079348193733550386446332`14.399141146978812}, { + 1.7569768082440809985872`0.660050136441644*^-16}, { Rational[-1289, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94381,7 +114364,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00961985939149022037091377141888518828`14.39850825716556}, { + 1.6439862616695292913685`0.6312388040407584*^-16}, { Rational[-161, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94400,7 +114383,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00960457610455732178749536253892607137`14.397874253960705}, { + 5.87321978596065108744`0.1842733905777194*^-17}, { Rational[-1287, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94419,7 +114402,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00958929239487908740654676028136934925`14.397239136645277}, { + 1.3572039754759558639862`0.5480987824733563*^-16}, { Rational[-643, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94438,7 +114421,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00957400832582534979411393454641766188`14.396602904496394}, { + 1.6631204472413104334154`0.6364338891003065*^-16}, { Rational[-257, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94457,7 +114440,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00955872396065871929933122614398486051`14.395965556787298}, { + 1.1791120359721599021403`0.48712179000107386*^-16}, { Rational[-321, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94476,7 +114459,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00954343936253451950802701635268893467`14.39532709278733}, { + 1.6793449791624856271723`0.6407631737737631*^-16}, { Rational[-1283, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94495,7 +114478,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00952815459450072325601439061577229463`14.394687511761942}, { + 1.8607435227146408098378`0.6853663103087665*^-16}, { Rational[-641, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94514,7 +114497,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00951286971949788920005989025572054994`14.394046812972675}, { + 1.0785710667369327136783`0.4485851058957881*^-16}, { Rational[-1281, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94533,7 +114516,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00949758480035909894453014093142310413`14.393404995677136}, { + 1.8921270428456821078827`0.6927431830641141*^-16}, { Rational[-16, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94552,7 +114535,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0094822998998098947217228194376651241`14.392762059129046}, { + 2.3868579885570177659545`0.7936760256803044*^-16}, { Rational[-1279, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94571,7 +114554,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00946701508046821762389507142383963253`14.392118002578172}, { + 1.1506500335103620399327`0.47684925938073586*^-16}, { Rational[-639, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94590,7 +114573,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00945173040484434638500912175462671356`14.391472825270364}, { + 2.1798926910506139895854`0.7543976846041894*^-16}, { Rational[-1277, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94609,7 +114592,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00943644593534083671022142661732361111`14.390826526447531}, { + 5.266175672752892821389`0.1375144810307757*^-17}, { Rational[-319, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94628,7 +114611,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00942116173425246115114830216583833833`14.390179105347634}, { + 1.8163687197633229813861`0.6752797265861591*^-16}, { Rational[-51, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94647,7 +114630,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00940587786376614952494752854702995619`14.389530561204685}, { + 1.894861649857516752292`0.6937097972090825*^-16}, { Rational[-637, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94666,7 +114649,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00939059438596092987526197064787456747`14.388880893248736}, { + 1.7770958859257191604765`0.6658997358446355*^-16}, { Rational[-1273, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94685,7 +114668,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0093753113628078699730777778987179643`14.388230100705876}, { + 1.1587160866948708061732`0.4802224988817806*^-16}, { Rational[-159, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94704,7 +114687,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00936002885617001935555622503519209233`14.38757818279822}, { + 1.0855303394085651168365`0.4519440213297267*^-16}, { Rational[-1271, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94723,7 +114706,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00934474692780235190090473392533012767`14.386925138743912}, { + 1.2152578887134185386101`0.5010271018062891*^-16}, { Rational[-127, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94742,7 +114725,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00932946563935170893735907347551866393`14.386270967757104}, { + 1.1511130304749644294283`0.4775332253020887*^-16}, { Rational[-1269, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94761,7 +114744,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00931418505235674288435517030522590916`14.385615669047954}, { + 1.7796814094245816037678`0.6668141260683933*^-16}, { Rational[-317, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94780,7 +114763,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00929890522824786142397537739146359065`14.384959241822633}, { + 6.792587214633602221734`0.24856369738494893*^-17}, { Rational[-1267, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94799,7 +114782,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00928362622834717220076044129583205288`14.3843016852833}, { + 6.024619228417219234127`0.1965146941914399*^-17}, { Rational[-633, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94818,7 +114801,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00926834811386842804798478096505308296`14.383642998628105}, { + 1.2805467873565609147048`0.5240371628458044*^-16}, { Rational[-253, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94837,7 +114820,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00925307094591697273849904250562459789`14.382983181051163}, { + 1.3524555038597365929706`0.5478213236054921*^-16}, { Rational[-79, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94856,7 +114839,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00923779478548968725825022483966804968`14.382322231742606}, { + 1.4098594732846420148435`0.5659307956444407*^-16}, { Rational[-1263, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94875,7 +114858,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00922251969347493660059598081760992721`14.381660149888484}, { + 1.395455566899701738579`0.5615276169510913*^-16}, { Rational[-631, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94894,7 +114877,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00920724573065251707953598725844061271`14.380996934670833}, { + 1.5463035584255271854894`0.6061630000521945*^-16}, { Rational[-1261, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94913,7 +114896,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00919197295769360415998954557519328999`14.380332585267627}, { + 1.9113905603732498352896`0.69827432672031*^-16}, { Rational[-63, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94932,7 +114915,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00917670143516070080325482218603020991`14.379667100852815}, { + 1.642971637161671064637`0.6326116059493357*^-16}, { Rational[-1259, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94951,7 +114934,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00916143122350758632579136487477578084`14.379000480596254}, { + 1.3808538315762832720242`0.5571859037323517*^-16}, { Rational[-629, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94970,7 +114953,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00914616238307926576947373771277844081`14.378332723663743}, { + 1.4001663125671852731893`0.5632744782881598*^-16}, { Rational[-1257, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -94989,7 +114972,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00913089497411191978147030315092636608`14.377663829217003}, { + 1.6125517290209159619228`0.6246651732422672*^-16}, { Rational[-157, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95008,7 +114991,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00911562905673285500190734550022756372`14.376993796413693}, { + 4.323993087277292444759`0.053093177931953284*^-17}, { Rational[-251, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95027,7 +115010,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00910036469096045495748487530551166479`14.376322624407335}, { + 9.789794075950081860168`0.40803841740096775*^-17}, { Rational[-627, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95046,7 +115029,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00908510193670413145921657914287194187`14.37565031234741}, { + 1.0686777704610152906782`0.44616831495800263*^-16}, { Rational[-1253, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95065,7 +115048,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00906984085376427650247248420115472173`14.374976859379235}, { + 1.5451051126869536488784`0.6063362521208298*^-16}, { Rational[-313, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95084,7 +115067,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00905458150183221466750899170405935884`14.37430226464407}, { + 1.4183752823547466734841`0.5692260649488972*^-16}, { Rational[-1251, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95103,7 +115086,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00903932394049015601867699785551088515`14.373626527279004}, { + 1.0961254319865849189292`0.45735185774630915*^-16}, { Rational[-1, 8] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95122,7 +115105,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00902406822921114950050486560996737992`14.372949646417029}, { + 1.1491839028469009802445`0.4779378351727637*^-16}, { Rational[-1249, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95141,7 +115124,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00900881442735903682885903524350264042`14.372271621187004}, { + 1.1917513997681853118041`0.4937906743364623*^-16}, { Rational[-78, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95160,7 +115143,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00899356259418840687539106649407767649`14.371592450713612}, { + 1.5863506833624720693875`0.6180609109094338*^-16}, { Rational[-1247, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95179,7 +115162,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00897831278884455054348589001238975793`14.370912134117418}, { + 1.633388929874105136743`0.6308080308252637*^-16}, { Rational[-623, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95198,7 +115181,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00896306507036341613393201108042847773`14.370230670514816}, { + 1.0389812723857534091609`0.4343828592816485*^-16}, { Rational[-249, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95217,7 +115200,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00894781949767156519854035407561595923`14.369548059018022}, { + 1.6109259234600792753256`0.6249074318126197*^-16}, { Rational[-311, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95236,7 +115219,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00893257612958612887994436204601579833`14.36886429873509}, { + 2.1216053973366491174015`0.7445531994807473*^-16}, { Rational[-1243, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95255,7 +115238,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00891733502481476473581987207819044408`14.368179388769885}, { + 1.2225102175635983921621`0.5051978167607535*^-16}, { Rational[-621, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95274,7 +115257,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00890209624195561404576917394589431517`14.367493328222084}, { + 5.087031959705515103418`0.12446652138360692*^-17}, { Rational[-1241, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95293,7 +115276,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00888685983949725959911952688593350569`14.366806116187158}, { + 1.3908253435590576600046`0.5613313919139672*^-16}, { Rational[-31, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95312,7 +115295,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00887162587581868396189225731869859061`14.366117751756383}, { + 1.1567659455720170316676`0.4813610366267184*^-16}, { Rational[-1239, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95331,7 +115314,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00885639440918922822120438897634176147`14.365428234016806}, { + 7.932009623206269396951`0.31755552476800647*^-17}, { Rational[-619, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95350,7 +115333,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00884116549776855120537056628197526797`14.364737562051253}, { + 2.0102604039186323291108`0.721481366117734*^-16}, { Rational[-1237, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95369,7 +115352,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00882593919960658917797882199969858686`14.364045734938331}, { + 1.052307183700044232506`0.44042834299293426*^-16}, { Rational[-309, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95388,7 +115371,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00881071557264351600421951120827644871`14.36335275175238}, { + 1.2811147085696920573001`0.5259305901787862*^-16}, { Rational[-247, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95407,7 +115390,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0087954946747097037877524856013010849`14.362658611563514}, { + 1.0659378574027130564153`0.44613122929249405*^-16}, { Rational[-617, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95426,7 +115409,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00878027656352568397640331504406510774`14.361963313437583}, { + 2.1729625315230872886479`0.7555083550397443*^-16}, { Rational[-1233, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95445,7 +115428,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00876506129670210893498507728243857937`14.361266856436167}, { + 1.1331026290510655654293`0.47278214387145684*^-16}, { Rational[-77, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95464,7 +115447,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00874984893173971398354793176133867607`14.360569239616567}, { + 1.5660296281170699379813`0.6133696552747183*^-16}, { Rational[-1231, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95483,7 +115466,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00873463952602927989936436973042672195`14.359870462031825}, { + 1.2428299228043701236233`0.513038170996495*^-16}, { Rational[-123, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95502,7 +115485,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00871943313685159588096369025146523353`14.359170522730658}, { + 2.2305303922880478890225`0.7670914091516944*^-16}, { Rational[-1229, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95521,7 +115504,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00870422982137742297253489043524623159`14.358469420757517}, { + 1.1900087050536552008394`0.4942902011268252*^-16}, { Rational[-307, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95540,7 +115523,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00868902963666745794702277828540404953`14.357767155152509}, { + 1.3971665799769235188452`0.5640450552679763*^-16}, { Rational[-1227, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95559,7 +115542,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00867383263967229764624771797086679525`14.357063724951441}, { + 1.5771940626263279544475`0.6167388084098758*^-16}, { Rational[-613, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95578,7 +115561,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00865863888723240377638500024737075189`14.356359129185803}, { + 8.720059178713800662376`0.35942992051849804*^-17}, { Rational[-49, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95597,7 +115580,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00864344843607806815714539516000363236`14.355653366882725}, { + 1.7310899589133765685979`0.6572869436856988*^-16}, { Rational[-153, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95616,7 +115599,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00862826134282937842300399014219043021`14.354946437065017}, { + 1.1310303492474170969636`0.47249838804521216*^-16}, { Rational[-1223, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95635,7 +115618,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00861307766399618417482994423999823968`14.354238338751113}, { + 2.0762935661042894441172`0.736369715486966*^-16}, { Rational[-611, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95654,7 +115637,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00859789745597806358027529849307667524`14.35352907095511}, { + 1.2478904852786399054159`0.5153142634013916*^-16}, { Rational[-1221, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95673,7 +115656,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00858272077506429042128647355250880556`14.352818632686702}, { + 1.0790173319615259911029`0.45222304828924187*^-16}, { Rational[-61, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95692,7 +115675,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00856754767743380158710755847012380351`14.352107022951227}, { + 1.777280594233024228278`0.6690074689771025*^-16}, { Rational[-1219, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95710,8 +115693,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00855237821915516501114994931070326551`14.351394240749615}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.4525798106931122387117`0.5814483209767906*^-16}, { Rational[-609, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95730,7 +115714,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00853721245618654805010833287611071966`14.350680285078417}, { + 6.141382023634699783451`0.20763128294172822*^-17}, { Rational[-1217, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95748,8 +115732,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0085220504443756863037084294462626174`14.349965154929745}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.757011740210770013845`0.09675624978716822*^-17}, { Rational[-76, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95768,7 +115753,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00850689223945985287347730909320319183`14.34924884929133}, { + 5.387728936321249514961`0.15088462611371198*^-17}, { Rational[-243, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95786,8 +115771,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00849173789706582805893247886906904978`14.348531367146435}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6065907974706855138739`0.6254410302080983*^-16}, { Rational[-607, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95806,7 +115792,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00847658747270986948959130306297094704`14.347812707473926}, { + 3.822031155000182203697`0.0018868497020108454*^-17}, { Rational[-1213, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95824,8 +115810,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00846144102179768269120766582342928612`14.347092869248195}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5304227591722519794393`0.9674762175330887*^-16}, { Rational[-303, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95843,8 +115830,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00844629859962439208464811480805482581`14.346371851439175}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1457049560630538484351`0.47877917652236596*^-16}, { Rational[-1211, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95862,8 +115850,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00843116026137451241582503620790182916`14.345649653012357}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3585317960068354089772`0.5528330784385258*^-16}, { Rational[-121, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95881,8 +115870,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00841602606212192061510970555647590953`14.344926272928722}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.886229230805074020329`0.6954146330096848*^-16}, { Rational[-1209, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95900,8 +115889,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00840089605682982808465333522917226174`14.344201710144809}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.2412415188894471024503`0.7703657173956682*^-16}, { Rational[-151, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95919,8 +115909,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00838577030035075341204949852425327788`14.343475963612624}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.41993395917098}, { Rational[-1207, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95938,8 +115927,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00837064884742649550877655174697990969`14.342749032279684}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2416242981197806871978`0.5139810679660775*^-16}, { Rational[-603, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95957,8 +115947,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00835553175268810717186389985064773345`14.342020915088977}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2837285811676930345118`0.5285209862220819*^-16}, { Rational[-241, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95977,7 +115968,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00834041907065586906723115797713471088`14.341291610978994}, { + 6.579865155360786513474`0.23832168478232754*^-17}, { Rational[-301, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -95995,8 +115986,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00832531085573926413315445074098933473`14.34056111888365}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.5859137318447406528632`0.974761449109865*^-16}, { Rational[-1203, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96014,8 +116005,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00831020716223695240231926337061441089`14.339829437732357}, { + Rational[13, 4]]))^ + Rational[1, 2]), -5.54501107156081422353`0.16412095482847847*^-17}, { Rational[-601, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96034,7 +116025,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00829510804433674624092441391246004568`14.33909656644995}, { + 1.4412228062500238412405`0.5790065933808084*^-16}, { Rational[-1201, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96052,8 +116043,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00828001355611558600330685367499258397`14.338362503956677}, { + Rational[13, 4]]))^ + Rational[1, 2]), -9.272211473882014889763`0.3875157308407742*^-17}, { Rational[-3, 25] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96071,8 +116062,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00826492375153951610056212399306962568`14.33762724916826}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.420389342804388}, { Rational[-1199, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96090,8 +116080,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00824983868446366148164040128504582539`14.33689080099579}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0511331529782556490377`0.7324401421046038*^-16}, { Rational[-599, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96110,7 +116101,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00823475840863220452540314930935494944`14.336153158345775}, { + 1.0698414431516865574058`0.44982265517684533*^-16}, { Rational[-1197, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96128,8 +116119,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00821968297767836234213046755838165978`14.335414320120115}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3673429490480210963176`0.7948213698505234*^-16}, { Rational[-299, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96148,7 +116140,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00820461244512436448297427791012125657`14.334674285216108}, { + 1.7770745352758110604039`0.6703227959891758*^-16}, { Rational[-239, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96166,8 +116158,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00818954686438143105585752804581203029`14.333933052526403}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1468622635777513487625`0.48018537991472315*^-16}, { Rational[-597, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96185,8 +116178,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00817448628874975124632460978926345138`14.333190620939016}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.42073108657667}, { Rational[-1193, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96204,8 +116196,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00815943077141846224185319348387262041`14.332446989337301}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.0134762330376622296857`0.724734568668451*^-16}, { Rational[-149, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96223,8 +116215,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0081443803654656285581426658509293709`14.33170215659997}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.165754961081364679141`0.48745231286178*^-16}, { Rational[-1191, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96242,8 +116234,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00812933512385822176589932852058374738`14.330956121601064}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.2078176962139195173913`0.7648652348684917*^-16}, { Rational[-119, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96261,8 +116254,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00811429509945210061664346764857986489`14.330208883209934}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8578585311733229834158`0.6899716552031084*^-16}, { Rational[-1189, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96280,8 +116274,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00809926034499199156606834178052240798`14.329460440291228}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.838773298416732438666`0.31526411296155465*^-17}, { Rational[-297, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96299,8 +116294,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00808423091311146969348605545430505394`14.328710791704895}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5642300759476978133706`0.9730387380870266*^-16}, { Rational[-1187, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96318,8 +116314,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00806920685633294001590018999319465738`14.327959936306172}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4784956119085698463088`0.8153181659317983*^-16}, { Rational[-593, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96337,8 +116334,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00805418822706761919524995058961313426`14.32720787294559}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.793538553227195436309`0.25328306497236075*^-17}, { Rational[-237, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96356,8 +116354,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00803917507761551763737546016579330512`14.32645460046889}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.869846948748681436309`0.31721032093716023*^-17}, { Rational[-74, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96375,8 +116374,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00802416746016542198125868567433293682`14.325700117717119}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5120034069587430992649`0.6008538232216318*^-16}, { Rational[-1183, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96394,8 +116394,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00800916542679487797709932152177822449`14.324944423526516}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.42135807731493}, { Rational[-591, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96413,8 +116412,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00799416902947017375178977771367359474`14.324187516728585}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.110206462053052942566`0.03527874381583018*^-17}, { Rational[-1181, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96433,7 +116433,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00797917832004632346035822718246730694`14.323429396150036}, { + 1.8432856300511579699565`0.6870647772581128*^-16}, { Rational[-59, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96452,7 +116452,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00796419335026705132195345762133965476`14.322670060612777}, { + 8.452858484253469449658`0.3485327767170193*^-17}, { Rational[-1179, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96471,7 +116471,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00794921417176477603895004806015684641`14.32190950893391}, { + 9.863708317696120485568`0.4156264433207479*^-17}, { Rational[-589, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96490,7 +116490,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0079342408360605955977571494347576526`14.321147739925715}, { + 1.4123902400603974151223`0.5715979786056491*^-16}, { Rational[-1177, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96508,8 +116508,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00791927339456427244991889157026795015`14.320384752395658}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9671536184393024087475`0.7155386003888604*^-16}, { Rational[-147, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96527,8 +116528,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00790431189857421907209916637319519902`14.31962054514635}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.2758292055123477070816`0.7788970492372896*^-16}, { Rational[-47, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96546,8 +116548,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00788935639927748390354824865770509585`14.318855116975552}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3521123681671972875224`0.7932725119124828*^-16}, { Rational[-587, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96565,8 +116568,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00787440694774973765965341196926609133`14.31808846667617}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5586674840977691769214`0.6146249903097848*^-16}, { Rational[-1173, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96584,8 +116588,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00785946359495526002018037706437551992`14.3173205930362}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.417714995896699605262`0.29219873100081367*^-17}, { Rational[-293, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96603,8 +116608,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00784452639174692669081709540976923738`14.31655149483879}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.42198566656964}, { Rational[-1171, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96622,8 +116626,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00782959538886619683663601922779069554`14.315781170862158}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.2423886123060244222738`0.7727536291689895*^-16}, { Rational[-117, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96641,8 +116646,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0078146706369431008860956432879475476`14.315009619879628}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.130255525749174119136`0.4752764771988139*^-16}, { Rational[-1169, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96660,8 +116665,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00779975218649622870420672187739510776`14.314236840659577}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.422156931551072}, { Rational[-73, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96679,8 +116683,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00778484008793271813349316722589055809`14.313462831965458}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.6715289482715695214452`0.848973914323904*^-16}, { Rational[-1167, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96699,7 +116703,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00776993439154824390138222316315718079`14.312687592555768}, { + 4.045990979793287615681`0.029296043305682817*^-17}, { Rational[-583, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96717,8 +116721,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0077550351475270068926630799986393789`14.31191112118405}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.422328241338782}, { Rational[-233, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96736,8 +116739,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00774014240594172378565765358468655968`14.31113341659886}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.381325343201218297735`0.15327460390700462*^-17}, { Rational[-291, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96756,7 +116760,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00772525621675361705075179330408055674`14.310354477543763}, { + 1.7092865728505516675831`0.655257353865664*^-16}, { Rational[-1163, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96774,8 +116778,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00771037662981240530993971036055115238`14.309574302757344}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.422499595994505}, { Rational[-581, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96793,8 +116796,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00769550369485629405603892929580843322`14.308792890973155}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3262115390139485126687`0.5451695264676577*^-16}, { Rational[-1161, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96813,7 +116817,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00768063746151196673023756215785075555`14.308010240919717}, { + 5.499124564757113295253`0.1629074147091704*^-17}, { Rational[-29, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96831,8 +116835,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00766577797929457615664018625150372917`14.307226351320542}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.422670995579985}, { Rational[-1159, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96851,7 +116854,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00765092529760773633248307296235515071`14.30644122089406}, { + 6.985995865068318353477`0.266956463017928*^-17}, { Rational[-579, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96869,8 +116872,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00763607946574351457269396680775079317`14.305654848353646}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7883518801640699932611`0.8681328662767185*^-16}, { Rational[-1157, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96888,8 +116892,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00762124053288242400747605068225642695`14.304867232407599}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.422842440156938}, { Rational[-289, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96907,8 +116910,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00760640854809341643160015527767163966`14.304078371759134}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.721570289407418655616`0.8577191535856438*^-16}, { Rational[-231, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96926,8 +116929,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00759158356033387550409367791810538382`14.30328826510634}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1198739188111289527827`0.7492667932541061*^-16}, { Rational[-577, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96945,8 +116949,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00757676561844961029701906860631338979`14.302496911142205}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.451860180927022063082`0.15955863912310123*^-17}, { Rational[-1153, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96964,8 +116969,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00756195477117484919203911897672943938`14.301704308554593}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.423071103019545}, { Rational[-72, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -96984,7 +116988,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00754715106713223412347065314088799991`14.30091045602621}, { + 1.3531738825805314592691`0.5544818882070016*^-16}, { Rational[-1151, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97002,8 +117006,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00753235455483281516653256813999499382`14.300115352234599}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4236621473159229139324`0.8076575446373367*^-16}, { Rational[-23, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97021,8 +117026,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00751756528267604546949850593459507793`14.299318995852142}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1237765876115896190621`0.7503514816709413*^-16}, { Rational[-1149, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97041,7 +117047,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0075027832989497765284687586101046099`14.29852138554605}, { + 7.752234252416762363969`0.3127267336168874*^-17}, { Rational[-287, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97060,7 +117066,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00748800865183025380348031380638956461`14.297722519978299}, { + 1.8991907593118910472295`0.7019256329691075*^-16}, { Rational[-1147, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97079,7 +117085,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00747324138938211267467823833684376305`14.296922397805691}, { + 1.5764053811349170173638`0.6210821564913998*^-16}, { Rational[-573, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97097,8 +117103,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00745848155955837473727587459423021354`14.296121017679775}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.617346036699955790087`0.9818615117205601*^-16}, { Rational[-229, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97116,8 +117122,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00744372921020044443403558669384245414`14.295318378246865}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.184638246373498283143`0.4971144194616543*^-16}, { Rational[-143, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97135,8 +117141,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00742898438903810602400604142579631152`14.294514478148034}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.423585888062956}, { Rational[-1143, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97154,8 +117159,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00741424714368952088625624302410211649`14.293709316019072}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.0038733889874620968674`0.9013247350795065*^-16}, { Rational[-571, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97173,8 +117179,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00739951752166122515735076055668290722`14.292902890490504}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.42370034007212}, { Rational[-1141, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97193,7 +117198,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00738479557034812770131479244428741707`14.292095200187532}, { + 8.91864733537574868128`0.374056564858454*^-17}, { Rational[-57, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97211,8 +117216,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00737008133703350841084190427282354326`14.291286243730063}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.42381481224186}, { Rational[-1139, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97230,8 +117234,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00735537486888901683850145371955185075`14.29047601973269}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0299879484542985028537`0.7313655155125945*^-16}, { Rational[-569, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97249,8 +117254,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.007340676212974671156706880113964567`14.289664526804637}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.0730442914202659028804`0.454546953045125*^-16}, { Rational[-1137, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97268,8 +117273,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00732598541623885744521018594529596352`14.288851763549806}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.793654095347422003807`0.10465325096756158*^-17}, { Rational[-71, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97287,8 +117293,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0073113025255183293048920735553711416`14.288037728566707}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5966962321993453915287`0.6272661176026593*^-16}, { Rational[-227, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97307,7 +117314,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00729662758753820779662132236384412823`14.287222420448478}, { + 1.5445993697615126631785`0.6129169342869146*^-16}, { Rational[-567, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97325,8 +117332,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00728196064891198170396110030753171513`14.286405837782857}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.2124813770686626416635`0.7690379737322225*^-16}, { Rational[-1133, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97344,8 +117352,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00726730175614150811850399778200866683`14.285587979152162}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.0771412631329874028223`0.9123630578399522*^-16}, { Rational[-283, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97363,8 +117372,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00725265095561701334662165329642795312`14.2847688431333}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1175118425185806292474`0.9180810148032812*^-16}, { Rational[-1131, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97382,8 +117392,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00723800829361709413641890733710917789`14.283948428297704}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6198084344638928946727`0.6337938430217349*^-16}, { Rational[-113, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97401,8 +117412,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00722337381630871922368647462564791474`14.283126733211388}, { + Rational[13, 4]]))^ + Rational[1, 2]), -6.513111770621030788586`0.2381760072439625*^-17}, { Rational[-1129, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97421,7 +117432,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00720874756974723119565016509845252245`14.282303756434866}, { + 1.1062106596919666776869`0.4682826094724256*^-16}, { Rational[-141, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97440,7 +117451,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00719412959987634867131871057077628501`14.281479496523168}, { + 8.810233169429363727275`0.3694894721472626*^-17}, { Rational[-1127, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97459,7 +117470,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00717951995252816879723626722353042547`14.280653952025832}, { + 1.7386733501049920350842`0.6647773714488305*^-16}, { Rational[-563, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97478,7 +117489,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00716491867342317005744966381022194086`14.279827121486864}, { + 5.926449299621355205968`0.19741125685099928*^-17}, { Rational[-9, 80] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97497,7 +117508,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00715032580817021539650445186754450035`14.278999003444731}, { + 1.2055821202502889534622`0.5058707963931728*^-16}, { Rational[-281, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97515,8 +117526,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00713574140226655565428778727084948737`14.278169596432381}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.4782316604783589285064`0.9660898214914548*^-16}, { Rational[-1123, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97534,8 +117546,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0071211655010978333115401322483916812`14.277338898977149}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.424788642245208}, { Rational[-561, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97553,8 +117564,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00710659814993808654486171349970324916`14.276506909600842}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.424845972004672}, { Rational[-1121, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97572,8 +117582,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00709203939394975359004360539683778833`14.275673626819612}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.4161111119209976205094`0.958435294894159*^-16}, { Rational[-14, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97591,8 +117601,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00707748927818367741255722742636174098`14.274839049144063}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.929634395423293076891`0.26567096880627433*^-17}, { Rational[-1119, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97610,8 +117621,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00706294784757911068403995209753710015`14.274003175079105}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.42501799180647}, { Rational[-559, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97629,8 +117639,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00704841514696372106361841354156504226`14.273166003124041}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.42507534192245}, { Rational[-1117, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97649,7 +117658,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00703389122105359678291498800097209599`14.272327531772515}, { + 1.6090833920051637363493`0.6317112494666995*^-16}, { Rational[-279, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97667,8 +117676,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00701937611445325253358678539965337373`14.27148775951247}, { + Rational[13, 4]]))^ + Rational[1, 2]), -7.185251129602201941624`0.281632009075558*^-17}, { Rational[-223, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97687,7 +117696,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00700486987165563565625034623603189313`14.27064668482618}, { + 8.115465368982003214059`0.3345608516793055*^-17}, { Rational[-557, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97705,8 +117714,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00699037253704213262964908019617139684`14.269804306190192}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.6305842192946661565035`0.637648028287297*^-16}, { Rational[-1113, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97725,7 +117734,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00697588415488257585892431218334052127`14.26896062207534}, { + 1.6851235823643105513482`0.6519939253174223*^-16}, { Rational[-139, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97743,8 +117752,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00696140476933525076185461794753494032`14.268115630946701}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4706722693120616834085`0.8182346904498122*^-16}, { Rational[-1111, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97762,8 +117772,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0069469344244469031519319352150769391`14.267269331263599}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.425476935516624}, { Rational[-111, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97781,8 +117790,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00693247316415274691714672720657361237`14.26642172147958}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.6782783263555872403592`0.8533900333053985*^-16}, { Rational[-1109, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97800,8 +117810,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00691802103227647199335825373315861306`14.265572800042397}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.2058648748910363891734`0.7691706277604963*^-16}, { Rational[-277, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97819,8 +117830,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00690357807253025263112977071783140281`14.264722565394003}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.4256491236726}, { Rational[-1107, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97838,8 +117848,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00688914432851475595491223204246320323`14.263871015970516}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3251139883138864709739`0.5479597685060691*^-16}, { Rational[-553, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97857,8 +117868,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0068747198437191508134638081132606367`14.263018150202194}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3109897695397997883629`0.7895619642770781*^-16}, { Rational[-221, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97877,7 +117889,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00686030466152111692039626350914310583`14.262163966513459}, { + 1.0560855102961362419584`0.44952044194262103*^-16}, { Rational[-69, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97896,7 +117908,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00684589882518685428374295157074818416`14.261308463322845}, { + 9.084970973112555231594`0.3842023235930725*^-17}, { Rational[-1103, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97914,8 +117926,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00683150237787109292344688684237003814`14.260451639042985}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.769202389609621110968`0.18705198104082008*^-17}, { Rational[-551, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97933,8 +117946,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00681711536261710287567104693766618425`14.2595934920806}, { + Rational[13, 4]]))^ + Rational[1, 2]), -9.297353384541187394543`0.3943529766588164*^-17}, { Rational[-1101, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97953,7 +117966,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0068027378223567044828367337017582477`14.258734020836496}, { + 9.896267420177198161186`0.42152249768454564*^-17}, { Rational[-11, 100] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97971,8 +117984,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00678836979991027896829948952942170959`14.257873223705499}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3865154610817922189748`0.5680332342015875*^-16}, { Rational[-1099, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -97990,8 +118004,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00677401133798677929457571841104573095`14.257011099076495}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.6058433464311264018544`0.9831728198832449*^-16}, { Rational[-549, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98009,8 +118024,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00675966247918374130403680275612616667`14.256147645332378}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.2473264936460084313952`0.7778895888806439*^-16}, { Rational[-1097, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98028,8 +118044,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00674532326598729514099113632821210635`14.255282860850048}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.0299058130730692012108`0.9077100031012841*^-16}, { Rational[-137, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98047,8 +118064,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00673099374077217695407811075567471397`14.25441674400036}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.488556239746026172225`0.40353847354163297*^-17}, { Rational[-219, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98066,8 +118084,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00671667394580174087790169809991566889`14.253549293148163}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.7902792057304050505833`0.872043467934301*^-16}, { Rational[-547, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98085,8 +118103,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00670236392322797129283486490609371615`14.252680506652224}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.7382735408888605138733`0.666571397992319*^-16}, { Rational[-1093, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98105,7 +118123,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00668806371509149536192963407142739552`14.251810382865244}, { + 6.957725133987467879558`0.26897802443003677*^-17}, { Rational[-273, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98123,8 +118141,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00667377336332159584387117978223509779`14.250938920133851}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.426568239870782}, { Rational[-1091, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98142,8 +118159,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00665949290973622418091789773248927814`14.250066116798527}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.735154136351065609405`0.41496856042455843*^-17}, { Rational[-109, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98162,7 +118180,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00664522239604201386077293788379384983`14.249191971193644}, { + 1.4000636161783752092687`0.5728309916016809*^-16}, { Rational[-1089, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98181,7 +118199,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00663096186383429405133622019799497988`14.248316481647436}, { + 1.3027410863963894316331`0.5415988310870377*^-16}, { Rational[-68, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98199,8 +118217,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00661671135459710350728947510905885846`14.247439646481938}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.173980420868180008715`0.04734863227735948*^-17}, { Rational[-1087, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98218,8 +118237,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00660247090970320474747036003905201025`14.246561464013025}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4867533282663111736857`0.8224884416990432*^-16}, { Rational[-543, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98237,8 +118257,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00658824057041409850199520104308154349`14.245681932550363}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.717087117934083606359`0.8610168115239258*^-16}, { Rational[-217, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98257,7 +118277,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00657402037788003842809339472906127824`14.244801050397376}, { + 1.1079883184979996875215`0.4715059492061216*^-16}, { Rational[-271, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98275,8 +118295,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00655981037314004609361997997853733545`14.243918815851272}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8682657781852776206359`0.6984669508828352*^-16}, { Rational[-1083, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98294,8 +118315,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00654561059712192622721635173339920526`14.24303522720298}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.42708582195113}, { Rational[-541, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98313,8 +118333,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00653142109064228223409254024855651754`14.24215028273716}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.265947534541691734918`0.7823932069577169*^-16}, { Rational[-1081, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98333,7 +118353,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00651724189440653197640791878101402671`14.241263980732136}, { + 6.155621554528891207692`0.21647280875725117*^-17}, { Rational[-27, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98352,7 +118372,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.006503073049008923817230630729308509`14.240376319459953}, { + 1.2578497973396236700092`0.526887226496658*^-16}, { Rational[-1079, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98370,8 +118390,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00648891459493255292705944379246114026`14.239487297186301}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.6583185513586498907857`0.9905975122330365*^-16}, { Rational[-539, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98389,8 +118410,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00647476657254937785189514382216427659`14.23859691217049}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.427373548547315}, { Rational[-1077, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98408,8 +118428,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00646062902212023734185197473385808153`14.237705162665492}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2839144943123606912961`0.5359672111186878*^-16}, { Rational[-269, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98427,8 +118448,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00644650198379486743930301315953343163`14.23681204691783}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5393118861963996298551`0.9764075100727191*^-16}, { Rational[-43, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98446,8 +118468,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00643238549761191882555673750520926534`14.235917563167646}, { + Rational[13, 4]]))^ + Rational[1, 2]), -4.408760293112089916769`0.0718627333371218*^-17}, { Rational[-537, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98466,7 +118488,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00641827960349897442506541075628201298`14.235021709648622}, { + 1.4579476170839457889588`0.5913457435546202*^-16}, { Rational[-1073, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98485,7 +118507,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00640418434127256726616924479235299794`14.234124484587976}, { + 1.5394338844206161062669`0.61502244635356*^-16}, { Rational[-67, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98504,7 +118526,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0063900997506381985973836511664330389`14.233225886206473}, { + 1.3487837091336929825978`0.5576613034361887*^-16}, { Rational[-1071, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98522,8 +118544,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00637602587119035625824020930920493633`14.232325912718332}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.524442941990339772918`0.8299421427680704*^-16}, { Rational[-107, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98541,8 +118563,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00636196274241253330369529797411226692`14.231424562331284}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.42783418087468}, { Rational[-1069, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98560,8 +118581,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0063479104036772468811236394806698364`14.230521833246513}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9532012663433646406732`0.7186387804927417*^-16}, { Rational[-267, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98579,8 +118601,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00633386889424605735891729897790930695`14.229617723658622}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2377956838387860017522`0.5205983549353373*^-16}, { Rational[-1067, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98598,8 +118621,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0063198382532695877057139625748493857`14.22871223175565}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4063514387144815603445`0.8093660585345588*^-16}, { Rational[-533, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98617,8 +118641,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00630581851978754311928158880580556279`14.227805355719024}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.4324600591108995681244`0.5841471433524666*^-16}, { Rational[-213, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98636,8 +118661,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00629180973272873090408978755280851515`14.226897093723535}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9762895325854556043572`0.9017974221004539*^-16}, { Rational[-133, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98655,8 +118681,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00627781193091108059660152927106882423`14.225987443937331}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.428179873617317}, { Rational[-1063, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98674,8 +118699,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00626382515304166433732202519271385615`14.2250764045219}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0694782893229992883423`0.45740948003251414*^-16}, { Rational[-531, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98693,8 +118719,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00624984943771671748864484615537819016`14.22416397363203}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9492937254640389556136`0.7181724310990606*^-16}, { Rational[-1061, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98712,8 +118739,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00623588482342165949753856385114154585`14.223250149415803}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5282126653773411544892`0.8311663930397046*^-16}, { Rational[-53, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98732,7 +118760,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00622193134853111500212040365438630195`14.222334930014549}, { + 1.2461099807631954088375`0.523966814294765*^-16}, { Rational[-1059, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98750,8 +118778,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00620798905130893518116659279937952023`14.221418313562868}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8158015979775981241243`0.6875364883201128*^-16}, { Rational[-529, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98769,8 +118798,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00619405796990821934561227157627686627`14.220500298188574}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.428525754187184}, { Rational[-1057, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98788,8 +118816,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00618013814237133677109700843280242096`14.21958088201267}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0477532406821637624543`0.44884243201725443*^-16}, { Rational[-66, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98808,7 +118837,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00616622960662994877061512244373320108`14.21866006314934}, { + 2.0714744982554816907351`0.7449206806396358*^-16}, { Rational[-211, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98826,8 +118855,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00615233240050503100633316857700775645`14.217737839705931}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.560734166952080885767`0.30726273373281277*^-17}, { Rational[-527, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98846,7 +118876,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00613844656170689603964008257861348884`14.216814209782932}, { + 1.0509200010588041212867`0.45032610341157703*^-16}, { Rational[-1053, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98864,8 +118894,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00612457212783521611849861315418235558`14.215889171473917}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.7919440235095152183607`0.6821385709368647*^-16}, { Rational[-263, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98883,8 +118914,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00611070913637904620116978947757813399`14.214962722865577}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0617514515380489412447`0.45489468646801434*^-16}, { Rational[-1051, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98902,8 +118934,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00609685762471684721538528194148694329`14.214034862037646}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3407476684290581723087`0.5562765699585392*^-16}, { Rational[-21, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98921,8 +118954,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00608301763011650955204561351623097496`14.213105587062916}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6990726981692534126069`0.6591991827126201*^-16}, { Rational[-1049, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98940,8 +118974,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00606918918973537679252526813576754388`14.212174896007177}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5059863293838150817773`0.9738551466394086*^-16}, { Rational[-131, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98959,8 +118994,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00605537234062026966866882121854552008`14.211242786929251}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.901107150710395271855`0.37854666959171335*^-17}, { Rational[-1047, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98979,7 +119015,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00604156711970751025456528579010157647`14.210309257880908}, { + 1.4910363744176074303711`0.6026485963849781*^-16}, { Rational[-523, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -98997,8 +119033,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00602777356382294638919092573821779183`14.209374306906865}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.429218080766063}, { Rational[-209, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99016,8 +119051,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00601399170968197632901383553448572964`14.20843793204478}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.110467578962749590157`0.4747816922479376*^-16}, { Rational[-261, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99036,7 +119071,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0060002215938895736296566233323486747`14.207500131325213}, { + 6.344745338852443979336`0.23174773739715332*^-17}, { Rational[-1043, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99054,8 +119089,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00598646325294031225571656173507838004`14.206560902771596}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1417842500591537551312`0.48697532855694264*^-16}, { Rational[-521, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99074,7 +119110,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0059727167232183919178455877516002513`14.205620244400224}, { + 4.741787662054234970078`0.10539112666842458*^-17}, { Rational[-1041, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99093,7 +119129,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00595898204099766363619554055753534233`14.204678154220215}, { + 1.1778589125368850140229`0.5006000458971723*^-16}, { Rational[-13, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99111,8 +119147,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00594525924244165552933702268679389374`14.203734630233495}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1464996894875185275359`0.7612953577438287*^-16}, { Rational[-1039, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99131,7 +119168,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.005931548363603598827763257229324353`14.20278967043479}, { + 6.64700632872895786727`0.2522483796295052*^-17}, { Rational[-519, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99150,7 +119187,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00591784944042645411109329053633848428`14.201843272811562}, { + 1.0186983062077691216249`0.437725636288946*^-16}, { Rational[-1037, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99168,8 +119205,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00590416250874293776809185686946281945`14.20089543534402}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.097773542819663312501`0.33810344978459217*^-17}, { Rational[-259, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99187,8 +119225,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00589048760427554867862617840725282873`14.19994615600509}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.42979559781687}, { Rational[-207, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99207,7 +119244,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00587682476263659511668292107534274445`14.19899543276036}, { + 7.406636011665410569892`0.2994743809356548*^-17}, { Rational[-517, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99225,8 +119262,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00586317401932822187357146382764439344`14.19804326356812}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3511190167491671728164`0.8011857787660615*^-16}, { Rational[-1033, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99244,8 +119282,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00584953540974243760044256630857689648`14.197089646379244}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3876474090688582886872`0.5722480849294856*^-16}, { Rational[-129, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99263,8 +119302,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00583590896916114236925443730342956887`14.196134579137237}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8536675831929150820853`0.8854301341889421*^-16}, { Rational[-1031, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99283,7 +119323,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00582229473275615545132111406774572488`14.195178059778218}, { + 1.1500506851730758402618`0.4908015352861897*^-16}, { Rational[-103, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99301,8 +119341,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00580869273558924331258096055042320418`14.194220086230834}, { + Rational[13, 4]]))^ + Rational[1, 2]), -9.418431929958839555293`0.4041209647214061*^-17}, { Rational[-1029, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99320,8 +119360,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00579510301261214782472598072092416218`14.193260656416264}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.887688573845323296346`0.11930370024115994*^-17}, { Rational[-257, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99339,8 +119380,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00578152559866661469133552171145239699`14.192299768248219}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.430257991568297}, { Rational[-1027, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99358,8 +119398,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00576796052848442208816081032237966881`14.19133741963286}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7270674581185993699106`0.8660116956108854*^-16}, { Rational[-513, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99377,8 +119418,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00575440783668740951670962564548394081`14.190373608468846}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7015430547067342756405`0.8619855362662551*^-16}, { Rational[-41, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99397,7 +119439,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00574086755778750687028326016747010659`14.18940833264724}, { + 1.1588100987811618645929`0.494443747926487*^-16}, { Rational[-64, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99415,8 +119457,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00572733972618676371162076175702528457`14.188441590051513}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1737584566969401571018`0.7677006001205385*^-16}, { Rational[-1023, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99434,8 +119477,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00571382437617737876130827944480231303`14.187473378557515}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1568927748835661347596`0.7643757154615297*^-16}, { Rational[-511, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99453,8 +119497,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00570032154194172959611415690871885779`14.186503696033471}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.157004702421221224891`0.21997449465798305*^-17}, { Rational[-1021, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99472,8 +119517,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00568683125755240255641322910853529129`14.18553254033988}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.4148323306141817810679`0.5813678396601547*^-16}, { Rational[-51, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99491,8 +119537,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00567335355697222286186657960565490564`14.18455990932958}, { + Rational[13, 4]]))^ + Rational[1, 2]), -6.165311664669714362649`0.2206757600987345*^-17}, { Rational[-1019, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99510,8 +119556,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00565988847405428493452580878738071346`14.183585800847688}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0644563656305500417233`0.7455842980194064*^-16}, { Rational[-509, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99530,7 +119577,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00564643604254198292853364652189059517`14.182610212731545}, { + 1.3295814440280189042691`0.5545514061560746*^-16}, { Rational[-1017, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99548,8 +119595,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00563299629606904146559551673077192596`14.181633142810707}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8911826223876211896808`0.8919698618241808*^-16}, { Rational[-127, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99567,8 +119615,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00561956926815954657539942601298528387`14.180654588906924}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.43095221842815}, { Rational[-203, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99586,8 +119633,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00560615499222797684016430381700855177`14.179674548834129}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.6479073103021036639816`0.853912883836158*^-16}, { Rational[-507, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99605,8 +119652,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00559275350157923474249966776904362782`14.178693020398365}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.43106799736412}, { Rational[-1013, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99624,8 +119670,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0055793648294086782157622246544121589`14.177710001397788}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.738534224871170929523`0.31978460249497753*^-17}, { Rational[-253, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99644,7 +119691,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00556598900880215239609774524809948943`14.176725489622656}, { + 1.0111595250266326455942`0.436003474848908*^-16}, { Rational[-1011, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99662,8 +119709,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00555262607273602157535926972890374408`14.175739482855246}, { + Rational[13, 4]]))^ + Rational[1, 2]), -7.011556811387488030851`0.2770561630248654*^-17}, { Rational[-101, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99681,8 +119728,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00553927605407720135409540982115291359`14.174751978869875}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.043381003568111888634`0.4497425446488517*^-16}, { Rational[-1009, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99701,7 +119748,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00552593898558319099380521411798460048`14.173762975432856}, { + 1.5409363571047709024484`0.6191422401288034*^-16}, { Rational[-63, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99720,7 +119767,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00551261489990210596765875428221419078`14.172772470302483}, { + 2.1719124304659716208734`0.7682577730895868*^-16}, { Rational[-1007, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99739,7 +119786,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00549930382957271070888527202409861508`14.171780461228945}, { + 9.815411466140025353862`0.42338190179538326*^-17}, { Rational[-503, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99757,8 +119804,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00548600580702445155603339995075635003`14.170786945954392}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0155742732600190190901`0.4382430172144881*^-16}, { Rational[-201, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99776,8 +119824,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00547272086457748989431063359951947715`14.16979192221282}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4433818979867921075987`0.8195806187443658*^-16}, { Rational[-251, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99795,8 +119844,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00545944903444273549221188723680873469`14.168795387730087}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.618812287983223086017`0.18129173576549612*^-17}, { Rational[-1003, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99814,8 +119864,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00544619034872188003264961235543768143`14.167797340223876}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.43170516313347}, { Rational[-501, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99833,8 +119882,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00543294483940743083780059526639651742`14.166797777403662}, { + Rational[13, 4]]))^ + Rational[1, 2]), -5.531563559380694917273`0.1746110263161766*^-17}, { Rational[-1001, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99852,8 +119901,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00541971253838274478688717878550378554`14.165796696970675}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1903490835651174867125`0.5074954229130434*^-16}, { Rational[-1, 10] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99872,7 +119922,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0054064934774220624261132727907680615`14.164794096617891}, { + 1.5649480605895414122949`0.6263789761789703*^-16}, { Rational[-999, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99891,7 +119941,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00539328768819054226997812940229159927`14.16378997402998}, { + 1.9214697946487075038585`0.7155705820615682*^-16}, { Rational[-499, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99909,8 +119959,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00538009520224429529319346074248207728`14.162784326883298}, { + Rational[13, 4]]))^ + Rational[1, 2]), -7.518724541088637522655`0.308139172110546*^-17}, { Rational[-997, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99928,8 +119978,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00536691605103041961243207069938714879`14.161777152845834}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.432052981034154}, { Rational[-249, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99947,8 +119996,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00535375026588703535713875686975138018`14.160768449577198}, { + Rational[13, 4]]))^ + Rational[1, 2]), -4.984093880964066441538`0.1296971836114363*^-17}, { Rational[-199, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99966,8 +120015,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0053405978780433197286368149294674117`14.159758214728562}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5244520156903032938431`0.6152827221382601*^-16}, { Rational[-497, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -99985,8 +120035,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00532745891861954224676604509711978706`14.158746445942668}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5409286477580488058956`0.8372194321612207*^-16}, { Rational[-993, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100004,8 +120055,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00531433341862710018329071914965334278`14.1577331408538}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.432284966984547}, { Rational[-62, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100023,8 +120073,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00530122140896855418131851664714019007`14.156718297087679}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.7405076934323105437214`0.6730189242146526*^-16}, { Rational[-991, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100042,8 +120093,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0052881229204376640599739806546158381`14.155701912261545}, { + Rational[13, 4]]))^ + Rational[1, 2]), -9.304115999078391778973`0.4010761085401121*^-17}, { Rational[-99, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100062,7 +120113,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00527503798371942480357257634187590859`14.154683983984011}, { + 6.956373395374714468413`0.27484189837273637*^-17}, { Rational[-989, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100080,8 +120131,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00526196662939010273454396042526706087`14.153664509855128}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.43251703898359}, { Rational[-247, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100099,8 +120149,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00524890888791727186935558551781695725`14.152643487466293}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.6247610579632013797256`0.9918544538687504*^-16}, { Rational[-987, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100118,8 +120169,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00523586478965985045669027110314310798`14.151620914400226}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.7726178804963682004256`0.6812482330398305*^-16}, { Rational[-493, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100137,8 +120189,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00522283436486813769713387207369704718`14.150596788230976}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5483113697575137350569`0.6225494525072237*^-16}, { Rational[-197, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100157,7 +120210,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00520981764368385064363166660230962497`14.149571106523837}, { + 1.8737916630023780500989`0.7054704995023227*^-16}, { Rational[-123, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100175,8 +120228,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00519681465614016128197456757648839021`14.148543866835343}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.877851648061253997792`0.021398440804600943*^-17}, { Rational[-983, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100194,8 +120248,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00518382543216173379057873594509574277`14.147515066713254}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.537345878236646004733`0.08966719508753816*^-17}, { Rational[-491, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100214,7 +120269,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00517085000156476197882464013499114069`14.146484703696458}, { + 2.0941768915270559552491`0.7539367354729453*^-16}, { Rational[-981, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100232,8 +120287,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00515788839405700690322406321873816558`14.145452775315036}, { + Rational[13, 4]]))^ + Rational[1, 2]), -7.897659301376772440899`0.3304798363985138*^-17}, { Rational[-49, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100251,8 +120306,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0051449406392378346606860087813509746`14.144419279090119}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3568043420562490821117`0.5655567410932808*^-16}, { Rational[-979, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100270,8 +120326,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00513200676659825435815489747158508644`14.143384212533952}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8497070420840765405566`0.7002005463736118*^-16}, { Rational[-489, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100289,8 +120346,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00511908680552095625789687905988807276`14.142347573149792}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.7802009180487061506036`0.6836247025156548*^-16}, { Rational[-977, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100308,8 +120366,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00510618078528035009771250948693496371`14.141309358431915}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2277505988612832421897`0.5223239273584128*^-16}, { Rational[-61, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100327,8 +120386,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00509328873504260358535645890245374836`14.140269565865575}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.463361094387223351894`0.08293389251137896*^-17}, { Rational[-39, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100346,8 +120406,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00508041068386568106644732509017168854`14.139228192926945}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.7335131023586856002117`0.6722570992201166*^-16}, { Rational[-487, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100365,8 +120426,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00506754666069938236515302697845584619`14.138185237083105}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.4166816605264732512212`0.9669925943649027*^-16}, { Rational[-973, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100384,8 +120446,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00505469669438538179693964517543350254`14.137140695792016}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1387612440358452933151`0.9302044718617839*^-16}, { Rational[-243, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100403,8 +120466,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00504186081365726735267396066777881168`14.13609456650247}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.0141274034497999985521`0.9126659137789953*^-16}, { Rational[-971, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100423,7 +120487,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00502903904714058005337231901237478057`14.13504684665404}, { + 1.3002137207464669362906`0.5475771764084447*^-16}, { Rational[-97, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100441,8 +120505,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00501623142335285347489081555508923098`14.133997533677094}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.433620560523174}, { Rational[-969, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100460,8 +120523,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00500343797070365344185415745892547358`14.13294662499271}, { + Rational[13, 4]]))^ + Rational[1, 2]), -5.640258247009568247984`0.1849776839795322*^-17}, { Rational[-121, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100479,8 +120542,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00499065871749461789012291064063934308`14.131894118012674}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.230531655095163459141`0.29290706623391577*^-17}, { Rational[-967, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100499,7 +120563,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00497789369191949689710118412742763499`14.130840010139405}, { + 1.1925124916440042654884`0.510257916556704*^-16}, { Rational[-483, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100517,8 +120581,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00496514292206419287918914088027990269`14.12978429876598}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.8101747563392540114957`0.691573634286099*^-16}, { Rational[-193, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100536,8 +120600,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00495240643590680095568705281368506831`14.128726981276042}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1855554866868483960955`0.7734731228904318*^-16}, { Rational[-241, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100555,8 +120620,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00493968426131764947845993859991745141`14.127668055043776}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7539006466557270586807`0.8739177155488748*^-16}, { Rational[-963, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100574,8 +120640,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00492697642605934072667413590523572065`14.126607517433934}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8265993417151589974964`0.8852918678768016*^-16}, { Rational[-481, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100593,8 +120660,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00491428295778679176591946499222624349`14.125545365801676}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.43408578671607}, { Rational[-961, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100613,7 +120679,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00490160388404727547103293816232558558`14.124481597492649}, { + 8.935804005199477511984`0.3852775990314264*^-17}, { Rational[-12, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100632,7 +120698,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00488893923228046171194225933195802082`14.123416209842915}, { + 6.2534168352510915545`0.23031952619897417*^-17}, { Rational[-959, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100650,8 +120716,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00487628902981845870184964016010037957`14.122349200178867}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.372988521441486171313`0.30190389414611657*^-17}, { Rational[-479, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100669,8 +120736,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00486365330388585450707873360061510259`14.121280565817253}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.918066753306540739276`0.20649839000512463*^-17}, { Rational[-957, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100688,8 +120756,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00485103208159975871790975256479856299`14.120210304065113}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9062595468130623225484`0.8977111269315066*^-16}, { Rational[-239, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100707,8 +120776,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00483842538996984427973010057411576394`14.119138412219742}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5980787023540925300857`0.6380330988024834*^-16}, { Rational[-191, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100727,7 +120797,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00482583325589838948383009288544874774`14.118064887568655}, { + 8.777770910117095855926`0.37787738768083806*^-17}, { Rational[-477, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100746,7 +120816,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00481325570618032011717559060691602095`14.116989727389546}, { + 2.3332011761402720146963`0.8025035482654936*^-16}, { Rational[-953, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100764,8 +120834,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00480069276750325177049160681681681287`14.115912928950246}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3878557995180227233984`0.8126176796097067*^-16}, { Rational[-119, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100784,7 +120855,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0047881444664475323039931726766190413`14.114834489508702}, { + 1.3666265693807021520366`0.5703176697576315*^-16}, { Rational[-951, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100803,7 +120874,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00477561082948628447010197301676775544`14.113754406312921}, { + 1.5188709702922746279186`0.6162469239397556*^-16}, { Rational[-19, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100821,8 +120892,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00476309188298544869248947489603703534`14.112672676600942}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2981536044060497214602`0.9530551582437256*^-16}, { Rational[-949, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100840,8 +120912,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00475058765320382600078947921672953904`14.111589297600771}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.434842523452815}, { Rational[-237, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100859,8 +120930,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0047380981662931211203252246438531309`14.110504266530397}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2926565533922225882147`0.9524472054379313*^-16}, { Rational[-947, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100878,8 +120950,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00472562344829798571619836485157111179`14.109417580597675}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.434959026526187}, { Rational[-473, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100898,7 +120969,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0047131635251560617910893245293791023`14.108329237000373}, { + 1.2453740989433293618337`0.5303171153888541*^-16}, { Rational[-189, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100916,8 +120987,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0047007184226980252361207166484699093`14.107239232926057}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.040852161508379097066`0.744887097269016*^-16}, { Rational[-59, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100935,8 +121006,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00468828816664762953413767324012143355`14.106147565552089}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4033976679762649221808`0.8159594576956656*^-16}, { Rational[-943, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100954,8 +121026,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00467587278262174961476110439735661917`14.10505423204559}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.435192098474083}, { Rational[-471, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100973,8 +121044,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0046634722961304258605720554028771629`14.103959229563378}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.007584000330824803966`0.43853164279687856*^-16}, { Rational[-941, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -100992,8 +121064,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00465108673257690826378747983495964172`14.10286255525194}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.43530866738474}, { Rational[-47, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101011,8 +121082,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00463871611725770073278988723287635009`14.101764206247408}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.43536696008214}, { Rational[-939, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101030,8 +121100,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00462636047536260554787545743854768071`14.100664179675457}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5588117848722760358999`0.6282189387477067*^-16}, { Rational[-469, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101049,8 +121120,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00461401983197476796558734009593099188`14.09956247265135}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1347245827861323317337`0.7648254132810078*^-16}, { Rational[-937, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101069,7 +121141,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00460169421207072097100297700799077999`14.098459082279826}, { + 1.8021724409654685375945`0.6913382152915435*^-16}, { Rational[-117, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101088,7 +121160,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00458938364052043017734639714717382098`14.097354005655085}, { + 9.798097282210069521665`0.42674193298593266*^-17}, { Rational[-187, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101106,8 +121178,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00457708814208733887229853911271890928`14.09624723986076}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.435658506080234}, { Rational[-467, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101126,7 +121197,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00456480774142841321038075375112162742`14.095138781969844}, { + 1.6267969145024682270522`0.6470501718177205*^-16}, { Rational[-933, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101144,8 +121215,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00455254246309418755078873052801064276`14.094028629044665}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.686942250498875678297`0.8650334954223077*^-16}, { Rational[-233, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101164,7 +121235,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00454029233152880994005617508457422407`14.092916778136848}, { + 1.5794209110171398630041`0.634331383401486*^-16}, { Rational[-931, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101183,7 +121254,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00452805737107008773892964225285219949`14.091803226287247}, { + 6.260159832899183467693`0.23247726368200733*^-17}, { Rational[-93, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101202,7 +121273,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00451583760594953339283799866533189756`14.090687970525948}, { + 1.424128791448282699873`0.5894994564122353*^-16}, { Rational[-929, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101220,8 +121291,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0045036330602924103453420519988835445`14.089571007872172}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.43600854310038}, { Rational[-58, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101239,8 +121309,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0044914437581177790939519398642068197`14.08845233533426}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.43606690191955}, { Rational[-927, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101258,8 +121327,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00447926972333854338770192041338954298`14.08733194990964}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2372880549338099907032`0.9463066110483429*^-16}, { Rational[-463, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101277,8 +121347,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00446711097976149656587424891255254765`14.08620984858474}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.3946399334600644241766`0.815423856738052*^-16}, { Rational[-37, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101296,8 +121366,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00445496755108736803726585983757497255`14.085086028334986}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.436242011535}, { Rational[-231, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101315,8 +121384,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00444283946091086989939360252118044816`14.083960486124754}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7676166642767229315532`0.8784063293650815*^-16}, { Rational[-923, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101334,8 +121404,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00443072673272074369703580003243347336`14.082833218907291}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9791924213894755106623`0.7328467982454713*^-16}, { Rational[-461, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101353,8 +121424,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00441862938989980731950991582781698864`14.081704223624708}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8564339627315764722018`0.7050966760017838*^-16}, { Rational[-921, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101372,8 +121444,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00440654745572500203608812079927505755`14.080573497207896}, { + Rational[13, 4]]))^ + Rational[1, 2]), -5.058408612421162056946`0.1404894767085631*^-17}, { Rational[-23, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101392,7 +121464,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00439448095336743966895455468183736776`14.079441036576526}, { + 7.081573448161724481036`0.28666373545115753*^-17}, { Rational[-919, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101410,8 +121482,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00438242990589244990311007039414086174`14.078306838638966}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7537483037757740836499`0.8765166228268433*^-16}, { Rational[-459, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101429,8 +121502,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00437039433625962773263223779218640671`14.077170900292254}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.58624066834470814664`0.8493197310073136*^-16}, { Rational[-917, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101448,8 +121521,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00435837426732288104270036454236559382`14.076033218422037}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1315647101506670363414`0.4903886088190214*^-16}, { Rational[-229, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101467,8 +121541,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00434636972183047832679726638671554989`14.074893789902525}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.062396293687773327486`0.7511397588750878*^-16}, { Rational[-183, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101486,8 +121560,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00433438072242509653850148700375132935`14.07375261159647}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9140063154377796697862`0.7187694365867079*^-16}, { Rational[-457, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101506,7 +121581,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00432240729164386907728562898467676209`14.07260968035509}, { + 5.907157988403035348663`0.20826309284811934*^-17}, { Rational[-913, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101524,8 +121599,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0043104494519184339077384121691690134`14.071464993018038}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9648978357657108063426`0.9089526815205404*^-16}, { Rational[-57, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101543,8 +121619,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00429850722557498181163002373971760879`14.070318546413326}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.437001395937422}, { Rational[-911, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101562,8 +121637,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00428658063483430477224226608049547497`14.069170337357319}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.7715928489587456930614`0.6854237675705891*^-16}, { Rational[-91, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101581,8 +121657,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00427466970181184449038694348837404013`14.068020362654645}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.157381580247968711751`0.22651437576729158*^-17}, { Rational[-909, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101600,8 +121677,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00426277444851774103153785740129375554`14.06686861909819}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3545581037885583996784`0.8090861838359897*^-16}, { Rational[-227, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101619,8 +121697,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00425089489685688160350370190527979936`14.065715103469008}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.0504721664721546181483`0.921602308217079*^-16}, { Rational[-907, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101638,8 +121716,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00423903106862894946407106691728386701`14.064559812536293}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0327395588214941227008`0.7453754558194137*^-16}, { Rational[-453, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101658,7 +121737,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00422718298552847295804866563864807002`14.063402743057324}, { + 3.654531606815180154358`0.0001839200233149036*^-17}, { Rational[-181, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101676,8 +121755,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00421535066914487468314580565501822972`14.062243891777419}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2142330748897624277653`0.9444880499094803*^-16}, { Rational[-113, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101695,8 +121775,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00420353414096252078412001944432648737`14.061083255429875}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.625513102817992783218`0.42089306575422014*^-17}, { Rational[-903, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101714,8 +121795,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00419173342236077037463066006695078492`14.059920830735935}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.4523693869427668957953`0.5996047601103984*^-16}, { Rational[-451, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101734,7 +121816,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00417994853461402508623715147222355212`14.058756614404723}, { + 1.1329574101191874878858`0.4917997613046555*^-16}, { Rational[-901, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101753,7 +121835,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00416817949889177874398246018494890299`14.057590603133182}, { + 2.0850054414273382693276`0.7567518785459532*^-16}, { Rational[-9, 100] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101772,7 +121854,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00415642633625866716800422615554425665`14.056422793606071}, { + 2.0472034946327134201721`0.7488642144172404*^-16}, { Rational[-899, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101790,8 +121872,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00414468906767451810061785528920037186`14.055253182495846}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.907068723355335233761`0.02961277045205688*^-17}, { Rational[-449, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101809,8 +121892,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00413296771399440125831773463384107697`14.05408176646266}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.437820245686737}, { Rational[-897, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101829,7 +121911,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00412126229596867850814458342553851944`14.052908542154292}, { + 1.5182494127081987570768`0.6192218986255194*^-16}, { Rational[-56, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101848,7 +121930,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00410957283424305416786879918339093662`14.05173350620609}, { + 1.97596384140208225768`0.7337163066327802*^-16}, { Rational[-179, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101867,7 +121949,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00409789934935862542944149783553171134`14.050556655240916}, { + 4.547652902764300967519`0.09578316587031038*^-17}, { Rational[-447, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101885,8 +121967,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00408624186175193290516678046413453837`14.049377985869118}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.438054403819436}, { Rational[-893, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101904,8 +121985,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00407460039175501129605058670135784053`14.048197494688441}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3653638919438421433653`0.5733613707802973*^-16}, { Rational[-223, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101923,8 +122005,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00406297495959544018178331611029861846`14.047015178284017}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1148146993898337956706`0.48537420295553063*^-16}, { Rational[-891, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101942,8 +122025,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00405136558539639493181521406643998897`14.045831033228243}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5032148118748743435421`0.8367282011553113*^-16}, { Rational[-89, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101961,8 +122045,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00403977228917669773698532773588781294`14.044645056080821}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5681470442319739128757`0.9907313950348886*^-16}, { Rational[-889, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101981,7 +122066,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0040281950908508687611666407477294183`14.043457243388605}, { + 4.631258622189850889831`0.10404626140502903*^-17}, { Rational[-111, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -101999,8 +122084,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00401663401022917741239179209949064738`14.042267591685619}, { + Rational[13, 4]]))^ + Rational[1, 2]), -4.1938055635665220778`0.06101410061366398*^-17}, { Rational[-887, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102018,8 +122103,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00400508906701769373292557573725574762`14.04107609749296}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6629587880650041129857`0.6593458828393998*^-16}, { Rational[-443, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102038,7 +122124,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00399356028081833990775220213587473383`14.039882757318779}, { + 1.318092601792501075894`0.5584689115304208*^-16}, { Rational[-177, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102057,7 +122143,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00398204767112894189094708209021630086`14.03868756765817}, { + 1.4583699307241950232228`0.6024492888077072*^-16}, { Rational[-221, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102076,7 +122162,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.003970551257343281149404665835610659`14.037490524993181}, { + 6.846352546337629702643`0.2740994505339784*^-17}, { Rational[-883, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102094,8 +122180,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00395907105875114652339563756468852852`14.036291625792696}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.43869880125162}, { Rational[-441, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102113,8 +122198,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00394760709453838620342852641888666585`14.035090866512434}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.438757416549915}, { Rational[-881, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102133,7 +122217,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00393615938378695982289255012579287607`14.033888243594829}, { + 9.488418344265839181141`0.41600986195841244*^-17}, { Rational[-11, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102151,8 +122235,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00392472794547499066596025664825579245`14.032683753469035}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1160411829082942667402`0.4865548847832487*^-16}, { Rational[-879, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102171,7 +122256,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00391331279847681799023027252763810864`14.031477392550824}, { + 4.111506685963340603461`0.052934297053720714*^-17}, { Rational[-439, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102189,8 +122274,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00390191396156304946359220406154615009`14.030269157242556}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2268022166493942050135`0.9477642806621268*^-16}, { Rational[-877, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102208,8 +122294,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00389053145340061371479746907546051761`14.029059043933097}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1935011269554611936307`0.5158734109399219*^-16}, { Rational[-219, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102227,8 +122314,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00387916529255281299722156284767520874`14.027847048997769}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.439109226621774}, { Rational[-7, 80] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102246,8 +122332,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00386781549747937596530498174740980164`14.026633168798314}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.1260600859549065910747`0.766743415605613*^-16}, { Rational[-437, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102266,7 +122352,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00385648208653651056316174236635243279`14.025417399682798}, { + 7.026606718329348256795`0.2859721883610427*^-17}, { Rational[-873, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102285,7 +122371,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00384516507797695702484614238367264073`14.024199737985551}, { + 7.554416929374503878641`0.31748615772676997*^-17}, { Rational[-109, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102303,8 +122389,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00383386448995004098577011212332382091`14.022980180027158}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6188166821076405943437`0.6485415506863667*^-16}, { Rational[-871, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102322,8 +122409,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00382258034050172670476520275917481402`14.021758722114328}, { + Rational[13, 4]]))^ + Rational[1, 2]), -5.594742690114067676353`0.1871826743485564*^-17}, { Rational[-87, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102341,8 +122428,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00381131264757467039628494841779339412`14.020535360539888}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8166929818345567667018`0.6987427782620715*^-16}, { Rational[-869, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102360,8 +122448,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00380006142900827367224502503968368123`14.019310091582703}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.43951992846335}, { Rational[-217, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102379,8 +122466,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00378882670253873709300030880627521973`14.01808291150759}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.2488654605398994338952`0.5360942774026*^-16}, { Rational[-867, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102399,7 +122486,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00377760848579911382695961124162025178`14.016853816565298}, { + 2.1427852120751431594592`0.7706159632662282*^-16}, { Rational[-433, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102417,8 +122504,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00376640679631936341834053677301218206`14.015622802992418}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.439696028364537}, { Rational[-173, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102436,8 +122522,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0037552216515264056625685716029833927`14.014389867011332}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8151450446018864455874`0.8892555155588198*^-16}, { Rational[-54, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102456,7 +122543,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00374405306874417458882617022491975044`14.013155004830148}, { + 1.4161954666042206394019`0.5909366564482905*^-16}, { Rational[-863, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102474,8 +122561,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00373290106519367254925925782491579918`14.011918212642627}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9942263769043209988895`0.7396466354619782*^-16}, { Rational[-431, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102494,7 +122582,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00372176565799302441435021317221731184`14.01067948662813}, { + 1.6800184701478522561229`0.6652449639436582*^-16}, { Rational[-861, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102512,8 +122600,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00371064686415753187396803742804433428`14.009438822951541}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.471400432139465496524`0.17808814192090214*^-17}, { Rational[-43, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102532,7 +122621,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00369954470059972784360804961689206093`14.00819621776323}, { + 7.306511353149559695235`0.303758444631729*^-17}, { Rational[-859, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102551,7 +122640,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00368845918412943097533507932379379012`14.006951667198956}, { + 4.430676639619642489219`0.0865771819461268*^-17}, { Rational[-429, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102569,8 +122658,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0036773903314538002729457515239987294`14.005705167379821}, { + Rational[13, 4]]))^ + Rational[1, 2]), -9.241004355828716384277`0.4058850524741997*^-17}, { Rational[-857, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102588,8 +122677,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00366633815917738981086707733677153692`14.004456714412175}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2753447650061670605124`0.9554816550805513*^-16}, { Rational[-107, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102607,8 +122697,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00365530268380220355631017794071282828`14.003206304387612}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.2515071012404337287739`0.952368103432326*^-16}, { Rational[-171, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102626,8 +122716,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00364428392172775029419957691248304303`14.001953933382833}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8079236339418089390753`0.6975222467426129*^-16}, { Rational[-427, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102645,8 +122736,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00363328188925109865440009887257639666`14.000699597459628}, { + Rational[13, 4]]))^ + Rational[1, 2]), -9.816538718410678484404`0.4323593222872451*^-17}, { Rational[-853, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102664,8 +122755,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00362229660256693224076500955857205721`13.999443292664765}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.440459717867306}, { Rational[-213, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102683,8 +122773,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00361132807776760486153062431690930176`13.998185015029982}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3394151533250841699915`0.8096258016895816*^-16}, { Rational[-851, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102703,7 +122794,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00360037633084319586058419852593029172`13.996924760571863}, { + 1.3827337126392568955013`0.5813158454222864*^-16}, { Rational[-17, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102721,8 +122812,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00358944137768156554913349465467356531`13.995662525291783}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6635001659906706324931`0.6616589389284944*^-16}, { Rational[-849, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102740,8 +122832,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00357852323406841073730799654063036724`13.99439830517587}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.953288167753226330085`0.21545179762763833*^-17}, { Rational[-53, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102759,8 +122852,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00356762191568732036522331205438641038`13.9931320961949}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.44075370057001}, { Rational[-847, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102778,8 +122870,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00355673743811983123304187062643920864`13.991863894304233}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.847265077803760047014`0.38762155382188934*^-17}, { Rational[-423, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102797,8 +122890,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00354586981684548382956458216048387834`13.99059369544376}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0946937105870570189022`0.48016395648628446*^-16}, { Rational[-169, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102817,7 +122911,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00353501906724187825888967866468396271`13.98932149553782}, { + 1.2614597806566940431206`0.5418035670277491*^-16}, { Rational[-211, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102835,8 +122929,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00352418520458473026467650951646688329`13.98804729049512}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2918973475146605536046`0.5522169959735893*^-16}, { Rational[-843, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102855,7 +122950,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00351336824404792735155360565432396987`13.986771076208672}, { + 5.414444700637265263072`0.17460174840355075*^-17}, { Rational[-421, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102873,8 +122968,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00350256820070358500321186717940180463`13.985492848555744}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.839342352112343031853`0.7057692390110674*^-16}, { Rational[-841, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102892,8 +122987,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00349178508952210299672526286848205729`13.984212603397747}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4437370496332078295302`0.8292199892927098*^-16}, { Rational[-21, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102911,8 +123007,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00348101892537222181264295896475234769`13.982930336580184}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8198080718922454787837`0.7012499567458672*^-16}, { Rational[-839, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102930,8 +123027,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00347026972302107914039831834174483478`13.981646043932574}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8860754936590847015084`0.7168423012654689*^-16}, { Rational[-419, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102949,8 +123047,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00345953749713426647858172974592081776`13.980359721268389}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3911255964655845724108`0.8199444826805034*^-16}, { Rational[-837, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102969,7 +123068,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00344882226227588582962574033170986736`13.979071364384941}, { + 1.299424835052995235375`0.5551521278990792*^-16}, { Rational[-209, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -102988,7 +123087,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00343812403290860648845247312710741492`13.977780969063366}, { + 6.159846818994729583916`0.2310297539734695*^-17}, { Rational[-167, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103006,8 +123105,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00342744282339372192463481442452522799`13.976488531068506}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1189450558498253539113`0.49032748551656213*^-16}, { Rational[-417, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103026,7 +123126,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00341677864799120675762435439853821092`13.975194046148838}, { + 2.393040321997487520478`0.820527628286992*^-16}, { Rational[-833, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103044,8 +123144,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00340613152085977382460055752549890772`13.973897510036405}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.441636505646677}, { Rational[-52, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103064,7 +123163,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00339550145605693134049712763744625749`13.97259891844676}, { + 1.8010743768857968972084`0.6972270528970191*^-16}, { Rational[-831, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103082,8 +123181,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00338488846753904014976301570090633577`13.971298267078845}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.4735258496126878138533`0.9825248455915183*^-16}, { Rational[-83, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103101,8 +123201,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00337429256916137106941699668670253944`13.96999555161494}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.3545885658044346570291`0.9674524837264398*^-16}, { Rational[-829, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103120,8 +123221,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00336371377467816232295621520722749369`13.968690767720599}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.1020872517309967185356`0.933526147075869*^-16}, { Rational[-207, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103139,8 +123240,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00335315209774267706468056795860498132`13.967383911044546}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.441931060604624}, { Rational[-827, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103159,7 +123259,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00334260755190726099399625443435559151`13.966074977218595}, { + 5.08746711760965020764`0.14849160405835912*^-17}, { Rational[-413, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103177,8 +123277,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00333208015062340005926328589067073045`13.964763961857601}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.0292882070212687093073`0.454585919741535*^-16}, { Rational[-33, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103197,7 +123297,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0033215699072417782507531961577467026`13.963450860559336}, { + 1.1093998972918633516601`0.4871959839072988*^-16}, { Rational[-103, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103216,7 +123316,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00331107683501233548228464662390346813`13.96213566890444}, { + 1.1270399980892994979877`0.49410613728750374*^-16}, { Rational[-823, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103234,8 +123334,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00330060094708432556110606158501100331`13.960818382456356}, { + Rational[13, 4]]))^ + Rational[1, 2]), -8.016714203909394628832`0.3462221605963636*^-17}, { Rational[-411, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103253,8 +123353,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00329014225650637424559586916837957313`13.959498996761196}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.9253148683831839227249`0.726786481068473*^-16}, { Rational[-821, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103272,8 +123372,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00327970077622653739035235722337717572`13.95817750734769}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9510334688714093716175`0.9123178141829921*^-16}, { Rational[-41, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103291,8 +123392,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00326927651909235917824658293737209194`13.956853909727132}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4511191736779851623207`0.8317670749089043*^-16}, { Rational[-819, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103310,8 +123412,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00325886949785093043901319950106059661`13.955528199393225}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3228386204543650503439`0.5639684877359249*^-16}, { Rational[-409, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103330,7 +123433,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00324847972514894705395548292853261119`13.954200371822074}, { + 1.4308555192084331732667`0.5981163851581237*^-16}, { Rational[-817, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103349,7 +123452,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00323810721353276844634225714979859494`13.952870422472047}, { + 1.6655321165881082706191`0.6641325996279832*^-16}, { Rational[-51, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103367,8 +123470,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00322775197544847615707582575424517434`13.95153834678373}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.144372688474364443567`0.35349621849736873*^-17}, { Rational[-163, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103386,8 +123490,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00321741402324193250521142428739787823`13.950204140179805}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2030125107734890228908`0.9482562120458992*^-16}, { Rational[-407, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103406,7 +123511,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00320709336915883933291010780725032908`13.948867798064988}, { + 1.3485367537848547368026`0.5726193677110134*^-16}, { Rational[-813, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103424,8 +123529,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00319679002534479683440838450609149483`13.947529315825953}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4234796599082689872896`0.8272549683090943*^-16}, { Rational[-203, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103443,8 +123549,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0031865040038453624685892976144493953`13.946188688831215}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.946398232017529716407`0.39452283007250133*^-17}, { Rational[-811, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103462,8 +123569,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00317623531660610995474104454230472719`13.944845912431061}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.442933624563732}, { Rational[-81, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103482,7 +123588,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00316598397547268835109060429398987036`13.943500981957468}, { + 8.459129158409089580377`0.37031830695530493*^-17}, { Rational[-809, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103500,8 +123606,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0031557499921908812157012216333251994`13.942153892723997}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9793226217477875236688`0.7395682713038326*^-16}, { Rational[-101, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103519,8 +123626,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00314553337840666584932396929016872928`13.940804640025714}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2643313905168473210831`0.5449716416100736*^-16}, { Rational[-807, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103538,8 +123646,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00313533414566627261979497770414030627`13.939453219139118}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8151742469711066448644`0.8926750456543225*^-16}, { Rational[-403, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103557,8 +123666,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00312515230541624436757128541152459468`13.938099625322012}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6068849088069389588964`0.6492135862913344*^-16}, { Rational[-161, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103577,7 +123687,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00311498786900349589199962221258599922`13.936743853813452}, { + 6.052535896415594894206`0.2252252433968418*^-17}, { Rational[-201, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103595,8 +123705,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00310484084767537351791379172443887391`13.935385899833625}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.9854095401441506646005`0.918350847000002*^-16}, { Rational[-803, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103614,8 +123724,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00309471125257971474215766984433905762`13.934025758583784}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.443405997623756}, { Rational[-401, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103633,8 +123742,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0030845990947649079596321810352314123`13.932663425246135}, { + Rational[13, 4]]))^ + Rational[1, 2]), -5.58836522146734996685`0.19074985157436528*^-17}, { Rational[-801, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103653,7 +123762,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00307450438517995226846595521512158985`13.931298894983755}, { + 1.4788582760081565109164`0.6134507049998505*^-16}, { Rational[-2, 25] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103672,7 +123781,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00306442713467451735391070439912001516`13.92993216294049}, { + 5.077394983433859829398`0.14922418287052944*^-17}, { Rational[-799, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103690,8 +123799,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00305436735399900345056369012339191242`13.92856322424088}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2370999423720571228322`0.9537984314982613*^-16}, { Rational[-399, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103709,8 +123819,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00304432505380460138252098008891459491`13.92719207399006}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.6660925511749241995685`0.8695766409655029*^-16}, { Rational[-797, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103728,8 +123839,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00303430024464335268106651541450467433`13.92581870727362}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.589820087798201457697`0.10555618362455513*^-17}, { Rational[-199, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103747,8 +123859,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00302429293696820977950332839871569281`13.924443119157573}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.827230504019850688593`0.20928182644299193*^-17}, { Rational[-159, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103766,8 +123879,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00301430314113309628473456477341576452`13.923065304688242}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.185016223421316297859`0.15862886195628978*^-17}, { Rational[-397, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103785,8 +123899,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00300433086739296732520327410322620911`13.921685258892127}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.443937861647026}, { Rational[-793, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103804,8 +123917,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00299437612590386997480123725965557126`13.920302976775858}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5172937269888256271096`0.6250666490632827*^-16}, { Rational[-99, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103823,8 +123937,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00298443892672300375235840079096228933`13.918918453326055}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.444056117700875}, { Rational[-791, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103843,7 +123956,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00297451927980878119632578453407203843`13.917531683509251}, { + 1.4995900640557004665167`0.620087808697445*^-16}, { Rational[-79, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103861,8 +123974,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00296461719502088851426602098725814967`13.916142662271794}, { + Rational[13, 4]]))^ + Rational[1, 2]), -7.157786192168807848493`0.2989531186673724*^-17}, { Rational[-789, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103880,8 +123993,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00295473268212034630676697279701642703`13.91475138453974}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.078459843440391377637`0.9325670383985035*^-16}, { Rational[-197, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103899,8 +124012,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00294486575076957036539515822396311469`13.913357845218746}, { + Rational[13, 4]]))^ + Rational[1, 2]), -8.351026352333854328024`0.3660325539538345*^-17}, { Rational[-787, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103918,8 +124031,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00293501641053243254430699365526273403`13.911962039193993}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.444351859942874}, { Rational[-393, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103938,7 +124050,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00292518467087432170513713713984664371`13.910563961330057}, { + 1.527504661378271954084`0.628393570136016*^-16}, { Rational[-157, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103956,8 +124068,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00291537054116220473478448755154492529`13.909163606470816}, { + Rational[13, 4]]))^ + Rational[1, 2]), -9.911825719563584223781`0.4406238549302791*^-17}, { Rational[-49, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103975,8 +124087,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00290557403066468763571766034923906075`13.907760969439346}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0867628940101317000891`0.7640024810621461*^-16}, { Rational[-783, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -103995,7 +124108,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00289579514855207668842302301609653156`13.906356045037827}, { + 4.539771724117852108494`0.1016225744446265*^-17}, { Rational[-391, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104014,7 +124127,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00288603390389643968561963113658042008`13.904948828047441}, { + 5.216660467889352365946`0.16204031938547078*^-17}, { Rational[-781, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104032,8 +124145,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00287629030567166723786665972443746202`13.903539313228226}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.689654197640982341294`0.33061375359933354*^-17}, { Rational[-39, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104051,8 +124165,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00286656436275353415019017386145917251`13.90212749531901}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0675765534126978874379`0.4731651716373412*^-16}, { Rational[-779, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104071,7 +124186,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00285685608391976086935732795965316856`13.900713369037327}, { + 5.790929293418092147315`0.20757361380486528*^-17}, { Rational[-389, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104089,8 +124204,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00284716547785007500142732403293226233`13.899296929079235}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.270576657495331379089`0.8010207331345546*^-16}, { Rational[-777, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104108,8 +124223,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00283749255312627289920969627231325878`13.897878170119258}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2666254105993498988101`0.5475919794382901*^-16}, { Rational[-97, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104128,7 +124244,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00282783731823228131926172197529742084`13.896457086810303}, { + 1.3767528134208026812925`0.583858980171141*^-16}, { Rational[-31, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104146,8 +124262,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00281819978155421914805798749923100138`13.895033673783487}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.44506223792994}, { Rational[-387, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104166,7 +124281,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00280857995138045919696636240445171941`13.893607925648055}, { + 1.2888965826074921926758`0.5553395464015516*^-16}, { Rational[-773, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104184,8 +124299,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00279897783590169006566585533935105921`13.892179836991296}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6198176965922442718926`0.6546468556951733*^-16}, { Rational[-193, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104203,8 +124319,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00278939344321097807364304151030663852`13.890749402378372}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1581575742954154925946`0.9446737594358053*^-16}, { Rational[-771, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104222,8 +124339,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00277982678130382925940496378854871864`13.889316616352266}, { + Rational[13, 4]]))^ + Rational[1, 2]), -7.883098945328285476479`0.3419961959494702*^-17}, { Rational[-77, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104242,7 +124359,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00277027785807825144704761764702800868`13.887881473433655}, { + 1.4980144558173169291226`0.6208744823704652*^-16}, { Rational[-769, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104260,8 +124377,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00276074668133481637982033420723027642`13.886443968120735}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3970705656712933496748`0.8250985628249324*^-16}, { Rational[-48, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104279,8 +124397,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00275123325877672192032757572211851282`13.885004094889217}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9283022015363795748794`0.7306521123458284*^-16}, { Rational[-767, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104298,8 +124417,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00274173759800985431701085384074288805`13.88356184819211}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7529438732974287345661`0.8853336498326259*^-16}, { Rational[-383, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104318,7 +124438,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00273225970654285053655467300609269757`13.882117222459664}, { + 5.949031998558063453848`0.22004188092555144*^-17}, { Rational[-153, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104336,8 +124456,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00272279959178716066186158934389971557`13.880670212099215}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.038309623303380005001`0.22657024358606245*^-17}, { Rational[-191, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104356,7 +124477,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00271335726105711035524265942028618937`13.879220811495125}, { + 9.978023637023037624344`0.44475868874939184*^-17}, { Rational[-763, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104374,8 +124495,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00270393272156996338647073329308259096`13.877769015008585}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2242459909271559965125`0.5336421518418649*^-16}, { Rational[-381, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104393,8 +124515,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00269452598044598422534522236958915461`13.87631481697757}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.44583276824842}, { Rational[-761, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104412,8 +124533,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00268513704470850069841814472516190423`13.87485821171666}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1198455517270983536931`0.9400251758096332*^-16}, { Rational[-19, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104431,8 +124553,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00267576592128396670953241874618929608`13.87339919351696}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.6897612269746756372811`0.8756651286785965*^-16}, { Rational[-759, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104450,8 +124573,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00266641261700202502382454025044236189`13.871937756645968}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0935270985469302801563`0.4848402740689679*^-16}, { Rational[-379, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104469,8 +124593,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00265707713859557011484493862144243015`13.870473895347432}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0644625116509496303309`0.4732004259043343*^-16}, { Rational[-757, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104488,8 +124613,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0026477594927008110744504639837314551`13.869007603841272}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1930423566500047622017`0.9503340709385234*^-16}, { Rational[-189, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104508,7 +124634,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00263845968585733458512461005657391033`13.867538876323401}, { + 1.2973788778167548140699`0.5592555567079451*^-16}, { Rational[-151, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104526,8 +124652,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00262917772450816795438222606754423664`13.866067706965627}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.446248081911126}, { Rational[-377, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104545,8 +124670,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00261991361499984221091661599739152583`13.864594089915547}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1684894468144235675856`0.7824647490315808*^-16}, { Rational[-753, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104565,7 +124691,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00261066736358245526214806447728518181`13.863118019296397}, { + 7.515284070811746083063`0.3223121973362957*^-17}, { Rational[-47, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104583,8 +124709,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00260143897640973511283396588107169489`13.861639489206906}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4227311526890505565537`0.8307313859027592*^-16}, { Rational[-751, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104602,8 +124729,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00259222845953910314440186656233026424`13.860158493721213}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.6227660108855477421392`0.86524508101148*^-16}, { Rational[-3, 40] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104622,7 +124749,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00258303581893173745466785979104003077`13.858675026888722}, { + 7.461412771415350369425`0.3193659781543768*^-17}, { Rational[-749, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104640,8 +124767,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00257386106045263625760389876064568817`13.857189082733937}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.632756422673480024644`0.19732526720630608*^-17}, { Rational[-187, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104659,8 +124787,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00256470418987068134281871507627449423`13.855700655256394}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1955399187408580225567`0.5242277671462926*^-16}, { Rational[-747, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104679,7 +124808,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00255556521285870159441814841084393425`13.854209738430464}, { + 1.2165345154565445395289`0.5318475163552753*^-16}, { Rational[-373, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104697,8 +124826,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00254644413499353656891180754165462925`13.852716326205265}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.44678248271717}, { Rational[-149, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104716,8 +124844,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00253734096175610013183409376704540787`13.851220412504528}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.44684189023904}, { Rational[-93, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104735,8 +124862,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00252825569853144415274872476492608594`13.849721991226431}, { + Rational[13, 4]]))^ + Rational[1, 2]), -8.728775322455813991609`0.3878546186532008*^-17}, { Rational[-743, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104754,8 +124881,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00251918835060882225830700030366374461`13.8482210562435}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.6330491763393799002264`0.659959986033751*^-16}, { Rational[-371, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104773,8 +124900,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0025101389231817536430311508646582873`13.846717601402442}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5880927653837852076065`0.6478960157579711*^-16}, { Rational[-741, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104792,8 +124920,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00250110742134808693749520619641930215`13.845211620524022}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.479124910188674721942`0.18579078110501182*^-17}, { Rational[-37, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104811,8 +124940,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00249209385011006413357691310551960008`13.843703107402936}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.863814769583151738919`0.9040839415300247*^-16}, { Rational[-739, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104831,7 +124960,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00248309821437438456645532041193111896`13.842192055807658}, { + 4.663887668612697240391`0.11594654191464836*^-17}, { Rational[-369, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104849,8 +124978,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00247412051895226895302973396808017767`13.840678459480294}, { + Rational[13, 4]]))^ + Rational[1, 2]), -5.693825155830072054221`0.2026620361577131*^-17}, { Rational[-737, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104868,8 +124997,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00246516076855952348643682597435005472`13.839162312136457}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3584040297598516218355`0.8199355726685973*^-16}, { Rational[-46, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104887,8 +125017,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0024562189678166039863437605310860669`13.837643607465138}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.4953019635370638584006`0.9908615264249657*^-16}, { Rational[-147, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104906,8 +125037,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00244729512124868010469627146101599619`13.836122339128499}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6159999113579947517381`0.6558776251086578*^-16}, { Rational[-367, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104926,7 +125058,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00243838923328569958660169892796973991`13.834598500761812}, { + 5.141698956236654387057`0.15860241080946422*^-17}, { Rational[-733, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104945,7 +125077,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00242950130826245258602805828067555954`13.833072085973255}, { + 9.821274309041515426277`0.43972308550891787*^-17}, { Rational[-183, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104963,8 +125095,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00242063135041863603600127787583190855`13.831543088343821}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9053286497440884086793`0.9108099960668369*^-16}, { Rational[-731, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -104983,7 +125116,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00241177936389891807298380239515111514`13.830011501427094}, { + 1.1810747935305986867113`0.5199516211094701*^-16}, { Rational[-73, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105002,7 +125135,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00240294535275300251511881437824416237`13.828477318749165}, { + 5.007571291496266130129`0.14736085800045548*^-17}, { Rational[-729, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105020,8 +125153,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00239412932093569339402537935939525887`13.826940533808482}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1387065047933212689503`0.7779444102005121*^-16}, { Rational[-91, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105039,8 +125173,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00238533127230695953983086913335194549`13.825401140075659}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.44785272867489}, { Rational[-727, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105058,8 +125191,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00237655121063199921912806329499121639`13.823859130993336}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.447912243451807}, { Rational[-363, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105078,7 +125210,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0023677891395813048255453713122716393`13.82231449997609}, { + 3.0606874358532355173634`0.9337907449611077*^-16}, { Rational[-29, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105096,8 +125228,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00235904506273072762261965601282709734`13.820767240410165}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.5108408047562672361929`0.627249996678735*^-16}, { Rational[-181, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105115,8 +125247,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00235031898356154253866217450388021482`13.819217345653449}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2681081308816199953202`0.5512471108491398*^-16}, { Rational[-723, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105134,8 +125267,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00234161090546051301330918421463726046`13.817664809035204}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.0715955689974429884109`0.9355143948006089*^-16}, { Rational[-361, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105153,8 +125287,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00233292083171995589544978996140223436`13.816109623855997}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.660167749357783254574`0.8731189310566908*^-16}, { Rational[-721, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105172,8 +125306,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00232424876553780639222463270047392552`13.814551783387477}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6958560899708230305924`0.6776584531149393*^-16}, { Rational[-9, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105191,8 +125326,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00231559471001768306879004196376852935`13.812991280872263}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.4849730438736610876677`0.9905284376621021*^-16}, { Rational[-719, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105210,8 +125346,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00230695866816895289854329187858332471`13.811428109523769}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0613414372747850761176`0.7625385107242034*^-16}, { Rational[-359, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105230,7 +125367,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00229834064290679636350561516807535347`13.809862262526034}, { + 1.0027040259412562277503`0.44962090450365094*^-16}, { Rational[-717, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105249,7 +125386,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00228974063705227260456064062359433329`13.808293733033578}, { + 2.2720222624728868826063`0.804920303018291*^-16}, { Rational[-179, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105268,7 +125405,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00228115865333238462124692724638588214`13.806722514171218}, { + 2.4673314356725514261201`0.8407947932145945*^-16}, { Rational[-143, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105287,7 +125424,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00227259469438014452080427258490980975`13.805148599033927}, { + 3.638292496292834644666`0.009524498618610967*^-17}, { Rational[-357, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105305,8 +125442,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00226404876273463881617447375733041602`13.803571980686648}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.571178762824985599227`0.26632976251614676*^-17}, { Rational[-713, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105325,7 +125463,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00225552086084109377265821725744585675`13.801992652164152}, { + 1.4968338155813584799704`0.6239196652204961*^-16}, { Rational[-89, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105343,8 +125481,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00224701099105094080293076790793050257`13.800410606470837}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3415422565694156250041`0.5764100408632801*^-16}, { Rational[-711, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105362,8 +125501,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00223851915562188191012011825878957643`13.7988258365806}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.448865294749865}, { Rational[-71, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105381,8 +125519,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0022300453567179551786522473422342577`13.797238335436624}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9456408080716766639017`0.9181046992956738*^-16}, { Rational[-709, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105400,8 +125539,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00222158959640960031256912199933622268`13.795648095951254}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1618168694217831724753`0.5141222123547216*^-16}, { Rational[-177, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105419,8 +125559,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00221315187667372422102605499984372264`13.794055111005774}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.449044163064467}, { Rational[-707, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105438,8 +125577,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00220473219939376665067601189557648178`13.792459373450287}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.6240703602076024030015`0.8680792736449008*^-16}, { Rational[-353, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105457,8 +125597,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00219633056635976586464943299110561462`13.790860876103487}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.968011119587288264687`0.29227227377135573*^-17}, { Rational[-141, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105476,8 +125617,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0021879469792684243678391079938052065`13.789259611752538}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0399739535862126570761`0.7588477079754747*^-16}, { Rational[-44, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105495,8 +125637,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00217958143972317467820060883023073979`13.787655573152833}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.240757479037589335463`0.24452004436053196*^-17}, { Rational[-703, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105514,8 +125657,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00217123394923424514377975079765205699`13.786048753027869}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1998356043851657632341`0.7917326242582704*^-16}, { Rational[-351, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105533,8 +125677,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00216290450921872580517951366993628428`13.784439144069061}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5489241577702561259915`0.8557589757943886*^-16}, { Rational[-701, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105553,7 +125698,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00215459312100063430317981260633703299`13.782826738935537}, { + 2.5608831346454222103016`0.8578514933836072*^-16}, { Rational[-7, 100] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105571,8 +125716,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00214629978581098183122446373126476105`13.781211530253957}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.9179612560685027101404`0.732361240366409*^-16}, { Rational[-699, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105590,8 +125735,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00213802450478783913249064107338845192`13.77959351061837}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6286964299471608514858`0.6614212379471478*^-16}, { Rational[-349, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105609,8 +125755,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00212976727897640254125707018472432685`13.777972672589959}, { + Rational[13, 4]]))^ + Rational[1, 2]), -9.3425966194613702754`0.4201083806029011*^-17}, { Rational[-697, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105628,8 +125774,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00212152810932906006828814921466815351`13.776349008696936}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1546414509791120680859`0.9486504833503581*^-16}, { Rational[-87, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105648,7 +125795,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0021133069967054575299521305021222875`13.774722511434284}, { + 1.6058493801344006620238`0.6554649874785295*^-16}, { Rational[-139, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105666,8 +125813,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00210510394187256472079243488038980367`13.773093173263621}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1643680544548462103022`0.9501068778328728*^-16}, { Rational[-347, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105685,8 +125833,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00209691894550474162927210687611715699`13.771460986612968}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0530937264479802335649`0.7622883756163558*^-16}, { Rational[-693, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105705,7 +125854,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00208875200818380469641235183518491253`13.769825943876585}, { + 1.2617901706510670433255`0.5509264589893185*^-16}, { Rational[-173, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105724,7 +125873,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00208060313039909311704702573604576736`13.76818803741478}, { + 2.2214685032918293435639`0.7966392045569398*^-16}, { Rational[-691, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105743,7 +125892,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00207247231254753518341587506499475198`13.766547259553665}, { + 2.0138939010382613858679`0.7540953626812182*^-16}, { Rational[-69, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105761,8 +125910,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00206435955493371467082026557242935385`13.764903602585056}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8329481161786869816766`0.7132686854813524*^-16}, { Rational[-689, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105780,8 +125930,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00205626485776993726548609887079507018`13.763257058766165}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.8444685209513847751127`1.0350145663997716*^-16}, { Rational[-43, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105800,7 +125951,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00204818822117629703141856977361546664`13.76160762031949}, { + 2.6275417951271971555522`0.8697876397910317*^-16}, { Rational[-687, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105818,8 +125969,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0020401296451807429247984566456428172`13.759955279432571}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5091767489715295570565`0.8498290172156675*^-16}, { Rational[-343, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105837,8 +125989,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00203208912971914534094154481090436308`13.758300028257784}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.450357524516914}, { Rational[-137, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105856,8 +126007,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00202406667463536270825551041222893436`13.756641858912174}, { + Rational[13, 4]]))^ + Rational[1, 2]), -9.815580390801771878751`0.4423332767612142*^-17}, { Rational[-171, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105875,8 +126026,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00201606227968130812009974058632407551`13.754980763477212}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.767981243895928386528`0.34078523470071115*^-17}, { Rational[-683, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105894,8 +126046,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00200807594451701600721945382265429481`13.753316733998599}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.782677337062568003601`0.8949996975031579*^-16}, { Rational[-341, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105913,8 +126065,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00200010766871070885006493582909319411`13.751649762486096}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.3381096229437704015607`0.9740972273724714*^-16}, { Rational[-681, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105933,7 +126086,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00199215745173886393072778547128802136`13.749979840913225}, { + 9.963717613433940125775`0.4490778353596306*^-17}, { Rational[-17, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105951,8 +126104,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0019842252929862801242269584047450465`13.748306961217184}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5009633858505487200004`0.6270863214844018*^-16}, { Rational[-679, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105970,8 +126124,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00197631119174614472887828608095409709`13.746631115298518}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8191963942910852848409`0.7106556141536361*^-16}, { Rational[-339, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -105989,8 +126144,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00196841514722010033548203489192314832`13.744952295020978}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7960281222831719489518`0.8973773732946163*^-16}, { Rational[-677, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106009,7 +126165,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0019605371585183117350639543310903559`13.74327049221127}, { + 1.5415923787086975877121`0.6388652092760306*^-16}, { Rational[-169, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106028,7 +126184,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00195267722465953286490614420295709128`13.741585698658854}, { + 1.1728619538677781572153`0.5202023759207329*^-16}, { Rational[-27, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106047,7 +126203,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00194483534457117379260494911897786109`13.739897906115734}, { + 1.97546140308791611461`0.7466838549192677*^-16}, { Rational[-337, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106066,7 +126222,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00193701151708936773789396378272396454`13.738207106296205}, { + 1.0282613651513044320634`0.4631786593903325*^-16}, { Rational[-673, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106085,7 +126241,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00192920574095903813197110490421151791`13.73651329087665}, { + 2.0685247060501111847335`0.7667956943890735*^-16}, { Rational[-42, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106104,7 +126260,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00192141801483396571406957499982446599`13.734816451495337}, { + 9.121141113773316755853`0.41124400386000926*^-17}, { Rational[-671, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106122,8 +126278,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00191364833727685566501340984196039269`13.733116579752176}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.451254682272346}, { Rational[-67, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106141,8 +126296,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0019058967067594047774991649300859364`13.731413667208477}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.451314541570266}, { Rational[-669, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106161,7 +126315,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00189816312166236866284615707274045208`13.729707705386733}, { + 8.275513279054769959536`0.3691693468704164*^-17}, { Rational[-167, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106180,7 +126334,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00189044758027562899395853500756228366`13.727998685770393}, { + 1.4827507640622204505167`0.6225024349977966*^-16}, { Rational[-667, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106199,7 +126353,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00188275008079826078424330795375188317`13.726286599803641}, { + 2.1779338932325140169375`0.7895388496281607*^-16}, { Rational[-333, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106217,8 +126371,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00187507062133859970222931309793607225`13.724571438891152}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.585952076585826421272`1.0061585211534663*^-16}, { Rational[-133, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106236,8 +126390,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00186740919991430942163295226995703472`13.722853194397848}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.4199416207108393157173`0.9856326223648778*^-16}, { Rational[-83, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106255,8 +126410,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00185976581445244900661737447932358058`13.721131857648658}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.1461896332925349835824`0.949458721482947*^-16}, { Rational[-663, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106274,8 +126429,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00185214046278954033199262456561510112`13.719407419928295}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1106809186656030834089`0.9445891930655967*^-16}, { Rational[-331, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106294,7 +126450,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00184453314267163553810511897643886423`13.717679872481026}, { + 5.830185317078388227097`0.21747599550195104*^-17}, { Rational[-661, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106312,8 +126468,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00183694385175438452016564763422910905`13.71594920651039}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.45185355050386}, { Rational[-33, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106332,7 +126487,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00182937258760310245176593599794980462`13.714215413178987}, { + 1.1742398060273285179569`0.5216702696254827*^-16}, { Rational[-659, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106350,8 +126505,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00182181934769283734233463377676513216`13.712478483608212}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7812816932474446407602`0.8962183748752793*^-16}, { Rational[-329, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106369,8 +126525,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00181428412940843762828442631963925206`13.71073840887803}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5600038350489041010421`0.8602739463414466*^-16}, { Rational[-657, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106388,8 +126545,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00180676693004461979760279149712458622`13.70899518002669}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2991409665571701073294`0.5657495471876385*^-16}, { Rational[-41, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106407,8 +126565,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00179926774680603604763974891841446694`13.707248788050512}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.452153214398134}, { Rational[-131, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106427,7 +126584,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00179178657680734197584676959770842194`13.705499223903606}, { + 4.061165598455976764566`0.06086386427819676*^-17}, { Rational[-327, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106445,8 +126602,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00178432341707326430322183270813178832`13.703746478497639}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.4522731229389}, { Rational[-653, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106464,8 +126620,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00177687826453866863021643184856406204`13.70199054270153}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.452333086429128}, { Rational[-163, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106483,8 +126638,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00176945111604862722486114630741425418`13.700231407341258}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.45239305606898}, { Rational[-651, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106503,7 +126657,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00176204196835848684286720314756909688`13.698469063199546}, { + 9.732146940545346508418`0.4406616893519957*^-17}, { Rational[-13, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106521,8 +126675,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00175465081813393657946226356699195468`13.69670350101563}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9843898752563650009096`0.7501400163215299*^-16}, { Rational[-649, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106540,8 +126695,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00174727766195107575271947191960221039`13.694934711484967}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0699933482833136760679`0.7685419518065347*^-16}, { Rational[-81, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106559,8 +126715,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00173992249629648181813960801943188096`13.693162685258976}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.818084918512050588695`1.0344785795344604*^-16}, { Rational[-647, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106578,8 +126734,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00173258531756727831424698290761721255`13.691387412944787}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9233631632437036534738`0.9185757667899719*^-16}, { Rational[-323, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106597,8 +126754,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00172526612207120283896051514511925356`13.689608885104942}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.4184541643269860492609`0.6045683098236871*^-16}, { Rational[-129, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106616,8 +126774,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0017179649060266750565022189130520041`13.687827092257146}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9406681920813962248409`0.7407643036803415*^-16}, { Rational[-161, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106636,7 +126795,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00171068166556286473460612676695229885`13.686042024873952}, { + 1.6201945304899206002607`0.6624401965818567*^-16}, { Rational[-643, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106655,7 +126814,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00170341639671975981179145880906790746`13.684253673382528}, { + 6.357689342090100052431`0.25623236296011415*^-17}, { Rational[-321, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106673,8 +126832,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0016961690954482344944646363240870224`13.682462028164354}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0450455928721983352429`0.7636960859479529*^-16}, { Rational[-641, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106692,8 +126852,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00168893975761011738361552157613267352`13.680667079554915}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.453053128640054}, { Rational[-8, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106711,8 +126870,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00168172837897825963087404649876767725`13.678868817843487}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.45311317225473}, { Rational[-639, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106731,7 +126889,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00167453495523660312369417143251134956`13.677067233272757}, { + 1.5097288166026349179422`0.6320721666732929*^-16}, { Rational[-319, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106750,7 +126908,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00166735948198024869943289088616860432`13.675262316038609}, { + 1.3694374822135882125936`0.589775488583961*^-16}, { Rational[-637, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106769,7 +126927,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00166020195471552438809277652688069221`13.673454056289804}, { + 5.552550972522796481798`0.19778589425544227*^-17}, { Rational[-159, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106787,8 +126945,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00165306236886005368349731824878841671`13.671642444127665}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0779819786131145551088`0.7709951853318172*^-16}, { Rational[-127, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106806,8 +126965,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00164594071974282384266909223954054779`13.669827469605806}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.644625659234406607753`0.6694805448486387*^-16}, { Rational[-317, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106825,8 +126984,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0016388370026042542131815504672050199`13.66800912272986}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.2478397694053744881448`0.8052389143988339*^-16}, { Rational[-633, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106844,8 +127004,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00163175121259626458825598895520874809`13.666187393457088}, { + Rational[13, 4]]))^ + Rational[1, 2]), -5.177736864030256378382`0.167673626243315*^-17}, { Rational[-79, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106864,7 +127024,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00162468334478234358937601260912505009`13.664362271696195}, { + 1.0364146743865807056529`0.46912729727360813*^-16}, { Rational[-631, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106883,7 +127043,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00161763339413761707619257221476365766`13.66253374730691}, { + 1.827582575256156685331`0.7155308518454306*^-16}, { Rational[-63, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106901,8 +127061,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00161060135554891658349340455055949589`13.66070181009976}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4327047423601536598602`0.8398033504155828*^-16}, { Rational[-629, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106920,8 +127081,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00160358722381484778501145935775360473`13.65886644983572}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5960175543453063620619`0.8680816852209645*^-16}, { Rational[-157, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106939,8 +127101,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00159659099364585898384764719738089021`13.657027656225901}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.453834178291814}, { Rational[-627, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106958,8 +127119,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00158961265966430962928399000228890208`13.655185418931257}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7237679156353784992018`0.8890644022637721*^-16}, { Rational[-313, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106978,7 +127140,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00158265221640453885976400141406822983`13.653339727562233}, { + 4.32897541733587421685`0.09033955237836534*^-17}, { Rational[-1, 16] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -106996,8 +127158,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00157570965831293407181786678688476233`13.65149057167849}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2141622367843654598423`0.9610823635640127*^-16}, { Rational[-39, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107016,7 +127179,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00156878497974799951471073305154034604`13.649637940788512}, { + 1.5915382301960107762683`0.6558917873007346*^-16}, { Rational[-623, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107034,8 +127197,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00156187817498042491059315647195537335`13.64778182434937}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.7428632872605917008252`1.0273388253073303*^-16}, { Rational[-311, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107053,8 +127217,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00155498923819315409993349170101593116`13.645922211766287}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9551254446534826162555`0.9247709375953796*^-16}, { Rational[-621, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107072,8 +127237,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00154811816348145371201273846163745796`13.644059092392418}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1608688114117684367239`0.7888835788822346*^-16}, { Rational[-31, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107091,8 +127257,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00154126494485298186026309265061346237`13.64219245552844}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.9534366656402819335795`1.0512901310682783*^-16}, { Rational[-619, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107110,8 +127277,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00153442957622785686223217669504445557`13.640322290422223}, { + Rational[13, 4]]))^ + Rational[1, 2]), -6.291783540878327506749`0.2531492921538872*^-17}, { Rational[-309, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107129,8 +127296,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00152761205143872598395564959291478138`13.638448586268533}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.3315185793230590298897`0.977077938873277*^-16}, { Rational[-617, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107149,7 +127316,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00152081236423083420852162024804373922`13.636571332208652}, { + 1.273768461015809196366`0.5595863769896697*^-16}, { Rational[-77, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107167,8 +127334,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00151403050826209302861100847436376111`13.634690517330025}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2198820363702629744222`0.5408739142195274*^-16}, { Rational[-123, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107186,8 +127354,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00150726647710314926279871640258205445`13.632806130665944}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.454616276953644}, { Rational[-307, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107205,8 +127372,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00150052026423745389540118898246055732`13.63091816119519}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.414218870250974250534`0.18821229016469035*^-17}, { Rational[-613, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107224,8 +127392,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00149379186306133093965665584413294204`13.629026597841664}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.45473669325492}, { Rational[-153, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107244,7 +127411,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00148708126688404632402505797026385329`13.627131429474035}, { + 4.713605067732955550946`0.12815010285237408*^-17}, { Rational[-611, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107262,8 +127429,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00148038846892787680139537144529608995`13.625232644905397}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.783415051691170621072`0.7061095622091594*^-16}, { Rational[-61, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107281,8 +127448,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00147371346232817888098874699689771053`13.62333023289287}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.454917364525805}, { Rational[-609, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107300,8 +127466,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00146705624013345778274658813576350097`13.621424182137295}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.0704490290736626918313`0.9421794930584211*^-16}, { Rational[-38, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107320,7 +127487,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00146041679530543641399339244124009024`13.619514481282799}, { + 8.472917554955971268541`0.38307082383917884*^-17}, { Rational[-607, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107338,8 +127505,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00145379512071912436816487993983029084`13.617601118916465}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8430147957771440903974`0.9088772119109316*^-16}, { Rational[-303, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107358,7 +127526,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00144719120916288694539262958935457172`13.61568408356796}, { + 2.0906752917192061510271`0.7754449336824929*^-16}, { Rational[-121, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107376,8 +127544,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00144060505333851419473713962135447662`13.61376336370912}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0845012931369671288356`0.4904486825095122*^-16}, { Rational[-151, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107395,8 +127564,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00143403664586128997786191991611968981`13.611838947753617}, { + Rational[13, 4]]))^ + Rational[1, 2]), -6.627722101941587726223`0.2766431661586427*^-17}, { Rational[-603, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107415,7 +127584,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00142748597926006105394191469625282016`13.609910824056536}, { + 7.994713501630864248717`0.35814205463054377*^-17}, { Rational[-301, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107433,8 +127602,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00142095304597730618560024163408863272`13.607978980914012}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3476289329366830508318`0.8260288829531692*^-16}, { Rational[-601, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107452,8 +127622,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00141443783836920526566791898279052967`13.606043406562824}, { + Rational[13, 4]]))^ + Rational[1, 2]), -9.044426641981089323702`0.4118407566308608*^-17}, { Rational[-3, 50] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107471,8 +127641,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00140794034870570846456193556915371449`13.604104089180034}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4121531288103904906286`0.8379248829272732*^-16}, { Rational[-599, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107491,7 +127662,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00140146056917060539807769943483578756`13.602161016882524}, { + 3.553966212218118673593`0.006293602099739746*^-17}, { Rational[-299, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107509,8 +127680,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00139499849186159431539257959068321378`13.600214177726683}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1581023740650466636736`0.5193875645166012*^-16}, { Rational[-597, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107528,8 +127700,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00138855410879035130707793176266912019`13.598263559707926}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6198958560122006528644`0.6651880184422713*^-16}, { Rational[-149, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107548,7 +127721,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00138212741188259953291767316634385574`13.596309150760332}, { + 4.052731393278051329421`0.0635090622740319*^-17}, { Rational[-119, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107567,7 +127740,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00137571839297817846933214325662874601`13.594350938756222}, { + 1.2428652662519314577587`0.5502456168733172*^-16}, { Rational[-297, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107586,7 +127759,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00136932704383111317620665706894081998`13.592388911505752}, { + 1.1794394504536693279637`0.5275575461342173*^-16}, { Rational[-593, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107604,8 +127777,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00136295335610968358292482520417609539`13.590423056756462}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5065300332915068077678`1.0008197954708993*^-16}, { Rational[-37, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107624,7 +127798,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00135659732139649379340737972079675614`13.588453362192922}, { + 2.3211758275169471325417`0.8217106145563333*^-16}, { Rational[-591, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107643,7 +127817,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00135025893118854140995790819016623046`13.586479815436228}, { + 8.784124719963934465607`0.39976141787000213*^-17}, { Rational[-59, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107661,8 +127835,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00134393817689728687571755895394838552`13.584502404043649}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3670875831331961090399`0.8303376071623797*^-16}, { Rational[-589, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107681,7 +127856,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00133763504984872283553143920199553904`13.582521115508136}, { + 2.994905785059656230701`0.9325668063182533*^-16}, { Rational[-147, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107700,7 +127875,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00133134954128344351503008387336950289`13.580535937257917}, { + 1.8287262342762548345525`0.7183927047694009*^-16}, { Rational[-587, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107719,7 +127894,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00132508164235671411773002757955366474`13.578546856656065}, { + 5.121679423881535644485`0.16571677552862837*^-17}, { Rational[-293, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107737,8 +127912,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00131883134413854023995816376449822025`13.576553861000015}, { + Rational[13, 4]]))^ + Rational[1, 2]), -5.15994717536528632479`0.16901002009921162*^-17}, { Rational[-117, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107756,8 +127931,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00131259863761373730340522515890314154`13.574556937521184}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8722688903123373251304`0.7287933725329349*^-16}, { Rational[-73, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107775,8 +127951,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00130638351368200000511436726285165399`13.572556073384455}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.3087379117735441381005`0.9761479122952901*^-16}, { Rational[-583, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107794,8 +127971,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00130018596315797178471148210934119679`13.570551255687757}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1001433570366480495039`0.9479277211474892*^-16}, { Rational[-291, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107813,8 +127991,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00129400597677131430868451292859289561`13.568542471461603}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9920438315149944524098`0.7559052401140793*^-16}, { Rational[-581, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107833,7 +128012,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00128784354516677697151968155645275418`13.566529707668636}, { + 2.5093346826231753128802`0.8562253513914553*^-16}, { Rational[-29, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107851,8 +128030,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0012816986589042664135031795171656035`13.56451295120312}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.2528273382005033526167`0.8094550883222761*^-16}, { Rational[-579, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107870,8 +128050,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00127557130845891605499751066850512292`13.562492188890532}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5605099910026838683928`0.6500541589606342*^-16}, { Rational[-289, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107889,8 +128070,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00126946148422115564700230813287206301`13.560467407487058}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2071373216537936923151`0.5386047139580067*^-16}, { Rational[-577, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107908,8 +128090,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00126336917649678083781008095864477635`13.558438593679119}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.456908473964035}, { Rational[-36, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107927,8 +128108,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00125729437550702275556797656924256289`13.556405734082842}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5621296496110329109833`0.8655700199771587*^-16}, { Rational[-23, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107946,8 +128128,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00125123707138861760655727356993628267`13.55436881524368}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5196101593244921914889`0.6387615561630389*^-16}, { Rational[-287, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107965,8 +128148,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00124519725419387628900294590148564172`13.552327823635823}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.45708982421629}, { Rational[-573, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -107984,8 +128166,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0012391749138907540222262636626073347`13.550282745661738}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.5315697366996001305971`0.860540182281593*^-16}, { Rational[-143, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108003,8 +128185,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00123317004036291999095401817707794704`13.548233567651685}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5738931611131675636078`0.6541860043219694*^-16}, { Rational[-571, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108022,8 +128205,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00122718262340982700459857906241655682`13.546180275863193}, { + Rational[13, 4]]))^ + Rational[1, 2]), -9.415455871759230647452`0.4311125837222544*^-17}, { Rational[-57, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108041,8 +128224,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00122121265274678117132360917395746476`13.544122856480552}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.9051420437537101911593`0.737259074598501*^-16}, { Rational[-569, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108060,8 +128243,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00121526011800501158671087935590696752`13.54206129561431}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3732182723964286241798`0.8327298848012572*^-16}, { Rational[-71, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108080,7 +128264,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00120932500873174003684423893850793173`13.53999557930075}, { + 3.929782886372852305192`0.05182125277710774*^-17}, { Rational[-567, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108098,8 +128282,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00120340731439025071562740988321135385`13.537925693501379}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1545283293480866213186`0.5199177904610432*^-16}, { Rational[-283, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108118,7 +128303,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00119750702435995995615288240354283815`13.53585162410238}, { + 6.207562073195724318426`0.2504947746931619*^-17}, { Rational[-113, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108136,8 +128321,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00119162412793648597593979778491225819`13.533773356914109}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1946737085609721467153`0.7990041781315991*^-16}, { Rational[-141, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108155,8 +128341,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00118575861433171863585930999856195251`13.531690877670545}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.547655331775054114512`0.6473689852164831*^-16}, { Rational[-563, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108174,8 +128360,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00117991047267388921256652156034207738`13.529604172028728}, { + Rational[13, 4]]))^ + Rational[1, 2]), -4.939508641361528778016`0.1514390127256309*^-17}, { Rational[-281, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108193,8 +128379,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00117407969200764018425869093097281973`13.527513225568251}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.1533388119154844657797`1.0762131562099544*^-16}, { Rational[-561, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108212,8 +128399,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00116826626129409502958000859714033056`13.52541802379071}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.457876334648287}, { Rational[-7, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108232,7 +128418,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00116247016941092803949383681993490592`13.523318552119095}, { + 1.9541795764543711667933`0.7489013499898975*^-16}, { Rational[-559, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108250,8 +128436,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00115669140515243414194390389447148957`13.52121479589731}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7255052004463841395457`0.8934444465867427*^-16}, { Rational[-279, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108269,8 +128456,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0011509299572295987391265376397680871`13.519106740389534}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.5990097575285753669214`0.872865899550913*^-16}, { Rational[-557, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108288,8 +128475,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00114518581427016755719661473704359197`13.516994370779711}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2185883252500323162789`0.5439755669650583*^-16}, { Rational[-139, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108308,7 +128496,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00113945896481871650823049246494518812`13.514877672170927}, { + 2.573455801571471466082`0.8686958384398797*^-16}, { Rational[-111, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108327,7 +128515,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00113374939733672156426977734777244784`13.512756629584853}, { + 4.642520874605498891894`0.12499356697844181*^-17}, { Rational[-277, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108346,7 +128534,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00112805710020262864327037124490782208`13.510631227961177}, { + 7.301352379337851574031`0.32170359425281864*^-17}, { Rational[-553, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108365,7 +128553,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00112238206171192350678181947257116433`13.50850145215697}, { + 9.609994322596131966934`0.4410840062683457*^-17}, { Rational[-69, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108383,8 +128571,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00111672427007720166918256766938415622`13.506367286946126}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4761453853631668631096`0.8521976118596291*^-16}, { Rational[-551, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108402,8 +128591,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00111108371342823831829731430193297589`13.50422871701875}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.458482074066104}, { Rational[-11, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108422,7 +128610,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00110546037981205824722322396167597539`13.502085726980528}, { + 9.43207027036213797348`0.4331497107491501*^-17}, { Rational[-549, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108440,8 +128628,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00109985425719300579719234293713832321`13.499938301352161}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.4690243741530730990839`0.6256323001954665*^-16}, { Rational[-137, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108459,8 +128648,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00109426533345281481129813296165109653`13.497786424568705}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3122117393126876288934`0.5766678390288121*^-16}, { Rational[-547, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108478,8 +128668,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00108869359639067859891461154350351665`13.49563008097898}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.45872454849795}, { Rational[-273, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108497,8 +128686,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00108313903372331991063715788877798137`13.493469254844893}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5213365998544541798052`1.0054927237701516*^-16}, { Rational[-109, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108517,7 +128707,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00107760163308506092357461213385106122`13.491303930340875}, { + 7.540269523771396487464`0.33623269389502375*^-17}, { Rational[-34, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108535,8 +128725,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00107208138202789323682286242082266034`13.489134091553163}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.45890647145971}, { Rational[-543, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108554,8 +128743,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00106657826802154787695067928173033594`13.486959722479202}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.4892322636424913074426`0.6319295617182432*^-16}, { Rational[-271, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108574,7 +128764,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0010610922784535653133291198523681819`13.484780807026985}, { + 5.710809614343885605974`0.21572546743625154*^-17}, { Rational[-541, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108593,7 +128783,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00105562340062936548313638562041997262`13.482597329014373}, { + 1.6213608420801856262072`0.6689681320837492*^-16}, { Rational[-27, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108611,8 +128801,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00105017162177231782587057673192056708`13.480409272168458}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.6623538242973620514393`1.0229094247372936*^-16}, { Rational[-539, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108630,8 +128821,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00104473692902381132720334334075100804`13.478216620124883}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6806880455918137183501`0.6846969154939712*^-16}, { Rational[-269, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108650,7 +128842,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00103931930944332457200799009464182116`13.47601935642713}, { + 7.335869016924878914779`0.3247220586323437*^-17}, { Rational[-537, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108668,8 +128860,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00103391875000849580639614361413791772`13.473817464525894}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.2553015636502050456691`0.8125358035576524*^-16}, { Rational[-67, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108687,8 +128880,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0010285352376151930085976447442810121`13.471610927778354}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.342814969380581570776`0.8291298714931159*^-16}, { Rational[-107, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108707,7 +128900,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00102316875907758396851887744914805758`13.469399729447483}, { + 1.5180078110247796153194`0.6407265925575519*^-16}, { Rational[-267, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108725,8 +128918,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0010178193011282063758152944822889001`13.467183852701378}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.6942925891097038982852`0.68850170903337*^-16}, { Rational[-533, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108744,8 +128937,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00101248685041803791631444640873493679`13.464963280612475}, { + Rational[13, 4]]))^ + Rational[1, 2]), -7.283486011709857549359`0.3219133062202022*^-17}, { Rational[-133, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108763,8 +128956,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00100717139351656637662636518168973305`13.462737996156905}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.8251233635253729087069`1.0422801861903368*^-16}, { Rational[-531, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108782,8 +128976,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00100187291691185975677869629655259026`13.460507982213747}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.4768049343327565339382`0.6290186056539947*^-16}, { Rational[-53, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108802,7 +128997,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00099659140701063639071451456168427287`13.458273221564284}, { + 2.3048045573266421919795`0.8223903121304412*^-16}, { Rational[-529, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108820,8 +129015,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00099132685013833507449129774632887505`13.456033696891298}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.2724665392903681944953`0.8163144489934657*^-16}, { Rational[-33, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108839,8 +129035,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00098607923253918520202006979664130231`13.453789390778276}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.8152176256952140018442`1.041397017675879*^-16}, { Rational[-527, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108858,8 +129054,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00098084854037627690818426095777640863`13.4515402857087}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1424971128090523883576`0.5177935696521223*^-16}, { Rational[-263, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108878,7 +129075,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00097563475973163121917836600865105178`13.449286364065301}, { + 1.9176320533096509208676`0.7427645017246444*^-16}, { Rational[-21, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108896,8 +129093,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0009704378766062702099070139130956291`13.447027608129234}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.4771067538635780502229`0.6294718747019808*^-16}, { Rational[-131, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108916,7 +129114,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00096525787692028716828559252227423379`13.44476400007937}, { + 9.493056169357592749077`0.4375268172258598*^-17}, { Rational[-523, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108934,8 +129132,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00096009474651291676628410053457229815`13.442495521991477}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.828636068765560910427`0.35386765339487636*^-17}, { Rational[-261, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108953,8 +129152,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00095494847114260523755642573665090383`13.440222155837445}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.603413635757611003286`0.8757855129531663*^-16}, { Rational[-521, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108972,8 +129171,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00094981903648708056149777361901166839`13.437943883484479}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.847653931482756898168`0.29584493670920525*^-17}, { Rational[-13, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -108991,8 +129191,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00094470642814342265357349378708252768`13.435660686694327}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.259044837601177814411`0.18127080818812893*^-17}, { Rational[-519, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109010,8 +129211,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00093961063162813356176307318057786942`13.433372547122437}, { + Rational[13, 4]]))^ + Rational[1, 2]), -9.989143582912476834423`0.4599529984582947*^-17}, { Rational[-259, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109030,7 +129231,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00093453163237720766896358497540337168`13.431079446317156}, { + 1.7575056354946465505043`0.7053822836461917*^-16}, { Rational[-517, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109048,8 +129249,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00092946941574620190119740017987695593`13.4287813657189}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3410404532310459545112`0.8299552968091956*^-16}, { Rational[-129, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109067,8 +129269,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00092442396701030594146948535594484444`13.426478286659313}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8858323877986208096599`0.9208783091565402*^-16}, { Rational[-103, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109086,8 +129289,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00091939527136441244912012460285239736`13.424170190360439}, { + Rational[13, 4]]))^ + Rational[1, 2]), -8.421116806063755398298`0.3860377312650655*^-17}, { Rational[-257, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109105,8 +129308,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0009143833139231872845194169402696268`13.421857057933872}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8358285274506108409215`0.9134088474447363*^-16}, { Rational[-513, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109124,8 +129328,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00090938807972113973895041152729960187`13.41953887037985}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9549763052754165681696`0.7519312253480933*^-16}, { Rational[-32, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109144,7 +129349,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00090440955371269276952825275741674187`13.417215608586483}, { + 1.6613869680987209351339`0.6813213802700994*^-16}, { Rational[-511, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109162,8 +129367,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00089944772077225323900321518430429581`13.414887253328779}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.6065048009807540815232`0.8769699698098766*^-16}, { Rational[-51, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109181,8 +129387,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0008945025656942821602960144644193827`13.412553785267834}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.985048845744692623038`0.15864172504929855*^-17}, { Rational[-509, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109201,7 +129408,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00088957407319336494561428505566528595`13.410215184949871}, { + 2.5596915538531972859688`0.8692208152267076*^-16}, { Rational[-127, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109220,7 +129427,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0008846622279042816599996182925315177`13.40787143280541}, { + 3.0062267112621858676557`0.939115788457848*^-16}, { Rational[-507, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109239,7 +129446,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00087976701438207727915505567289064357`13.405522509148312}, { + 1.9419497847979879656036`0.7493929406158104*^-16}, { Rational[-253, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109257,8 +129464,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00087488841710213195140343174571516408`13.40316839417487}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.46121583724343}, { Rational[-101, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109276,8 +129482,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00087002642046023126362745888781832743`13.400809067962866}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.46127673603308}, { Rational[-63, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109295,8 +129500,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00086518100877263651104294250730244231`13.398444510470675}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8415455565878143566164`0.7265201083188423*^-16}, { Rational[-503, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109314,8 +129520,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00086035216627615497065700981696447238`13.396074701536271}, { + Rational[13, 4]]))^ + Rational[1, 2]), -5.595967424204280271938`0.2092737307633697*^-17}, { Rational[-251, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109333,8 +129539,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00085553987712821017826372828835275425`13.393699620876308}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.3937266363106852424082`1.1042925043742708*^-16}, { Rational[-501, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109352,8 +129559,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00085074412540691220882998123193283876`13.391319248085125}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8601496500976416749939`0.7310682810075032*^-16}, { Rational[-1, 20] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109371,8 +129579,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00084596489511112796012495765639471696`13.388933562633772}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1465234295590301901227`0.9594122973519686*^-16}, { Rational[-499, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109390,8 +129599,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00084120217016055143944710164632955336`13.386542543869068}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5737567400159129439027`0.8722097621423608*^-16}, { Rational[-249, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109409,8 +129619,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0008364559343957740533028529674776002`13.384146171012537}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.461703209498197}, { Rational[-497, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109428,8 +129637,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00083172617157835489989199546828125127`13.381744423159457}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.6769206838603024822108`0.8893996636957463*^-16}, { Rational[-31, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109447,8 +129657,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0008270128653908910642549131009886424`13.379337279277816}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1571981416900579892503`0.5252328451659709*^-16}, { Rational[-99, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109466,8 +129677,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00082231599943708791593753504045376402`13.376924718207324}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0702872990164619580847`0.7779166992212899*^-16}, { Rational[-247, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109486,7 +129698,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00081763555724182940903023143946974787`13.374506718658305}, { + 1.1459343820106180194518`0.5211068017428422*^-16}, { Rational[-493, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109504,8 +129716,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00081297152225124838443739983171286532`13.372083259210722}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.328450294716385851213`0.9842501044564476*^-16}, { Rational[-123, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109524,7 +129736,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00080832387783279687423495908198564031`13.369654318313088}, { + 3.1802354601015622108444`0.9645282877423278*^-16}, { Rational[-491, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109542,8 +129754,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00080369260727531640797344309445719374`13.36721987428141}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9167056177467329157771`0.7446854176735039*^-16}, { Rational[-49, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109561,8 +129774,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00079907769378910832078486022801528338`13.364779905298075}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4757479378940729618743`0.8558974243502182*^-16}, { Rational[-489, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109581,7 +129795,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00079447912050600406315195653893741913`13.362334389410824}, { + 1.1885192843283803533153`0.5372582340919906*^-16}, { Rational[-61, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109599,8 +129813,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00078989687047943551219899158074662985`13.359883304531596}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5245548760542520583949`0.6454560721412267*^-16}, { Rational[-487, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109618,8 +129833,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00078533092668450528436360454378250303`13.35742662843541}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.46237402683798}, { Rational[-243, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109637,8 +129851,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00078078127201805704930981601894724398`13.354964338759324}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1297106400283223379278`0.7907556500309368*^-16}, { Rational[-97, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109656,8 +129871,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00077624788929874584494267662595401738`13.352496413001191}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.8980573411166035881211`1.053344301872782*^-16}, { Rational[-121, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109676,7 +129891,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0007717307612671083933855381614473967`13.350022828518576}, { + 9.915537002778921693364`0.45887335370557464*^-17}, { Rational[-483, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109695,7 +129910,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00076722987058563341778138580239106036`13.34754356252758}, { + 1.1095189976921013290827`0.5077528991331975*^-16}, { Rational[-241, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109714,7 +129929,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00076274519983883195978013124975285306`13.345058592101692}, { + 5.495397721076339204812`0.20267833433884877*^-17}, { Rational[-481, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109732,8 +129947,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00075827673153330769757422652225880329`13.342567894170562}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.462740260309253}, { Rational[-6, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109751,8 +129965,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00075382444809782726434541641507021397`13.340071445518838}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.070906896930047539075`0.49255303768040715*^-16}, { Rational[-479, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109770,8 +129985,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00074938833188339056698590442876746043`13.337569222784957}, { + Rational[13, 4]]))^ + Rational[1, 2]), -8.005043189981121871184`0.3662260699347109*^-17}, { Rational[-239, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109790,7 +130005,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00074496836516330110495766225509258403`13.335061202459896}, { + 2.8358737409917968674724`0.9156103566775063*^-16}, { Rational[-477, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109808,8 +130023,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00074056453013323628915406668280173829`13.332547360885984}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.2701501037608221722972`1.0934276884718486*^-16}, { Rational[-119, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109828,7 +130044,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00073617680891131776062850006478569779`13.330027674255627}, { + 7.806114094959215385755`0.35548052964237287*^-17}, { Rational[-19, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109847,7 +130063,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00073180518353818170905500127142654495`13.327502118610049}, { + 1.6656347927237788028305`0.6846865136131276*^-16}, { Rational[-237, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109865,8 +130081,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00072744963597704919078650334997670137`13.324970669837999}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.730529222861336799929`0.29121704495920636*^-17}, { Rational[-473, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109885,7 +130102,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00072311014811379644637664192091954678`13.322433303674536}, { + 3.565244949911828941079`0.01531831185014497*^-17}, { Rational[-59, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109903,8 +130120,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00071878670175702521743156467452932311`13.319889995699656}, { + Rational[13, 4]]))^ + Rational[1, 2]), -5.94850771236963434529`0.2376980817608491*^-17}, { Rational[-471, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109922,8 +130139,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00071447927863813306265861718947270558`13.317340721337}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.6654714146511861625889`1.0274810106292265*^-16}, { Rational[-47, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109941,8 +130159,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00071018786041138367297922368532625284`13.314785455852538}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.371297526045550852893`0.9912093829503781*^-16}, { Rational[-469, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109961,7 +130179,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00070591242865397718557372324693586025`13.312224174353247}, { + 1.2799966479951677295763`0.5706822674431735*^-16}, { Rational[-117, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109980,7 +130198,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00070165296486612049672636252626049487`13.309656851785679}, { + 2.0173101157546929592812`0.768307241994701*^-16}, { Rational[-467, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -109998,8 +130216,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00069740945047109757333908494134542753`13.307083462934685}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.6612836070333038058314`1.02722909399602*^-16}, { Rational[-233, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110017,8 +130235,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00069318186681533976298319395683268455`13.30450398242196}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.496092593554419998556`0.007239802994970454*^-17}, { Rational[-93, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110036,8 +130255,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00068897019516849610235840415216125832`13.301918384704678}, { + Rational[13, 4]]))^ + Rational[1, 2]), -4.0171932157287647991176`1.067640757575439*^-16}, { Rational[-29, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110055,8 +130274,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00068477441672350362402922846587636259`13.299326644074073}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1596287122512180756906`0.7981582967089844*^-16}, { Rational[-463, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110074,8 +130294,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00068059451259665766130908325287428921`13.296728734653996}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2082134408309648392281`0.5459840402035344*^-16}, { Rational[-231, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110093,8 +130314,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0006764304638276821511629246112498067`13.294124630399477}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.1867494487580101728065`1.0857785293794757*^-16}, { Rational[-461, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110112,8 +130334,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00067228225137979993499965983014838113`13.291514305095257}, { + Rational[13, 4]]))^ + Rational[1, 2]), -9.51233452613060088972`0.4422498592590963*^-17}, { Rational[-23, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110132,7 +130354,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00066814985613980305722600678641279819`13.288897732354341}, { + 2.0035926590648502346852`0.7658333693998262*^-16}, { Rational[-459, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110150,8 +130372,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00066403325891812306143390167905035679`13.286274885616457}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.7149111949816204053533`0.6983267729318734*^-16}, { Rational[-229, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110169,8 +130392,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00065993244044890128409398164238815365`13.283645738146573}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1729926062607532832099`0.8012045926076169*^-16}, { Rational[-457, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110188,8 +130412,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00065584738139005914562809352579916103`13.281010263033371}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.464207557108928}, { Rational[-57, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110207,8 +130430,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00065177806232336843873420347484164249`13.278368433187703}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9288475599588067150476`0.9309655450281544*^-16}, { Rational[-91, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110227,7 +130451,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.000647724463754521613837503900181588`13.27572022134103}, { + 6.513854665041736243696`0.27816806765474444*^-17}, { Rational[-227, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110245,8 +130469,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00064368656611320206154193498213145746`13.273065600043845}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6075705861041156864836`0.6705612873471521*^-16}, { Rational[-453, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110264,8 +130489,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0006396643497531543919567570337729767`13.270404541664053}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.861396824207885303921`0.41195466086966614*^-17}, { Rational[-113, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110283,8 +130509,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00063565779495225471077322784030589634`13.267737018385416}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5591790569645255285766`0.8726143948464744*^-16}, { Rational[-451, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110302,8 +130529,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00063166688191258089196685551027608871`13.265063002205869}, { + Rational[13, 4]]))^ + Rational[1, 2]), -4.112388653849683451212`1.0786691268404347*^-16}, { Rational[-9, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110321,8 +130548,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00062769159076048284700111242091629139`13.262382464935918}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.000369479760630112533`0.46479666737559056*^-16}, { Rational[-449, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110340,8 +130568,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00062373190154665279040890951954370209`13.25969537819692}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8459574168962858078321`0.9189258976121591*^-16}, { Rational[-28, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110359,8 +130588,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00061978779424619550162854256019036746`13.25700171341944}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.464758772669214}, { Rational[-447, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110378,8 +130606,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00061585924875869858297123281470433069`13.254301441841523}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.763850941692026900201`0.40751503428393016*^-17}, { Rational[-223, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110398,7 +130627,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00061194624490830271359779440424667073`13.25159453450701}, { + 3.1767086369127724775803`0.9668587216540024*^-16}, { Rational[-89, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110416,8 +130645,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00060804876244377189938236865576968943`13.248880962263751}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.9594955971900458563398`1.062582494781206*^-16}, { Rational[-111, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110435,8 +130664,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00060416678103856371854157280294219776`13.246160695761844}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.0111039406396850518413`1.0682678456724184*^-16}, { Rational[-443, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110455,7 +130685,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00060030028029089956290781592658026006`13.243433705451904}, { + 6.138326111156579508309`0.2531151928802202*^-17}, { Rational[-221, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110473,8 +130703,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00059644923972383487472593927112259865`13.2406999615832}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9926545615840445278558`0.9411831374971017*^-16}, { Rational[-441, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110492,8 +130723,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00059261363878532937885274098447595232`13.237959434201871}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1314586630655195226723`0.5188265589128399*^-16}, { Rational[-11, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110511,8 +130743,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00058879345684831731023934691486335175`13.235212093149082}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5687465057179767573885`0.8749704411175562*^-16}, { Rational[-439, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110530,8 +130763,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00058498867321077763657678936273627236`13.23245790805912}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6977661558871573352031`0.6951883969452787*^-16}, { Rational[-219, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110549,8 +130783,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0005811992670958042759855546345855424`13.22969684835757}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.46537186408781}, { Rational[-437, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110568,8 +130801,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00057742521765167630963025788203113758`13.226928883259358}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.46543320977205}, { Rational[-109, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110588,7 +130820,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00057366650395192818914100003878193471`13.224153981766861}, { + 3.954313861007985709672`1.0625656991902392*^-16}, { Rational[-87, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110606,8 +130838,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00056992310499541993872335669433862633`13.221372112667913}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.8398053911308159678001`1.0498651351326478*^-16}, { Rational[-217, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110626,7 +130859,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00056619499970640735183934247127012188`13.218583244533873}, { + 7.440303540079240933685`0.33720794045910024*^-17}, { Rational[-433, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110645,7 +130878,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00056248216693461218234208690649243784`13.215787345717619}, { + 1.7695210280771973543773`0.7135343870046866*^-16}, { Rational[-27, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110663,8 +130896,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00055878458545529232994734898139573044`13.212984384351506}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9379141047704372610032`0.7530745617130392*^-16}, { Rational[-431, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110682,8 +130916,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00055510223396931201992538730456496513`13.210174328345339}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.4423396886064931931633`0.6248689777678887*^-16}, { Rational[-43, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110702,7 +130937,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00055143509110321197689709152909034913`13.207357145384316}, { + 2.3195927286030697202202`0.8312745546581252*^-16}, { Rational[-429, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110721,7 +130956,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00054778313540927959261866788832831787`13.204532802926938}, { + 5.032101140451316405037`0.16767357640507677*^-17}, { Rational[-107, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110739,8 +130974,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00054414634536561908763955776370036837`13.201701268202884}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.4460351703487687562834`0.626164476587275*^-16}, { Rational[-427, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110759,7 +130994,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00054052469937622166671865296029729688`13.198862508210853}, { + 3.837092316048464032048`0.050049280904391626*^-17}, { Rational[-213, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110777,8 +131012,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00053691817577103566788425486473120999`13.196016489716438}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5795946978751453900611`0.6646541192547684*^-16}, { Rational[-17, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110796,8 +131032,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00053332675280603670502360689946240159`13.193163179249929}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.7921886506889957174014`1.045059811769165*^-16}, { Rational[-53, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110815,8 +131051,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00052975040866329780388821067284865839`13.19030254310407}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.180991751808214026641`0.42912090722846735*^-17}, { Rational[-423, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110834,8 +131071,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00052618912145105953140151595880623136`13.187434547331836}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3178762545209615444581`0.8313829954324538*^-16}, { Rational[-211, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110853,8 +131091,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00052264286920380011815595312834625486`13.184559157744179}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.466354194793713}, { Rational[-421, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110873,7 +131110,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00051911162988230557398665390200453547`13.181676339907709}, { + 5.085806171105880046424`0.17277545165928535*^-17}, { Rational[-21, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110891,8 +131128,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0005155953813737397965095823011528726`13.178786059142368}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.466477106338207}, { Rational[-419, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110910,8 +131146,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00051209410149171467251217245169510253`13.17588828051911}, { + Rational[13, 4]]))^ + Rational[1, 2]), -6.977626450710760794349`0.3102462878015307*^-17}, { Rational[-209, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110929,8 +131165,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00050860776797636017208494344036246338`13.172982968857504}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.6120306659442689366669`1.1304922309669447*^-16}, { Rational[-417, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110949,7 +131186,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00050513635849439443538293374520494319`13.1700700887233}, { + 1.0089723587586733268093`0.47054079257745696*^-16}, { Rational[-26, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110968,7 +131205,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.000501679850639193851906168862780661`13.167149604426074}, { + 1.4985998393522410971496`0.6424086914804814*^-16}, { Rational[-83, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -110987,7 +131224,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00049823822193086313218874563878447599`13.164221480016659}, { + 8.098236719772569038238`0.37517496987745513*^-17}, { Rational[-207, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111006,7 +131243,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00049481144981630537178648548085838194`13.161285679284738}, { + 6.592316902335702070731`0.2858840780668843*^-17}, { Rational[-413, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111024,8 +131261,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00049139951166929210745347609579318326`13.158342165756258}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.7651610684581329915346`1.0426910671898135*^-16}, { Rational[-103, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111044,7 +131282,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00048800238479053336539818765317887965`13.155390902690916}, { + 4.059183000950500762323`0.07540765160919835*^-17}, { Rational[-411, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111062,8 +131300,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00048462004640774770151021433697511098`13.152431853079548}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.4799237250977683815548`0.6372698724579879*^-16}, { Rational[-41, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111082,7 +131321,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00048125247367573223344905611044137764`13.149464979641515}, { + 2.8959654655741074678292`0.9288854446701188*^-16}, { Rational[-409, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111101,7 +131340,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00047789964367643266448671819184139906`13.146490244822077}, { + 1.3794662605745121826176`0.6068646817967774*^-16}, { Rational[-51, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111119,8 +131358,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00047456153341901329899626722290376923`13.143507610789682}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.46721513856782}, { Rational[-407, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111139,7 +131377,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00047123811983992704947884341265323239`13.140517039433234}, { + 1.3210459111509647141987`0.5881945960835913*^-16}, { Rational[-203, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111158,7 +131396,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00046792937980298543502198706087036176`13.137518492359412}, { + 4.882472133487529819818`0.15597801119334978*^-17}, { Rational[-81, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111176,8 +131414,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00046463529009942857108249581118278873`13.134511930889817}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.896715060987543240877`0.9293055751058198*^-16}, { Rational[-101, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111195,8 +131433,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0004613558274479951504873857582904073`13.1314973160582}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.209690387003404561532`0.8117927905695347*^-16}, { Rational[-403, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111214,8 +131452,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00045809096849499241554688514103398083`13.12847460860758}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9931211529813405028664`0.7670566358063692*^-16}, { Rational[-201, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111234,7 +131473,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00045484068981436612117374379662175934`13.125443768987362}, { + 8.121370340985095842598`0.3772138325634378*^-17}, { Rational[-401, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111253,7 +131492,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00045160496790777048890349483570979249`13.122404757350463}, { + 3.1340918461330035032411`0.963757823694197*^-16}, { Rational[-1, 25] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111272,7 +131511,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00044838377920463815171065712713074519`13.119357533550252}, { + 2.255185603317257205671`0.820889988026334*^-16}, { Rational[-399, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111290,8 +131529,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0004451771000622500895162181582482664`13.116302057137673}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.7635789820931762501853`1.0433703323279864*^-16}, { Rational[-199, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111309,8 +131549,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00044198490676580555528208666730168953`13.113238287358092}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.7120865446829480575357`0.70135661889262*^-16}, { Rational[-397, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111329,7 +131569,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00043880717552849199158855313052572042`13.110166183148335}, { + 7.227602027143177991474`0.3268867494474855*^-17}, { Rational[-99, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111347,8 +131587,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00043564388249155493759114373373750592`13.107085703133482}, { + Rational[13, 4]]))^ + Rational[1, 2]), -6.30017952235528029636`0.26730706361820855*^-17}, { Rational[-79, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111366,8 +131606,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00043249500372436792625359986948900628`13.10399680562378}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.741169010894109501363`0.14390120315151322*^-17}, { Rational[-197, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111386,7 +131627,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00042936051522450237175406048039389265`13.100899448611422}, { + 9.075165556037480639673`0.42593195648374493*^-17}, { Rational[-393, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111405,7 +131646,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0004262403929177974469618687210523556`13.097793589767319}, { + 7.595016646393092808377`0.3486677707337454*^-17}, { Rational[-49, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111424,7 +131665,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00042313461265842995088276743857265111`13.094679186437851}, { + 1.3976576797759839551583`0.6136015033142429*^-16}, { Rational[-391, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111442,8 +131683,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00042004315022898416597058987969349336`13.091556195641523}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9551742135008096469565`0.9388454308432085*^-16}, { Rational[-39, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111462,7 +131704,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00041696598134052170520389282368407421`13.088424574065616}, { + 5.661011220395523317362`0.22121801898644358*^-17}, { Rational[-389, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111480,8 +131722,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0004139030816326513488263190195639141`13.08528427806279}, { + Rational[13, 4]]))^ + Rational[1, 2]), -4.5405921315973937159083`1.125498163272141*^-16}, { Rational[-97, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111500,7 +131742,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00041085442667359887064981437661645112`13.082135263647661}, { + 6.71521123985905197724`0.2955070243459357*^-17}, { Rational[-387, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111519,7 +131761,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00040781999196027685382016282326408127`13.078977486493267}, { + 1.9636304031984907381548`0.7615687745695848*^-16}, { Rational[-193, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111537,8 +131779,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00040479975291835449594463811432012895`13.075810901927586}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.318443859060459329897`0.5886323566299375*^-16}, { Rational[-77, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111556,8 +131798,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00040179368490232740348190713468991238`13.0726354649299}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.1719044783585598875982`0.537524623610964*^-16}, { Rational[-24, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111575,8 +131817,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00039880176319558737529465342236981336`13.069451130127247}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.2821510429956616638654`0.576633301311268*^-16}, { Rational[-383, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111594,8 +131836,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0003958239630104921752657227189467883`13.06625785179067}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.7169156969628219912012`1.1424139341033148*^-16}, { Rational[-191, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111613,8 +131856,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00039286025948843529387892435518468447`13.063055583831538}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.46881753488117}, { Rational[-381, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111632,8 +131874,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00038991062769991569866595319743622315`13.05984427979778}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4388289487798682601163`0.8560605982689976*^-16}, { Rational[-19, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111651,8 +131894,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00038697504264460757342122671970846416`13.05662389287003}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9901852822261632066054`0.9446390851217262*^-16}, { Rational[-379, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111670,8 +131914,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00038405347925143004608676053163713002`13.053394375857811}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.698767314805095626284`0.22478364585209806*^-17}, { Rational[-189, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111690,7 +131935,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00038114591237861690520953338716290663`13.050155681195571}, { + 2.0390986972336883193043`0.7785027106011628*^-16}, { Rational[-377, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111708,8 +131953,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00037825231681378630487411932611102184`13.046907760938717}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.371904816304474002818`0.10979691060531406*^-17}, { Rational[-47, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111727,8 +131973,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00037537266727401045801369016537546587`13.043650566759622}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5179159985129491677399`1.0154734335334863*^-16}, { Rational[-3, 80] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111746,8 +131993,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00037250693840588531800281606136783584`13.040384049943505}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.6887631257672493169263`1.0361304990352564*^-16}, { Rational[-187, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111765,8 +132013,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00036965510478560024843581531441777806`13.03710816138432}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1386253311218647710242`0.5256923420635472*^-16}, { Rational[-373, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111784,8 +132033,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00036681714091900768099472698306093839`13.033822851580567}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.891029393069653060939`0.46461476945537056*^-17}, { Rational[-93, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111804,7 +132054,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00036399302124169276131130122471048569`13.030528070631025}, { + 1.8332863851732123000175`0.732665373308199*^-16}, { Rational[-371, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111822,8 +132072,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00036118272011904298272772258341499208`13.027223768230503}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.469496850060732}, { Rational[-37, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111841,8 +132090,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00035838621184631780786110070849343267`13.023909893665406}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.9958889641505527142859`1.071172058560155*^-16}, { Rational[-369, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111861,7 +132110,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00035560347064871827787708121339997319`13.020586395809389}, { + 2.4479550072829058604977`0.8584238817020757*^-16}, { Rational[-23, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111879,8 +132128,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00035283447068145660937824657671134955`13.017253223118818}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.421206794199901914378`0.8537141446642222*^-16}, { Rational[-367, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111898,8 +132147,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00035007918602982577881329314900332616`13.013910323628284}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.4238618338395347522737`1.0042603088170627*^-16}, { Rational[-183, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111917,8 +132167,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00034733759070926909431328546554872048`13.010557644945951}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0854250226258660142801`0.5054057312250105*^-16}, { Rational[-73, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111936,8 +132187,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0003446096586654497548616031780318113`13.007195134248922}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.469867732783676}, { Rational[-91, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111955,8 +132205,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0003418953637743203967045090129663137`13.003822738278506}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.7504672726301420795956`1.1466659009019207*^-16}, { Rational[-363, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111974,8 +132225,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00033919467984219262690957824357710109`13.000440403335418}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7668529366934414039322`0.9119774911443971*^-16}, { Rational[-181, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -111994,7 +132246,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00033650758060580654397954122964344762`12.997048075274913}, { + 3.0960825894399111466314`0.960865803431947*^-16}, { Rational[-361, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112012,8 +132264,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00033383403973240024542940063929385552`12.993645699501894}, { + Rational[13, 4]]))^ + Rational[1, 2]), -4.5596384520557340058441`1.12904553188097*^-16}, { Rational[-9, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112031,8 +132283,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00033117403081977932223499402250367664`12.990233220965852}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.635934098250255225019`1.0307929923851258*^-16}, { Rational[-359, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112050,8 +132302,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00032852752739638634006148046053561548`12.98681058415588}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.47023886123218}, { Rational[-179, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112069,8 +132320,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0003258945029213703071805370741334863`12.983377733095464}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.470300739900107}, { Rational[-357, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112088,8 +132338,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00032327493078465612898535723781978547`12.979934611337324}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2737018282244809577282`0.9854017463466983*^-16}, { Rational[-89, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112107,8 +132358,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00032066878430701404901284742343936399`12.976481161958123}, { + Rational[13, 4]]))^ + Rational[1, 2]), -5.9227893547911853521712`1.24295080491008*^-16}, { Rational[-71, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112126,8 +132377,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00031807603674012907638272368565181499`12.973017327553093}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.815551445222301862707`0.15313244381984636*^-17}, { Rational[-177, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112145,8 +132397,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00031549666126667039956351191022653675`12.969543050230628}, { + Rational[13, 4]]))^ + Rational[1, 2]), -4.454528901233927345046`0.1193501040292156*^-17}, { Rational[-353, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112164,8 +132416,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00031293063100036078637575807532589956`12.966058271606768}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2950401740153310385535`0.9884709499240139*^-16}, { Rational[-22, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112184,7 +132437,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00031037791898604597014305593153309911`12.962562932799607}, { + 3.3634109587459603964215`0.9974520905630553*^-16}, { Rational[-351, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112202,8 +132455,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00030783849819976402190179969079727604`12.959056974423635}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.148110249668468844539`0.9687840156418166*^-16}, { Rational[-7, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112222,7 +132475,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00030531234154881470858086853226551291`12.955540336584003}, { + 1.5644385507191879706685`0.6651545250268138*^-16}, { Rational[-349, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112240,8 +132493,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00030279942187182883706274798839763673`12.95201295887068}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.470857956116966}, { Rational[-87, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112260,7 +132512,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0003002997119388375840378905699928858`12.948474780352546}, { + 2.1680301932250665846408`0.8069852294603864*^-16}, { Rational[-347, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112278,8 +132530,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00029781318445134181156441433032153759`12.944925739571385}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.6299483199853380047359`1.030882299365151*^-16}, { Rational[-173, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112297,8 +132549,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00029533981204238136824553345789209287`12.941365774535837}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.471043818326393}, { Rational[-69, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112316,8 +132567,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00029287956727660437593740943041157847`12.937794822715183}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8346947489691642879803`0.9236120853523834*^-16}, { Rational[-43, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112336,7 +132588,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00029043242265033650190040476220358888`12.934212821033114}, { + 1.633319168147754404913`0.6842388196661802*^-16}, { Rational[-343, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112354,8 +132606,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00028799835059165021630701393837319615`12.930619705861373}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9865973445935507784132`0.7693395930115466*^-16}, { Rational[-171, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112373,8 +132626,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00028557732346043403502003775561765826`12.927015413013294}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.570540624374247237342`0.13125930425739699*^-17}, { Rational[-341, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112392,8 +132646,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00028316931354846174755485798562814546`12.923399877737305}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.5578428409288280430243`1.1301130723244128*^-16}, { Rational[-17, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112411,8 +132666,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00028077429307946163013995904751706373`12.919773034710229}, { + Rational[13, 4]]))^ + Rational[1, 2]), -5.102584739310628260289`1.1792059541772584*^-16}, { Rational[-339, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112430,8 +132685,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00027839223420918564379013222489225864`12.916134818030624}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8629153984102290347083`0.9282862518713828*^-16}, { Rational[-169, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112449,8 +132705,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00027602310902547861730708589525800701`12.912485161211887}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.4699316988998573073547`0.6388369089205269*^-16}, { Rational[-337, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112468,8 +132725,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00027366688954834741512247225997508753`12.908823997175368}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.6449411336647980658555`1.2232611936729993*^-16}, { Rational[-21, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112488,7 +132746,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00027132354773003008989862717629299999`12.905151258243306}, { + 2.4258465435346385916726`0.8565271304358425*^-16}, { Rational[-67, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112506,8 +132764,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00026899305545506501980260490385189066`12.901466876131714}, { + Rational[13, 4]]))^ + Rational[1, 2]), -4.4456729175955102535018`1.119663349801846*^-16}, { Rational[-167, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112525,8 +132783,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00026667538454036003036937389287501112`12.89777078194308}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1870104988589928743645`0.5462424464720579*^-16}, { Rational[-333, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112544,8 +132803,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00026437050673526150087032316354526579`12.894062906159082}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3404229754812769278085`0.8411442895587116*^-16}, { Rational[-83, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112563,8 +132823,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00026207839372162345510351136359321683`12.890343178633051}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.471911994073924}, { Rational[-331, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112583,7 +132842,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0002597990171138766365223722477876183`12.88661152858247}, { + 1.1913495367662765302174`0.5480132586279389*^-16}, { Rational[-33, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112601,8 +132860,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00025753234845909756761987110656883896`12.88286788458118}, { + Rational[13, 4]]))^ + Rational[1, 2]), -5.3251098552104588642974`1.198364701063869*^-16}, { Rational[-329, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112620,8 +132879,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00025527835923707759348538658643174455`12.879112174551663}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.0725396735564018374808`0.9595957069321289*^-16}, { Rational[-41, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112639,8 +132899,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00025303702086039190945187140025867263`12.875344325757064}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.47216029259285}, { Rational[-327, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112659,7 +132918,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00025080830467446857275112362694574756`12.87156426479312}, { + 1.198682900167657609768`0.5509266944411562*^-16}, { Rational[-163, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112677,8 +132936,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00024859218195765749809527765571538412`12.867771917580058}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.4894472307223617747559`1.2844921886283076*^-16}, { Rational[-13, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112696,8 +132956,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00024638862392129943710290034804315155`12.863967209354227}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.5956331895288781154002`1.1346919463223344*^-16}, { Rational[-81, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112716,7 +132977,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00024419760170979494148835367844225319`12.860150064659683}, { + 7.897293045651168554876`0.36988695547547057*^-17}, { Rational[-323, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112734,8 +132995,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00024201908640067330993335998367320814`12.85632040733967}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.9046821760338834346096`1.1630816916462026*^-16}, { Rational[-161, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112754,7 +133016,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00023985304900466151855998000745712267`12.85247816052789}, { + 6.271786912824147599626`0.26992424242362933*^-17}, { Rational[-321, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112772,8 +133034,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00023769946046575313492448718570844686`12.848623246639667}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.6123175061542961698867`0.8896210421354283*^-16}, { Rational[-4, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112791,8 +133054,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00023555829166127721545189408641750634`12.844755587363041}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.7227728467541281959064`1.2302637295718444*^-16}, { Rational[-319, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112810,8 +133074,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00023342951340196718623115861154248529`12.8408751036496}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.3663762066201156920823`1.2766116677280241*^-16}, { Rational[-159, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112829,8 +133094,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00023131309643202970709136849821867168`12.83698171570528}, { + Rational[13, 4]]))^ + Rational[1, 2]), -5.3279933618105419558272`1.199345198362201*^-16}, { Rational[-317, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112848,8 +133113,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00022920901142921351887947283833112973`12.833075342980939}, { + Rational[13, 4]]))^ + Rational[1, 2]), -6.138652594732620015847`0.2609167404998677*^-17}, { Rational[-79, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112867,8 +133132,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00022711722900487827386039878498998621`12.829155904162844}, { + Rational[13, 4]]))^ + Rational[1, 2]), -4.7360215367515582030324`1.148319521271086*^-16}, { Rational[-63, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112886,8 +133151,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00022503771970406334916066034787071063`12.82522331716294}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.5048967558903581382449`0.6504747336947*^-16}, { Rational[-157, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112906,7 +133171,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00022297045400555664317683421762195552`12.821277499109067}, { + 2.2678653334184987728389`0.8286474721768303*^-16}, { Rational[-313, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112924,8 +133189,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00022091540232196335487054492167995532`12.817318366334888}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1414596255521041531486`0.9702238811953298*^-16}, { Rational[-39, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112943,8 +133209,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00021887253499977474587186832397815589`12.813345834369777}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.0751721046033641695119`0.9610240210959948*^-16}, { Rational[-311, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112963,7 +133230,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00021684182231943688531332856434237212`12.809359817928463}, { + 9.941027529312059112426`0.4706480740058204*^-17}, { Rational[-31, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -112982,7 +133249,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00021482323449541937731692901819154121`12.805360230900554}, { + 6.357758579860910242089`0.27658304000651696*^-17}, { Rational[-309, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113000,8 +133267,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00021281674167628407105692277400900815`12.80134698633986}, { + Rational[13, 4]]))^ + Rational[1, 2]), -5.1206266764281080945015`1.182664338557981*^-16}, { Rational[-77, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113020,7 +133287,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00021082231394475375332129251151141774`12.79731999645356}, { + 2.8036539568413717151111`0.9211278574030372*^-16}, { Rational[-307, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113039,7 +133306,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00020883992131778082349517355395815465`12.793279172591234}, { + 3.857932616413278332193`0.05982031653936537*^-17}, { Rational[-153, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113058,7 +133325,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0002068695337466159508897173090076997`12.789224425233575}, { + 2.0005149499111096627715`0.7746697175725986*^-16}, { Rational[-61, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113077,7 +133344,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00020491112111687671434015535160917217`12.785155663981136}, { + 8.48517179467978229846`0.4022508006769128*^-17}, { Rational[-19, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113096,7 +133363,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00020296465324861622399708709353887117`12.781072797542665}, { + 2.0911343701082517035192`0.7940343528327437*^-16}, { Rational[-303, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113114,8 +133381,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00020103009989639172523527638945666255`12.776975733723416}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.5717848151987257127381`1.3529129343675796*^-16}, { Rational[-151, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113133,8 +133401,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00019910743074933318460450461695571382`12.772864379413184}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8697480023928402035951`0.9316206990725803*^-16}, { Rational[-301, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113153,7 +133422,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00019719661543121185774728981533297883`12.768738640574156}, { + 6.437402212779839249639`0.2825498543419317*^-17}, { Rational[-3, 100] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113171,8 +133440,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00019529762350050883920854346294615894`12.764598422228579}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2638933996261333857565`0.5756119347791288*^-16}, { Rational[-299, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113191,7 +133461,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00019341042445048359406249851404656447`12.760443628446199}, { + 2.7079891507659895518596`0.9066106955141319*^-16}, { Rational[-149, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113210,7 +133480,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00019153498770924247128250451631765977`12.756274162331493}, { + 7.11242913271671462289`0.32604402112823405*^-17}, { Rational[-297, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113228,8 +133498,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00018967128263980719877954811583028334`12.75208992601072}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.553913472895984927792`1.0247952192856673*^-16}, { Rational[-37, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113247,8 +133517,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00018781927854018336003562017290538629`12.747890820618691}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.7923350274492568612733`1.2370043503574628*^-16}, { Rational[-59, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113267,7 +133538,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00018597894464342885225831422386683013`12.743676746285354}, { + 3.4090844189657377093139`1.0068507471922432*^-16}, { Rational[-147, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113285,8 +133556,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0001841502501177223259833053187065689`12.739447602122185}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4657278185894216843119`0.8662204478453998*^-16}, { Rational[-293, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113305,7 +133577,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00018233316406643160605162355736314109`12.735203286208279}, { + 2.2692125720610285715609`0.830212822380798*^-16}, { Rational[-73, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113323,8 +133595,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00018052765552818209388890318278191633`12.730943695576222}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.8448499795805918674937`1.2411733454010967*^-16}, { Rational[-291, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113342,8 +133615,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00017873369347692515101405614905050665`12.726668726197769}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.6657587300176675250539`1.1433845966585172*^-16}, { Rational[-29, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113361,8 +133635,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00017695124682200646370508898994742764`12.722378272969205}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1407267724262148959359`0.9715548253921773*^-16}, { Rational[-289, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113381,7 +133656,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00017518028440823438875005393782038273`12.718072229696501}, { + 7.560158671746474581062`0.35311793581581746*^-17}, { Rational[-18, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113399,8 +133674,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00017342077501594828021140001286675821`12.71375048908021}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.1185349621281358613729`1.3270400111790552*^-16}, { Rational[-287, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113418,8 +133694,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00017167268736108679713226770978522671`12.709412942700066}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.1438914962252098790234`1.2631552965732529*^-16}, { Rational[-143, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113437,8 +133714,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00016993599009525619211355252234291809`12.705059480999362}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.3251978326819813811866`0.9965916256012508*^-16}, { Rational[-57, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113457,7 +133735,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00016821065180579858069084852416133639`12.700689993269009}, { + 1.1993548772373756274508`0.5537842269371608*^-16}, { Rational[-71, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113475,8 +133753,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00016649664101586019144067432901085263`12.696304367631344}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.694621086103605051941`0.46142975231351974*^-17}, { Rational[-283, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113494,8 +133773,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00016479392618445959674568087143125454`12.691902491023647}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7759122064781364372752`0.9183670381468961*^-16}, { Rational[-141, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113513,8 +133793,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00016310247570655592414884460887879979`12.687484249181361}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.9452437630444987241268`1.3751309432167222*^-16}, { Rational[-281, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113532,8 +133813,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00016142225791311704822696214934627071`12.683049526621003}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.4193615035576279199877`1.2090342517894128*^-16}, { Rational[-7, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113551,8 +133833,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00015975324107118776291408435415875031`12.67859820662283}, { + Rational[13, 4]]))^ + Rational[1, 2]), -8.969794857016512453947`0.4279310601232827*^-17}, { Rational[-279, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113571,7 +133853,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00015809539338395793420586129174816688`12.674130171213069}, { + 4.0304872229999454133973`1.0805685249051076*^-16}, { Rational[-139, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113589,8 +133871,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00015644868299083063317611594369814531`12.669645301145987}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3368171054261043297416`0.6013454038986209*^-16}, { Rational[-277, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113608,8 +133891,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00015481307796749024923732653995399237`12.66514347588552}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.422758769030128708365`0.8596460248258393*^-16}, { Rational[-69, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113627,8 +133910,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0001531885463259705835770774747927031`12.660624573586587}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.5430570547254855072953`1.4070116052962327*^-16}, { Rational[-11, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113646,8 +133930,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00015157505601472292270294004881741969`12.656088471076158}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2195388220798970827747`0.9832544196496265*^-16}, { Rational[-137, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113665,8 +133950,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00014997257491868409202867047958306769`12.651535043833812}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.4426026571336722669245`1.2113298446031282*^-16}, { Rational[-273, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113684,8 +133970,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00014838107085934448943506808426952846`12.64696416597211}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0618082235543373438979`0.7898339516789118*^-16}, { Rational[-17, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113704,7 +133991,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00014680051159481609873932643124743887`12.642375710216493}, { + 2.8729771197979470853857`0.9339803275067077*^-16}, { Rational[-271, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113722,8 +134009,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00014523086481990048300724072731970344`12.637769547884858}, { + Rational[13, 4]]))^ + Rational[1, 2]), -4.707856853667835795222`1.1485338925274553*^-16}, { Rational[-27, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113741,8 +134028,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00014367209816615675764321307012211`12.633145548866732}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.0505438280497648682879`1.3239957499706292*^-16}, { Rational[-269, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113760,8 +134048,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00014212417920196954319363218526478492`12.628503581602088}, { + Rational[13, 4]]))^ + Rational[1, 2]), -5.177925184260483086446`1.1899914015382205*^-16}, { Rational[-67, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113779,8 +134067,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00014058707543261689779990633621636725`12.623843513059768}, { + Rational[13, 4]]))^ + Rational[1, 2]), -6.662369934041475078198`1.299526878339647*^-16}, { Rational[-267, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113798,8 +134086,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00013906075430033822923820977810494778`12.61916520871544}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9964355541260248995669`0.7762159415346315*^-16}, { Rational[-133, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113818,7 +134107,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00013754518318440218648387949643865657`12.614468532529237}, { + 1.034912794003155639667`0.49092692025281826*^-16}, { Rational[-53, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113836,8 +134125,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00013604032940117453073938819982932908`12.609753346922885}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.208410219102934067201`0.9823755807054761*^-16}, { Rational[-33, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113855,8 +134144,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00013454616020418598586594358079616949`12.60501951275647}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.0722688266942364090093`1.1813504850325056*^-16}, { Rational[-263, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113874,8 +134164,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00013306264278420006816004935454169728`12.600266889304732}, { + Rational[13, 4]]))^ + Rational[1, 2]), -7.110678006614958757238`0.3281217746929828*^-17}, { Rational[-131, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113893,8 +134183,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0001315897442692808954178427485716358`12.595495334232886}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.3330641975341724373049`0.9991169870318685*^-16}, { Rational[-261, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113912,8 +134203,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00013012743172486097523173585043070801`12.590704703572023}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0644557650119373451023`0.5034634805465321*^-16}, { Rational[-13, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113931,8 +134223,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00012867567215380897246588138846669984`12.585894851694023}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.710594112149592442787`0.36348626525272426*^-17}, { Rational[-259, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113950,8 +134243,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0001272344324964974558593187253114913`12.581065631285954}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.476460990773802}, { Rational[-129, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113970,7 +134262,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0001258036796308706237084019843873964`12.576216893324037}, { + 2.542408745557926853136`0.8817689395040568*^-16}, { Rational[-257, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -113988,8 +134280,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00012438338037251200858336291637428221`12.571348487047059}, { + Rational[13, 4]]))^ + Rational[1, 2]), -8.6509006703009456705105`1.413647473228915*^-16}, { Rational[-16, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114007,8 +134299,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00012297350147471216103772431912905814`12.566460259929281}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9157284348527650626586`0.7589826823279157*^-16}, { Rational[-51, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114026,8 +134319,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00012157400962853631227389398893779295`12.561552057652788}, { + Rational[13, 4]]))^ + Rational[1, 2]), -8.0023487259851651579063`1.37992880621176*^-16}, { Rational[-127, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114045,8 +134338,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0001201848714628920157338069865478685`12.55662372407938}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.6072190351706893546192`0.68284900375583*^-16}, { Rational[-253, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114064,8 +134357,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00011880605354459676759015889774490897`12.551675101221806}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.7277458682235620701136`1.3047061249980396*^-16}, { Rational[-63, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114084,7 +134378,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00011743752237844560612185367153845687`12.546706029214484}, { + 3.20250896346991631895`0.9823895182661528*^-16}, { Rational[-251, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114102,8 +134396,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00011607924440727868996711909231944092`12.54171634628363}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.3556870374138674059887`1.0027432391996414*^-16}, { Rational[-1, 40] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114121,8 +134416,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00011473118601204885525974600264480275`12.536705888716808}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.3277951828836022898039`1.3975544560382689*^-16}, { Rational[-249, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114141,7 +134437,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00011339331351188915166863437519878982`12.531674490831815}, { + 1.6983110352991972330081`0.7071042910050969*^-16}, { Rational[-31, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114159,8 +134455,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00011206559316418035737897494942822215`12.526621984945011}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.0052981270918538468628`0.9550372660590557*^-16}, { Rational[-247, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114178,8 +134475,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00011074799116461847307585036152351623`12.521548201338947}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.3405719793344890291678`0.84653435986014*^-16}, { Rational[-123, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114197,8 +134494,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00010944047364728219501895836524750173`12.51645296822939}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.7391321465828108611997`1.0500458325796256*^-16}, { Rational[-49, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114216,8 +134514,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00010814300668470036733202437381077791`12.511336111731636}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9073140474190465054184`0.7577598931714593*^-16}, { Rational[-61, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114235,8 +134534,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00010685555628791941367421697423268935`12.506197455826129}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.4578236035169686322886`1.2144198553667325*^-16}, { Rational[-243, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114254,8 +134554,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00010557808840657074851603433510574735`12.501036822323448}, { + Rational[13, 4]]))^ + Rational[1, 2]), -6.2430514990792194898376`1.272859959126988*^-16}, { Rational[-121, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114273,8 +134573,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00010431056892893816831200953938847512`12.495854030828449}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.002906015969304006206`0.47878596675234225*^-16}, { Rational[-241, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114293,7 +134594,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00010305296368202522295157384365150017`12.490648898703792}, { + 2.0603594362621284971508`0.7915314170848936*^-16}, { Rational[-3, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114312,7 +134613,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00010180523843162256798334573287874665`12.485421241032608}, { + 1.5496551599107635594719`0.6678861956109522*^-16}, { Rational[-239, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114331,7 +134632,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00010056735888237529825476691140942042`12.480170870580466}, { + 1.7769668891587301579209`0.7273931744616581*^-16}, { Rational[-119, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114349,8 +134650,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00009933929067785026379886179064152417`12.474897597756463}, { + Rational[13, 4]]))^ + Rational[1, 2]), -8.056676063445569378427`0.3839324583051768*^-17}, { Rational[-237, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114368,8 +134669,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00009812099940060336904713902422369622`12.469601230573588}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1995735989769639906845`0.5568661793108561*^-16}, { Rational[-59, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114387,8 +134689,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00009691245057224685677162738246155785`12.464281574608163}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.6522825608265792770218`1.2301258761286498*^-16}, { Rational[-47, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114406,8 +134709,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0000957136096535165785862961296882689`12.458938432958462}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.47796475033887}, { Rational[-117, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114426,7 +134728,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00009452444204433925440540817007211826`12.453571606202445}, { + 1.9358878430758892367648`0.7649076886074573*^-16}, { Rational[-233, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114444,8 +134746,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00009334491308389972401492764046298476`12.448180892354603}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.362554364921793733914`0.8514720597674085*^-16}, { Rational[-29, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114463,8 +134765,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00009217498805070819493501908870709245`12.442766086821834}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.617574932103976557686`0.8960521317578218*^-16}, { Rational[-231, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114483,7 +134785,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00009101463216266749213912600931640365`12.437326982358405}, { + 2.5234388171740030154485`0.8802085554024504*^-16}, { Rational[-23, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114502,7 +134804,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00008986381057714031709441332216249547`12.431863369019931}, { + 3.3172949406490363446099`0.999062636679534*^-16}, { Rational[-229, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114521,7 +134823,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00008872248839101652621093693780897135`12.42637503411629}, { + 3.689857466252651204766`0.04535092210953118*^-17}, { Rational[-57, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114539,8 +134841,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00008759063064078044244151448774717593`12.420861762163646}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.9881637179754539043629`1.2556977859527716*^-16}, { Rational[-227, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114559,7 +134862,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0000864682023025782189165618205262718`12.415323334835254}, { + 3.7275631324637744405365`1.049891923851466*^-16}, { Rational[-113, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114577,8 +134880,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00008535516829228528080835842479549115`12.4097595309113}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.478529719102934}, { Rational[-9, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114596,8 +134898,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0000842514934655738821250640109572939`12.40417012622758}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.5282844434680769145918`1.3552885490146889*^-16}, { Rational[-14, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114615,8 +134918,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00008315714261798082940931403177840755`12.398554893623055}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.0776789065692839023003`0.9668786545040436*^-16}, { Rational[-223, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114635,7 +134939,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00008207208048497544679983606960721767`12.392913602886175}, { + 2.9709186767750281030906`0.9516089348986865*^-16}, { Rational[-111, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114653,8 +134957,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00008099627174202789044935044449352344`12.387246020700134}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.5102005627598411654993`1.1329768573462577*^-16}, { Rational[-221, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114673,7 +134978,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00007992968100467797102163395364709193`12.38155191058673}, { + 4.2676127613617962996201`1.109028846437364*^-16}, { Rational[-11, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114691,8 +134996,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00007887227282860472090434193202864159`12.375831032849062}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.8542260393323332558272`1.1650266828773796*^-16}, { Rational[-219, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114710,8 +135016,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00007782401170969706440851214928022338`12.370083144512925}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5958001391255657154419`0.6819480390293934*^-16}, { Rational[-109, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114729,8 +135036,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00007678486208412614249010845379173752`12.364307999266813}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.4196514284627228763045`1.0130142406137026*^-16}, { Rational[-217, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114749,7 +135057,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00007575478832842015652316189814807351`12.358505347400603}, { + 1.4247433101916486107375`0.6328318947181334*^-16}, { Rational[-27, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114767,8 +135075,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00007473375475954311317474018478892437`12.352674935742888}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.5926612666423334498537`1.4132858346810457*^-16}, { Rational[-43, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114787,7 +135096,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00007372172563497972770624704829456862`12.346816507596765}, { + 1.988692224488188155916`0.7777886005805901*^-16}, { Rational[-107, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114805,8 +135114,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00007271866515283026050347916783707906`12.34092980267438}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.2471215182259145670978`1.4452904787726708*^-16}, { Rational[-213, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114824,8 +135134,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00007172453745192176523914105260655854`12.335014557029854}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.5728781275750448775794`1.4603893401799717*^-16}, { Rational[-53, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114843,8 +135154,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00007073930661194719139593917950674656`12.329070502990986}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.7489268228990368731379`1.3086444329286997*^-16}, { Rational[-211, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114862,8 +135174,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00006976293665365342858551510205891623`12.323097369089588}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.3129603804205314099555`1.3435658449438306*^-16}, { Rational[-21, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114881,8 +135194,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00006879539153911660942244153421989674`12.317094879990742}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.9070331610492864423448`1.071382639609029*^-16}, { Rational[-209, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114900,8 +135213,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0000678366351721849251039023450846812`12.311062756421626}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0255154098181409415459`0.7861340189503326*^-16}, { Rational[-13, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114920,7 +135234,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0000668866313992460195803145808979187`12.305000715100773}, { + 3.205701740433408138591`0.9855845126600957*^-16}, { Rational[-207, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114939,7 +135253,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00006594534401066955582819004597255285`12.298908468670492}, { + 2.2766808867103851062811`0.8370265007268455*^-16}, { Rational[-103, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114957,8 +135271,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00006501273674373043879117970280622006`12.292785725638012}, { + Rational[13, 4]]))^ + Rational[1, 2]), -6.7464803891673973110743`1.308864548173518*^-16}, { Rational[-41, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114977,7 +135291,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00006408877328902055593117472345457338`12.286632190340168}, { + 2.329821453006253671912`0.8471728797606186*^-16}, { Rational[-51, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -114995,8 +135309,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00006317341730580878637108161165544304`12.280447562971469}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.532461290825887144197`0.8834560167849541*^-16}, { Rational[-203, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115015,7 +135329,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00006226663246289334610783578002333593`12.274231539797476}, { + 4.0893786605644784214291`1.0916334957488116*^-16}, { Rational[-101, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115033,8 +135347,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00006136838256243025401092582716264144`12.267983813979138}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.611343866905367852789`0.22910602832281451*^-17}, { Rational[-201, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115052,8 +135367,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00006047862734092908526238279163364293`12.261704045432293}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5872284745745328264917`1.0348611660241704*^-16}, { Rational[-1, 50] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115071,8 +135387,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00005959733545826427744052252743443955`12.25539195326723}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.8170962310610652936234`1.3137645424188504*^-16}, { Rational[-199, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115090,8 +135407,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00005872446809300077256287813400202998`12.249047199160064}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.8006262846555062952751`1.2437030012943142*^-16}, { Rational[-99, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115109,8 +135427,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00005785998850099961067972173793944515`12.24266945501482}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.6505996282019290089716`1.4173373318346099*^-16}, { Rational[-197, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115128,8 +135447,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00005700386156494018398237537460955583`12.236258400589177}, { + Rational[13, 4]]))^ + Rational[1, 2]), -7.855887538600476157381`1.375549389010885*^-16}, { Rational[-49, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115147,8 +135466,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00005615604536336996060340869286972726`12.229813659159078}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3886721624111756420099`0.6230168799785287*^-16}, { Rational[-39, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115167,7 +135487,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00005531650803973535232313093024031901`12.223334925935026}, { + 7.713353389937436521236`0.3677234057338428*^-17}, { Rational[-97, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115186,7 +135506,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00005448521092410069750432767851968939`12.216821839362256}, { + 5.0595047552708579308668`1.1846512184573659*^-16}, { Rational[-193, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115204,8 +135524,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00005366211698583914054757365901930311`12.210274045413025}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.6310990740076028207903`1.1462903227856909*^-16}, { Rational[-12, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115224,7 +135545,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00005284718912959482164585480698830551`12.203691184487424}, { + 3.2398373535766006046458`0.9911925069944799*^-16}, { Rational[-191, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115242,8 +135563,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00005204039247575133970841533000216266`12.197072910324602}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.1529887730172924445339`1.4422952835784046*^-16}, { Rational[-19, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115261,8 +135583,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00005124168414209893686417365502071335`12.190418804758014}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.0430233643318175575861`1.0875016674788482*^-16}, { Rational[-189, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115280,8 +135603,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00005045103088304407175415222823750959`12.183728524222113}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.6049419244927962483351`1.3619543879927458*^-16}, { Rational[-47, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115299,8 +135623,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0000496683950051273850629727269692748`12.177001682890717}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.1623718193089323135114`1.4429294750333859*^-16}, { Rational[-187, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115318,8 +135643,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00004889373898868306357644862004535013`12.170237890672821}, { + Rational[13, 4]]))^ + Rational[1, 2]), -4.394412486686375022668`1.1238854673762062*^-16}, { Rational[-93, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115338,7 +135663,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00004812702533565427967610946873237634`12.163436751860626}, { + 3.1947316558945466008446`0.9854821239227797*^-16}, { Rational[-37, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115356,8 +135681,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00004736821652135015056651328892443415`12.156597864604892}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.1227283759459183873372`1.3908127682522833*^-16}, { Rational[-23, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115375,8 +135701,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00004661727497696297648385577851415816`12.149720820633986}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.2073534796529609075632`1.1051829442993588*^-16}, { Rational[-183, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115394,8 +135721,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00004587416308253330950187473054593844`12.142805205053516}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.7301080884557864003889`1.4693547216460843*^-16}, { Rational[-91, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115414,7 +135742,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00004513884316389138231890987982300392`12.135850596174404}, { + 3.3506350985695592242852`1.0064273110017696*^-16}, { Rational[-181, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115432,8 +135760,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00004441127749124751394662314308753712`12.128856565350388}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.8696883592617374900034`1.3183003412573109*^-16}, { Rational[-9, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115451,8 +135780,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00004369142827851900152731346541517019`12.12182267681737}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.2641926112656924175307`1.3986268710930165*^-16}, { Rational[-179, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115470,8 +135800,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00004297925768300686191482364068090136`12.1147484875314}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.2205779679455221676481`1.3400615447614284*^-16}, { Rational[-89, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115489,8 +135820,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00004227472780524773879245439264927425`12.107633547003639}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5794771347277143703502`0.8930844150934846*^-16}, { Rational[-177, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115508,8 +135840,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00004157780068895759233315408897651036`12.1004773971315}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.5086843477499298692279`1.4597363202732716*^-16}, { Rational[-11, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115527,8 +135860,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00004088843832102542726833314916307405`12.093279572025438}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.9011951756114459366421`1.0728767323087*^-16}, { Rational[-7, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115546,8 +135879,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00004020660263153527033808620750496264`12.08603959783105}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8445718003329680613056`0.7476377902827472*^-16}, { Rational[-87, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115565,8 +135899,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00003953225549380459676856744483076935`12.078756992546317}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1516702681105349099491`0.9803461789744802*^-16}, { Rational[-173, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115584,8 +135919,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00003886535872443260169739382249337639`12.071431265833517}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.052091060426280390555`0.5039219208212049*^-16}, { Rational[-43, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115603,8 +135938,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00003820587408335451015847880467577823`12.06406191882587}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.02403835947401396092752`1.4922480090209636*^-15}, { Rational[-171, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115623,7 +135959,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00003755376327389967272052425588220328`12.056648443928545}, { + 6.4834573582584190542368`1.2938016434080066*^-16}, { Rational[-17, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115641,8 +135977,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00003690898794285208085530333015623641`12.049190324613837}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3375713208489527981144`0.6083751410172757*^-16}, { Rational[-169, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115660,8 +135997,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00003627150968051245552125170966828633`12.041687035210309}, { + Rational[13, 4]]))^ + Rational[1, 2]), -6.5125992685715579124339`1.295875764086232*^-16}, { Rational[-21, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115679,8 +136016,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00003564129002076137371007367108636198`12.034138040685724}, { + Rational[13, 4]]))^ + Rational[1, 2]), -4.726367939547268376701`1.1567121583220576*^-16}, { Rational[-167, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115698,8 +136035,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00003501829044112308821636254205938376`12.02654279642349}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.5997238434367266371545`1.2304144704318485*^-16}, { Rational[-83, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115717,8 +136055,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00003440247236282981478462195518404577`12.018900747992381}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.08310814639588578221978`1.5169829202059075*^-15}, { Rational[-33, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115737,7 +136076,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00003379379715088633633032473650850281`12.011211330909322}, { + 4.1025330252576737612417`1.0954264282908508*^-16}, { Rational[-41, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115756,7 +136095,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00003319222611413482273286683537845287`12.003473970394962}, { + 3.7901454749699860136111`1.0610934739769176*^-16}, { Rational[-163, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115774,8 +136113,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00003259772050531979671438352227064898`11.995688081121745}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.1016091775153581435024`1.1902080389055363*^-16}, { Rational[-81, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115793,8 +136133,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00003201024152115319762637586151197948`11.987853066954314}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.0951706190988413233317`1.522045904379643*^-15}, { Rational[-161, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115812,8 +136152,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00003142975030237950933876111817151167`11.97996832068175}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.24446186414085217544114`1.5776089865345815*^-15}, { Rational[-2, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115831,8 +136172,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00003085620793384092824298438389923244`11.972033223741581}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.04803654236367237936782`1.503067100975437*^-15}, { Rational[-159, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115850,8 +136192,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00003028957544454255416552638944112681`11.964047145935124}, { + Rational[13, 4]]))^ + Rational[1, 2]), -8.138649108885585117318`1.3933062885074463*^-16}, { Rational[-79, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115869,8 +136211,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00002972981380771759172928393027967557`11.956009445133757}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.15671903669890093205911`1.5460451427907753*^-15}, { Rational[-157, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115888,8 +136231,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0000291768839408925530480710127781002`11.947919466975991}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.48288056296701}, { Rational[-39, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115907,8 +136249,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00002863074670595245502671463699306325`11.939776544554778}, { + Rational[13, 4]]))^ + Rational[1, 2]), -9.2968613218496812258666`1.451280226304793*^-16}, { Rational[-31, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115927,7 +136269,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00002809136290920600625737738573672319`11.931579998094804}, { + 1.8891590459119429893993`0.7592757135825193*^-16}, { Rational[-77, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115945,8 +136287,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0000275586933014507797502575218223887`11.923329134619333}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1758779786199485687405`0.5534327738044829*^-16}, { Rational[-153, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115965,7 +136308,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00002703269857803836865019555303292935`11.91502324760614}, { + 4.547956420845107526549`1.1409501438079543*^-16}, { Rational[-19, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -115983,8 +136326,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00002651333937893952276476212936901676`11.906661616632297}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.1813715212962632254584`1.3394045842891549*^-16}, { Rational[-151, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116002,8 +136346,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00002600057628880926423058631945589042`11.898243507007104}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.2540811820942808003075`0.995688919879688*^-16}, { Rational[-3, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116021,8 +136365,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00002549436983705198102001901063447186`11.889768169392864}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.7785076758422291075026`1.4735964721042747*^-16}, { Rational[-149, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116040,8 +136385,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00002499468049788649727324118210458471`11.881234839413043}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.48338725309424}, { Rational[-37, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116060,7 +136404,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00002450146869041111965573207937037207`11.872642737247098}, { + 4.3622905992447468804211`1.1231652157038399*^-16}, { Rational[-147, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116079,7 +136423,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00002401469477866865910506629219715714`11.863991067211721}, { + 6.326566225368447162819`0.2846820576782274*^-17}, { Rational[-73, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116097,8 +136441,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00002353431907171142745705961451656376`11.855279017327684}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.7606825862890207785632`1.4261153286663844*^-16}, { Rational[-29, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116116,8 +136461,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00002306030182366620853869136250175445`11.846505758871857}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0295349921544841287881`0.49628188682358265*^-16}, { Rational[-9, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116136,7 +136482,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00002259260323379920339092297920638532`11.837670445913671}, { + 4.2394263618133630250927`1.1110112695336722*^-16}, { Rational[-143, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116154,8 +136500,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00002213118344658094934367696963375522`11.8287722148355}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.7728857114528684854666`1.4737903996437314*^-16}, { Rational[-71, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116173,8 +136520,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00002167600255175121271164519979001993`11.819810183836132}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3026839536232857501402`0.5986700568043125*^-16}, { Rational[-141, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116192,8 +136540,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00002122702058438385269719953947213274`11.810783452416798}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.483894414171814}, { Rational[-7, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116211,8 +136558,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00002078419752495205051807841523612048`11.801691100848776}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.4520969268283862376218`1.4594860089545403*^-16}, { Rational[-139, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116230,8 +136578,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00002034749329939120646915346356425716`11.792532189622033}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.2983370965643971445628`1.4524265649740098*^-16}, { Rational[-69, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116250,7 +136599,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00001991686777916753410444682719524017`11.783305758873919}, { + 4.073580634836424174738`0.0940610386681601*^-17}, { Rational[-137, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116268,8 +136617,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001949228078133902102590909999087588`11.77401082779704}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4752662689211357975777`0.8777700953568969*^-16}, { Rational[-17, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116287,8 +136637,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001907369206862205551919355069204511`11.76464639402553}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1935660511944903175328`0.9884875324947525*^-16}, { Rational[-27, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116306,8 +136657,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001866106134945575177386421342986009`11.755211432998603}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.8557160361250751755768`1.2518551029447404*^-16}, { Rational[-67, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116325,8 +136677,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001825434827806662637519878046965054`11.745704897300456}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2783577169981282506164`0.5909909649301238*^-16}, { Rational[-133, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116344,8 +136697,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001785351245453324734534556156277608`11.736125715975481}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.1884078471605787104404`1.3410347571066092*^-16}, { Rational[-33, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116363,8 +136717,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001745851342485085566642861710897728`11.726472793817615}, { + Rational[13, 4]]))^ + Rational[1, 2]), -5.1691610067374703482002`1.197885594523272*^-16}, { Rational[-131, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116383,7 +136737,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00001706931068099595922282627005994577`11.716745010632678}, { + 6.0395688880811669942054`1.2655349690185298*^-16}, { Rational[-13, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116401,8 +136755,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0000166858636609908991034027788284877`11.706941220472407}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7954017260535301145032`0.9310367610033876*^-16}, { Rational[-129, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116421,7 +136776,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00001630813174896838820754848193845929`11.697060250838963}, { + 3.3419332350937401372294`1.0086538109989518*^-16}, { Rational[-8, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116439,8 +136794,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001593607427523602210153438057493378`11.687100901858484}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.00935793288541195697147`1.4887647593559346*^-15}, { Rational[-127, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116458,8 +136814,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001556965051634076207379082525135069`11.67706194542221}, { + Rational[13, 4]]))^ + Rational[1, 2]), -8.2015416685687473588257`1.398678578889771*^-16}, { Rational[-63, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116477,8 +136833,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001520881969513339033961538717212157`11.666942124293602}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5025439447738729912333`0.8832283275175138*^-16}, { Rational[-1, 80] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116496,8 +136853,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001485354098083293734734940068875212`11.656740151180037}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.2314922382419280389026`1.2795022127852014*^-16}, { Rational[-31, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116516,7 +136874,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00001450377348909108113945836187886992`11.64645470776706}, { + 4.2125939886055166580057`1.1095233047699333*^-16}, { Rational[-123, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116534,8 +136892,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001415947628205651872308708873591493`11.636084443713619}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.400662307611899159809`0.40935078239171085*^-17}, { Rational[-61, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116554,7 +136913,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00001382060836843930940572077320781969`11.625627975606312}, { + 4.237155480744921088733`1.112175217359214*^-16}, { Rational[-121, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116572,8 +136931,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001348712870357519005246400475922112`11.615083885870499}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.332894778822455921816`0.00798598877597614*^-17}, { Rational[-3, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116591,8 +136951,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001315899618948986222223842241530666`11.604450721636322}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.0077695492613658258582`1.1848722972413777*^-16}, { Rational[-119, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116611,7 +136972,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00001283616967496325114095680367006318`11.593726993557256}, { + 1.1801770162826633866471`0.557238698661525*^-16}, { Rational[-59, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116630,7 +136991,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00001251860795559373647034203660569852`11.582911174578774}, { + 6.5577530741519983663457`1.3021101970705022*^-16}, { Rational[-117, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116648,8 +137009,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001220626977386235483167656740841349`11.572001698654628}, { + Rational[13, 4]]))^ + Rational[1, 2]), -6.3572704816264996624298`1.28868942576815*^-16}, { Rational[-29, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116668,7 +137029,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00001189911381919697404431507809099121`11.560996959408083}, { + 9.2577726389826166963999`1.4519888530339262*^-16}, { Rational[-23, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116686,8 +137047,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001159709872803643903930369500424866`11.549895308735111}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.3069392062614993269894`1.1197146974853838*^-16}, { Rational[-57, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116705,8 +137067,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001130018308389468940894291747958788`11.538695055346626}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.03441803951741252850005`1.5003056626589122*^-15}, { Rational[-113, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116724,8 +137087,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001100832541742484855359865923996685`11.527394463246534}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.7885458623914923600982`1.3771295870000007*^-16}, { Rational[-7, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116743,8 +137107,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001072148420648328438751388069692972`11.515991750142078}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.45040841306156183167227`1.6472271516006198*^-15}, { Rational[-111, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116762,8 +137127,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.000010439617876193641565825196958176`11.504485085782903}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.6282605509170954590702`1.1512182846534524*^-16}, { Rational[-11, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116782,7 +137148,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00001016268479901084519541308565484669`11.492872590225028}, { + 4.0666125165520992771209`1.0950969286833097*^-16}, { Rational[-109, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116800,8 +137166,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 9.89064329478507599268401910181468`11.481152332015435*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.49223045769434844463765`1.6597636940432678*^-15}, { Rational[-27, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116819,8 +137186,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 9.62345163082571685177145828601038`11.469322326293124*^-6}, { + Rational[13, 4]]))^ + Rational[1, 2]), -9.855259301620754555739`0.4796595152424726*^-17}, { Rational[-107, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116838,8 +137205,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 9.36106802196527078715855889476553`11.457380532801706*^-6}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.22368994434576659899158`1.57372652424535*^-15}, { Rational[-53, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116857,8 +137224,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 9.10345063062325021513443707191733`11.445324853808795*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.2007057347927701572836`1.3999700421361032*^-16}, { Rational[-21, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116876,8 +137244,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 8.85055756687003753900911278599425`11.433153131926751*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.38416103232520723236815`1.627369120463935*^-15}, { Rational[-13, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116896,7 +137265,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 8.60234688849071700348096104819019`11.420863147829108*^-6}, { + 1.4125369667604602936327`0.6362460198676457*^-16}, { Rational[-103, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116914,8 +137283,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 8.3587766010488777841033037884196`11.40845261785674*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.22677182285031115513365`1.5750736929737514*^-15}, { Rational[-51, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116934,7 +137304,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 8.11980465795038827830941217355092`11.395919191507236*^-6}, { + 9.61849772488821650169`0.4694808578496864*^-17}, { Rational[-101, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116952,8 +137322,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 7.8853889605071415651019384229179`11.383260448800547*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.5875933880368692642248`1.4203088022697838*^-16}, { Rational[-1, 100] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116971,8 +137342,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 7.6554873580007720010383163829179`11.370473897513632*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.9003994451627727436757`1.3253752864619779*^-16}, { Rational[-99, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -116990,8 +137362,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 7.43005764774634292088123477334948`11.357556970276073*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.3792612441658546296124`1.0153865554630146*^-16}, { Rational[-49, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117010,7 +137383,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 7.20905757515600541200702860199825`11.344507021518329*^-6}, { + 7.461308153584098597491`1.359443505259762*^-16}, { Rational[-97, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117028,8 +137401,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 6.9924448338026281323438261056617`11.331321324263351*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.6138182894984672937087`0.9039676689587962*^-16}, { Rational[-6, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117047,8 +137421,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 6.78017706548339814253583671920497`11.317997066752126*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.55041253554505991271607`1.677203303086056*^-15}, { Rational[-19, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117067,7 +137442,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 6.57221186028339272390269202685761`11.304531348892379*^-6}, { + 1.12913462336152426085794`1.5395655196149094*^-15}, { Rational[-47, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117086,7 +137461,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 6.36850675663912215467101326646416`11.290921178519469*^-6}, { + 3.9792670172413959160941`1.0866866476622397*^-16}, { Rational[-93, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117105,7 +137480,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 6.16901924140204341821400197156862`11.277163467457251*^-6}, { + 1.1353140413020323704782`1.5420633525938277*^-15}, { Rational[-23, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117124,7 +137499,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 5.97370674990204481795760950819779`11.263255027366084*^-6}, { + 9.8232272435941468749892`1.4792653197721295*^-16}, { Rational[-91, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117142,8 +137517,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 5.7825266660109014751166843782968`11.249192565363831*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.8365624668479775677618`1.4333582738353439*^-16}, { Rational[-9, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117162,7 +137538,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 5.59543632220570168666974343873974`11.234972679405042*^-6}, { + 7.3754357122774421249096`1.3549264043023628*^-16}, { Rational[-89, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117180,8 +137556,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 5.41239299963224412274691318488903`11.220591853401825*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.39293265339324295318925`1.6311326497865324*^-15}, { Rational[-11, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117200,7 +137577,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 5.23335392816840584404933012712288`11.206046452069176*^-6}, { + 2.2115266259215809050048`0.8319585184676638*^-16}, { Rational[-87, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117218,8 +137595,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 5.05827628648748112214160567544612`11.19133271547567*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.82944644792717980858113`1.749649870252483*^-15}, { Rational[-43, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117237,8 +137615,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 4.88711720212149104721924695207262`11.17644675327917*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.7733257535186234962519`1.0641182993196414*^-16}, { Rational[-17, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117256,8 +137635,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 4.71983375152446391077302432721378`11.161384538625361*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.24648354664686858310682`1.5831443879396372*^-15}, { Rational[-21, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117276,7 +137656,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 4.55638296013568635264650503775144`11.146141901685331*^-6}, { + 2.4929608147614356994309`0.8842371354315649*^-16}, { Rational[-83, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117294,8 +137674,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 4.39672180244292526538244550656244`11.130714522806077*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.38718409911766608284001`1.6297196383628936*^-15}, { Rational[-41, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117313,8 +137694,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 4.24080720204562045174307370374186`11.115097925245832*^-6}, { + Rational[13, 4]]))^ + Rational[1, 2]), -1.6794809438535400468695`1.712824477547648*^-15}, { Rational[-81, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117332,8 +137713,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 4.08859603171804803503755186447396`11.099287467463808*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.43893665165054804385547`1.6457549400185278*^-15}, { Rational[-1, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117351,8 +137733,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 3.94004511347245462606220189331316`11.08327833493085*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.18220690465625561517071`1.5604706324446291*^-15}, { Rational[-79, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117371,7 +137754,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 3.79511121862216225489317126425859`11.067065531425103*^-6}, { + 2.2128032985695169851637`0.8327838343410863*^-16}, { Rational[-39, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117389,8 +137772,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 3.65375106784464408121136581509264`11.050643869773154*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.49424470402566311957029`1.6623266402297616*^-15}, { Rational[-77, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117409,7 +137793,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 3.51592133124457090245098805215734`11.034007961993845*^-6}, { + 6.5229990216672153924741`1.3024161266801408*^-16}, { Rational[-19, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117427,8 +137811,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 3.381578628416828485935844145548`11.017152208797791*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.26588796173314846229996`1.590427989018379*^-15}, { Rational[-3, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117446,8 +137831,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 3.25067952850950575841772015420146`11.00007078839144*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.25428742669080614784359`1.8411059213335814*^-15}, { Rational[-37, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117466,7 +137852,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 3.12318055028685389504909980155779`10.982757644529702*^-6}, { + 3.141664659081587588051`0.9853203801713328*^-16}, { Rational[-73, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117484,8 +137870,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 2.9990381621922163595731643533874`10.96520647375574*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.6765700058063785250248`1.3127778891147919*^-16}, { Rational[-9, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117503,8 +137890,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 2.87820878241092995818296320235705`10.94741071176062*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.4393907766036531421945`1.6464671335787406*^-15}, { Rational[-71, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117522,8 +137910,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 2.76064877893319698246937590514536`10.929363518789131*^-6}, { + Rational[13, 4]]))^ + Rational[1, 2]), -2.4333413934503452462007`0.874555405676169*^-16}, { Rational[-7, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117542,7 +137930,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 2.64631446961692853035729876071847`10.911057764010268*^-6}, { + 6.9418030473611792982473`1.3298886006280641*^-16}, { Rational[-69, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117560,8 +137948,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 2.53516212225055911156773072207752`10.892486008763376*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.42767588621154421737873`1.8736709761978565*^-15}, { Rational[-17, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117579,8 +137968,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 2.4271479546158326613197423369716`10.873640488581113*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.89407351327291218729732`1.7659410688934458*^-15}, { Rational[-67, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117598,8 +137988,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 2.32222813455056010870339677122795`10.854513093880735*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.6629799518473715958643`0.9139761086968216*^-16}, { Rational[-33, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117617,8 +138008,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 2.22035878001134867030624541943391`10.835095349203396*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.59601587650786447939737`1.6917094004713689*^-15}, { Rational[-13, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117637,7 +138029,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 2.12149595913630306819312339059078`10.815378390868455*^-6}, { + 1.42665545308618049245657`1.6430552829913394*^-15}, { Rational[-4, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117655,8 +138047,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 2.02559569030769890456167491931503`10.795352942895505*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.54909071084164970546176`1.8951854692010035*^-15}, { Rational[-63, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117674,8 +138067,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 1.93261394221462846317490284572016`10.77500929103008*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.08644673107680196879185`1.8082714842455845*^-15}, { Rational[-31, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117693,8 +138087,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 1.84250663391561925208438480249993`10.754337254691329*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.71603618095442852419187`1.9228637457193325*^-15}, { Rational[-61, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117713,7 +138108,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 1.75522963490122565374117085036512`10.733326156638672*^-6}, { + 3.7104137993591141236361`1.0584145605278368*^-16}, { Rational[-3, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117731,8 +138126,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 1.67073876515659410800029880596538`10.711964790131233*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.12600723346750012936843`1.5405974213833176*^-15}, { Rational[-59, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117750,8 +138146,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 1.58898979522400232427747457376168`10.690241383327198*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.55090638848908586612436`1.8958147968848709*^-15}, { Rational[-29, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117769,8 +138166,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 1.50993844626537310027482057229498`10.668143560640036*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3148800025568918908662`1.8537128007426604*^-15}, { Rational[-57, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117789,7 +138187,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 1.43354039012476342163729856778732`10.645658300733858*^-6}, { + 1.3979747882518454609159`0.6347477063915603*^-16}, { Rational[-7, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117808,7 +138206,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 1.35975124939082962987284058811533`10.62277189080101*^-6}, { + 1.91600069643595898887253`1.7717080870513704*^-15}, { Rational[-11, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117827,7 +138225,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 1.28852659745926957935312004451856`10.59946987671996*^-6}, { + 1.19786478898739122047856`1.5677842884060154*^-15}, { Rational[-27, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117845,8 +138243,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 1.21982195859524286298990834081197`10.575737008639797*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.4993087600475174086296`0.6653316402328927*^-16}, { Rational[-53, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117864,8 +138263,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 1.15359280799577037337419284782357`10.551557181478314*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.41569792736219059444526`1.6404752380704741*^-15}, { Rational[-13, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117884,7 +138284,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 1.08979457185211468990536312980905`10.526913369752393*^-6}, { + 7.0925175660210128265701`1.3403691495837793*^-16}, { Rational[-51, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117902,8 +138302,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 1.02838262741214305002256585008973`10.501787556080075*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.74945493086921206699008`2.063600962441306*^-15}, { Rational[-1, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117921,8 +138322,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 9.6931230304267498151571249634835`10.476160652602418*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.75868174566303348892792`1.930398528797454*^-15}, { Rational[-49, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117940,8 +138342,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 9.1253887829181705755650165382368`10.450012414466746*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.4338091222860672321912`1.4644481229126585*^-16}, { Rational[-3, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117959,8 +138362,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 8.5801758395128770146348139833798`10.42332134438891*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.40857311401378010961918`1.871584990445092*^-15}, { Rational[-47, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117979,7 +138383,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 8.057036021187355272802302531155`10.396064587167496*^-7}, { + 1.0558252359122114617898`1.5134813201166013*^-15}, { Rational[-23, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -117997,8 +138401,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 7.5555206626005538664964047745717`10.368217812853196*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.03422175992774238072553`1.504567086391548*^-15}, { Rational[-9, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118016,8 +138421,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 7.0751806127170712498553012811429`10.33975508707692*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.37072918834677266933008`2.0177414180847335*^-15}, { Rational[-11, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118035,8 +138441,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 6.6155662354304307022643636525297`10.310648726804825*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.7696013522983663234011`0.7379571464210269*^-16}, { Rational[-43, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118054,8 +138461,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 6.1762274101865153265676150119409`10.280869139509692*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.50016768876060102734992`2.034234712509804*^-15}, { Rational[-21, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118073,8 +138481,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 5.7567135326072514902258830169233`10.250384643416828*^-7}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.59658911889183856113678`2.04610085103371*^-15}, { Rational[-41, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118092,8 +138500,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 5.3565735151146483205135641420956`10.219161266087456*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.76823731586766740291937`2.066412442449998*^-15}, { Rational[-1, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118112,7 +138521,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 4.9753557875553249044042549384428`10.187162518129606*^-7}, { + 3.2148727950849567874295`0.9975021692122015*^-16}, { Rational[-39, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118130,8 +138539,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 4.612608297825687185550674078858`10.15434913825712*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.05765034772739609629207`1.5147446789004524*^-15}, { Rational[-19, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118150,7 +138560,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 4.2678785124979545050678989488795`10.12067880523029*^-7}, { + 2.8550897916646695620847`0.9460865280096034*^-16}, { Rational[-37, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118168,8 +138578,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 3.9407134174472843802719095062003`10.086105811377639*^-7}, { + Rational[13, 4]]))^ + Rational[1, 2]), -4.442549668975931018252`1.138163250881246*^-16}, { Rational[-9, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118187,8 +138597,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 3.6306595184803057552108464724318`10.05058069138122*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.60797898414794529094998`1.9068992559400177*^-15}, { Rational[-7, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118206,8 +138617,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 3.3372628419654506083486844921978`10.014049798761981*^-7}, { + Rational[13, 4]]))^ + Rational[1, 2]), -3.090824194638660339734`1.9807336890796807*^-15}, { Rational[-17, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118225,8 +138636,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 3.0600689354655767056881348833382`9.976454820966424*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.47461381523072614248376`2.141479170051654*^-15}, { Rational[-33, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118245,7 +138657,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 2.7986228683735084857841550230444`9.937732222053942*^-7}, { + 1.84914142367204352824146`1.7577579711174582*^-15}, { Rational[-2, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118264,7 +138676,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 2.5524692325512994340484636545918`9.897812599614088*^-7}, { + 7.3869594231233134855202`1.359317797270783*^-16}, { Rational[-31, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118282,8 +138694,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 2.3211521429742531030631308324451`9.856619939570585*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.41939442653916136778756`1.6430194273842167*^-15}, { Rational[-3, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118302,7 +138715,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 2.1042152383810522711893158783358`9.814070748776867*^-7}, { + 3.19391873123235430277626`1.9953044488265437*^-15}, { Rational[-29, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118321,7 +138734,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 1.9012016819317660452516217117952`9.770073040537913*^-7}, { + 3.28421003665145173256265`2.007475774712088*^-15}, { Rational[-7, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118339,8 +138752,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 1.7116541618791460566718473935156`9.724525142084788*^-7}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.491109121696557}, { Rational[-27, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118359,7 +138771,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 1.5351148319971023736848678549968`9.677314268093541*^-7}, { + 2.57752187019691918009235`1.9023757592757906*^-15}, { Rational[-13, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118377,8 +138789,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 1.3711250771744276939316262818345`9.628314760550442*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.06155807388750270074795`1.517181444418615*^-15}, { Rational[-1, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118396,8 +138809,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 1.2192269986695897780167234869997`9.577386551754136*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.77011327379450043500695`1.9337995089479543*^-15}, { Rational[-3, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118415,8 +138829,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 1.0789609640798995698624439483643`9.524372014806689*^-7}, { + Rational[13, 4]]))^ + Rational[1, 2]), -8.715416748956114099969`1.4316544410659595*^-16}, { Rational[-23, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118435,7 +138849,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 9.498672953354247431224782977978`9.469093526433506*^-8}, { + 2.7485206284270760515665`0.9305295924265777*^-16}, { Rational[-11, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118454,7 +138868,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 8.314858432412342635388401390057`9.411349768002164*^-8}, { + 1.45214498619032580121368`1.653504888327126*^-15}, { Rational[-21, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118472,8 +138886,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 7.233559881550888178587618968121`9.350911314359632*^-8}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.46279191741306232346087`2.364460565835451*^-15}, { Rational[-1, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118492,7 +138907,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 6.25016640758937446947294990729`9.287515146097732*^-8}, { + 4.0306309243903685669447`2.0969965983584884*^-15}, { Rational[-19, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118510,8 +138925,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 5.360062431852840865306991859669`9.22085775402091*^-8}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.57063977883819580995911`2.1516649008492004*^-15}, { Rational[-9, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118530,7 +138946,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 4.558627673798642972452727933276`9.150586376213047*^-8}, { + 9.4650443300532806917712`1.467874905944383*^-16}, { Rational[-17, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118548,8 +138964,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 3.84123719240445327878036605841`9.076287734294338*^-8}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.70172391538894943051706`2.1640737328961057*^-15}, { Rational[-1, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118567,8 +138984,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 3.203261369499268459670786629694`8.997473348528374*^-8}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.103903811606390704728284`2.534812205144175*^-14}, { Rational[-3, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118586,8 +139004,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 2.640065919596200483178528767473`8.913560113989673*^-8}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.854506043674385520171940975`6.077914074083482*^-11}, { Rational[-7, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118605,8 +139024,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 2.147011751211419114940617011781`8.823844143161578*^-8}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.854132903353359433890609236`6.077936408202854*^-11}, { Rational[-13, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118624,8 +139044,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 1.719472626068366829688702253387`8.727469374250742*^-8}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.852059695431393855571670063`6.077767116763081*^-11}, { Rational[-3, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118643,8 +139064,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 1.353478503285389878562265028021`8.623589864433255*^-8}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.850568049236753953998498801`6.077663304857902*^-11}, { Rational[-11, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118662,8 +139084,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 1.030101394537480201694773521557`8.505082878805437*^-8}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.846938237844188649971065722`6.077318117507377*^-11}, { Rational[-1, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -118682,1539 +139105,1534 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 7.83186421730947199929081075102`18.386132462518958*^-9}}, \ -CompressedData[" -1:eJw0mnc4l9//x42UokFFJaSMjEJLi5cZSUiSUkRoSSSjKEqEiBCVHWUle49j -7733psJ932/aJf3O93Pdv79cjwvv932f8zqv1/Nc10PI7JaeBQsTE9M5Hiam -//186sss9J2FQB9y35A3dNfD/7Mc8+L0//jm1KbeGlYCjWcn81y7yAs6CjL+ -r5YRKGLSQaCmkQ9kQ9WP3WAj0LcZvVuHdbbBeobx36PLCTRYp/lK5vN2+Kbh -kLVmBYEqyoyc5LNEoDfG78YoZn03DXvDtJ1Q8DtuewY7gS46X/zuNCQJ4aeL -+txXEkigxMYk5Ig0PHjfEXBmFYHODDDY9S1k4RLbrLoYB4EyHmrl6KntBWVj -ln8/McO2ZdPl8vtBOHdzTj0ngbpmNqhFa8vB8nWyN8NXE2jCVNF12vEQfLqq -IWy9hkAGhzjhef4RqC8zGYC1BPKA8l3h6xUgZYtjINc6Am3enneXWUUR/O2e -HZ/AXPnPLuySlxLYNr5lyuYi0CuXEe3PfcpwWqQ415ObQLlyYpav5FRh/4NO -a8P1BNoS1ZdhHaMGvD2zIhIbCLR/YprtCq86/JJmHfqD2W8mWtrtlQYMeG0J -btpIoPNqhf9yxTSheEz2RBQPgWSETqhxlp+AqMPHWWx5CZR6tKb53tWT8Cjo -Ur7yJgJJD6y4zsynA+ZzjjYbNuP3v7BjodlKF46p+YtNYz7xYC2bmN4p2Bn5 -bjh3C4GyQqs7nsjrwaofxS+8+QikHfJDhbH7NMzpdGkZbcXrycFyxERUH5oT -5lh38RNIWOx7RMeOM5DGvKxwCbN7avApLTEDCDzPd7tVAL/PX+3DtTJn4U7m -HvE3gni9vh47ekzREM5yao7abSPQvb/aR6rOnINDFqahakIEWsEys0XV9jzw -lThp824nUMvlEyVlgUbwlyeA7TNmuZ8G7PIFF2DkVnxRwQ4CMbHEDGR9vAhl -tSV2vsIEUpa03rKTzwRihboljEUIVITOdWhKXAKPe8SYtCiB0B4O2aW6S3Cl -Y9krZjECtWt9D0mxMoXjUlt1OzAPrI+0N+Q2AymPvSve7sT7nXFRfqnADNYM -a5Y4iBNIy/6qcaTlZWAcMLPXkMDvW1OncXCjObT735XaIkkgSxkLq4Zqc8j6 -FDAxi3mb7veDZ50tIEQp4XWxFIFGB9cJDOyxBKfX6JT/LgJNRW17akBYwvkv -3eymuwkUfPsaVZt0BY5qkWiPNP78IysKZG9cBYG3bI7LZPDvZ4lzAbuvAfPS -1t3dmDmcP8tPfr0GEwb7puJlCRQ5HdEpVXIdqlJPhN/dQ6AQqSe+13xuQDz7 -5dMn9uLzefxXx6tzVuBtem8V/z4CHZDbtrlY8ibcKHheRmIWm/5X185kDSfX -JzqV7ieQhsId/f5ea5C2KpUOPIDrZYusaEfmLeCq6pm+LEeggO2mBsMaNvCF -n4rYfxDXa2GVxIl8G+h2WH5mxSECPRGfrJaWtIW8Fn7OPsxI9tjY00hbeL1z -f0XSYQINPzWVMVl/G1weat1zOUIgn9HQ64net8G4/7Ks9lEC/ag5cOw6sx0o -7nX+JChPoMe1jndj7tnBdt/AqHnMmmfcCrS/2cGyqUSDCgUCZTeaIlvbOzAt -X7b6BRCI33GzwirqDtSG9FZaKhLI2CNgjtfaHpIpyvmgEoFs1oa7vSbtwU9j -xd5VygT6x/039bmNA9yKEZgZwMxx+5LM3y8OcOr3/pgUFQLdnzfOHXRyhL2n -Txq6qhKoRu7+b+l/jrDxvfnaU2oEetAgmfrd0wl+LHOp3n6MQH+4anxk1t2F -votB979i/nIyVHno9V0ozEnaV62O+1dk69NF0XsQsbZ8NlQD1/ufQL5nWffA -7Wrfm2vHCfTy0My75yrOYFbGOHdEk0AzzM3jyzudQXULO9fqEwTK6xJ6TFi4 -gKidYO0w5k0c2aD2ywXYGw+4pmkR6FODcv3qZ/dhRlj7wKOTBLLecLv3mPAD -aLxvQZzWJhBLRpcAo/ABfOh2iRPRIVCa0typlWdcIUA62OgH5pHd20QDKVe4 -7ZXMXadLoMXeCbEqNTc4M1Ze9/oUgTyvBJY5BbuB3OF+Nys9Aikm7SmYmHCD -zUHzcgqn8Xmyaptl2/cQ/syyU2v1CdRrbCsz8vghDKluezeGeYybw+xW90NA -EXIXM8/g9VjyPZ+z8xHEfNfe4GGA68Fx4Eum8yNw17FsMDiL6y+3dcXVlkdg -kXD/0U5DArF1H7Vp3+EO6swvDv3GLNc4tfyLkztInH/PaDhHIH/bJ2/rmt2B -M7MiPuI87g8uHSIGIo+B5BgwvmVEoMtutqYvXR5Dq/nCRqUL+PfUkmxA52PI -KF7ZxH2RQIU8a23ld3lAMI/Q40nMYdskf0Z5eoDDrYNHcowJRHK1R+eOeoBh -rc7CExMCWdQ7qT4+4gmHha4knrtEoLesPkVsoZ6w9d6DS5KmBHqvazl+5Isn -LLW/4P2LWZTf3F9U9wmMSaY0N5sRqPF9S3hVyhOoeFzpEX0Z968tsxObOL3g -7dDA0dvmBBoyJOW33fCCJwe+fFGxINA+6RWPB+q94Jr/quSNlgQ6duScv6ak -N5z4JGT2EbMd9ffgTV9v2KV0aHP+FQKdDVx2XoX0hrWvdVt9ruLvV7jd0Kjr -A/MLV55cuEagVaNKliuzfKDjhKvC7uv4/MqZs/7ifQo5cSHf/mHu6kLOYS5P -4eXflPdtNwikyi+bwBh7CvcMqi7HWuF5p+hj+lPdFy6kDm6xv0mg28pBbhkf -fEGB/WvbMWsCxX1dOSzA4wfbTDm8N90i0JsfMXryD/yApWC74gzm7vxluas/ -+sEk9+EfhTYEWu3YNuGv+wyqb5z64GdLoA65iPSKgmeQUHnVwuQ2rqfWhZ8J -Iv7wlN9tq6wdntfjeoHw3B9uOoR2sNwhkG3PXoMnf/1Bp+WDTyfmlgW3NYr7 -A0B2Z7XSO3sCLfP0H9lgFQDrHw79dHQgkKO37Srl2AD41vc19bgjgXyrTjrX -9AdAzx7OK3xOuJ9Oqwm85X4O+U93CBCY/V0cRvo1n0PY5OGukrsEqvv9Pemq -+3O4L6/nG3CPQO9y+6y0i57DpZBrKmbOeL3mJLl8vz0HZcrt914XAh3K+uYu -JB0Iwhov09nuE0hp9eH0NdcCYXlM6tUezN+7vz8+HRsIn35VCyY+wP2vXXiW -GgqEer3h7nuuOA+9yW2Z2BQE75O/+Wm5EWiuLkJAWj8Ini1brSbwkEA6KaUV -bf5BYHNReJHCvOL5suSGhiDQyzmSWfaIQJmvNEq3sgfD/rWnrwe5E6i8wZKq -VA0G3qvXhSwe43nAsU+48mEw/Cp92HvAg0C8fG6aW1AwDGx+5c/uSaCciI3a -NYvBUHw77Vg/5q71BVsaDr+AqIaav8lP8H4P7goXufsCHgqPZN33ItCN/VIl -3bkv4PL97zd0vPH7HrphNfz9Bah1r94h5EOgqtGwwKMHQkBMWqR/AXMb8/Wt -8/YhsMrr6PPKp/h867h8+5MdAnOjpzVCfAlkb3hn5cXvIdB86Ma/K364n3uT -KhvkQiEt8FHOoWcEkpJ4FCDkFAqBs69ucvgT6JFxWf/j/FC4o5ouPIR5UOTQ -GoU/oWAQUTvwIQCv33lbnpPyL+Hg95FAt+cEupY5N5zu+hK26Pw4rheI1wft -PG1V/hIW49cwCwfh/5+JMHZhewUjTKJ53zA33UVzYxqvoOyc/K2aYAI9f/rn -U7jvK4jN0Bd99YJADZsKFVJaX4EHh9XQ9RAC3f1i2se98TVcMXcPPhqK81e1 -dlzTuddwvPj1iTUvCXSFZ8BnKPI1SPJksIxi5gQjJ+XJ17D6Vl1++isCXbUX -MFwUDwOqZtTG/TWur2rrjRw2YdC27afYmTACSfI8D7POCYOsu2tHRMPxfgpV -dYn+DYOQdtGQn5jv3lNL3asaDk6SCifrI3C+9FVf/+JpOJx/fGZZeOT/8uCf -Oc2OcDg6ZFV4Mwrnh0xfsTN8ESBw4PFtiCbQ9eZtHzIvRwCTf5g4Vwzul0m9 -NyzfR8D4x4zRcczkWMuJm98ioFKxPjTrDYFcx7ceqlWIhHevxrQ9Y/F8ZbQK -OHpFgvfCTzbDOAJt2PHz4932SLhxYl2x+Fs8366+cm3dGgUn48Tu/MFs9yin -9d6VKJD+qyDZ9A7nBzataqeMKOAyMBiPjMfz7cKpE/V/o+DLh5uvbBJwPzK9 -8DZXOBq6VnjoKifiPPM2tydTMxpyL4Wv2JBEIPYbuzP6bKLhVX5myRRmtjV7 -JMRDo8GZu8E+N5lABXqy+98UR4PxjXEp7/e4f1i+rFWdjAbFyl8T51MI9Gu0 -tW8dRwxs5+cKk/pAoP5SJePle2JgmcNOvSXM49Y6J0TOxcB0M6xsTcV5vcgo -5KZbDNSKnS2NScP9cbxq30h8DCS5WTvapeP6d5/hu9cSA759HrvVMgj0OmmH -ksKPGLDeEzHFk4nzVl3tK0nBN6D7NCv8E2ajwt18yupvYO9kw+mCLALFlscX -PLz1BjbKT6zyzSbQR2/3mzOhb+DHi99lF3Pw/u5YK+5S+gb6SK670rkEqmd/ -MX7g8xsoVBeXYc4jkBvfRf8t3LEQEa34sR2zQNx7YbEjseD662xkXD6e93rV -oRfMY8FU79YZhwK83/IzQwV+saCS7MmpUUig0okzc0q5sSCyLLJicxHOQ+Kq -efOjscB+MfveLObY88SB+lVxMJPdKFtc/L98+ORiw744aFwz+elZCYHWpqoK -fTOOgw9X/kRdQjhPXjdwVPeOg4BS7rN7SvE8VZrRL8+Mg9ubJdYsKyPQLjfJ -VIvhONC/rVTVhXljr7Kz7Mq3cKDB0CW+HM9DU4MPIvvewiZhm713K/Dzv/KW -VzZ5C79dnsxoVuL+af6X/4nPWxjsiozZWoXz5qpW1a/ZbwHtzjEkMbMf5Y/3 -HnsLMU+a1pZW4/svx9Ih9dXvwH10svp5DYHOffFakDr0DiwOLd6/XIvne1tb -1WGLd6AeuH7//joCmcx8TrB5/g7EZyXmltcTaOf0SEBz8TvgUFWO7cUc0Y5u -68+8AyL83PmkBtxftwepsPDGQ8s3Gy6XRpz/ki/97FGJhwxtr9qTTfh+lyTl -2WUTD8HxUa6Czfjz0c+x3xHx4MCUe2AeMzNZwaTREA+G55qJ8hb8fVE+PUU/ -4+FwxlRccCuBnkYdMzonmgBbOf4aWbbh+4cg5cavnwBLlzesP9iOz1OWk/zK -RwkwWiRZv7KDQJRBl8fWtAQo36jycADzMbOv2meHEyDO+vzBlE68HiHlfvmc -ieBZY0s96CLQHV4JOZUjiXBtm/c73W58f7zBrfj1WiKcuBt9cXsPgRRcLkU0 -vkyEXe25G75idg79rtJYkwhrJVsaqnrx/L6ZtfPL90SYd59+FNqH709cjopK -oknQMfj30LV+AnH/2uSWcyYJsvdvnD88QKC9S7aDeh5JEPpMKoFzEH/+hbOa -PNlJcPejiskwZq/0sBKmqSQwUjTiSRvC+XlpzW7ujcmg8Op208NhPJ/jH/hp -qiXDtgXvx6dH8PPaZrcl2icDy4mYIyKjeD/dnSnpd8kwGZu38B1z4tWQgcHu -ZKhebEmsHcP3W846n7QV7yHhzMdLr8fxfbuoYz7p4Hvw+bDEazWB8+rOO2yN -196D1QqeFvlJAv01tShYH/YetC/t8lw7he8jgyZsjxrfg0y+qvwY5o1Wmwd4 -lt7Deu4LXzOmcZ5WV5Npl06Bb9ftkh9/xPXKFvwr0zQFeip8zAw+EUg2KlOy -KCgF8re+2bzzM87v1jqFM1UpEGaf3/oL86fd6yLgZwrcb2590jCD3/9PWFWB -xAcwEfukEDGL/14vRPzCxQ+g5Pbvm/UcgVZyRRfvCPgAO/p4UhQJ3K/tzzpw -VXwAtj27zblJvP+Chhoi3z/AJx81vknM29Yc2Wkingr1ExfasykCHX8Tsgpd -SIX3R+94P2EQ6FL40oRqQCo8e/FU8dw8gU7zL0tkVKSCDfnmh8QCnlfv9muX -/0gFPfWCD4uYj/qunRzcmAb7otssmr8QKKY/zmNWOg14fn3aGv2VQF/XCCxf -rZkGP08xddp+I1CteLGJknka9CfxPlX5jvPZQLmv14M0KGaVVt74g0AZj62f -jL9Mg6gLx35NY1bav3RcJzMNHmZfTMv7iedjyofm5qY0uLzG/orPL3z+LhSu -Nf2UBmpXfAUu/Mbn29R4xXLWdBArje3a9YdAjKetqcX86bByc6HvP8x254+z -eh1Mh1nbdpW2RQKt+bP0x/x0OjTVf/795i8+DydEg/Wt0yF1B3PGnSWcj3oX -qgy80yHQZdO1Y/8I1DMS8vRGXDrc6ZLetomJRE+0VEYCUToY7Fbv+Yz5TIFY -YWN/Ohx8YvyskJlEy+/c3rz5ezpsGbVX82MhUZKd7ldHrgxYPOi3aMxKohAz -Qu2zVAYMP4/LlFlGorOv7NhvamRA6UzhdRY2/PeCnAdYzTPgjUqHUCdm2/fD -tcmuGfA4fKb37XISJb/lKrQMy4Ar35gDHFeQ6Ma2+uX7cjPguPZm9ePsJGoe -lXy3viMDJONllrasJBF17lwQG5UBq5k0sucwT6bY1a7kyATK0MSqZBWJUlNe -wzaxTGhLd9gRwEGig31zCxoqmZC56lm/KSeJlE2eDLqbZMKLy2+f711NIkt+ -z++tzpngWFSkwbYGv2806xGZl5lwbmPnv27Mqts3x8VkZcJR69mchLUk+us3 -LCnSlgkCNSzW99aRqMT5emMBkQlM27aIaHGRqGBPu6vZqiwYd5Id5OcmUXWC -uOJWsSyobNMIojCfeOS+4pNKFryTuKRZtp5EitzzLVWXssDL3ZE5aAOJRM4/ -Csq+nwXXB5/lmW8k0deVx7RyX2eB1v53tw7wkEjA7fjX+tws2P2sWJSdl0Ru -dS89GJ1ZsO5j51AfZpMYhd9iC1nwBeaCkzeR6Lytqpbt2mzoesmqdX8ziSxO -Zdg3SmVD7vwWVp0tJKpc4W9zSDMbXmnuKdjGR6Il9tEDeVeywTn2uO0C5j7H -xHINj2y4uHhpZ+VWEumKkKtn32QDnHEaecFPIt+AVO6o0mwQ+uAfckWARCPT -RL35cDawrog/eUiQRLmsCTJHF7Nh2qRkGcc2EkXYDMiLbMmB2ryuwkHMOy0e -zwkezIEkLuL2ByES/a6OOCBlkAO+15dJuG0nUY64EI/mnRywruAbO7UD/755 -ucfdwBzQ3br35Q5hXG/v1O4XpOXAHntNnW+YtU92/ORsyYENzabLa0Tw+q6N -m7chcuC76N3il6IksptKMp3myIVe14A718VIxOzYp3xTIhcKeuMlj+4kUfem -bf5sx3MhQhaNrxbH9dt0UzH1Si64+nS/GsH8Qy1N97pnLphOELrpEiT6PtKR -f+BtLqgcZWN3lyRRaHyF/YbKXBB5sRXpS5HonsG1B6wTubCC3OsguotEn0+n -NLGy5MHnYyd2/cTcluVwcaNQHjREmU3W7SZR6ZFksYOKeZDy825YmDSJeg2l -Ra1M8iDg1HO9mzL4PIhP66U/yIPbSQkrQZZEE3fT41dE5oE+a2npuj0kGiqz -FbhVnAcHLvQ4jmNm3rk8/eNgHmzKJndn7SXROjetc7aLefB79fJpj30kkj8h -xMW5NR8GLfkjzu4nkUSeSXvukXwoQfv0xQ/gz38zFnLHKB+iN2lx/MHcE+xq -qOycD49sL5c3ypGovXIdl1BYPpjX37sbeZBEv3huFKwrzAf1HYEyNodw/W+9 -rM01kA/iLokflQ6T6N+Gxurtf/KBo6s0cv0REmXMOvKr8RUAsav3zBTm/Yfl -tJyOFECLJ8WZe5REm9X7tIqMCiB9ZHmllzyJxJdLbeZyKYCggwLO5xVIdGXT -YpJDeAHYP9+/RwpIxNq4YXGuqADOzmh9/ov5/FbF5XeGCuCwinl0iyKJyPRj -FRxLBbA13PlsjBKJzMcpqSyBQlj6GrjGThmfpzRm+ZtQCKMnk6pUVUjE16hI -HLhUCOXvylx4VHG9BtrIcT0shLh/vXs/YX7LeXrjYkwheBoyZvLVSBSzkOD2 -o7wQrqavePP0GImkE2TtWCcLQXOV4LmL6vh5FZKnBdmKQOrygXXSGiS6bNfe -qSVaBGuKTtYwHcfvn2Em56NeBPMbLB60Y57l5mHvvVoEHTdd9sdpksj9/FvF -Az5FkF0dNGd/AvfD3zX9cclFECqYHKuuRSKO9ftadzQVwV2n8vObT5Io0jpy -SyZZBEZtfVyzmE97FmTqrSsGeYn52iJtEuW5Hn7BsqcYBN3Z3Z7pkOjwrpa8 -8tPFwDwoKHdJl0RCa3ZuCrIvhol9cqTsKXzetb+8twsthmo/7beseiS6XTNk -Y55fDAnTFhe6ML9cCrxoMVAMPnB/ffxpEr0QLbZy+FsMVi+D6530SdQgwPYy -VLAEtOeTH2qewetvx91Xq1QCMpoVB7cakEjv4lPxVeYlwB3bTxGYNcs3uBt5 -lsDXP/Pv0FkSle3TGylKKIFu/ZXGzw3x+nyZktvdUAJ5Kds2Xj6H32fWxjOV -KIGw5Qcb950nUWtWYKXiOgT3TXTclxvh5wn+PDu2B4FJnuXhXsx897m/B55B -oMT1YD7xAq7/1YmDp50Q7Lj+IsH5IokOBai/Eg5DwFbx3uSkMYmslzxF2EoQ -fOSr5BE0wf1scenet1EEdXcGmhiYhcg3db+ZSyG5aeFx+SUSlZ/8mflofSn4 -ia46GmyK5+Xt43e5RUrBxlXoi4UZiQy9THiSDpSCXu/BJLnLJOLmYvU6rlEK -+2R1TVeak2jb1J96xrlS4PG5smkA8669XINRN0rh5/iDlvcWuF7cVuYZ3C+F -/iMhng8scX3EJxlt9C+FouAUed0rJErrz68YiC6FSKLyq9BVEr2J+TOXmFEK -bscGk79gfta/t9O1shTMor6YVV3D8yZfxOFidymo/Vy1JfQ6ruf6oBblT6Ug -dmp729UbJEohYEj6dymsTDrkddiKRA/Oz4YJc5bBLMsp4LxJoopIA3YhgTJo -Mrr6fQizfaSSoIhMGaRmuaakWpOoP+jGkIxyGTxfHWr+8BaJHq4NAlX9MrCz -/MB32oZEKj/tlU0sy+AMqmoXtsXz+mnb+EOnMpDbNOT9HTNhZMb/3qcMtth+ -Vay9jefB6UlyKLwMFus4fr6yI9H1TG593tQyGN6+I/XGHRJ5rks4blhWBqXO -hy3l7XFeOGvcGN1RBm86T/GvdSCRaSPZy5gqg8e7rnWOYhZewW2l8bMMLD3d -nmY44vX64fooflU5aIyEKj92wvUzQW1aw18OEgdTf525SyK2K5ukXKTLgfN5 -dZrYPZwX7qTmzSuVA/l56MovzNTp64XW+uXQpvxNoMEZc9xfmS+W5ZAZxtkd -7kIipwv8PK53y+HF1x1+1vdJtLra5Qq3bzk4njyiqviARHfdm4VSIsvh3Du9 -P1yueB77VirppJfDkX/XMiYwh8qsq/xZUQ78hg+vZbuR6Np6s7eJ3eXwL+3l -ticPSWQgc33c9HM5jK1M6zF8RKLs3h5HocVyqDSreSbhTqLdWefMPq2pgHeF -w2qLmPNLIyJzhCrAa8P3xabHJIrWOyXuu68Crt9cnRXlQaJHG4T+XVWvAK1q -4Ru2niTSWZG/9eT5CtgteHS7yhMSnXxU+eDgzQpY53S6b4MX7t97SSFJtwpY -aL0eMI35Lf8oi0hQBXSKP1LP88b9JlxFQPRdBeQ8erXk7UOi+yZlNrvzK+Dl -QFq20VP8PtbMP+QbK8B5X63VLl8870WTU/RHKuCi38iOf5j/HLZ4fnuhAmD6 -e3+rH+6vOV2RoWyVIARrAt88IxHnr5j2ik2VwPpS5Pgdf5zP8q3Ff0hWwhTj -KNOxABLFso1GyUIl1BzXz+V9TqKLiq/22OlVQuKbG9afMY/ukB8utKiEp38e -iRQGksiv9GYUx91KsNZ/PegbRCLJ+423zXwrQTclPcg4mESfeH7rl0ZVwp7l -dZoyL/6Xx0KURTIrYYPJKDNLCD7/nkoHn1dXwvfcH3kdmFmfvNqzrL8Setet -tXkbSiKv/KO7HxCVUHBNVMzxJYlcntaK/WOqgvBy+WGNVyR6V9rH92RDFTzg -O/Niy2sSHbPjXMGzswou3bHSmsO8bsPiVPKRKlBucmctCSPRnusq2Ro6VSAi -GlbgH47zfZCL7ZxZFaxwzbA1jcDzgVWJN9ShCj731O3cG0miTA/xWA2fKmiQ -GRtZFoXX63AzF3NkFaR4/wzpxnzxdbVJaXoV+I+v1U6IJlH97URvz6oqsD0i -xnYvBtfPJ07f031VcDpYoejEG5znHT0vixFVsJ84Y8cfS6IPjCROFuZq2HTs -pgSFechlzeOJDdXwO/LxWGkciT6+Vq1u2FkNgz/CXga+JZHWttGWgqPVUKKb -qWP+DufjQ3YRabrVEJ1Yv/xAPIm2X/WXTDGvhkcs48UrEvD+eFY5pDlVg7nR -rzt9mPUq3zoX+FbDsax1UsmJuF/EowMN0dWwc/XOCZcknD/WvIkbz6qGVZbw -WjsZ51upgTKmumqYKzE4te09zpNPf/qIDFVDC681+wLmbs4ni7rz1ZBu44Eq -UnBe+8S93p2tBoLqwh1efCBRUIpYQ+HmGrDfnrXrSip+HkVdoT+7auCsc8Pk -wTQSxQ3xcCsp18ChzvGwVen4/sXL9trPoAb4dv3WG8Qc1xObPXq9Bv56cK36 -kIHnkbGz6WHXGhgZ3lnmmonnu/L616+DaqBcTtHpVBbOd7YLRswJNRAXcFZ6 -RzaJAqWjYq2LasDzs/X0V8xcm6NujrfWwFVlz4jqHNzPBR1zLkzVgGZYhP7L -XJz/fJPvDf2qAamvWRzX83DeXkI55mtqYc3JxvIj+Xj/b8pdWdheC4y3E3dX -F+D3Fw328pSrhfal3zIjmO82n+UV0qqFrLPcn9IK8fqasK4rv1QLIWniUY+K -SPR6F++ta/a1cHelkoF+MYm8R7lleX1qwcjMcLVoCd5vJ3u9hshakC+8VfkD -c8PJ4haPzFoQ3PDEuQ7h+l599e2x2lpgvhm5J6wU31+KFlpWD9XCRFX2Z6sy -Esnl9p0YmK+FKoGmaIVynEfTXm5MXV4H8Y6TZ9dVkEg/M0ncm68OvFv/rBnH -/EnQ5/E1mTq4Ib6+OrOSRE0f0rbrqtWB9iOJ+x5VuF/eCmWSP18HMgNK+85W -k2ihJmeLzK064N53bnZnDYmkHDxvij+ug6++Nm9+Y855dv/bzld10D315Fxj -LX6++9ypuz/UQZ5C1LrIOhIdYSsMOVxRB69Dc2pu1ZPISnEuQau3DlwYTQ+U -GnB/iN4ybk7UgfHxqf3rG0mUReQruLPUg+KbxblJzMc15Avjeethx5/1cTlN -JAIxXv12qXpg05c08mrG9zXDfDZW5Xr4+F6Z+3wL/j7WF42HztZDHdv5OslW -vB9vtrx1sKqHZGNbt7+YX3AWPM1/WA9+uV5yLW04n9wYd2EJrYdb66LJ6HYS -Bauw2J96Xw+nruW+vd1BIg/rJzbvyuphb3nzBdVOEjkKjNxg7qmHjXzT63m6 -SFQ4aH/ZbK4eftr9rf+IeaNP7uk65gbob9zwKL8b5wHjtYcO8DZAkYjUoac9 -eN7PfFybKNUAkQ9UGBd68fnYkNwppNwAbj3n43f34f7AV+4efbYBzGRuGzP1 -k+hoyIvNIjcbQNXbe2M7ZoHbfoGpjxpAdDy6MXYA34++rpuFlw3AfiTP3X6Q -RD6FnoLdKQ0wE9RyWH2IRD8L9KVuVzRA49z0/KZhEj2H0dUb+hogVW0pYQaz -HeFXWkg2wPPIjZeKRkhkW1qmeG1ZI9j9kOJ9NkoiXs4Rn61bGuGMrmqzyRie -n84aYV3SjSCXaOQhO04iY+5L1sFqjbCZxe4o6wTOTxJPmM4ZNcKf8z5fOjFL -OAlo77BthKHMmKR3kzg/L/M8/cWzERBnvqnTFM5re/hX14U3whuL1k2a0/jz -ipQd4zIa4XHJxxa+j3jeZek/86htBEvef54E5pDHadpWw42gYcOjgD7h80tl -ZBl+bQSJul3fAj7jfrsmr0hzVRNwbld7bzaD85M+32XlbU1A3rtwed8szk8+ -cnFwoAlaO+y2LJ8jUWKnpYuyVhNkSD1t68HckMzySdOsCYI93nglEiSqGr88 -YejUBA7D+eBM4nkXN37d6lkTnJNr+65Fkajkdvc9j7gmOBLwKUWAge8D4iFr -4wqagP/zP3MGZps/jiK1rU3wT4l3a/k87td32vLmp5tg7PXujqAFEh10/FG1 -7W8TVHxR87H4QqJURYXjZ9Y3w1uti0pyX/F90/yXgr94Mzx5e+cn+zcSXWh1 -jm2GZri29DS1H/OJWl679QbNoHU21vL9dxJtmRFIumjVDLvTCvgf/CBRuuS4 -asqjZli3sr1T5yc+fwPpwPKqGRZMPz8V+oXvk9FDIRdSm6GzgEnlC2bNu1nH -iqqaIWf9pt+Vv3F/L3l8QmiwGV5aSaeH/CHRGqHwmKcLzXCv6tjVq4t4fxLV -1f6wt8AFAWPBw3/xec7v2GMj2AIKjvbdHEt4vzh8LWf2t8C2Vl+/IcyCmYWD -17RagFU8TjX1H65fvpTnpFkLTD0s/OPGRCHTVUkPne62QE1/e4YeM4VEwv8k -rAhogcS9M9eEWShkoj7DGv6uhfbhKCQ6UPxsf3EL7cNR6LZrsVpnRwvtw1FI -OFtaxGmmhfbhKMQumRIcwNRK+3AUctdUiv/I0Qrf//PhKPT5gYOGEm8r7cNR -KKHA2iFieyvtw1EoN/yE9OKuVtqHo9Arm922Fw+10j4chS7vPni4TLWV9uEo -VH7P20tMt5X24Sj0653y+QCjVtqHoxCZ5p7yx7KV9uHw+6hYuV273Ur7cBRq -yeJr67vfSvtwFCpbmR6h5d1K+3AU8ljQnCwLbqV9OAqdbVwReyi6lfbhKCQV -w9abmdxK+3D4+cXMHsrkttI+HIWuVRyOSC1vpX04Ct1//F5ctrmV9uEo9F2s -Uzi7r5X24SgUz9vse2SqlfbhKCR3PcekktEK0f/5cHi9JxLCdBZbaR+OQg0L -pQpDK9poH47C+XSz+s31bbQPRyH+n00Z/wTaaB+OQn92/3QNlmijfTgKMblm -vJc80Eb7cBQSyOeRq1Jqo304CikbKfCbnmyjfTgKGQQoX/xn2Eb7cBTSkDn6 -Lcq8jfbhKLSmVGVC2aaN9uEolGhrK/LJuY324SgkLTyU7/+kjfbhKFR/OTLy -UFAb7cPh/bzW2DYV2Ub7cBRy3frgVHBSG+3DUch/bT2fWk4b7cNRKMm9evfP -sjbah6NQoaW3V0pTG+3DUWj09E4xi7420PzPh6OQbVAeu+BUG+3DUWgln47k -AKON9uHwfvsx+b1abKN9OPw+R8Ykz7O30z4chbYIsS3n39BO+3AUcppx3Twu -2E77cBTSpszNEyXbaR+OQtv310zelmunfTgKjVnnv1RQaad9OPx8iycfcOq0 -0z4chabnQoIGz7cD038+HIV2/37b/cGynfbhKHTLz1fN/XY77cPhzysyGj73 -oJ324SikpCUctcennfbhKNT7/rvH6pB22oej0MO/4wEzMe20D0ehD6t+Fdel -tNM+HIVuamtwJOe30z4c/j7GiMOzqnb4+p8PR6G11c1Ldm3ttA9HIX29HTFG -Q+20D0eh31WzRmqf22kfjkIO//bvkv3WTvtwFPrns3a9IHMH7cNR6NkbD461 -qztoH45CM7vebmDe3EH7cBR6X35P6ptwB+3DUUg1jvvUrEwH7cPhz6twcps4 -2kH7cHi/yvLyhjQ6IOk/H45Cfp+GfvTpd9A+HN7f/V+O9F7qoH04/Ly6rO69 -Vh20D0ehj883NfQ7ddA+HN7Pv0e5Rx530D4chQ4cu2cwFdBB+3AUym/qe0GE -d9A+HIVYY82bfyR00D4chS6671hize6gfTgK7e0X2MFd1kH7cBTaXGZ8ZHtT -B+3DUaj934zqvr4O2ofD542zQV5jqoP24SjUv2q5sPF8B+3DUaiz6c03+78d -tA9HIbbu+DT/lZ20D4f7jR7f6eSNnbQPR6GYbawDtUKdtA+H36fR/NinXZ20 -D4fng79a0MrDnaD/nw9HoWy9JCR1rJP24Si0LyW07pReJ+3DUejcJFeqk3En -7cPhfjO31Trmeiftw+H9sSxa1ujQSftwFOJ498Xm56NO2ofD9ZtQlSbq30n7 -cHg9+feXG4R10j4chWxMVWO94jtpH45CXq7fThVldtI+HH7+PZqN86iT9uHw -fBhXWSfe2En7cPj54yf4zHo7aR+OQmEaEkT4ZCftw1FI6/CmR32MTtqHo1BX -dEov799O2ofD511nhjy7sov24fD5rG4qf7Wxi/bhKPTp4Fm9IaEu2oejUMVj -j7Dtu7toH45C6w6dDb92uIv24Sh0NaheL+NYF+3DUcjKbahoUa+L9uEoVBvm -16th0kX7cBRSlOmNDbnRRftwFMqRK9g67dhF+3C4nxrJKMk97qJ9OAoNLxxY -6xPQRftwFBJfXv94OLyL9uEolH5iKmpfYhd0/ufDUchY/LGxb3YX7cNR6FJW -TMlUWRftw1HoaPrBEsXmLtqHo1BrkIpRRH8X7cPhvOBaFPRnuov24Sj04liE -hdGXLtqHo9DzGyPNRf+6aB8Oz2NBlyZBzm7ah6NQjaqVyeNN3bQPh9fvesKj -GeFu2ofD9dS+c7+ebDftw+H5eH3qTqF8N+3DUWigowtENbtpHw73uwvzQYEG -3bQPh/vPMulbTJe7aR+OQsfuerTcutVN+3C4HjbPZow6d9M+HIVCv5zhPe3V -TftweH2rCn9XB3fTPhyF9i9yGR2N6YYH//lweL1yTh7ITOmmfTh8Xssu+0gW -dNM+HIUyXmuceVvdTftwuD/ZMIK3dXTTPhyeP4I66hEj3bQPh/PFfsPrfHPd -tA9HoVLG0p/XP7tpHw7PAzjwm4+th/bhcN4oYZhFcvXQPhyFoou37dsu0EP7 -cBSyPpx3I16ih/bhcP1OJKzYLddD+3AU2rp5ki1HpYf24Sh09/N5c9DtoX04 -ClVvWNpWf6GH9uHw+ecoOWRwrYf24fB5I3zeT9j30D4cnhe++o63H/XQPhyF -vl5b9ZLFv4f24XC+yAvjDA7rgZ3/+XAUSj73o100oYf24Sj0Y4zjc0FWD+3D -UWjVixot3bIe2ofD+3Nl0+J0Uw/tw+F59G/264P+HtqHo9C7dOn9mz720D4c -hYw8WwsyvvTQPhzuj/sL3bWZemkfjkLnRQf9Zjl7aR8OzwM7wR7vzb20D0ch -+082F8VFe2H4Px+OQhf+FQrU7+mlfTg8b6dmN1lBL+3D4fPrOHt8rVYv7cNR -KEXtbWqmYS/tw+H+/oxV45xFL+3D4f9XZaxjut1L+3AUkmc/w57woJf24Sh0 -fDef1KmnvbQPh+dHoeS9P6G90P6fD0chPotbjHdxvbQPh+d7ULPv6fRe2oej -kKaJ7Enmkl7ah6NQW6CrdFp9L+3DUWg2MVr2Uk8v7cNRaKHN+RTXZC/tw+Hn -/fzDt4LRS/twuJ89+jPm8LeX9uEo1DdopS25qo/24fD6krKtozx9tA9HITEj -GYvQHX3g9Z8PR6EQ55McOjJ9tA9HIUdD69IV8n20D4fr7b3jo7LjfbQPRyGz -qZM6LgZ9tA+H31eoS/jg5T7ah6NQlsw807dbfbQPR6FvIR5jGS59tA9HoUwv -+0pb7z7ah8P9Yi42Xjakj/bhKORy4YvXwps+2ofD77v9mGVWah/tw1FIT/6B -vGNRH+3D4c8zus95pK6P9uFwvYwIt/3r6qN9OAo9Hj7jXTXeR/twFNooML/H -l+qjfTg8v3l7Gk4v9tE+HIUe/Ph4auvKftqHo5BMGGvF1MZ+2ofD+SdoJX/a -9n7Y858Ph/OIcN9FZ+l+2oej0HqVU+7qR/tpHw7nuZe6Tzcc76d9OAo9dcu2 -Gz/TT/twOG+yGx9ON+unfTjcPxbEhtxu9dM+HD5/6Yxzp1z6aR+OQnY3X3zY -7t1P+3AU+rK00Pv1RT/tw+H59GWqu+ZNP+3DUWiPj+a7sNR+2ofD8ypnUdWm -qJ/24fC8F+hNU6vrp304fP/hy/vI191P+3AUulPg+HFhvJ/24SgkVDCfUk/1 -0z4chTY9YzoUu9hP+3B4vy488HRZOUD7cPj7mdSCDXgGaB8O1xvb7kuyOwZo -H45CgyncnzhlBmgfDt8HiAbxz0cHaB8O93OrPTuqjw/QPhzuD54C7bEGA7QP -h+eT5tV9jy4P0D4c7s8uH9VNbQZoHw7fl4LsVindH6B9OAptyJ+4K+QzQPtw -FJpoXBPMEjpA+3AU0jVtMJiMHaB9OAr9xGtYnTZA+3C4nvpPNSUWD9A+HK73 -qzUufvUDtA+H75f1Io22PQO0D4f3k0c532BygPbh8LzdNK90dH6A9uHwfVt3 -hfn2pQHah8P5cd6QfyXHIO3DUahqMv8Gg3eQ9uEoBNV/tXqFB2kfjkKPPv4o -KZUdpH04ChlqO6NEhUHah6PQjuzzWkEnBmkfDt/3fa5evm84SPtweH2/OLBc -tRikfTgK/a0+K3b69iDtw+H7aNBgi4LrIO3D4fd/1f1LwneQ9uFw/mvjieV9 -NUj7cDhfTt+sW/ZuEC7858Ph/T38/vpCxiDtw+HnmYh5PIoGaR+OQvNJAhtb -GgdpHw7fD7gXNpf0DdI+HM4LOrMBKdODtA+H55lWn2PEl0Hah6OQb6JPox/T -EO3D4f0uavJ9sHqI9uFw/frb5NzaMkT7cLgfTyiqm4oN0T4c3u/dnPKn9w3R -PhzOT2FRL9SUhmgfDu8HR632Qe0h2ofD9xUePUtJoyHah6MQJ/uqfoGrQ7QP -h/v5eGE8t/0Q7cPh/miyt2n5oyHah8PzffaIxp9nQ7QPh9crKZqHETZE+3AU -+uUkdWAqYQiW/+fD4fwxlRjXnz1E+3AU8j4wYdJaPkT7cHj+X3tjUd0yRPtw -eF7JpGcWDQ7RPhzOn1971TM/D9E+HJ5/3eOCSd+HaB+OQqnJoYdiWIdpH45C -lkylgS/XDdM+HO5PvMLiAfzDtA9HocrZp7+fSAzTPhyFzKXQMje5YSj6z4ej -UL2ih5qT6jDtw+G/P/a2wObUMO3DUWiRe9r0mvEw7cNR6I/MmkNmN4ZpH45C -KhMT8kZOw7QPh+t5xy5rfY9h2ofD6xuTWnMycJj24ShkUS2joR41TPtwFGJO -sycU3w9D2n8+HIWa9qlkH84fpn04CqmPX4zYVz0MBTO86ziZGOj4X+/Y3R3D -IBkaO3sHM/+jp1U7R4chXEW6Zgizn7Ao8w5iGFYzCt4cY2Yghg6/Pv/vYXgQ -fuxBKmZ5sX1FvCtGgNJoP7eJhYF4PXbJcW8YgUvfLu5/iHnsT0M5p9AItMV8 -XjeDub6r7cKK3SOgrG0/p8fKQCMbmFlZjoxA5m+m2kLMfHZ8mYvqIyAc7xsr -vIyBHM3Gr//QH4EXpze5+mH+xcm7c8F0BJYzxZ3/jrlK/96nOesRcHwvfcCE -jYGkOhsTPzqPwCfDQq5azKVDzdfGvUbgPJs6IbOcgYxdFEWHXoxAQ3p77SvM -anZjgz1vRuCosXEcywoG2q5g+7Q9dQRSVs243sAsxJUq3VQ0AgK59kadmJn7 -ztXU1I2A/2VmOXl2BhI8IqNb3j0CTOv8uN9h9n3BqCmaGAHbok3kmpUMtOmf -oVQuYwTGr8bVOWK+ri7mnP53BE5vlHk7itnDmbPvDNsoVJYVuh1fxUCF8fYf -2zlGYb+1+oUMzC/fpnzU4R6Fd1s65Pg4GOhTc2Bv46ZR4K0xXv8Y85rzrPnH -BUfBy26GnMPMdn7oSbXIKPwSdKg/w8lAt0qWVFSkRuF6I/O7Esxhp9Xm0J5R -GHDyeyi2moH8y5+5Hj00Cloimy8GYF77PG8xD0ahpC3u4C/M74SizfYfGwXp -BzIbzNYwUHrm9rR0rVGIliii6jGbX+af3HV6FLh61Bv2rmWgLvGbfxPPjcIj -94534ZjZhsjfIpdG4Yu0ySO2dQz0Wdp1IMZyFMwHZy5aY7bJ+B3Bf3MUurwc -DvVgft4mr/TKbhSO7WfZqMjFQNo9wpUb7o1C7pgfIwFzxcxTkQC3Udj5bHMj -FzcDia/TMed4MgqvDr+Nv4d5Yqemq6ffKKz6KOM+gdnri6E9c/AoOAcVGWut -Z6CPHGfVXF6PwhxoHM7GvGFWkPwePQoX5zo2CmxgINuPz6xt40eh+aXJvCfm -3H2uVbMpowBqs40U5sWJhnmLrFFIm3dIMNzIQGUTOgsjBaMgFMnyuAzzr39T -VefKRiFQ85mJBA8DcY9fvdFRMwrLfmw+EoR5aV3mmFbzKNjHvuVZxKwwHylW -3TkK0zqyC+a8eH0qlx+FgVE4u1jU1IQ5L7mSP29sFGoTNBIPbML7HZvRIPNp -FA6d6XwchZnFM0k1kRyFJOZLl9g3M9DV1Z5PhL6NAt+H2SO2mHtbBIJe/RkF -3/OOvP2YkZK2JRfLGPxdzvpFeQsDmWYT/7zYx8A681lzMubJ893GTGvHYMRk -S9IGPga6pDj20HHjGOhyvvO4j3n41McrJN8YlOXJmk5jvnGubK3F9jHYY1F8 -VGcrAwn8U3ca2DkGsVzHN+VhFqo7HX1Kegw2lHR+2cbPQEV8xZ41+8fA4/ql -Fm/Mlw5dkJA/OgbfeeaSFjCnKK/0yVAeg6sVjp5GAgz08EB4nNjxMei7xWpW -iXlpfs4pXGcMNLf6y+8SZKAXMh1sXAZjUFi7ZXMIZi5fUU2PC2MgZf/u6xLm -GJcS1V9mYxAhtKf1yjYGGjW8/dXq2hisaS5ObsU8fmaz4eitMXC9d/zJISEG -OvLEx/q0wxgwRLvM3mBePRJ+oNplDEw7LilwbMe8Wyz1oPsYtLvObb6DOXgH -U0+S9xioSDl9G8Qce3Lp/daAMcjqZW1T28FA85t+Sj8LGQMRD//3HzAvtFYY -/wsfgxBZPi9eYQaajpI7aBM7BiuG3112wzz6TLxwNHEMnHz2wGfM7R63pnXT -xuDzgZIteiIMFHJiJK80ZwzOTxz/XoD5l4e2rEzxGDT4d7XtEGWg6vxA7aiK -MTh61DTFF3O75sO1a+rH4MOnOa9vmFldqNsurWMg+MLJ3FgM98NbGY4z3WMQ -oLRMsQbzWtGorYZDY8BM+vPJ7GQgBzefS1UTY3D7Nd+Pl5hlFY4r75kZg4lj -8e3M4gwkupRaGskYA/0vez5cx/xMIah/1Y8xqIoq8e7AzHSx5pnD3zE4oKVp -cVSCgR6EbBscYx2H+J9dim8xO6+wK9NaNQ6b3ppuXSPJQLPJgfK568bB+xTx -wwHzSZPTOkK84/D7r1PHCOb0Qa9vPvzjcCNpWaqGFJ4nJculv+4Yh0GDAJ90 -zN9co39fkBiHk6xbLbfsYqBj98TPVsmMQ0lqvJI75sCPd1R2yY2D9IW9/HOY -746dKQmWH4dodvRTfzcD2ZtGVf9RGQfubM3OYszjisJGZprj8Mi0O1VUmoFW -Hcq9V6s7Dl9Xmz31xyw7ISG8++w4WBQQlj8xR+WdMgi6OA7dlneVTWUYKI17 -ae3Py+Ogvp5NoB5ztwSL7oXr45CHAn7tkcXvs0VmY6nNOIhbbe0Kw7xm8Jzx -DsdxeL0pIW3ZHtxvNE5JeN4fB46qvb43MXfwfXL85D4OLrboSjdmJYpS0/QZ -B4L/hArsZSB9hcNByQHjYFzfLZCA2dv8pTFn6Di0OJj9XrcP9+eIgXdWEeOg -uIPsuos5bXnX9cbYcUhvuZs+jlk0/EyiZNI4bHdh8zuxn4EGjuw29Ukbh6Cd -z69mYRaIk37+KWcclnVtVeU/gPOAncTBY8XjYP8wQdATM48kpR9bMQ7Tu/b9 -ITHzSWhP/6sbB8N+1H1WjoGuZPDOGrWOQ53niYxSzKrTfGa53eNweG+Pn/hB -BiJqJTS5h8YhecTsWiDmlwob3lhNjMNWX1L1D2ZPzoSr1Z/Hwe/gvW3mhxiI -sy87XJAxDkuTbIuNmCMlV8s7fR+HW8+f9+w/jM+T1X2N1sVxGJXnz4zEnDbd -VSzGOgGnZhKerTjCQDKVU2EPVk5Aeci+6zaYu7lcxjrXTsBelVK1PswOxVf8 -JHgmII46IaR8lIFOhzhGum6dgI3hPYtJmHecvLe+c/sEeGpc7l0vz0AidxRm -xcQn4MdXMtMF807XQGFn6Qm4GnPPfwpzaIVqSdP+Ceg7ufyGtgLOC047cgWP -ToDm7+fHcjF35iyutlWegMJ3/Nu3AT6vdyPLyjQmQOp04l8vzK5h3a1cOhMQ -+W9f3zxmr8Hbh03PTMDa96VZ5xXxvBxU/JtqNAFuhloBFZi/bl2zacl0AuaX -9d6QUmKgtywJ3ieuToBZ+mX1F5gjOgZ0X1pPQMdFavsSZrk8B6vJOxOgusp5 -yVKZgX4XqA1IO09Ads7y/hbM8bUbw+89nADRy4HZB1UYSCUtM6XyyQSErhV4 -HoNZfMfcmjXPJoC9KNFqlSoDbcx+WmIQPAF3r+7XsMMsM2hcGPl6AmY2lO0Y -xCw4L7tsOnoCjMq0/qmq4f4t3RYqFT8BjTd7+1Mw12ey299OmQD5LeY5PMcY -KNM17nVu5gR8qKaeu2L+aG+zYjF/AgTtnG9+wqxw4WABlE5AgOCK46fUGchk -oCb9UfUEsDQGChdgrn8wM1fZOAF2TgJMOzTwvM26cX15xwRMCicNPMW8uYVH -XL1vAs607c/9irmIOVfsycgEVN8vC7x4HPcTc16L6qkJkJM4aV2NWXOeZXTZ -3AQkdPcel9bE581TNVR5YQI2u5uLvMT8d/Kdt+vPCfCRZjAxn8D9Le1rduHS -BPwZcB68hpmy/bnlx7JJsPJakdeOmSPIJVeWYxKG9gUFHdHC72t2wucG1yRo -jwncisM8wi0dGMc7CcgvSXP1SZxf8uebBvknQebwAVEHzE7aF49uEJ6EmOky -5hHMQ6lHBjUlJoE76OSQujYDNUUYvHeTmQR36MtLwxxd5JicfWASvs6aB2/W -YSD34Ku9n49OgsVLxq1HmL2fL8jyq0xCt6rLiVnMhawjOTrHJ0FjfoWYvi7u -F4I/LB7qTEJ+RBBLMeaPf1gVMs5MgoSm4LDIKXw/CGmWHzeahLDvSfnPML9s -FjTnMpsEztgDL35gfnOtNBWuTsJ9nXKbS3oMdHbdXcGb1pNA/jmpVYeZU5c7 -49WdSTBJ6BPbc5qBHr3Wt6y6Nwmt+hasYZi/qLEfYbhNghLz/DCrPs4bft92 -b3kyCRkpLgVWmK/7Tyqq+E3CjvPsIV2Ym3Ujbt0ImoTg5cG2CmcYaHnBx/zA -V5PAlil4Mh5zwMunAvlRk+BgkrxznQHOS5G64cNvJ+Ejh9yyu5hrLi/Jsr6f -BMO88pExzB2XLwyLZkxCnbl2oeZZPD+Z9kQfz5uEw1z9IZmY8x4cc7hRMgnv -iy1ubzVkIGkOUxPfykngvz5/0gPzshyN8+/rJ+EZz31xEvNK+0qLhtZJ+FfO -znb2HK7fHWFun7snweZW8CjC7PUwKHH50CSM8W0r2nmege7svzOyfWIS9GqT -Q59jDqlnFVL4PAkVd+TsfmOeS2e/aUhNwj6hCu3LRvh+pny83PbbJLxt0pZo -xGyR+1TQ588k8NzrZ9t/Ac+7vSHuMcxT8ETUciwCsxXaTeSumIKf7fNFyy8y -UIk6n1HT6im45nr/5S3Mj6OFGsfWT0G/5Mo7vZivuq+Ab5un4ERvsI6SMe6P -AYEZK7ZNQdHjbZJJmJ9reYlsFp2CXbLvl683wedL+EOIuNQURA7JjTtjJq/X -LTu0ZwrW+lQUT2KuOhtlrX5wCtwO6Lw6eQmf71Xf2vUVpmBhvP9ODua6J/4y -pqpTcNnfUlfQlIHOFe31tNKcgs4jC5JemO3vvWt30J0CtU/3V8xjPub8foOb -wRTkBK+cOGfGQMnGq054XZgCMaUXJeWYjyc/vuNvNgUviW2vJS8z0OvmEf8X -V6dg5ev39sGYWfhHw15bT8G9YwdP/cU80HY8NPLOFMwuVEhZmuP9WTvmFnNv -Ci5E6bC3YH4ycu1srNsUNJ0YmJCzwOdrT8LmOM8pUPhpiaIxXxo1qY71nYLU -uIXXKy0Z6EyC/oU3gVOw7dQDh9uYF2Q0+6NeTsHzvyv1BjAfZV8G4ZFTwJL0 -YpfqFQbKj1XzDo2bAjsDoZUpmN0utec+T5qCSZaUyY1X8Txwv1nnkzYFBqkH -Sx9gfnmwv+hRzhRUG1WGffwfBw8E3C2agoPsuo661/B9Lmif6q3yKUjMGtDL -x/x7U0LX5dop2GJ6Zff26wxkOP9T5WzzFDxd/WXlU8w+rZ/9jndOwWL+g6kv -mAv15DMO90/BTctVZRduMNCJxexUidEpGOYOCa/CPHSIx2Pz9BToICGn3Vb4 -/nBpm+yKuSkovZFyOhSzYUpIxpf5KZDddEia6SbO/8eOsI/8mII3lZWrrmHW -PdwqU/d3Ctbb6k63YW515ZXMYJ2Gx/yDZYet8fd/b//2auU0fKu7EhGLOfBK -pb/b2mmwdPjixHmLgZLcU35YbJyGnu2u+vaYlad0pDT5pkGjZZXMMObgtTel -dglNQ75zCIe6DZ4P1tXf14hNg8TO7R9TMScZ8vtQUtMQ3plSvsmWgew49k41 -75mG1Q8PRT7ELJZauzzl4DQ82FV1dwYzy5kowlthGqg+3TOnb+P7zQ/Hlxaq -03DJc1CmCLO28/rliprT0LbnKqeIHc4vKXv2b9adBuWRLx/9MC+qhQjPn5mG -zKeuFd8xu0wttdcYTYPwQY7/o+G+43J8vziA2yMrhGySkZkUSlwimdkkOzsz -K8oORUKSXaikIg2ShE4qTe2lvZ953zz3XUZGfufrOb8/Py9f9dzXfV3nOsf3 -/XoebDiEP7/XGOZtI2E3am86JmO2XFS1ff92CWtzTWfl+MNYj/iS+eZ7JOzI -1JDxdzE3Ny6T9zgoYTK5caeW9ri/tvpPlxyVMOubH2S7MJe7Nyx8eVLCUmcs -ScjD3Oq9a/ez5yRsypfSB1OP4H8vHX55kauEBd/bcewx5gdVl6L7uEtY/zkN -K7scxf7+kP3d2hsSdqXhlMFRzFeXBIx6dk/C/j7s0LkK82Gt8t2HfCTMzvKW -fK4D7p+ZxWtNAiSsulHnw3PM4qI1P5uCJWzZ45CHfR2xX7sxyDzuuYQlLDU5 -fg7z6zXyyeeiJMzo7wcrHrNG3qFC8xgJe/x0yYSVx7De9nUa0CpBwnqtKusM -mDtMyeoYlyJhF1rZKoYfx/lk6ACfk5kS1hjW8MEd8+DokcXG+RK2c91pn0bM -PeIjIuuLJaykfccTm06owOSbo1FwpYQtiLy1Kg3z14YpKzZLJOzdpiGGhidx -vy6I1OrNSdjYLqFdvDGPPxx4IF2QsAdvTJStT2E/mJe15/R3CdPckZi4F/P7 -13wrgz8SdkZrqW8hZvdN7yZWt5AyMbbsxPTTKhj7/U/za+2kbPMeW+sgzDZu -e7ZO6yxleb2/GnY7o4IxQ1I3KLpLmUXiac1jmKWB2V88e0tZ5IGOXA3med/N -tKYNlLIRA28nLXDCepv3Ka1OV8rupA3xe+n037+HLOvhNlLKNI6GnhxwFu/f -ersv+vpSdkx3ymoXzF1t+FV5RlLGZSUaqTAXRTgvtp8iZetOLO1qfQ7n1eU/ -83qaSVmGXjn3HrOuZ+u6lxZSxgpsk0eex/P2fOupZQukLMzpq991zNZlhb5f -lkjZ4HFnTv3GXDu290JXKynzKOm4Zqszvu8Qyakh66Ss5YXbEzMwhyz9M/HN -Jik7ZKjbbZILzrO/ex9YskPK6ipD+QeYnU40jpPskbKVl6ektLuA84zHqn0O -B6UsyTjp0X7Mx2X1Yzs4SNlkydLTxZg7aF/Yc++klD3xKF8z8yLmoGy9keek -rA/bOSkYc+eulze9uihlbsqv3Xq4qiDuhX3PmVel7M+tM59PYO5cM8883VPK -9pp3SpVgHlbxQbHirpRVqG77L7qE+7/Ar13ZAylb7K17Jgqzm+Sh1yZ/KXs/ -N2ztYDfc/30c/CVPpMzg25TJrpjrd8sG24ZJmZ9vUvd6zB8Sn2gqXkqZ1qJl -X9ZcVkHd32N2tm+k7Pyv8tSEy//9vl7TpbFS9i1g5+MxV1Swro/Jyc2JUrZ9 -+bczNzGfXHlfrzxNyj41c1r3F3P48GZmVtlSNvdZJ+MdV7H/6do3PqNAyqKt -72hlY3ZyfhJiXiplo9oMVRm7q2CB3LbF6yop83oeluaLOfSS1rtRUinrtME0 -oMM17N9Ct5Z5cVJ2skOy0yHMlue1N3UUpUz1atn6MsxdxsnmOn6Xso1bKowt -PHA+eHf1uuS3lOVo7uoRinmWQerUJS1kbOa7b6pe1/H+Orl0dnRbGYuwdfp4 -GnP/szUhgzvJ2NCenQPlmFO7TT7o0k3GbsbdObvUE/uZLxrXlb1krO2+oRve -YLb62LPTwv4ydrRvuInuDXyeBT0rQ3RkTJ5k2vMy5hmtEjQ6j5Cx1YeSha+Y -PY4WX9o1RsbSBi1PX38Tz+tArU1JBjJmml4RmITZYcMot8GTZeyZw65z+rdw -XnIvbuc4VcYGDPu+4Q7mP79LCrJmyNjVHKcpLW7jfFsubxg6R8aanercaxfm -IRtjbBwsZWz/qLtiLubLD7v3S1sqY9WFQzNM7+B9FeKn03eVjC07Fx7kj3mQ -64hDtutkLEF/6vnOd7FfH7a2S+QmGZtYlrzxCOa323+IzXbIWMDF5aaVmBfq -vuo/b4+MaU+s7DX3ngoCdZdeuXZAxi5W76oPx+w45OzMwiMy9vPK94w+Xtif -pbc07XtCxnZNOfvkLObCuMv265xkrFTa2ZnDHORZLHi7yJil512bFd44XyT5 -BZe6yVjM9GFTYzDr5fr69/aQsXF8uPbw+1gPBl8rWX5Lxh7emdpwFfOaziMs -r3jJWFeLlMwfmH8rBjV+8JExJ3H5U5sHKmj307D812MZq79f6ZyKOWt//1/j -gmVsy/zdmyY8VMH3Y9cXbgqXsfzv36d6Yb5utLjQI1LGLB6d7d3aB/dLaEfP -929k7NXiLl/3YF7Onzn7OVbGRvy5m1WAOVm641HvRBm7GzQsmPmqwNnV8euM -NBnTWPncJRDzrpf7Du/MkrHjLaZt7uqH/ahp8yHu+TLGh6RMc8TsJZX+flEs -Y+vXrOhTg/ngwdLmBRUyltm26uv8R9g/uN/S/1YrY9MjdmdHYE6pzXbRUshY -+MYfwf39VXCpvXmb8V9kTKfTuQvOmIMvP34yv0HGrr/usuXLf7kh/OCWRhlr -te0eW/UY1ydywMZjTTJ2uNvwvu8x95vhv9e9pZxJYp5/0wtQQeSPP95+7eTM -ate0HA/Mbw2quIhOcpbcK/XZL8ynp7ZdndBNzowTVlzcEqiCT2tG1Gb3krMn -dlVb0jEbJP6+WNZPzvr23zN9YhDej09M50kHy5lbyo++DzDvHvNwyJdhcvbn -8LnvbZ/ged5RofV1lJzt1dHMtcM8btfzfo36claZcS+kCPM+02zjX0ZytvjY -cNcZT3F/fqrf+ctEzuKGv9j6FPNIg5yQRiZnE/KmmWkF4zxroNvqm7mcPTqd -2u8E5vhoH1vVXDnrMWbljzrMDg9blMsWyplzUVXuwme438KbNlQsk7Pv5/eE -vsLc7YXl59xVcrbDoNF1UAju940BLonr5Kyo/Ny2i5itd8ePfLVJzuZd0pwh -YnY4Y13gv13O3kzy6r8mVAXbzAZf9NgtZ6NrhzfGY941K8fsxH4583Z/kTc6 -DPvtAbp/t9rLWeepLOwG5sMrMmDBMTk7JU+91IT5+84LTuNPy5nqxsrt28Ox -/hS2t9A6L2c2M6pnZGEu7KjR/utFOcv5vGeA8XMVLD04PTX3ipyZ32ts9MGc -v3vLhbDrchYx+3y+xgv8fcvGzLh0W86GNWiGH8Q8xHHpt83ecnbroZdbKeYZ -oy/5mfjKWTvLETtmRahgUciVOV0C5Myh8cXMEMwwVau26qmcKfzZwF4vcb27 -5h56HiZna5am/TyFedcqp8bTL+XsY9PKAhnmd6ZFdpbRcjb1aXX4kkgVVFZe -KeoFchZitfdyNGYjm9UGlfFyNrDVzx1DXuGf54oOj5PlzD3svLkb5ro5XUJ3 -pstZ83VdB33FbJm6LWt0jpwdaO/9a12UCmauDSvlCuSs5uWIwkTMRn98c56U -yNnyTRHPx73G+8z/a9i2Sjn70Hn6lduY8+dusB9UJ2cT36TZNo9WQV7BzcGf -5HIWsN1q1k7M4UbLwi9/ljNtrZpBuZi15s3XNauXM9fYvb+nvMF5TDRyEL/L -2c/dPwsfYV7UPjXE57ec7e7t/KLTWxXobI6JX9hcwco+dL1qjzksKyWysbWC -LTzgvbMCc48Bfs6+GgoGA/Qs5rxTQZ/uzQ3mdlEw/bSIweGYrQ7efcV3VzCf -I9P/9I7B+6BpaE93bQXrpvvxkxPmt/tWL9Dvr2Bns6wilJhXnvq8KmOwgjUc -r7m6HLAeVd022TlMwbbq7dv1DvNaC01Vy1EKVpD/02JYLH6eNR2O3hunYLOd -nHWuYl6eNj1b31DBosZ2a/qOWc90+8/4yQqmV+JdtPG9CrSXGonLpyrYXRe9 -lymYx4TYvKoxU7AOhi/dDeKwX/zsO8fOQsGOV07ffQ/zrdDQRz/nKRjv9nF2 -q3jsz12npTotUrD1xquG7MHcqUebqHbLFSyrrqYpH/O1ure73VYpmJnHvuJp -CTjvX+kt7bROwZ5P+/UyAPOJhzlDL9so2BCl8zXND/j3n94frrFNwTxvddvj -gDlsiqHy3E4Fa21+f0415vPlc/f92atg9io93fmJ+PwDbj4/eFDBpF4v/77A -nHsu84X0iIKtmmtW0i8J+5O7wfutjytYytePkecxt5PWKJJPK5iJ7yqPz5jX -CaMGTDyvYE8X1u6xSsb6zqZo+FxUsH6/9s2Nxdx/VfKz9lcU7HLAL129FJy3 -4WJbOw8Fa1rm0swD89LfRj3zbirYvmbdS39iTvQ4+8nonoJVBt9/tTlVBXfa -6VneeKBgS6xHXv+IeWdN6QHRT8HiWkfuNUpTAQtaMccyUMEMn5vNu485qHLu -R/9gBXu0Pn1o248qUGja/fkVpmA9O1g3t8PcO+5k8aKXCubyqrb0E+YFJ0Zv -8nmtYD8220WZpasg+uO466p3Cmar+fv6E8xVU9juqXEKVvzWZV/3DOyvrLpy -LokKNt+2+/zjmINC1nbNSlWwtz0eDKvD3Cm7sLhHpoKNiRvZYmEm9h+LjWdb -5yrY/b2RZZGY/eImrbhbqGBd+s54PTAL9+/biy2LShTsdFK65wXMWQV1i3tU -Kphw0NpOwDzpWQvTRbUKtmlQ3fzV2fj+y27GO8sULPej3fB4zD/aWkvecApm -7vC7xegcnA+y/vp+VinYy6EXyj0xJ5SZ/RjwVcGG5XSP/oPZryi/dkGjgt06 -+eDGtlwVnNt/YPvRPwrWbtSo/ZmY167MOOnTXMkcCyMXTM7D+cH0oV5yayVT -np0xwgfz1bL727j2SrZWP6OlRr4KBnw9bdi5s5Kll1pXHMC82vzPtTHdlGza -xbroEsz27qkn5vVUslCj/TfNC7Ae+j34tqWPkg2q/r3/GebyeRN+HR+gZNeu -XLDsWaiCnNWmrh46StZiipbeKcyzn+739R+mZAelD1rJMD/t7TojcqSS1V4f -Vbn4E/ZLF0xtE8Yq2Yrpr968/i9Xsh5ZBkqWyM24pVOE/WOX+bOKJirZpDsZ -By5hrm/X40eFiZIFzlq9sAGzZ+Ly0bXTlKy3WKe3rhjvrznvK+tmKJnr/f2t -EzHP8+yqVWehZL/m/akcW6KC0a9+J1TNU7Ld3y+8vYXZNMFYLFmoZOV+Wreb -lWI/lH/qbu5SJVu4+OFBW8wzOjq/TV6pZLG/Ry3KwXzWvaXVm9VKNj7o1cgp -Zfj810J3PF2vZL4rZrZ5hHnlbDPV7U1K1r1FZlXHchXc/rKPO7tNyc6FrH53 -GPPgbHHN7p1K9nW15HY55n0mZ6Yu3atk29oeODS7QgXDnXKuGh1QssIXfxaF -YZ7d9v6SnvZKNmfjxVG9K/H5xt870+CgZK879mjrhHmH3ZkBWSeUbOTrh9UK -zA+nthsedEbJ7m0dHbOsSgUt+0rvnDqvZB27Rd15i7nwev7hZReV7ETMzMND -q/G+M730Wveykn3embn4CuYvL2BzvbuSbei1ZvR3zMt8Rh4ETyXLipe03ViD -81nkWcmF20pmZnegJhnz8DCnt4u8lOx5v6aY8bV4vpZV1Hd/qGS6KRfv3sX8 -e/+SS/l+SuZ5uId9yzp8HxL3M54BStZGx2fJbsz+N1fkL36qZEcyRo/Jx9z1 -kNV5jVAlkzlGtZsmwc/nuND9/XMlsx5uXvsYs9FzseFwpJKl5mZCF6kKTo35 -HT48WsmmnF5z7yjmjR2GJBa8U7Lg0VL7KszH7Qfqn32vZP2LDiydJ1PBFf+g -z6M/KNmV801jXmC+WuLQLC9Zyf6Od23fT64CbvucLUc/KpldeY+6c5hfPE7X -7pOlZFWuPrE85rhmcf1e5yrZ0kljvFYqVNCoLNu7olDJ4muijgDmbcqiTl+K -lczQ3XzZCKUKjl3d++N8uZL5m2aNvYZ5sv3yMX2qlaynfI3GT8yb5ps/fVqn -ZBduSOs2cdi/Jn+zM5Er2Q+zg+/TMAsvdU8kckq283OTlyGP/b/cOWORSslK -7roe9cbsNbtgc0G9ki2Y3XN5m88q6JuQNmX1dyV7V+8zbh9mPZtBK0p+KtnY -h2M6fMI8Rv9yiHWTkj1Y8Foy/QvW8yVpFvnNOabZaB4XhHnLt+v9Frbm2Bn/ -LO9uKpwn1z0cm9COY+KStQ7HMG8vDDo2qSPHNjdJl9diPhJv2yKwC8fynhzU -txRwfrt2532P7hybZfW3QyTm/fHiq9M9ORbZ8pJ0gKgCffnYWllvjg0P6xnv -gnmhf9tZC/tz7PZa3/sqzM2eTiwKG8Sx9u3HOlrX4zwQc/iBpi7HHF++XhGH -2S7y5M09wzmmtJk1flQD7g+HDu+SRnJsbefsjp6Yh7Uo6DpwLMcyotfKfmM2 -XnP7+sHxHJu2XRa/9asKCnw7mn0w5FhY90MPMjCvas9ra03m2ODYv46Tvqkg -I7u+98YpHPPYfWnlQ8yjl5bMCJrGsZa9exm0/471tn6dxxczjh364NvpAGZj -g4ltJ8ziWN3+sfJizIb3Bzw8OIdjKwdEJ8z8gfNGSfaa8PkcS0qd9TAYs8tD -DWNuIccmH8k+1qMR93P02Ym6SzkWNGSd1UnM5u1+LLVewbE+WTIDKebNp3Qu -X1rFsUvHD3Ve/FMFnfViaqLXcOz3iGaKKMxxQ5xXSNdzbE/+pQ+Df6lggp9R -leYmjpWf6eXjivmMyuX8pK0cWzTW73g9Zvudk83W7OBYbPHYVWt/q+DV+Rbd -j+/i2HiX6AkfMP/56NN4Zy/HfCdYdBn7B/vRquz6iP0c06rMVtzEPN5xYbP0 -Qxw777Yu8S/mz1tz+1cf4di3yXKfHU1Yvy/2m9/gyLHtdYdOZGNuW13n3PIk -xz5da2Zt8hfrtZMys8sZjs2d5mbohznzply39zmOPJwAP2y8zg104cjDCbCe -xfI6rhx5OAG8fbtuGHKZIw8nQFYvy8JB7hx5OAGyZ45b0fc6Rx5OgH21hwq7 -3eTIwwkQnFW8tu0djjycAPU/+tf+uMeRhxNgxfZfu6T3OfJwAiQw/focH448 -nACWsQ5H3zziyMMJsMTsxs+HARx5OAESjaYfPfuEY0f/eTgB9oyarNr0jCMP -J0CXNBMbFsaRhxPgzafWqdovOPJwAjQztNL78pIjDyfAyE/cibgojjycAKdK -zyV6vOHIwwngeKis+cYYjjycAO1VEWNHvufIwwmQ+iZlvhDPkYcTYL5NsdXL -RI48nABmLv5L7FM48nACfBTkkww/cuThBAh32tb+SwZHHk4AL+PihMfZHHk4 -AVYZtrJdk8eRhxOgZ6hfQ8dCjjycAP6tjm57U8SRhxPgecj0d9tKOfJwAmhY -vPveuYIjDyfAJ6dnWhFVHHk4AWpNs7utrOXIwwmQs0umapBwbOw/DyfA1uEv -nrnLOfJwArwNEGbrcRx5OAFuzNoSE/OZIw8ngJtjWpclAkceToC1VVKTynqO -PJwAOhMdp+3+xpGHw+ddObP31x8ceTgBds5oler4iyMPh/ul78lFf/5w5OEE -cB16IPB4M548nAAVST65P1rw5OEE6O2Wkbm/NU8eTgC9gdH3pG158nACHFwy -bOJqDZ48nACde+V7p3TkycPh+71wIduoC08eDt9PZYus+1158nACZJxpc7uV -Fs90/nk4AVSqFSO29+TJwwnw5NOLU4naPHk4Acrb1Xjp9OXJwwnQZP/45LH+ -PHk4AZZOez8keyBPHk6AKQ+/uQ7R4cnDCXCilcaLA7o8eTgBTjfG3I0ZxpOH -E+COYdH0tno8eTg8Px79Hi0YxZOHw/XtaRl/ZQxPHk4Ac36sd/o4njycAG13 -Ouu3N+DJwwnwvZ32cTNDnjycAJF7A0/aT+TJwwmQtOP3xMDJPHk43M/8J78C -E548nAB5/VsmNJ/Kk4cT4NGM6Tf1GE8eToBfmSt6LzTjycMJULa5/YJ9M3ny -cLheiYPGXJ7Fs0//PJwAtpzNm4DZPHk43M/1Hl9j5vLk4QSI0nUszpnPk4cT -YMD3sh01ljx5OAGkHc4/UC3iycPh+x0/8cTPJTx5OAE62UQ1a76cJw8nwJr4 -nBGtVvLk4QS4GLygoeUqnjycAA/v8zbNVvPk4QTYO/eEXeManjycAOmu8QO+ -rOPJwwnwde+FXVUbePJwAsTpe67IsuHJw+H6m4SWvNnMk4cToHCI72+/rTx5 -OFzPSKNXF7bz5OEEOK400Nhpy5OHE8BBsalh9i6ePJwAXMerh3T28OThBHhX -evpi416ePBz+uVYLg3Q7njycACU+pbu9D/Dk4QTw9SmevPMQTx4O12NLoucE -e548nAD2u3eeajzCk4cToOW+a9/fOPDk4XB9l7f57XiMJw+H9WSi56WJJ3jy -cAJM29bG//NJnjycAFpbR8z2O82Th8PzoPhgt9yJJw8nwJmqJ4NanOPJwwnQ -ZpffiuDzPHk4AQ6UHuu8zIUnD4fPE9ts3rcLPHk4AUYUNbW56cqThxNge7+Z -5gZuPHk4AQZH3W2WdpknDydAiGauycarPHk4AVzevxYEd548HJ4n7366pz14 -8nACBIWmZGl48uThBLhgcvXbtRs8eTisV6+nePa4xZOHEyCt0jvwxm2ePBz+ -997nDLrd5cnD4fP0BP1L93jycAJ0CO7n28ybJw+H++nH7vMH7vPk4fB+zDlV -VPmAJw+H9WzOUO/5Pjx5OAFm+4/JfO7Lk4fDemFnu7fnI548HN5vu4Mc7P15 -8nACeNyK+ZLzmCcPJ0CPMY6ZowJ58nAC9PV/1utMEE8eToDGiJFJOU948nDY -PyyKLx0UzJOHE0DiP9dq1zOePBzWv2HuRs9DePJweH5P29p/DeXJwwlQ9yBQ -2yicJw8nwKuwQX33P+fJw+HnGeh/POgFTx4Of97N9qblETx5OKwfrXRWdYnk -ycNh/3EjLtP0FU8eDvd3FPhsi+LJw+F92O/zR7fXPHk4rDcROotDo3nycALs -emEwMuMNTx5OAE/3emv5W548HO6H1lPKm8Xw5OEEuNul4qUW8OThBLD58rJC -N5YnDydAtd7N1ePf8+ThBLh6YeVQkzievf3n4fD+7p0xjcXz5OGwHujn+U9P -4MnD4X22fbr1tA88eTg8X/cUVpMTefJwAmxsePJwbBJPHg73d5m1weBknjyc -AFfaZ3XQTOHJwwnw+JBk1C/Mag8nwHIb+2vVqTx5OPz5q62nJKbx5OFwfxnZ -jnj8kScPJ0DHTqetnNJ58nACzJ17NGl1Bk8eToChd0cfGZfJk4cTYObPI1ub -ZfHk4QQQQoyupWNWezgBLs8xa7yZzZOHE0Dha++1NocnD4f7pS7cYUAuTx5O -AP5c5tVSzGoPJ8Aws+Cym3k8eTjcLyOGbrLMx3r2z8Ph/vLs1a9ZAU8eDu8n -fRuNUMxqD4f9q1gxanUhTx5OgHaDD5xq/oknD4d/f/G3lv6Y1R5OgG/b5keZ -F/Hk4bAfubX4XiVmtYfD+2JH3dOjxTx5OAGah8plHUt48nAC/Lk2dYk3ZrWH -E2C06/savVKePJwA4zetfPAcs9rDCaA7qcxpUhlPHk6AVg6TLkdhVns4Afop -Ld4ZlfPk4fB8P+K7hmJWezh8v5qdXXUrePJw2O9+dtW9iXnbPw8nQP6y2ZUt -K3nycLgeT4a93YNZ7eEEmPGi46tczGoPh/3zubJMoyqePBz2864nWntiVns4 -7E8vZa/8jFnt4QTQ9IuJM6/mycPh+Zg1cs4tzGoPJ8A59rOuDrPawwmw7ZXW -Pf0anjycAFtGb9x2BLPawwkQfyTeIhqz2sPh+qQPndKIWe3h8PxH751hWMuT -h8P6MNJ5zS7Mag8nwMJNy8/fx6z2cHjftoiLSces9nACBGhEtWnErPZwAnTP -H7h2UB1PHk6Ahj4172ZiVns4AcbUK0duxqz2cAL0z9X1PYm53z8PJ4D21aM6 -NzGrPRx+ntU5T4Iwqz2cAL1S+5u8xqz2cAIkZ1lkJmBWezicx+KNbT9iVns4 -PO/WNW2yMKs9nACHgvQDMjGrPRzep2O7zE3DrPZwArT4sFsZh1nt4XB+eTbp -ciRmtYcT4Jnb+jGPMas9nAA1tlmp1zCrPZwA1s+Pb3HArPZwAuhPXta4FrPa -wwkwcYL5BVPMag+H9dNsuqY2ZrWHE+Do9snuX3A91R5OgEldB7SNx6z2cAKM -6lZz0AOz2sPhff/YIX8dZrWHw/O9P2/kUMxqD4f9/hnJARm+b7WHw/1v6Rcc -gFnt4QR4ENlUsAmz2sNh/9qeV/XGrPZwWH/+bGr8iPtN7eEEMIpc8eUYZrWH -E0D5Pjp7GGa1h8Pz2P/Cg3Tcz2oPh883PsLKDrPaw2E/nG/U2Bmz2sPh+13U -6mwQnhe1h8N+aXmvBoZZ7eGwHrTZPj8Hz5/awwng3Kh02YhZ7eEECDN4EKjA -86v2cHh/D3MMtsOs9nAClL6x9xDx/Ks9nAAD51+1tsOs9nBYfx7ENFNg/VB7 -OJyHv/913oBZ7eGwn2u9SJmF9Uft4fB8fgkYPQ2z2sNh/7uo7bwArF9qDyfA -uEk7Z3TErPZw+L5GZ2jtwXqn9nACdBs1PjYF66Paw+H6BFybqYNZ7eEEmOP2 -2dse66vaw+H+M52dkYj1V+3hBIj2vJfbDbPaw+HPkyuDV2P9Vns47E/aGVvf -x/qu9nACLDI9V1iG9V/t4QSwmJM5rDdmtYfD97ern8VivD/UHg777017Dc/i -/aL2cHi/bElWheP9o/ZweL8MH+tYiveV2sPhzyv3y2yBWe3h8L4zGavSxfut -4z8Ph/3arpziGXj/qT0czq86N6+sxftR7eEEaHb1lMYBvD/VHk6AnzY3LM/i -/ar2cFjf0stXuuP9q/ZwAhif3zz0Dt7Xag+H/ROMivLG+1zt4fC+mTi98328 -79UeDvuZ4U+G3cV+QO3hBLBbdrCZB/YLag+H69vs8d3z2E+oPZwA938taDiE -/Ybaw2H9unGw40bsR9QeDtc3cGi1BfYrag+H/c71fYf0sJ9Rezh8frY+ri32 -O2oPJ4BP37+pVdgPqT0c3gdDNl5+hf1SM5//PBzO70VX213Efkrt4QRgC7yn -rMR+S+3hsJ/scXvoQOzH1B4O69sq9w812L+pPRz2k8k3+zx6yZOHw/3h+3bI -Ruz31B4O58nn3St7YT+o9nACHFkWsjAN+0e1h8P+f8+DvY5hPHk4Aa4f/Tlt -KPabag8nwO6TuTFp2J+qPRx+Pm3G78H+Ve3hBOi6f2lKh6c8eTg8HxZDlvpj -/6v2cAIYSJOcTbA/Vns4fF+TrLalYf+s9nDYv4bKvlhhf632cNgvr/bUqfTj -ycMJcLDkwJ/N2J+rPRyur633qZqHPHk4/POcMYHrsb9XezhcnxPT7PNxHlB7 -OPz8tgrZbC+ePNx/96Pl35c4T6g9nABW352iBt7hycMJ0OVGYPfzOI+oPZwA -k3eVdJHgvKL2cNjfHTMOnoHzjNrD4Xy8qUp6F+cdtYcTwG+1+P4zzkNqD/ff -vx+5GU/DeUnt4QQwOZVpeRHnKbWHw/tvUtmfzEs8eTgBEnsXzumG85jaw2G/ -dLxizGKc19QeDvsLq97BF5158nACBJoFxsXg/Kf2cAJsPhJm9wXnQ7WHE6Bn -4cqXfc/w5OEE2FMTe3XmKZ48HPb38Vp/t+H8qfZwAkyf5dDCGedTtYfD+7W4 -x52HOL+qPZwAZ+93i4vE+Vbt4fA+WHr7ePJhnjwczmMbs5LyD/Lk4XA+Lqn1 -Ld/Pk4cTYNOZZp1q9vHk4bC+Ry9sXYPzuNrDCeB0+5drOc7rag8nwOLSCd75 -OM+rPZwA7wXdKck476s9nACZ4u/NkVt58nA4PwQoej7czJOHE8D9Qv9l5214 -8nACbHj7ode2DTx5OOz3+2ptmbGOJw+H88Q4k0l91vDk4bDeGO1051fx5OGw -/vUp3Pt2JU8eDuv92Yhs5//+feSfh8P9kzgxasFSnjwc9qsqj8GdF/Pk4fC+ -SGjqmGbJk4cTYNC7MIez83nycAKEdqjcNmkuTx4O1+NuQq7EgicPJ4B5p1tw -zZwnD4fv57273uQZPHk43C9ZSs1ixpOHw/3VpebQkak8eTgBXnZ6vlxzCk8e -Ds9z3xuhjybz5OGwvpmnnDOcyJOHw/q2wi0XJvDk4QTQKO53z2I8Tx4Oz9+A -6OLksTx5uP/msUfXLEbz5OHwfq3qC6DHk4fD+puwaZPhcJ48nADf9d84PtLl -ycPh+Z25o62mDk8eDutjxbO2Rwby5OEEcAjOcizqx5OHEyCob8+Nk/rw5OHw -921NiHTvxZOH++8+1TlZp8WThxPg9pqjL4268eTh8Dzs7Lz2TBeePBx+vr5j -9yd35MnDCSB6D27Q0ODJw+F98XlC7Zy2PHk4XL+isOlOrXjycAJUfa9t96o5 -Tx4O1+dlf1NpE0ceToAMy6Cibr858nB432YUVBo3cuThsP/p/W3x2m8ceThc -7yorPcd6jjwczvOhlrbXVRx5OLxfavU0A3mOPJwAEyJY/ygFRx4Oz9uomqvx -Uo48HPZn42y3p9Zy5OEEkJ0Y7vexiiMPJ8Df0EMzUss58nBY34wDzeNLOPJw -uP939Al69YkjD4e/f5fuvoB8jjwcnvcFg2565HDk4bC+Nuzo75DJkYfDfmz0 -6lZrPnLk4QT4+mDxvMkpHHk4rNdFQZ81EznycHifzU5Q1cZx5OFwfab+XRQB -HHk47H9V0R1OveXIw2F/d2LVkFmvOfJwWL+lxjfbRHLk4QRI0Y7bHP+cIw8n -QHbt8kvHQjnycDjPt7XqOC6YIw+H+3HEWElZIEceDueh4unaF/w58nBYn+59 -8xnjy5GHE2Dlp1dOGfc58nBYjzn+9c57HHk4AX4Pbjm7xW2OPBzOQxGb9G54 -cuThBHDLPLpxyDWOPJwAZtefK4Mvc+ThBGjb7WTqeFeOPJwApsvm/Q535sjD -4f5b5nJ6zFmOPBzOZ20fr3x0iiMPh7/fWvtkz+MceTic18Yt+Xb2KEceDvf7 -loQY7hBHHk6A03mSgsX7OfJwWB+Wjp0WtocjD4f7Maxrg8ZOjjycAE+g3Y+N -2zjycNifWLrNfb6JIw8nQI+RPWVN6znycHgehw7OtVjDkYfD+727noarFUce -Ds9b8hO35GUceTgBXg/WXd1iMUceToCtTd0PTFrAkYfD+dHiZ+72ORx5OOzf -pFanrptz5OHweUtDDkZP58jD4fyj6xRWasqRh8N5OGOF0c/JHHk43H8NIc27 -G3Hk4fD+f9e9x/DxHHk4AbzWddg3cQxHHg7Pc98BXcz0OPJw+Pct8xsshnLk -4bDfWfNm4JzBHHk47N/fr7lq3p8jDyfA3k4zZ5r25sjDYT2vazIZ14MjD4fz -b5sB9v27cuTh8H4z029o04kjDydA5LnECGU7jjwcrnfBgVdprTjycAJcskr+ -9bgZRx4O+/PZa86c+K0kDydAfvP3sxf9UJKHw3ra3H1hvwYleTj886oNN2q/ -KMnDCfB2fUrfQKWSPJwAg622VW2TKsnDYb+Qnl03qEZJHg7nEXnAiIJyJXk4 -nEd/nA9yLlaSh8P7e6/GDoMCJXk4PL/KvM1F2UrycAJcM3T2OpauJA+H98HU -2K69U5Tk4bCf0R0WH56gJA/33zy2NmxWrJI8HM6bX9oW5b1RkofD+eJnpumG -V0rycAKETFhbUvtcSR5OgLlPV0RsDVGShxMg5tG2pKogJXk4rBe3TbSs/ZXk -4bAfmXjybtpDJXk4AXK3VlgbeynJw2E/b9Fpqe8tJXk4AZYZRDm1ua4kDydA -Tew15dYrSvJweP4/LHCLvagkD4f3/xLvLT3PK8nDYT8fOfnQ9tNK8nAC7HPJ -j35xTEkeDuvhnVGTf9krycPh/dCqQTn1gJI8HD7/MHn+sT1K8nACVPolfo3Y -oSQPh/3Rjrnz5ZuV5OGwHwkaV6C9QUkeDj+/ZPLNmauV5OH++/8tQy/ZrlCS -hxPgRUZUhOtiJXk4AXb8jOgWMF9JHg7vt6EVj2IslOThBDhj9WdntpmSPBzW -Q75gS4WpkjycAGNvGXrIJinJwwnQZ3WlyBkoycPh+b/u78yNUZKHE8Dx+2JL -6QgleTgBXG6GWZQNUZKHw/m2+PqBjAFK8nACDHdLy4rurSQPh/dflp6Nr5aS -PNx/87zTkPNdlOTh8H24BPXarKEkDydA2kj7qaatleTh8D5MAE/NZv/3cALo -b9/Qv/KngjycAP6XxxU++aogD4fr1adjnJ1KQR4O3+eanLLxSgV5OFyPdjYj -P9cpyMNhfZh9O8C/UkEeDt/fM+sVq0oU5OEEMGQXJrQpUJCHw/v/QLsZoVkK -8nA4j+vHnl6WpiAPJ8CUTze/iB8U5OHwvpt2+MrlWAV5ONw/obPWDXmjIA8n -gFxVvybipYI8HNYT8z2u08MU5OGwPm9/KEt6oiAPh/Xgxgn7ef4K8nACWLp+ -m5D8QEEeDuuNU9Mgs7sK8nACWExznfrSU0EeToC7zd0v6l5VkIfDenOrbeur -FxXk4XC+XqIIbTirIA+H9bTDBNcVJxXk4QQIH11+PfyogjwcPn+g/GO7gwry -cDgfNlthtGaPgjycALYWg9MDtyvIw+Hz9l/iKdgoyMPh/PVO5mq0VkEeDs+T -wIcdWqkgD4f3Zd8dbUIXK8jD4Xw7ceOl2nkK8nAC2H+qZD1mKcjDCfBU/9sQ -M6YgDyfA804hk3YYK8jDYf0t7XXMdYKCPJwAC4LNPweMUZCHE0AYPfta7HAF -eTjsjyImbMkbrCAPJwBnOmh7TV8FeTjs/3bq3uV7KMjD4bzqav1H7KIgD4f1 -90axe317BXk4PL/jEpZ/aakgD4f9+zPDuZI/cvJweH56Ltj76bucPBz2uwNH -J3wQ5OThBBgw6ce8EKWcPBzWi5P5LTzq5OThsN5lKZR2FXLycFiPYze2mV8k -Jw+H/eYDm6WDcuXk4QQ46dg7S/VRTh5OgHYDIk+9TZSTh8PPr73d5mysnDwc -zlvR6w7PipaThxMgqXf6mxYRcvJwOL9pKQzfPJOThxPgxkJl5d4AOXk4Ae4Z -t4/t7yMnDyeAsuvJnKS7cvJw2F9Wn9fe4yknD4fzkMt6j05X5OTh8POFzp4e -5CInD4f7o9hJZ/oZOXk47I83LTPOcZSTh8P9cbTp3IZDcvJwWI+GpbWW7ZGT -h8P3sbTF213b5eThBNgY9zlAsVFOHg7rQ5+ylC2r5eThBCir7qtTvExOHg7P -5/lfYfMs5eTh8HmXZdhHWsjJw+H+dpYd7D9dTh5OgJ9mDwNPGcvJw2E/csOy -R5mBnDycAPEn5kUbjZaTh8PPqxBvXRwqJw8nwLroRyGfBsjJwwlwIR6adLTl -5OHwfGX5X9jRVU4eDuenx9ELgjTk5OGwX2+xZX5dSzl5OAFO+LQ/3++PjDyc -ABLTHj8XfpORh8Of7y4+Of5FRh4O74s1XW77y2Tk4XB+3FjyPqVKRh4O+83d -ySPkxTLycHjfL5+X3jJPRh5OAN/GgtDe6TLycNj/zZNkjUyUkYcToOtsUX8S -yMjDCZDX7EjWtCgZeTicx+6LoWbhMvJw2J+tq8tkT2Tk4bB+XM/WN/aTkYcT -YOiLKbljvGTk4QSA+U9e9b8hIw+Hz9/xcXm7KzLycLj/El/P+eIsIw8nwDG7 -A9+yTsnIw+H5G7ZB9uyojDwczq9jug503i8jDydA7Le+96x3ysjDiTBkcI91 -IzbLyMOJUOfouVVcIyMPJ0JuK8OXr5bLyMOJsLnh6oKjljLycCJ0j7QYbWgh -Iw8nQuKXH8uV02Tk4UQYdnZukvckGXk4ETq4l52dry8jDydCmf3aSw0jZOTh -RGhdsq/89mAZeTgRlvrHn5jcR0YeToS9bdrszO0mIw8ngqWD1M+2g4w8nAgP -P/QZ/auljDycCMtbrGh54beUPJwIzW9bjtT8KiUPJ8L+mTE+13kpeTgR+mhv -3tlNIiUPJ4Kf089zbuVS8nAibJ8/S2xeKCUPhz/vTpsXBzKl5OFEyBZbJpcn -ScnDieAxs7WBRayUPJwINSV5QmCUlDycCBWHWLu24VLycCJEGGjZbwySkocT -YXKArulLHyl5OBEu5ZmvaX1XSh5OhBfj5uct9pCShxOB+WkG3nKVkocTQXHN -rrDISUoeToS5RXM29zomJQ+Hz5/nsGDxQSl5OBEC1kuun9slJQ8nQreCgyYR -m6Xk4UTYPUDDrGKNlDycCO/9rge2Xi4lDyfCoHV/9g9fICUPJ8LGzIn3zc2l -5OFEKOliMHadqZQ8nAjGSTU6+w2l5OFEGGs488Tp0VLycCLMHztv2iVdKXk4 -EVJHfN12rZ+UPJwIa41m/vDQkpKHE6GpzcT6qx2l5OFEyGnIXnWhlZQ8nAhf -f/cfefy3hDycCDZJQ3bsapCQhxMhJfBL95WchDycCGf83cea1krIw+H+P6T9 -un+phDycCG/O3Iv4mSshDyeCgaivk5smIQ8nQoK/2CIgXkIeToQwkz9W9m8k -5OFEOKhpp2P2QkIeToS4eXs2t30qIQ8ngmte734pvhLycCIIm7wtne9KyMOJ -sO6oTuM0Dwl5OBGObS8YWH9RQh5OhCUr5XG+ZyTk4USwMLtSY+kgIQ8nwrSm -n04NdhLycCI8ZTt8b+6QkIcT4dmtrjMNN0rIw4kw5pjRtnQrCXk4Ef6a9+i0 -aZGEPJwIGsZfJ4sWEvJwIti59FOemCYhDydC9KJ83dYTJeThROj4aLfUZYyE -PByeVzcDwzZDJeThRLjQ3rHj6X4S8nBYL7Tv7P/aXUIeToR2r2RbtnWQkIcT -4VxNgjSnhYQ8nAj5fm6/TH7WkYcToaCNr899oY48nAhtRuws+SOrIw8nQrGB -5ZNVlXXk4UTouS2yS2hhHXk4EYb30urUPLOOPJwIx18W+i1MrCMPJ4KYfjj/ -1rs68nAijOu8za80oo48nAi95gzp0j+4jjycCPqHR/Wx9qsjDydC3+i/H9zv -1pGHw/XcqauVcK2OPJwIhhqD29RfqCMPJ8LqFae9+5+uIw8nwhyWVTLzSB15 -OBGsfvjEbd1bRx4Oz4fq4rKzW+vIw+H+KRvo7rW2jjycCJlRTQ7hy+rIw4lw -PiWhW9y8OvJwIgx81H1thlkdeTgRFoxztyqYXEceDutDQHWb4nF15OFEMPWN -3FU0rI48HJ6nbm9c8vrXkYcTIXK+79o0rTrycCIkt9bh3nWoIw+H98nG+knB -LerIw4kQJMsxu9lYSx5OhC53Tnc4rqolD4f3RxXcXCetJQ+H99djqzqT8lry -cFg/5ndr7J5fSx5OhN5h73JlabXk4fDnTxx15HVcLXk4EeqTx9Wdf11LHk4E -ZbHfwIVhteThRKjcu3lEt4Ba8nAi7Om9sHmOdy15OKwvrWcHX/asJQ8nwrvv -hqNmXaolD4e/b1mL0z/O1JKHE2HfAO/ggKO15OFwP7f8/nzpvlrycCJcWdX6 -RuPWWvJwuN6D3y/3WltLHk6ERVrDG0yW1ZKHw3rmPelw/txa8nAifK79VrRr -ei15OKz/mbt1mybWkof7b/39V7qNqSUPJ8Ka7yEHeurWkofDelDu7ejVp5Y8 -nAieHy7YDehaSx4Oz/tF15VebWvJw+Hnq3g/tmdTDXk4fP5VS35daqghDyfC -l20bYv4oasjD4XnY2NNxV1UNeTi8f88+0s8vrCEPJ0LUnym1Jhk15OHwPuS6 -3fJKqCEPJ8LMLZvn/4yuIQ8nwsmDu1ouD68hD4f1evie90EB//9+ONzv10Jc -fnn///vh8DylOqya6/n/74fD+/Jbv4nXXf///XBYT+crdIpO///74URw0po8 -uO+R/38/nAhpkRsnWO/5//fD4f3/Mm7N9c3//3447FdexXqlWP//++HwPLm+ -//Fr0f+/H06EUyP0D4y0qCEPJ0Lpu2MdVpj+//vhsB962ivpmMH/vx9OhA1u -xwLuj/j/98OJcHfKkNB3A/7//XAiHCm8UvlJ6//fD4f1NmnGNJXG/78fToTy -mKTUls3///1wIrz88ORC9+/V5OHwfRqfcBzIV5OHE0GWm+c7vKaaPJwIWaHz -W40uqiYPJ4KP5Wmf0ZnV5OHw/dzucULvQzV5OBFslc/v6LypJg+H/UOPVj97 -hVeTh8P7b+Prh+0DqsnD4Xp5urh/96omD4f94+/hH6s8qsnDiXB096qVyReq -ycOJ0GpOyfinJ6vJw4kw8dwRG9dD1eTh8H2H/JBu3VlNHg7roTg2eerGavJw -IrgP+NKq28pq8nBYvwO6+VTPryYPJ4Jv6JEHoWbV5OFEwNugpcOkavJwImxd -cDNj2phq8nC4P14Nad18SDV5OBFer74bBNrV5OFEGKqhinHsXE0eToSu2wfO -MWhVTR5OhF11w+dIGqvIw4lgkt0p7uaXKvJwIgxYXhw1s66KPBz2n473xvHF -VeTh8H2abNG7nlVFHg771yMLgowSq8jDibCq3f7neW+qyMOJsDOmfrZdeBV5 -OBHu2/7a3S6gijycCDHlL3S9varIw+Hz/7E8MNajijwc9vsRf1e9dakiDyfC -ifatSi1OVJGHw/o44F5T+oEq8nDYnxppJCzZUUUeDutl9L1xOeuqyMOJcGfm -A/NFy6rIw4ng7WXbJmVOFXk4EYJTlh9l06rIw/1338Z6P59QRR5OhLdiF0cd -vSrycFjv54doXh1QRR4O7y9Tja0/uleRh8N+esZtx/Xtq8jD4fpOzln+vqmS -PJwIP6wnfR/UUEkeToRDixZsPSGvJA+H+3fcPd+C8krycFg/Lrx4Pjqvkjzc -f/3byDunUirJw+H5WnDXOjOmkjwcPu9qv+99IyrJw4kQa6C03xpUSR5OhP6a -/Uue3q8kD4fzQap8+JfrleThcP1K2tqMu1hJHk6EUdsnuO4+WUkeToRv08cG -PD5YSR4O+0UsiWU7KsnDYX8b9zKt6/pK8nAiyKOri2csqyQPh+8zbCBvN6eS -PBz+/Tnz23hNrSQPh/PM37kjEwwqycOJ0NagzTr58ErycCKcHmjn26F/JXk4 -EYoaTjSN7FZJHg77qexRh2e3rSQPJ8Jo7+9acT8qyMOJ0LIjd+5LdQV5ODxf -Bktm9EqvIA+Hz2e3aIXxqwrycCK0X9Y3cYVPBXk4EaTeX712X6ogD4frv35U -9YnDFeThsD/53uP+xQ0V5OFEWKbft+jq3ArycCJUz3h2z31CBXk4EQ4o5n2+ -1L+CPJwIgw+cKjrTtoI8HNa/+OqtB4Ry8nDYTx3u7bWupJw8HK5vcd65mR/K -ycPhfuqaO0I3tJw8HL4czQr3v7fLycPh/n6d9SHfqZw8HNaDGresx7vLycNh -fd3bOvrAynLycCKELza+ZDy9nDycCB/8DS1/6ZWThxPBMUazfVT3cvJwWG/1 -G5L2/SkjDycCX9vtuo60jDwcnle9kINZWWXk4fD3xWoddIguIw8nQiPne7f/ -ozLycDjfuMTVv71cRh5OhJ8aSherI2Xk4fB9PvbZyG8sIw+H9988B5eT88rI -w4nQKaZbmw6GZeTh8P570k/i0b+MPJwIK54tG9+zbRl5OBH+2DoJnqpS8nBY -v26f0e9SXEoeToRHvSb/OBdfSh4O++Xfd5d+Cy4lD4f10e/Z3M03S8nDibAj -7ow07VQpeThc76OTJurblpKHw/5m/R/mvrSUPBzW07uaXbgppeThRNjy+e2z -mUNLycPhfZ22dtitzqXk4URQ2ViflXwvIQ+H83PEyMzxVSXk4XA9H1tpOaSW -kIfDeU3Lft3bFyXk4fD8l458+curhDycCA+/6w2b5FxCHg7r5cWJb/buKyEP -h/ONoHPKd1UJeTjsp8qzjueYlZCHw35lw3hoGllCHg7383fzJcO1SsjDYT/8 -rO+0BX+KycPh/Keb775HUkweToSFgQ+XX8osJg+H9cvsnad/VDF5ONzvaQ5r -3/oUk4fDfqS10atM12LycHgf+q4LqzhYTB4O6/WmA8u4tcXk4bD+DmoR/HVW -MXk47E+VTz/8GltMHg778a4Dg5t6FZOHw3nTtO+upmbF5OFEWH/KrvMveRF5 -ONxPu//6NOQUkYfDeqH3erzyTRF5OBFqzzxIKX9URB5OhMVNCYczLxeRhxOh -oWHBjHf2ReThcB59uGtK4IYi8nB4X47ftsd9ThF5OBE+yjxqD48vIg+H9/1Q -62er+hSRh8P59/j4vMkti9iWfx5OBN1M/609uU/k4URIX7dkr5D3iTycCPZl -lT9T3n0iD4f9/+Vu2g8ffyIPJ0LV/Micg1c/kYfDehn8bNKso5/Iw4lQ0pFb -pmXziTycCDee2BtWzf1EHg7vv9dbJE8NPpGHE+HykQr7Q30/kYcT4YmFTr1J -q0/k4bA/A8cdf7lC8nAiWCfNqX2fX0geDu/rJ00HnWIKycPheo0bPNQsoJA8 -nAjNg2e3brpaSB4O6+vgfjqvjxaSh8P+fOGaiwdsCsnD4edPypszcl4heTgR -Vmru2ltpUEgeDs97SPd2N/oWkofD9ZpVOGROq0LycDgfPsnObOQKyMOJ8Pzv -+D5P8gvY738eTgRIMulqHVNAHk4Eo+Yz4tsEFJCHw/vENHzai6sF5OFEWBvZ -2mP90QLycDjP785Ka2dTQB4O69nkyJ/hcwvIw+F8L9s3ZrVBAXk4fD8rUw80 -71tAHg7njbOPiwJaFpCHw/UObrSz5PLJw4kwfkf6bDEvnzwc3g9v2Z6b7/LJ -w+H+nHxWZfw4nzwcns9uFZWlV/LJw4lgaBxqeepIPnk4vK8Ob7EYvDGfdf7n -4UTQPH2uOG5OPnk4nE/7Pem9ZXw+eTh8PxPOd2/dJ588HPYPfHGhf4t88nAi -OH88b2+hzCMPJ0JS0uG/ktw88nA4n20Nc3J5m0ceDu+TgsWaI/zzyMPh+mte -iEq+nEceDu8TpdclW/s88nA47xhzdzQ25JGHw/pQJ6t/OjuPPBy+z879nljq -55GHE2HC4KHpX7TzyMNhv/rt9R6P5nnk4XB9+cdeRopc8nAi+HfI3FmUk0se -ToQZWqPlJ97kkocT4XZgxoghj3LJw+G8tbncJMUtlzycCNu+3dG3O5zLlv/z -cCIkWlj11V6fSx5OhD6XznZ8b5FLHg77gx1+PXaNy2WP/3k4vH80l83pqZ1L -Hk4Eg/UWkXHNcsnDYX/ydc5+O3kOeTj8fRumXRqYk0MeDtd3pE7PrOgc8nB4 -H7Rtp+vkl0MeToRN8r5JRm455OGwH9Px7ao8lEMeDvsx5+89fNflkIfD+eb8 -NclqixzycHi+Fjbd6DEuhzycCKmfayfm9MohD4d/vq91qXuzHPJwIvT7Mfn2 -Enk2eTjcj9z+k1o52eThsL51eutTFJ1NHk6EXl6mPXz8ssnD4X4/bMDtdMsm -D4fPN/Gb8aTD2eThsP9e97Nrm/XZ5OGwXu9Mcym0yGbcPw+H+7WpS+jTcdnk -4bAfaXbxnpN2Nnk4vI+gq83a5tnk4XC+6fywu7Eiizwc9u97zJO0c7PIw+HP -HzXS/debLPJwIkx1uuVU9SiLPBzOy7H889TLWeThRLi5M8jolX0WeTic11Zv -GhiwIYs8HM7DsrRzd+dkkYfD59F46XhtfBZ5OBHGOLbr6dYnizwc3l+FH3e5 -tswiD4f90L25Or2LMsnDiXDF7v7EoU8zWdM/D4e/z20hMz6RSR5OhIjpc7ev -WJRJHg77Z+1XRQ6DM8nDYX3S+/n+cX0GeTisBytD5pd+yCAP99+/7wX59rmd -QR5OhNCjv0WbnRnk4fC8Nwo7nptmkIfD/TVbc3zHLhnk4XC/5mkf3VeVTh4O -+4Vn7XaVvkgnD4fnM1170jLndPJwuN+3xrTPW5VOHk4Et/FPNTeMSicPJ4L2 -h3SHhj8fycPh+yhZctAz6yN5OBFCAh6OYn4fycOJ4DDcJrvh8EfycFh/Dhy9 -/nLOR/Jw2K901PA50/cjeTicr0yO6ll/TiMPh/tj8Za1pu/TyMOJcLhdqO0o -zzTycHifFR49M2x7Grv5z8OJcM9UJ2+MSRp5OBHW9VvgYdYpjTycCL80P3E2 -lank4fC/j5NpXX2RSh4O+8+p1VNSnFPJw+H7nb3zuubqVPJwuL+jd1huHZNK -Hk6Ewk9tvZKapZKHw/UIjAiflJdCHg7r49yB718GpJCHw35q2ZQ/ZsdSyMOJ -4Dpy+p3ShSnk4fD+XR+UfF4nhTwc9pMtJsHUb8nk4bC+dfwc1io1mTycCCnP -tHOKvZNZ4D8Ph/sz3MIqdn8yeTjs/4stD7+clUweDp+HzbOJ7p1MHg77oWf3 -F2fySeThsP43/3q04X0SeTist27TNEfeTCIPh/3+/ogFdjuTyMPh+277aUvS -tCTycPh+TQ5eHdc9iTwc9jvc7ZZB0kTycLjeq/1/THibSB5OhOQT0x5nuSeS -h8N+2G65+amtieTh8PmzBneYbpJIHg7rtd7oCd27JJKHw/t4mfnXHzUfyMPh -+yhzdhWiPpCHw/Njv3b0n8sfyMPheix01Oq3+QN5ODyvZ6uPL5z8gTycCCPc -ah94dvrAPv/zcDiP3e0f9bk6gTwcztedHJqti0ogD4f3Wb5+RMXlBDb9n4cT -oVldeucjmxPIw/337+cjFgwxTiAPh593hG1gbecE8nAiNHVut/FNbTx5OBHc -T2aEBkTHk4cTwTytIC/IPZ48nAhWpW4d47bFk4fDecYrxFNlGk8eDs+D7YKn -Rt3jycNhP+SScuWaPI48HM5fHqUnWsXGkYfD9y9efXXlZhx5OBHaWM44pr8n -jjycCMN/P26nmBlHHk6E7k6JD970iSMPJ0LZ36bT/sJ78nBYz4Jb8QHJ78nD -Yf85r1o3/sF78nB4fnwbbb/bvycPh7/vd8sm84XvycPhn9/oNiR46Hvm/M/D -iZA18GrfMX9iycPh/GlsOjI5L5Y8HPa7nJHHieBY8nC4XsPFm5bnYsnDYT89 -1ez45LWx5OGw39+20HmaYSx5OBHijR82bugYSx4O3+c2g4kmKUAeToQXNqoN -nAOQhxPBWBH+q2AkkIcT4eJy2xs/S2LIw+H+TE14bXU5hjwc9pvLxn37PC2G -PJwIVyuyP8Wq3pGHE2HsUk9Vuu878nB4/twHpPdc8Y7d/ufhRJjoXtR0r+07 -8nAi9LdV9Vwf/ZY8HM7H25cErNvzlin+eTgRPAeFzrg36C15OBGuXzxzvFfe -G/Jw2A91aSvPcXlDHk6EC6Gz+mdMeUMeDp+3Z8fQ9qpo8nBYn96Ihs6PosnD -4c//u9bC3DqaPJwICxY7HjPvHE0eDueRebP3uMS/Jg8nQnj5lZedHF6ThxPh -sYPyc+HY1+Th8L4alRwhqY0iDyfCkHwbP5N7UeThcD2WJFvlLYkiD4f9aEDF -voh2UeThsF6Y2LiWwCvycLg/f5ZazDvyijwc7p970bOaj3tFHk4ESbrJiObS -SPJwInzff9R93oNI8nAiBBbaDi63imT6/zycCGGNVSffakaShxPh89trlpKU -l+ThcL+Mbz9h7dmX5OGwXhRE5Q+Y+pI8nAhfK8TSsd8jyMOJIB7jVG7hEeTh -sP8YCNHGuyPIw4nwO9u21mh4BHk4EfQccrSdql+Qh8P5aHRSy4H3X5CHw/nn -/N8lnVa/IA+H89rX/uGWPV+Qh8PzMTA8szLnOXk4Ec6NNlqXdPU5eTi8f0f2 -0Ghh+Zw8HPaDu4Ndrmk8ZzP+eTgRztbOvmCfHE4eToRXV4ZDuHM4eTgRrmWd -qpw5K5w8nAiqakefMa3CycPh800xidoXH0YeDuefn4UlGmfDyMOJsN5qR8Lf -GWHk4bC+af0auahlGHk4fJ81xtfrXULJw2E/afE8splGKHk4nIduH56c5BZC -Hg77B97LY45mCHk4EXaOnG3m7PmMPBzOoy294s70fkYeTgSPVpk3TR8Ek4fD -+eOuzvSoocHk4bB/Gaac8S34KXk4Ec708Bj6w/ApeTjcnz9v28G7J+ThRPgY -5hViOfsJeTj8+2dX7X6UHUQeToRD1kGTP6wNIg+H/a7U/VG4LJA8HPbfiskz -dx0OZMX/PJwIjeu/BdW3CCQPJ0Ja2gK7BdcCyMPhfXdeGHdkUAB5OLxPm2We -Oxz2mDycCBuHtOs01+wxeTgRWvv+2lGf408eTgS2YfYU+63+5OHwfspJG5H7 -4xF5OLxfDoZ+6nD5EXk4PJ9jNzUM1nlEHg7v55KHw3tF+ZGHw/ode3QQv9CP -PBz+ueb8q/4SX/JwIliezBo245QveTgRRif380rQ9iUPJ4LFct/HY1/4MO6f -h8P6lW7UzGmhD3k4rHcpXbfGKh6ShxNh1cHi60qXh+ThRNjPfCxbD3tIHk6E -LxbZTwe4PiAPh/3ds0V1PVPvk4fD86Xv/elbh/vk4bA//3Gtb9wib/JwuB/O -tZl7/IYXeTjc76tKmumV3SMPh/dHajNZ2rB75OGw3mWdDNxx4C55OFzv6uNC -i9g75OHw80vnHrnf5Q55OBHmTd5SP83mNnk4PP+Pz+jKIm6Rh8P6eO9WhrfG -LfJwInRgNdGbN90kD4f9ZLjwaurbG+ThsL4vD7wzTvsGeTgRpgyyNJ5q78kM -/nk47Bc7Xj28s+A6eTj8+eeuGLyZfJ08HM4ffJ31BG8Pdu6fh8N6Ofl5cUFL -D/JwWE+v3gsK2n2NPBz21y3KY0ML3cnDiXBq0dJBvLk7eTgRRh7i76btuEoe -rh7el315PGXBFfJw9dDx20FnA8PL5OHq4fjjuG1Rg9zIw9XDq8JXp/O7XiIP -Vw834/O0Pdq6koerh7W2S099a36RPFw9nNY11OzQ/AJ5uHow2bZ6wqdWLuTh -6sHU48babZ2cycPVQ3pTVIeYPufJw9VDgHbIR+noc+Th6iH3icskbuZZ8nD1 -UDPvhip3gxN5uHrIk9sHBpw+Qx6uHiou6veze3yaPBz+/gnHR+72PcVS/3m4 -enCTL8xt1eIkebh6COmh9+PNruPk4epBY1X8Eb8KR/Jw9XCucfu89HUO5OHq -Ydugfk7zJUfIw9VDxrKUefoO9uTh6mHDwu2Kyz0Pk4erh9RBw97bxxwkD1cP -Lfxvz/1jd4A8XD28nFGhbTh2P3m4erjT4fG7Ndw+8nD1sKrLpo8/r+0hD4c/ -z8pNb5flLvJw9TBx08TCnAG25OHqIXrMjM6r2mwnD1cP85+/mTa41VZW+s/D -1cPjVhM0V2hvJg9XD/dG9NrUZ6YNebh68B825LZ++w3k4epBOybBlHu9hjxc -PSg2OyZE3l5FHq4eFrywjKjwX0Eerh4+GAe0elW1lDxcPZxy7ahzecFi8nD1 -wC5Cydb0+eTh6kEy0Oxk/cbZ5OHqIXzYusRty2eSh6sH7qGRY/JiRh6uHoaY -BEbq/I+oO4+L8Xv/B16EbFGiFIVKIpQkisoWLVrQpmwV0kL7vk8zTbPbIpJk -K2vWUJws2ZKlbC28ZUmpdE8hCv3O99M11+/P8/h83u/3NHPf51znvp/ndZXM -BQ/XSUJ2ZowxaJ4FHq6TZM72LFh9dRp4uE7iebdL79tUHfBwnUTS5cTL8FQH -D9dJxicpj+yWHwoerpN8KXo1c41Nl2Wfh+skCh3fbL2GvrSU/f8VHz3bcGaE -raXs36e3gH/FYd86S9l/73rmfeWXWtssZZ/ntlnAwpBpcZayzxs6XsMt/UW6 -pezvMVnPSt6hJbaU/b3zNEbf+aiXZSn7PtLLzu229sy1lH1fhpO7ND8ZHLWU -fZ87rvm+kGiftJR93ymxh0cM31FkKfs9NkzpTH+Uf8lS9nvpf794oN7xmqXs -9yzgnRsszb1pKfu9c3N2yy1xvG0pux6a+z30jSsot5RdL/siwvTO+Ty0lF1P -x76Ka9enVlrKrrcRJ3hWcQrPLWXXY5NN77v/kqotZdfrhpOLcnqNX1nKrud+ -7+Y3tmnXWMqu9827/2u9ZFdvKbsfTrOj76y+9J+l7H6pblh+a+O5BkvZ/fR2 -ZMmln8c+Wsrut2HMgeTam58tZffj3+az2b+7v1jK7td+x5WJhddXS9n9vCnW -QC79faul7H6vj1n3vIjfbimbD1ibVg5/MkNqKZsveoIDjghPdVjK5hN37tbC -5PnfLWXzTW/F4m1DPvywlM1HB+Kq1XfmdFnK5qvj7XrnYoJ+W8rmszEZ58dr -reyxlM13TvcjLN+v+Gspmw9VJ/b+p7Gh11I2XzpfMm7cdVcO59M6ryH+5z7J -43z7scztPW9kf5yPG+4+W+i8XAHn6yVhwbwpggE4nxvMOnzPon4gzvdGjdmq -5+cq4nqgNn77ibOHB+N6YXxs+SNPtaG4niS/iP/4KnsYrjeq/fOibQ2UcD3y -+bHf8EX5CFyv7Oas2vy4eCSuZy/jC3S9dynjeqfbYbm+MFIF18Om5svX76wb -heul6HxT+HVHVVxPLW7v25izdDSutxd/rvkZvXgMrsdBuwYwa5ar4Xptp2Ee -u2q1Oq7n3yZMz9+2ZSyu9/kCzqprqRpYD+ws9lazPqKJ9ULFgWSNEY/HYT1h -J1c5fdaf8Vhv3FLdVnnJRBvrEbvQWnmXeROwXsnjWaxKq5+A9Uw/jqM4nDUR -6x2XefwygxmTsB7iJDk9LKyfhPXSvPDPG36JdLCeenGgdLTGUl2styoMTq8Y -1auL9dhnzTSbplI9rNeSzgQbHEyajPXc2YFO10yX6GO99y08v+7y8ClYDwas -tp01sW4K1os9vyPN408bYD35M7Xe4X7qVKw3WQ7KuYpe07AeXVf623LRXEOs -V5W9loyPHjsd61lJ8cf+J/9Nx3pX8CnzwNsvM7AebhfrBKq+nIn18jVNg6Ap -vkZYT/890LHr0VsjrLeDKqaQ0DXGWI8bmasyWjXGWK+PGGkzttpzFtbzPz8/ -Hy+un4X1/rdvnOerNprgfmBT6JTfE5tMcL/Qb+cxu+6Q2bifOBrqHPy2Zzbu -N5zzVLsruKa4H/mv8XT8PbU5uF/x3PpmW2XBHNzPvNcRL2qwMMP9js5dU49+ -z81wP+TQ8Ky/sf9c3C9Z7zYTBMvPw/2UcdjSaVdz5uF+y6tlqJKyuTnuxx6O -6O8aU2OO+7V/JfNUv8VZ4H5u80z2qjCt+bjfm69UpDTg7nzcD75+VmB6PHAB -7hf75Z877TraEveTc86yHEbdssT9pvtn8Yd326xwP+qzj6/uPcIa96vHRxRF -O/lb4352kwLjZ3vbGve7yS9nnbcdvxD3wwfzJk5xjl2I+2W7YfMl3q8W4n56 -xPPWk9tmL8L9tne/3NmcXYtwP95fvfJTfuci3K8rXdPadpfWF7L9fO7ysKSv -Vxbjfv+s6Y+bqhpL8HnASqU5LxYlLcHnBS7uZusiPi7B5wmnpqT9KrBdis8b -GsRzzd8XLcXnEfuv6l8ZO9YGn1esq6/pXp1mg88zxnaf2Lmj1Qafdwxwq+j/ -1H0ZPg+5fHvbs2F3l+HzkpqLJMrOeDk+TwnW7A3JOLQcn7c0Ke80vaNki89j -dOxUzP4m2eLzmmiXdZ9mM7b4POdlTmHBVh87fN7j6Cto2f/SDp8H3bMZpfzA -1h6fFzlUPIllbtrj86T7Bz+kjTJ1wOdNsSdVOUanHfB5FNO/vsZGdwU+r/qz -f/tz14Mr8HnW1AcvSr3VHPF5l8+JtqY1Ox3xeZiuTcYhJyUnfF72++gWfXO+ -Ez5Ps703/YNAwRmft+3VzK/7udgZn8d5bVE5VMtyxud1Ku/VHpnddcbneR4d -zb+GD3DB5337y/zuedu44PNAI9GIxzpcF3xeOHqMTr7PIxd8nhhTKf5PffhK -fN64VUVBw8Z5JT6PfGfT8bl510p8XrnkTdar/m9W4vPMepN/ibvGrcLnnRML -hgTv27gKn4duNYkzUTmxCp+X/rFqXS/Xtgqfp75d8SXZx2Q1Pm8N573Tnhu3 -Gp/HurmZVaTcWo3Pa32uOQ6bP9gVn+euK08K2uriis973b7oRCjud8XnwZ/0 -80+qf3TF58WH1wRkZRu64fPkT/N7S3lRbvi8eVh6d35LmRs+j2bXKT8uGeqO -z6vPVWmWdLu54/PsD9dVO07ku+PzbhKp03H7mzs+D5ea5FrYW3jg8/Js3RGO -1lwPfJ6+e/S8gsMvPfB5+yWFcQ+26Xji8/h5+b+nngj1xOf1e+96rrAr88Tn -+U8qlU65j1iDz/u39jMnT9atwfcBW6qcFM6dXYPvC8apjvve9W8Nvk9YaXxv -UKGTF75vuGrzsvhWnhe+j6g3nZ2wsMML31dUFJo+1l/ije8zOEr7tCKyvPF9 -R2h8ZrNesze+D/ksOZU/f/5afF8y0FaNFIvX4vsUxdINF7M+rsX3LYpv9ne+ -MVuH72MODvPqTBSsw/c1C7+W6rEb1uH7nDuXN/1tm7Me3/e8aM9oLRasx/dB -X541+n34sB7fFxXXtwZeGbcB3yetqdD3mrZ8A75vmqa19erT8A34PmpFzV2L -I4c24Puq2lLtg8cqNuD7LKUGo92vujbg+66hK1hvTHU34vswR270xDvOG/F9 -WdXkpZOTEjfi+zRF5z8HN5/ciO/bDllnWCW83ojv46zun7p1U8EH39cZlg6r -mz7LB9/nyUVMW/RwvQ++7wuZvvWMROiD7wPnxClWs0p88H2h8ZEZW/ObffB9 -Yvmgz3qtar74vpETo0E22Pji+8iFwqNfeyN88X1lxE/rdQ+P+OL7zPmPsp6W -Vvni+86bj82+18j7/f/3oZcOp04w9sP3pcf9raZLNvjh+9QBVgGnp0j88H1r -mFHgpWbih+9jvw9L6K5q98P3tbonH5h80t6E73MvDA8ZPd55E77vrdnFXp2Y -sgnfB99XVjmucH4Tvi8e9l2VXGjYhO+Tx3iyV2eobMb3zZX6qzTTFm/G99Er -J/k/yI/YjO+rO78XjGw+thnfZ3su/Fmw+vVmfN898Ph0m8+KW/B9+KTbUw/u -N9+C78uPmz7wiQjagu/Tuw6+tw/L3YLv27+JnIbvfrYF38dv4nZ41fTzx/f1 -9k2FrQtN/fF9vkONZ0TlFn983z/GsuVE/H5/9ADLsh3mO1T6oxcY2RHWaCm3 -FT2B+PIar1UmW9EbSHcrOmdu3ooeYeMGXuz77K3oFV696xJ4VG5Fz1CSH24l -lQtA75BZN83m5OwA9BDOul5uLP8A9BKLnGz1EnIC0FMsmeHgv/tZAHoLsceD -FxUKgegxfNqMJ0yaF4he49Oq4XJ7gwPRc4z/1W4wNT8QvYfpgNRVda8C0YPU -jIubdXJoEHqRH0MLErKsg9CTnE5o+XIoMgi9yclj86beOxmEHuXxz5zfiu+D -0KsY3zEd5j86GD1LUZO5+ke7YPQudyO6q2NTgtHDtNvU/ph2JRi9zNEij9m/ -W4LR01xQGW7TMHEbehu7mIKGj+7b0ONIZv68ISfahl7n9fr6HWZ3t6HnST7o -rcrt3obeJy5+9x+p0Xb0QBKNm/KRW7ajF3K/YlQ3Mnc7eqJvMzdal7/Yjt7I -2j70+4MBIeiRnrqZ2FhMDEGvVDR3fei1+SHomcwc2lwWe4Sgd/o1uPHOm/AQ -9FCnbXyOxIpD0Esph/If650KQU9V8eSA5tt7Ieit5l19F3ToQwh6LJfqopyg -fyHotdJWpGQs0QhFz7VTtXKw/pxQ9F57lQzkVFeGogdrd567bOi2UPRidm7n -S4bxQtGTVZqsNVc/HorebGmlWt7026Ho0cIUnz10eBeKXi2kN2N3ZHcoerb5 -s1zfnhgTht7NvXhD6sdZYejhJIFf1k5xCkMvJ51g7hwVGIae7s3jG6aVGWHo -7Ywvt7QYHg1Dj9eS4+K8pywMvd7O9h3WA9+Goeeb0Oq7P/l3GHo/uae7LOTH -hKMHdO7p148/Kxy9oKNOQcU4p3D0hCNDxFFXAsPRG4YW1L5354ajR1zsfqtJ -7lg4ekXCSILO3wpHz7io67Td1nfh6B2Xa4s3TukJRw9ZGnZf/E0tAr1kevif -iyWzI9BTblvQclrsEoHecu2JLZ4B2yLQYz7z9d5nz49Ar3lzQsVyk4II9Jx3 -jj+2nVgegd7zdJckbvSHCPSga4LWXx/RG4FetPd5VtOIcZHoSQnnzKfR8yLR -m57dYyya6BaJHtW46sHdWeGR6FXvdBj520oi0bNOPGXlselMJHrX9+UfIjiP -ItHD+i6YkHv6SyR6WafVYy69VohCT+t5pidr0KQo9LZiH239+VZR6HFHjmte -EukdhV7XbHLNswuxUeh5zTK4p75nRaH3NVYtvGx+KQo98C32gGfpz6PQCw8U -pNZVfYtCTzzxw9hrusOi0RubbfxkG2cQjR75m8rI7dU20eiVNy/+PNjILxo9 -M9lZ3iNOjUbvPHag4eTO3Gj00BrqZwI8S6PRS/978PPk7Zpo9NSGZ589mNEV -jd7aVX7c8YOqMeixJ56r1h0xKwa99vpNw/RZTjHouavzvot+B8Wg906+/sIy -jBeDHlz8XWls24kY9OKPOdpyAeUx6MlPnMx51fQhBr159fE5yVvlYtGjs2qL -61vGx6JXn/pAoWq7RSx69q/a4xb98IhF7y56OGZkQlQseni9b/raCrtj0cvP -kz+4Ung+Fj39sYdvhWpPY9HbKwYEXcpvjUWPX+f/uXDGkDj0+npXe11K9OPQ -878qFUiWL41D73/XNcb2lU8cngdw/PBgg19KHJ4XyFt+6HrHwTg8T3CqZ45F -akkcnje4Jtd4b0RNHJ5HuPB93KLcn3F4XmGQ8pYdhqrxeJ7BySgg+7pxPJ53 -EFc1Wi53isfzENMmXt38Migez0sIO6p/bOTF43mKhEq7520n4vG8hVbrsncx -5fF4HuOSpXK//h/j8bzGoG2DJgnkEvA8R/rbYzqqWgl43kP9TFrrfosEPA9y -7bqjzwTPBDwvcurIy4CjUQl4niSh/G/L5N0JeN6k1PJBxYnzCXgeZWu7MzP5 -aQKeV9GKfWJ1tDUBz7N0W+7M0x6SiOddFm9S/L1PPxHPwzx6Vjxz5NJEPC8z -ZPKiaWyfRDxPM+yBb1VXciKet1F83TnU/2Ainsd5MOPTzZfXE/G8zmO/hVXW -bxLxPM/se7OmF/5IxPM+2127ryiNSsLzQIX3v7uFGiXheaF+adnfn61IwvNE -4qy46OmBSXjeSOvWkvscbhKeR7rlSyrqjyXheSVd1uOgmXeS8DzT2dv+O5Pe -J+F5p6ANp3Qf/U3C81C3je4NVdFMxvNSrdkDjNzmJuN5Khfxs9gs12Q8b7VX -UPC8KiwZz2MJJQYThkqS8bzWF7UhjlZnkvE8F1HTXLL9UTKe92rvWtay/0sy -ngfLsbEfs7FfCp4XO5S5K6ZjZAqeJ9MfpbEjVTsFz5ulrstcrTQjBc+j1YzM -Lt43PwXPq9X+GH5ugn0Knmdb+OfazOOeKXjezXlWwgwD/xQ8D2d62zqvMCoF -z8ut/iYXp89OwfN0mcPvFOXvSsHzdksz9s/TzE/B83gFT08M3lGUguf14n3V -xyiQFDzPp3138uqIyhQ87+cXrnGtoS4FzwOubF9m7vA1Bc8L1pwZWXnxVwqe -J4xV/7hZfVAqnjeszDD/Gzs6Fc8jDrM6xH6jk4rnFWO3HP9hMisVzzOWDO1Y -JLBOxfOOM0YZ+zY4puJ5SK0F2g4ma1PxvCSjye5MDUzF85TWI+c6Vcam4nnL -tsUarqO5qXgeU+2YRo9nViqe1xzSMNvswNFUPM+ptT60982FVDzvmWnx2XbU -rVQ8Dzpx0YVhdk9T8bzokOaBlolvU/E8qa+91ovTLal43rRex7r8ze9UPI9q -eb9skLxiGp5XbdaS36k3Jg3Psy4/sm2NjW4anned5cZy952VhudhLW+UJydY -p+F52dH1Dx7ucEzD87QFMwwNj3in4XnbrUcOHCwKSMPzuCF7nqpej0nD87p7 -7gm4hJOG53mTPY+2l+1Ow/O+Zys6rW/mp+F54O3tfuHFRWl4XvjJ57bE0zfT -8DxxgvoO94OP0/C88XGTtV2ZtWl4HvmBdvDqsKY0PK8c2vNlk9vPNDzPLD9a -XmeOAgvPO3t/6kpTVmHheehdCuqJTdosPC+dNv2aYsl0Fp6nDppuNT7TgoXn -rdkfxl5YacvC89gxs8tvqrmz8Lx20/CH5m/8WHie+z/Xa+N3h7HwvLdls+l6 -+xQWngefsPvrz79CFp4XNx8qrj91gIXnyad8bhzkWsjC8+Z3d90K+X2FhefR -/dZ2K2XfZeF59XFuMbUmVSw8z/7LY0bFw/9YeN5dT16zZk0bC8/D6x1Z/qex -m4Xn5ZuXVhpuU0zH8/SdBx75MKPT8bx94J/grGCddDyPb+TNkM9G6Xhe/51N -1msPy3Q8z//m9bmX9+zT8bz/kov7Lsz0TMc8APne4s27NqdjXsAhr5Sv0vB0 -zBNY/nb7HPvUdMwbOKswcvEhUTrmETQX/1D8diAd8wo8LG6lmBWmY55B4lSt -I/FX0jHvoDLkjv/1O+mYh8BaFP6o81k65iUEje4p03+XjnkKZ7NNlrq1pGPe -Au+CnHPyr3TMYxi/3aU2fwAb8xo0+k94W6bCxjyHZBLqUqPNxryHFUPdjVoN -2ZgHMdu7Jez3PDbmRVjOdFWRX8bGPIlE+XuD+q9mY97EqeVxDr0b2JhH8STw -Ws2PYDbmVbwseVnQGMfGPAvzIMOLzzPYmHexk6/XcWU3G/MwDP6YrM86zMa8 -jKygl53bz7IxT8PGeWfh4hI25m2ovPkbq/yAjXkcd75eXlfzgo15HYfH+7oc -aGBjnsev01ft3b+xMe8jNyJi2fAeNuaBRDats7wxiIN5Ia3D7KduUeVgnkjS -9jEDhk7kYN6IiXbhg4LpHMwjGXPsxzZrcw7mlVz480v63IaDeSYCwXX7tas4 -mHeyV8sh6sN6DuahnHnzMHBjEAfzUv7NXjO5NoaDeSqC7ul5DmwO5q3sMU2p -vrqDg3ksikZZ17VyOZjX8mMrcUo6ycE8lz87XIWvr3Aw76UksTR46h0O5sHM -n+TTEvWUg3kxPoKbcjfqOJgn41GzquDPFw7mzfyacbbe9DsH82jmX/Ld5y+X -gXk1xzJVX+0eloF5NoW3AiTX1TMw72a8p8btGt0MzMPJmdmwqcMoA/NyfvVy -ohQWZGCeziGPt99G2GZg3s7g3eShqmsG5vFMeK7Sb9TGDMzrOdVSvnNIcAbm -+ZRGPI/qicnAvB/1U2aFn9MzMA/oSLiC3kNJBuYFPXVZ8flYTgbmCSlsMP4c -X5CBeUPKy2u07S9lYB7RPotoyaiyDMwrSmi1mfWyIgPzjJzZQjnJ6wzMO3pw -OPvn4o8ZmIdksOzOCOm3DMxLulYfuGxvdwbmKZno/ts3ZyAX85bMZ33rfaLM -xTymJ5uvRW8Yz8W8prJNjT1fp3Axz8lgxADuttlczHv6EH1WrcWKi3lQvQ3p -xzfYczEvasllE6OnblzMkzoevb1ojg8X86bKy39M2hvMxTyqQHshi4nhYl7V -8NIBTxalczHPyq7C7J9QzMW8q+16A0ZW7ediHtbfLR69Sse5mJdlqKH3YMl5 -LuZpRR7bsjm8lIt5W5qOeq/23+diHtfVaUGjSqq4mNdVPnGVxou3XMzzmvnm -d+PnJi7mfX26yI1kOrmYB7bpqdHtzn9czAvb0DntYfvgTMwTWygu5XxSzcS8 -sSfnp3c9187EPLKb8tVjrk7NxLwy7yuKH7JMMzHPbILExHWbdSbmnbk9Lwuy -tM/EPLTrcybrDXLLxLw0faYh7sGGTMxTM8uOC0gLzMS8NcY4mZkdlYl5bOFq -GYPfp2RiXlvBH+MLLH4m5rkxH/S/aGdlYt5bncrYY5fyMjEPrl71ZtPCU5mY -F9fv5Ouz9y9nYp7clbszvi8ty8S8uYjU3ZdLH2ViHt1O7Q/t015mYl7dv9+/ -Du36LxPz7Aal3L7d2ZyJeXeTZhi5OnzPxDy86xyL1Qf/ZWJeXveQxtJGRR7m -6R0ymSeYMoqHeXuPt9oQn/E8zONr6J28ao8+D/P6Vk79s6TMmId5fqxf33Z8 -tOBh3l/hCiOz3qU8zAM8U/PLeJQzD/MCh6hkJmuv4WGeYOlUg/G6fjzMG9Qf -YaA4cRsP8wgHer1fMCaGh3mFnqvOlvRP42Geofd/v5Oa+TzMO0xKNWbf38PD -PERX54qKg4d4mJd4tH2Jc1AhD/MUV2bqjDS5yMO8xYh7nYodpTzMY3R6YDu3 -8B4P8xr9Jt464PGMh3mOCU/+GMnV8jDv8erMEz8OfeRhHmS+fNgXszYe5kUu -cxuj8OAnD/MknUSrbZ3l+Jg3qbHy+6Vng/mYR/kf7+4S21F8zKus8jrw89o4 -PuZZLtd0ezBpMh/zLhvta4pZM/mYh3l/z8g79XP5mJd5mXz7Mn0RH/M0PQqC -daPt+Zi3GWolirq6mo95nCrJHvXStXzM6/zPr26VzhY+5nk6tRjWOYTwMe/z -e7+AkG2xfMwDJfwrytw0PuaFujguuJHN52OeqN2PmaFHdvMxb3T/wPvTjh3k -Yx5pff3CltzjfMwrPZPXeEZyjo95pkMX9QuLu8rHvNNroU2m3rf4mIeav7+z -y/QRH/NSrevSrwyq5mOe6rDe/iHP6/iYt9oe/d+kXZ/4mMd61l1Q6dDGx7zW -AFvOtn8/+JjnOobvpFDwj495rz8aQ4S2gwSYBzvxTKzixxECzIsdcqY3PEJd -gHmyA2YeevJ3ggDzZl+0dY9JNhBgHu2CkaX2v4wFmFe7b9LOwK3mAsyzDRxp -Elm1SIB5t4O0vLaY2AswD3fSh8fWglUCzMtNsXKRe+slwDzdPeuKj+r5CTBv -d86JF4abgwSYx2t9PX1vboQA83qdAgs/PkkQYJ5v5PWpI7vSBZj321b5U1NN -KMA84Pct8gNn7hFgXvCkCJtKy4MCzBP+WXM3xOaYAPOG6w6y2peeEWAesesy -8fIFlwWYV1y6rjvO8IYA84xHb3nLHlUuwLzjxtdu/h2PBZiHPFKNP/HhCwHm -JV8JO1q0t16AecpjNKtV130SYN5y9LgVK8a1CjCPWcXT0bOqU4B5zfIs9bkp -PQLMczYa09mk11+Iec+rVk4LvDNEiHnQH2er3vRQEWJetHr4wIbPY4WYJ90e -vqE6YKIQ86an2XF2f5kixDzqjWcbdLyNhJhXPeB3Q8oDMyHmWceN+3PS0EqI -eddTDp08zLURYh727j3BfvUrhJiXnZDzqEPfVYh52r+qHBwCvYWYt33wRXrI -cV8h5nEXXlRcXxMgxLzuKZ3hagphQszznpa6JXtyrBDzvn8UZX6yThFiHriZ -KefXygwh5oXbaii99BYJMU98a9nr2HV7hJg3Lvcqt9E9R4h55I0uupq2R4SY -V34meIbarJNCzDPvOMStVTkvxLzzk1n9N7UUCzEPPdk48krJTSHmpeskXH3C -KhdinvrFgjNnFj8WYt662hDzVT1VQsxj91NaTk7WCDGv3X7efanLeyHmuadp -nf7KNAox793UmynMaBNiHnzSnp0zx3wXYl58XVBWfE63EPPkC5MURBryIsyb -L/D6ulkySIR59AmsNQP/DRdhXr3p+qAgX1UR5tm/tZifXaYhwrz7QUtbBKMn -ijAPf13yoWU++iLMy190h/Xw+HQR5ulvKL6v8tFEhHn7zhWHdNTMRZjHbz5r -6Z9F1iLM6497+yNns40I8/wdor8PSHMQYd5/9Fqu2Z6VIuwH0D/nr3Gehwj7 -BbR8Ofojf50I+wnUZFenHfQTYb+B/wo+1ogDRNiPYMExk57YEBH2K9C5OPmL -V5QI+xlEs3VzTRNE2O/guEf2hEFpIuyHENr2Y9uzDBH2S7jDOpe5QyjCfgrp -L61C7XaJsN9CnLLe5J59IuzHMOlVQ8GRXBH2a8g2/Pt78VER9nNIZPXTqC8U -Yb+HusLUwUHnRNgPQuOR0sPOSyLsF8H4LfIMvy7CfhKrHa5d/0pE2G8iLlf1 -m2e5CPtRPC773Vn2SIT9KqRrVCq1n4mwn8VDl2FxUS9F2O8i6XZ2z91aEfbD -yPgbsnLoexH2y7iR6pBs+1mE/TSCu76mJX8VYb+NbdNGrDvTLsJ+HBZDUpSr -v4uwX4fKAcVc5rcI+3mM6YlWGNgrwn4f4R6Hl45SEGM/EG6bl4/6YDH2Cxnz -J81rtJIY+4kEPfhhPGSUGPuN5O7e2/hTTYz9SEoOOMXVjRNjv5Jhwwd/K54o -xn4mjoMuLhJMFmO/k1+7rGM9pomxH0pSfsHOcUZi7JeS179R/Ga2GPupXPDr -ChXME2O/Fandf/PmWoqxH8sLpaNNdYvE2K/F/7VTcvQyMfZzKdzD/BviIMZ+ -Lx979/pnOYuxH4yq0OvGWFcx9otZGbL6325PMfaTmbv7kKHiOjH2m3HKWmsb -7iPGfjSs2xdcX20WY7+afUr3VxoHirGfTe7oewvTt4ux303oqP90noaLsR/O -nPdLupVjxNgv533L9HsOCWLsp3N53gtucooY++288opcfDJdjP14nm9b2fWY -K8Z+PYaji459EYixn09v2CunbokY+/1kGih3KewRYz+gipXncgZli7Ff0JTE -/ov6HRRjP6EBJ3ybvueJsd9QuLnBjv+OirEfUbXi+QW3C8TYryjDxqs957QY -+xkd4vBPbC8SY78j4ZWCLeaXxNgP6b+x443/FouxX9L3pW4DrpaIsZ/SjfHl -nwOIGPstJVe+rR59R4z9mHbfU3t+9Z4Y+zWZlbS9W/VIjP2cHPa//ddYKcZ+ -TzP5/rPCnouxH1Rms0r8jxdi7Bc1aaFfbcgbMfaTKrX94vKpToz9plo/GHx0 -+k+M/ah6R9wQX/wgxn5Vrzpne45oFGM/q+rHUxb6Noux39V1ZpbtuVYx9sMq -eMCEdbaLsV9W9+N+ZTM7xdhPyyZ12Cy/n2Lst+UUcumR5LcY+3HJTTnKv/xH -jP26tphyo6p6xdjPa91I7r1weQn2+zozO9q/u58E+4E1WbdOTFSQYL+w75vu -dPYMkGA/MQ+72ndRgyTYb8xOceSnNkUJ9iO7PG2pwsYhEuxXtrTIy/rZUAn2 -M4vqnZNtMVyC/c6OfCsZcURJgv3QVG88OzpwpAT7pSmrrPXcrCzBfmq/um1n -3FaRYL81p+ZUXQ1VCfZjqy9i5m8bLcF+baVsVszNMRLs55bWPeXNEHUJ9ntz -+PfMe9VYCfaD+1QbNGifhgT7xdXPan/zRlOC/eQu9NpXjR4vwX5z7eKY745a -EuxH58AOtE7XlmC/uuqqccWXJ0iwn93QErbnx4kS7Hc3/knelOE6EuyH9/fL -Vj0TXQn2y9s3452zq54E++n9HfHnVPhkCfbbE1rfMRPpS7Af37Rrs38cnSLB -fn3Bl1d+LjaQYD+/J+cnDL4/VYL9/j5uPbKxapoE+wFKXr1vrzGUYL/AhYbv -L72dLsF+ghNqCs+/nSHBfoOrWcu+1MyUYD9C00F33aqMJNivcEfkNPn7xhLs -Z9iszP9SPEuC/Q7POEuHHDORYD9E1svgINFsCfZL5IQqD48wlWA/RfHEb22u -cyTYbzF6mNrI2WYS7Mfom5oXoTRXgv0aFX8eGveZjmX9HLsVDAdfnSfBfo8/ -A70tMswl2A/SaIjdpZUWEuwXmf9OKVJjvgT7SXoNvJf0jo5l/Sarr/OrchdI -sB+llXNiqJelBPtVNphc81a1kmA/S7+v6/Y8pGNZv0sjJfG4eGsJ9sO8syKw -c8pCCfbLHBZAdzx0LOunWTH70u7YRRLst9ko2rpx3GIJ9uN8stk7rYSOZf06 -3125+MttiQT7eZay9pZ/o2NZv89rtUZf05ZKsB+o+bPCLaNsJNgvdHzSdKs8 -Opb1Ex3frynUYJkE+40uSBgof46OZf1IZw4vbjNaLsF+pfdeTJl3jo5l/Uw9 -Gf4nA1sJ9js1j1WW5tGxrB+qFqtlvaqdBPul7tJYMSudjmX9VEMXB2xl6FjW -b9W2K3qgp70E+7F+0Dk95CYdy/q1nihcEK3tIMF+rrpr/FYk0rGs32v8Tw/R -azqW9YNtmO5qMWOFBPvFjs3Z5ZpKx7J+ssx9p3fP6FjWb7Zk4r1X4xwl2I/2 -jfxs6010LOtXO+3Ea82TdCzrZ1tgygS00LGs3+3jSzdmGDhJsB/uNKVUf186 -lvXLlQ5NGLufjmX9dLe6SxdV0rGs3y4vWrfxDx3L+vEmPPVUMHCWYL9e/YOv -cl3oWNbP90bX+ytRdCzr92v7hKzYR8eyfsCWjdf9rtCxrF+wYq3G32d0LOsn -nKNmoN5Ex7J+w8P/m1ncQ8eyfsTaC+PqhrpIsF9x3fjVHHU6lvUznqoz5NpE -Opb1O/avaI7Qp2NZP2R574UlBnQs65c85NN64RQ6lvVTrjff06pDx7J+yxf/ -Gddq0rGsH7P9rgz3kXQs69ecNKFpkzwdy/o5N0mOD2ynn1fW75kjGWNRQ8ey -ftBefIFCGR3L+kWrnl7rd4SOZf2kT9f+58WiY1m/6dElW1vX07GsH/X7UyvV -5tGxrF/1h7sdb5XoWNbPuvFl/sIG+nvJ+l2v2lS6uIiOZf2w35zN/hxPx7J+ -2dr5e6YsoWNZP+2YhqFKg+lY1m9bUOax9xG9nmT9uJUW15Rz6VjWrztQs2X/ -YjqW9fNmFbaq9dDrV9bv2+P3fMtzdCzrB/74lsWIDXQs6xfu4msrGE7Hsn7i -xxvvXSmm94us37i4Z7RkHR3L+pHv7No3th8dy/qVF724sDqf3o+yfua6RvcX -WdGxrN+5rq355zf0fpb1Q9/fG7JgOx3L+qW3VD1z6EfHsn7qxe+J6k46X8j6 -rWd/PJqlRceyfuyM8ZfqE3S+kfVrzyv9VjmdjmX93OuG63KK6Hwl6/fetIz5 -O5OOZf3gm3VuzDlN5ztZv/iTzHdjPTqW9ZPfvKOfdD+dL2X95vcmuEQOp2NZ -P/rb11eUJdD5VdavfvFn3+omOh/L+tlr68kXudCxrN/9C+Nd7sV0Pu/zcPT+ -cOZWjKXjPg9H9+MuTsNj6fzf5+GkxETirvWSrhd9Ho7+Pp0j5WbQcZ+Ho+tt -U8tFFl1f+jwcrYc3eVq8outRn4eTkuWNj/bq0XGfh6P1Z+CFR6F0/erzcFLy -Iy7t5XW6vvV5OCmp1Tx7TY6O+zyclPx7XRCzmK6PfR6O3j/XGkex6PrZ5+Gk -ZKBdvYDQ9bXPw0nJhh3/Pv2i62+fh5OSo6p3xs+k4z4PR+vLF4fm+tD1u8/D -SYmP41CznXR97/NwtH6OStAgdP3v83BS0lka8KmJ1gd9Ho7WQwMds0bQcZ+H -o+vb+VxjE1pP9Hk4Ol+KXxavovVGn4ej12dj4NRQWo/0eTgpUQit4/FpvdLn -4eh8via3Np/WM30eTkruW8/ULKb1Tp+Ho/tro8GOD2g91Ofh6Hp47ETEK1ov -9Xk4ul/M5EgaaD3V5+Ho/WEy6Ugzrbf6PBydbzd3nflG67E+D0fvJ0fWBYbW -a30eTkrCWIsvtNN6rs/D0f27wpWzLbTe6/NwtH428yz8ROvBPg9H6+OWU0dq -ab3Y5+Ho/s9l+qFKWk/2eTg6f/Vfe/DGJAl4OCk5/Pv6oZO0/uzzcLS+2Xn/ -xG5an/Z5OLo/E/Qvjqf1a5+Hk5LYgcyT9bS+7fNw9PpPUpJa0/q3z8PR9enZ -ey3tcRLwcFLSYdbs2U3r5z4PJyVZtiePVNH6us/DSYl71/G/J2j93efh6H5S -6LM1Tk0CHk5Kys5taLKl9Xqfh6PX/1q9hDG0nu/zcPTzN8+e/H6UBDyclDyT -U206TvcDfR6Orp9Hlt0JoPuFPg9H6xs912vT6H6iz8P9X//y2xXNdL/R5+Ho -fE1U/xyl+5E+D0evR61q+7XDJODhpGRZC6tUhe5f+jwc3R8qn3UqHywBD0d/ -n8o3AyPp/qfPw9Hv4zj/40S6P+rzcPT6jHD8UkH3T30ejq5fHoWjwun+qs/D -Sck4ohug1l8CHo7WU+tsW6/S/Vmfh5OSctO8A+5yEvBwUnJWY1Nn418xeDgp -SfwyauTYHjF4OPp7J2yQLP0lBg9H/33D10cH/RCDh6PzpYfuA2GHGDyclFTl -l7ML6X6zz8NJyaPLHhcJ3Y/2eTi6HrzrXPWM7lf7PBy9v1rPBNTR/Wyfh5MS -1xm53e8/isHDSYlbSP3Ahvdi8HD09+xJ2Vn3Vgwejn5fu4/mPasVg4ej63Pk -htllr8Xg4Wj9uOqJ80m6/+7zcHS9clX8LaL78z4PR/dX6gZG256IwcNJiXqh -/e9lFWLwcFKync13H/dADB7u//rpKji23BWDh6P/vfnV/126JQYPR6+PWaoj -Y2+KwcPR+7ngU4NZiRg8HN1PGPl4SIvF4OHo/v7uyahjl8Tg4Wg9eqfeavV5 -MXg4ul9rGVP894wYPBzdj6+L+e/wSTF4OLo/2D+h1PqEGDwc3c8nznSuPSIG -D0fnH6vy3G15YvBwdD6J6Hfqb44YPJyUTB+oGJORLQYPR9cDsy7FYVli8HB0 -/siVbuDvFIOHk5LkyWNZA8Ri8HB0/RsqCYvji8HDSYlzXcysrxli8HC0/gn5 -Wb46XQwejtbfndOMrqeIwcPR+qJmfoRGohg8HL3/3jnsiYwVg4ejv9/QlKyK -SDF4OHr/nOqOGxcmBg8nJVtcGpb6bxODh6O/R7VH99kAMXg4uv+05RxgNovB -w9H6dZjYcLqvGDyclDy4sK/Ib70YPJyUbFN5OHWvlxg8nJT8trDJvesuBg9H -75e4OUptq8Tg4aTk/MqyxJHOYvBw9PtJHsLMcBCDh6P75Q4L/+XLxeDhpGTK -8/hm7yVi8HBSMidCGhVkLQYPR/efm+6Oip4vBg9H6/t14+4kzBWDh6P1y7KJ -3MTZYvBwUuI0p2dTrJEYPBz9/gOq1ocYisHD0f++49MYnyli8HB0/xKpcclJ -VwweTkrU9terzp0gBg9H68EDlrma48Tg4aTkUuLWVd1qYvBwdH+zhWP2YpQY -PBy93/NurigcIQYPR9fjHRbZsUPF4OGkZH3Z5PFLB4nBw9H771lh/dD+YvBw -dD5J/VZb+U8EHo7Oz6e1NPndIvBwdP5d7Je3+KcIPBzdnzg3B3dJReDhpGTE -99ec420i8HBSsslnzTfnZhF4OFqvfNx/4ucnEXg4Wr89v3N573sReDgpMdzb -qzm7XgQeTkp0zsa8rXgtAg9Hr99cz7/rqkXg4ejn/fM4qe2JCDwcrYe3/PWL -fiQCD0fv38zRF/+Ui8DD0XpB1Too8ZYIPJyUnLl1YtfvUhF4OPp9OEcbhl4V -gYej++30mnmfLorAw9H5Ue/3zZXnRODh6Pf/R46UnhSBh5OStirNBZOOi8DD -0f1wWMR81mEReDgpmZxvWvZfjgg8HK0fJvMqzPaJwMPR34fkbOTvEoGHo9fH -5H3sWpEIPBy9n0acMNfjicDD0flN9zsrkC0CD0fvh0En/c6kiMDD0XrZsfO/ -r/Ei8HBSMpLd/UsnWgQeTko26n+94BEmAg9H/31HW0dmBovAw0nJbG/DMZf9 -ReDh6HyXU11e7ysCD0fXt7xhOnLrReDh6N+3Q95Ye40IPByt/1n1HfNcReDh -6P4t4n6gs7MIPBz9fu1bc33sReDh6P7rTYQ4xEYEHo7ud5/xrOMWisDD0fn1 -ifvl5Pki8HB0PnIZIE0xE4GHo9dXSenPxFki8HB0fnM58TBqugg8HN3vzv0W -FDhFBB6O7o/Cr3/00hGBh5MSu8bZxsu1RODh6HruzPEwGisCD0f/HqfX3qqq -IvBwUjLRw3nhdyUReDhaX5WOHfxssAg8HL0fjnsVn1AQgYej++HRlk7xvULw -cLSe/tBUbdctBA8nJfyrrOVjfgjBw9HrbeycorftQvBwdD701Fc6/FUIHo7W -3weTNm34LAQPR+fTep9rmu+F4OHo713fPay6Vggeju7nZwX7cl4KwcPR9cz6 -PTF9JgQPR+uvOXF6DY+E4OHo/Ke0cS+3XAgeTkquCK6rG5YJwcPR9cnq9OmK -60LwcHR/Fe/ltuWyEDyclLSvb1PvPScED0evtyecrp0nheDhaL243eHHpGNC -8HBS8vKsz+hzh4Tg4eh+q6Rtjdl+IXg4+ntwlB+W7BaCh6PXyy2pz3yxEDwc -vX/fnp92LVMIHo5+X0MiJ89KF4KHo9fLnHVuJ5KE4OHo/DFUclM9Vggejn7f -7YY+nHAheDhaHyu4L2GCheDhpOSrjpG/m78QPJyUGDXUPLvqIwQPR3/vjMhU -tbVC8HBS0j1GNzHMXQgeTkqGLB94/6GLEDyclAzjzPcZ7yAED0fvb6bRJdhG -CB6O7j899bKvWQvBw9H1ZamaRT8LIXg4KfFrqjNfZioED0frd/2dB7gzheDh -pOTGHo8N9wyE4OHo7xexQiCnKwQPR+efC6JJZlpC8HD0+2ueNzlAXQgeTkre -rAvan60iBA9Hf0/5xWnlw4Tg4ej6Flj7vm2gEDwc/b3qHK+pyAvBw9F60aJU -aXaPADwcXY/VLT+5/BCAh6P3z4n2+UHtAvBwdD4mLZqsZgF4OPr9vnNIz/oo -AA9H18+J02OPvxWAh5OSn7bH/154LQAPR/fj156rlj4XgIeTkqchD27dqhCA -h6O/v/KRQXfLBeDh6Lgmtvk2EYCHo/ubo34BN68JwMPReqgtTnjlogA8HF3/ -y6tdT50RgIej989b9r2cEwLwcHQ9TDzxgXdYAB5OSjQzHM9EHhCAh5MS+QiJ -wdo9AvBwtN5zTVuzUCwADyclrc/nLp6UKQAPJyWj9R809qYJwMPR+XXykuW1 -CQLwcHR9m10WcD5KAB6O1pt2Ti7sEAF4OFpPdPTvdQ0QgIej68kyJkrHTwAe -TkqMu/RK29YKwMPR/cP8K88vuQvAw0nJng/nr8e4CMDDScl/Cw3i5tkLwMPR -+cfacFTXEgF4OLq/d37EPW8pAA9H9wfbVd75zxWAh5OSsfqjxoyfJQAPR9eT -zFqTJ9ME4OHoemMcZZ6gJwAPR3+/xZ2G+toC8HD0+glap/RUXQAejtbTb+80 -hKsIwMNJSY/TzJOjhwnAw0nJN7nTAZcGCMDD0frpwpLJzr0yDyclGqf6v2/6 -JfNwtN690LE/qUPm4aSkUFVzjXKrzMNJSXUOe8LhzzIPx5Cx+8yl0/+TeTiG -aFUvfFr8RubhGJKTnX/Dskrm4Rhy/LIvuV0h83AMadzGf724XObhGNKQqT34 -9k2Zh2OI2TI99wVXZR6OIV99Dt+9fF7m4RhycNS+1dNOyTwcQ94NHj4k96jM -wzHEf3v/puG5Mg/HkKDGRGncXj54OIa85iYZfJbwwcPRz/tl4C4HHh88HEO6 -B6sbn2fxwcMx5HHQhYGjEvng4RhSKf9qTFgUHzwc/XtmpPk92c4HD8eQxMrL -Hfpb+eDhGFJmsP1ukg8fPBxDOuRP11d58cHDMaSUvdVS15UPHo7+865HW8Mc -+eDhGLIv1ePrzWV88HAMuZ+Zaq64kA8ejiHB3hrvHc354OHo9+U6/t1OEz54 -OIa0WqWbvTDkg4djyF3n5e0qk/ng4ejnm+qj6KTNBw/HkNi6h2yuOh88HEOM -6qICiDIfPBxDJg/bcK1zCB88HEO+XYuP1FPgg4djyJN95PDqvzzwcAxRLZ+w -MPUnDzwcQ0Jv73I71c4DD8cQ9/hhn6uaeODhGLLaOqm9q4EHHo4h2+/XxWjU -8cDDMYQ9TS3d/AUPPBxDTrRMVPWo5IGHY0jS19Zp4fd44OEYcizY/wmf8MDD -MURpfPq/w1d54OEYsr7fTHL5PA88HEO8uO6j7p/kgYdjiHVcy9+XR3jg4Rgy -rf+b1A85PPBwDEk5Mzi/dQ8PPBxDbH2CNn4X8cDD0f++f8vt3xk88HAM0dsU -+vBPCg88HEMsPJui/8bywMMxJDd/3vOeMB54OIZc6l7xpiuQBx6OIX8jh0uk -fjzwcAyJY63vaVrLAw/HEM3WqePfufHAw9HPW+X665kTDzwcQ64XlYtvLeeB -h2PIMK/1jecW8sDDMWTQn4G9B8x54OEYcmh0Xj3bhAcejiHLU4anBRvywMPR -+y3AuGOlHg88HENuubfNnKPFAw/HkKYJkyzV1Hjg4RhypeOc9s8RPPBwDLm6 -Iup1lSIPPBxDCgas9j8jzwMPx5Baa/2X7O5M8HD0n4+unODdmQkejiGGETqO -Rq2Z4OHo3+syYEO/z5ng4RgyOs7Rs+ptJng4hpifrJmf9yoTPBxDHFtThgc9 -zQQPR+ej7PFPTB9kgoej88saburfskzwcAz5o5s99c61TPBwDFlkof+YcyET -PBy9f6cP3rr8VCZ4OHp/v1ZVUDyaCR6OIVUbtfPKczLBw9H5xfKvVeqeTPBw -DIkyTGs0F2WCh2PIy1mpWR2cTPBw9Ps58nhlQXImeDiGNI+do+UdkwkejiEO -saKe4aGZ4OEYcqftWNuNrZng4ej89tW+K9AnEzwcQz5Oc9JU98oED8eQmRcS -vW+vygQPR7//8qM3AhwywcMx5OR3yULlpZng4ejvp6zUcnlBJng4hnyf/aXU -Y04meDj6ea83Xvs9IxM8HEMUF7/6tE8/EzwcQ86eT7KeMyETPBxDpk8vePpc -PRM8HEN2amnsCVTOBA/HEKnRgV39h2SCh6Pzj7O0MrtfJng4en2ebrCZ0cMF -D8eQTeeN5G91csHDMeStUn6vSysXPBxDBl78taThExc8HL2fK35Wb3vLBQ/H -kHt3157rfskFD8eQEttvNelPuODhGGK62N9t+H0ueDiG7H7N099NuODh6HwQ -oOo89ioXPBxDth24XZVTxAUPx5BVy1Ze0CrkgodjyChOsjT3MBc8HEMmnhqw -a/x+Lng4hrxfcih7/04ueDi6Xo4bqDiGzwUPR9fj2Z3vxSwueDg6vzzU0ldM -4IKHY0iYi/XbpAgueDh6vcYrDfwexAUPx5C0GVZHt2zigoejv19mxvWatVzw -cAwp+njA3s6NCx6OIZJHM9dcc+SCh2PIgP4/myYv44KHo+sHN697pxUXPBxD -TPjvd/w144KHY8jtsM3nNxtxwcPR9a22wevJFC54OHq97pLfPXsiFzwcne+O -hHtnj+WCh6Pf56LPxX+UueDh6Pz5tl/huiFc8HB0/RkdM4v044KHo9drSbub -Vk8GeDh6/bwaNTahMwM8HEPm5grj37RkgIdjyPO4AakmnzLAw9Hfa5COobA+ -AzwcHY8QJn9+kQEejiH9xc2J8yszwMPR+/fCW4Od5Rng4Rii/Xo4p/FGBng4 -+nkyxu+ZdyUDPBxD8pPPefLPZoCHY0hhwaZXdcczwMMxZLBmw/BphzLAwzFk -zPjb/WL3ZoCHo/frpILicnEGeDj6/VYaz1TmZoCHo/NV659Ar5QM8HD0+4w9 -HnI0JgM8HEP+y3lk1RqSAR6OIZ8KFepnbc0AD0c/n3b7spiNGeDh6HpboMMp -9cwAD8eQV2MtsntdMsDD0c8fXslaaJcBHo4hS96HLk9blAEejs5fF2823zLP -AA/HkIQg2wA5kwzwcPT6OX/88YJpGeDh6PWzMmBUnE4GeDiGeC7XX3hZMwM8 -HK3PLm33aB+VAR6O3q/vX3hNGZYBHo4hcgu+rNigkAEejiE1G+cY7/3DAQ/H -kF1KLoqV3zng4ej9ZVD+Ur6NAx6O1gM3tXNMP3PAwzFkmVzbWv+3HPBwDCle -dnX8/pcc8HAMiVcZ9u5RJQc8HP3f+4cd7i7ngIej9dDHsACDmxzwcAxZkZtl -4X6FAx6O3r9L/dTSz3LAw9H5cbiPXNFxDng4hlQ4jv5dm8sBD8eQKZx+CgP2 -csDDMSR65RmdGWIOeDi6HkTuW+OWwQEPx5C8F0tPJSZzwMPR9TpyjvrRaA54 -OHp/pX098nA7BzwcXX+XNDh/28IBD8eQc1OyJ6ps4ICHY0hAiVjd1IMDHo4h -L04unOvuzAEPR+vV8qmsmOUc8HB0vugu79lnzQEPx5Bwd+HRq3M54OFoPTis -O/m1EQc8HK0vNqdLfkzhgIdjyIgXR96oTOSAh2NI5vsna2aO5YCHY8hUs/ix -9soc8HAM2XB4vNrmwRzwcPT7GDjVLUWeAx6OIW+CRtVk/2aDh2PIo5/2+Rek -bPBw9Pv/vOTSo2Y2eDhaT+3ZpvyhgQ0ejiHKrLmlv2rY4OHoer5EuVipig0e -jq4Pws0Kuo/Y4OFoPTLj2PG5t9ng4RgySWVensN1Nng4hpyyFnauv8AGD8eQ -I/VDj4SdZIOHY4i8rtb59Hw2eDg6P283nJC1nw0ejiF2Jrkdx3eywcPR9f5P -zdRiHhs8HEMeRCytuJfGBg/HkKVrl756GccGD8eQrTsXOH4KY4OHo/fX4B2m -HQFs8HAMWVN1WNTrwwYPR9d31edew7zY4OHo5xmRfEh9FRs8HEMeag311rVn -g4djyKyL1btmLmaDh6P1rdscW3MLNng4hhifFictMWGDh2NIdpDFHMdpbPBw -dD+wdXeYuw4bPBxD9vO/m27QZIOHo///qktp/qPY4OHo/sV1ulfIUDZ4OIbs -tb58K7o/Gzwcrdfe5F9N6kkHD0frnWj7RezOdPBwDMkQ9t/Ib0kHD8cQtzwt -tR0f08HD0evJvn1zVl06eDiGtFnfX3mgOh08HEPm2XTVH6pIBw/HEHWzp4OO -3kkHD0fn7/65L0+UpIOHo/ux8+eXn7qYDh6O/h6e7r5nT6WDh2PIZoM6/fNH -0sHD0fnVl7vv4oF08HAMueFdWHx5Vzp4OIYsTM7IKOang4ejv/+ywCHXWOng -4eh8YJOz6Hp8Ong4ul5ob5xVEp4OHo4h47i9dSWB6eDh6P7arnRhqW86eDiG -DFlUt6nUKx08HEMs1x6yK12VDh6O1nM5dh0l9ung4Wh9oaK1vmRxOng4Wo99 -8tx13SIdPByt92wW7Lhmkg4ejiFWrIFeV6elg4djiEFHR8cVnXTwcAzR/Wfp -dVkzHTwcQ85Pmpx1cVQ6eDiGzGn9cOz80HTwcHS96jorONc/HTwcnd87bzuc -6WGBh2OIIMet6WQnCzwcnW9u520saGGBh6Pr0aLX1499ZIGHo/uJoTN/5tex -wMPR30+zfnReNQs8HP2+UpTHHaxggYdjiLO7/ND9d1jg4Wj98rj+U1YJCzwc -Q35qvji16yILPBwdi8f4SE6xwMPR6yetarDwCAs8HJ3/1pgeyzzAAg9H51dJ -4BzOLhZ4OPp9nMgjaXwWeDiG/JrduyiZxQIPxxCfmqLb8fEs8HD/N3+9s44J -Z4GHY8gOk3MkIpAFHo4hd0PtFob6ssDD0X//65f3g71Y4OHo9Wof5RqwigUe -jtbnZU6tm+1Z4OEY8mwOW+S7mAUejiHi0rmWGyxY4OEY0hnI/+ttwgIPR+eL -oINPPKexwMPR+lgqvOimwwIPxxANi/izqzRZ4OHo75uVdst5FAs8HP2+15Z9 -WzGUBR6O7s87neba92eBh2NIxyP7vOU9aeDhaD24oXKKTWcaeDiGzJ/fVr24 -JQ08HN1fdN4+svBjGng4Wh/pbdpvVZcGHo7ux5Kary2oTgMPxxCFS34K8yvS -wMPR+Yn7Nd78Thp4OIZ8fSCcNK8kDTwcXX8cNnSbXUwDD0fr/59hA8xOpYGH -o/X3pZdL5xxJAw9H65nEvTdMD6SBh6PXs+u9baa70sDDMeTMsu1epvw08HB0 -/grKZZuy0sDD0c//aV27aXwaeDiGKNVf3z8nPA08HENUuCWZZoFp4OHo/T5l -6825vmng4ej1OKDC3NwrDTwcvV/CvsjNX5UGHo4h7hV3VCzt08DDMaQ6yi/M -enEaeDi6H/74eOJiizTwcHQ95vVOsjFJAw9H57O2v9G209KsevP+z8PR9Xzv -g0krdNLAw9H1UnO9notmGng4ut9RK0t1HZUGHo5e30bN89YMTQMPx5Avqq+d -1vdPAw9H6+kEdrlfTyp4OIZk6bXnBHSmgodjiKhm+JuQllTwcPR+86+Nif6Y -Ch6OIVtOOaQm1aWCh2PIYpZXJ7s6FTwcQzbW/HkmrEgFD0ev10N647LupIKH -Y0h55cNXuSWp4OEYcnRzfb+Ci6ng4RhSFeaUf/5UKng4hszor1pcciQVPBxd -v400Ft87kGo1/H8ejtanGi7Ln+9KBQ9Hr6+m4w/q+ang4ej3V6F4r4mVCh6O -zq+/fBb9iE8FD8eQyDN5Vv0iUsHD0f+e0WkyIigVPBytPx9vuzveLxU8HB2/ -euZk6J0KHo7+XgXXfC1Wp4KHo/XT0RH97B1SwcMxZJ/xVUOvJang4RjiWrb3 -c+D8VPBwDOHf2zEjcXYqeDiGjL/FHSw2TAUPR+dLk3Uxh3VTwcPR9a2gI+HS -uFTwcHT/fk5v7APVVPBwtL5seOlYPywVPBxD/tS+15YqpIKHo/thax3RwL8p -4OEY4l8ac2DcjxTwcLSe7i2xNWlLAQ9H18uye/vtPqeAh2PIoBuhEp+3KeDh -6PpVvNsw/mUKeDhaP8cNDN1dmQIeju4HXuSsP1ueAh6Orh8HDLsf3EgBD0c/ -f2Hmok+XU8DDMcS+gWMudzYFPByt56b8/DjueAp4OIZ4BxdYm+emgIdjiO3h -4JUeWSng4eh+t1RVM1qUAh6OIQtuBuZkcWT5cLQeKLB6cyVJlg9HPy/b+9nr -KFk+HK13fYWc39tk+XB0P+qe80dziywfjiEusStnW62X5cMx5Gxz9Exfd1k+ -HK1fH1e3ZzjJ8uHo/OJgGH1mmSwfjiE6e23uV1vJ8uEYsv5DY0O3mSwfjiHp -W549mGQky4ej68Wm8kT7KbJ8OLofmZDRL3KCLB+O1h8fXnocUpflwzHk5q+Q -tEcjZflwDLlfPCb1p6IsH45+nph0Nx15WT4cQw7PaFPM70gGD0frWcZ5bO+H -ZPBwdL88oLBhbXUyeDj6/Szz33TzTjJ4OIZcy+49MeFSMng4Wi80vz2dfjQZ -PBzd30wPif66Oxk8HENGb5k4dCU7GTwc3b8cXhNYEplsNf5/Ho4h/Zi0A5M3 -J4OHY8il0N7cXW7J4OFo/bzCJLbfsmTwcAwxvZI8PdwsGTwcrQ8/Lyaf9ZPB -w9HrV/ut8Rr1ZPBwdL24eJz7TDEZPBy9P7t+31v+Owk8HK3fVsxgbjcngYdj -CEc7e5BVbRJ4OLpfbhCOvPEoCTwcnQ9GrFNaUJIEHo5eX8aeCuRUEng4hgx/ -/fjHopwk8HC0Htin0PhAkAQejq6PY+3eOCcmgYej89OAz09rg5PAwzHk00jN -Z5vXJYGHo/VC98z6745J4OEYosXf1EWrPfBwDPHa2aOrZpQEHo7eP19n+p+a -kGS16X8eju43YizvLlROAg9Hv2/9jfNr5ZPAw9H6oe1pdURHIng4+u97VCZU -/pgIHo7OR/e2BBdVJ4KHo+tx589Il7uJ4OHo9RWce/L7pUTwcAxJdRMo7T+W -CB6OIeRt69GFWYng4ejfP+9rSAsnETwcnX9Pno7cG50IHo6uXzFrriz1TwQP -x5DkX2pzfnokgoej9TR70K8C20TwcAxpYNn2rjVPBA/HkJe+fxxHT0sED0f/ -/wfnf32imQgejl5PRboveMMSrcL/5+FofTe1coTt3wTwcAzh5jrkDf6WAB6O -ricni7mP3yWAh2PInh8THu94mgAejs6PxtnBnmUJ4OHo/a42M0TnfAJ4OIY0 -Bra/aj+cAB6OIS1/Ph29uTMBPBxDth1WfytmJYCHY4iH+Y4034gE8HAMsbmw -at+8TQng4Riy66/PRBW3BPBwdD7RKJvQZpMAHo4hh0YGZD8ySwAPxxDtjrWi -k1MSwMPR/XptVj/h2ATwcLQ+ah/+O3RIAng4hjRvuB7h2RMPHo7u//13xCxu -jQcPR7/f5ZxBRm/jwcMxZKQLT1f7STx4ODq/vxFUjSTxViX/83C03lwUrzqg -KB48HP37f1u39eTFg4djyNWo++t/7IgHD8eQ1/N+bpOmxYOHo/XrkwvjmfB4 -8HC0Xn78fpvULx48HK2/qzZu+uEaDx6O7p8FoxX+2MSDh6P3Q1HNigFz48HD -MWSsHG+pskE8eDhaLy+V+6atEQ8ejiGf3VXtjIfGg4ej95t6jvfSP3Hg4ej+ -OixwindbHHg4Ot+sW10U+S4OPBytR99N7trxNA48HK2P5M73FpXFgYej/736 -m4+rzseBh6Pz3V6NjV35ceDh6Pe1UUC0dseBh2PIyojar8vZceDh6Pql9vBz -ZFQceDg6Px/UuHhsSxx4OLof8xO7vvGIAw9H16eXn58Ot4sDD0f//i21ujYW -ceDhGJK0X9891TAOPBy9/v+yt5LxceDh6O879fQ6uRFx4OEYonfPcd4SuTjw -cPT6Sp/whyeNBQ9H14dJVYUvPsSCh2OIfrDK0okvYsHDMcTQQvQspDwWPBz9 -PUMZxztXYsHD/d/39eG2ekEseDj69zYOnhmaHQsejv4eM4dnPebFgodjCE8q -6p6aEAsejtbvq4zWC4JjwcMxpIktucesiwUPR9fLhw4mHs6x4OHoehsoV3B7 -YSx4OHq9lC/RNzKJBQ9H5xfr8xfzdGPBw9H6aWWdk+qYWPBwDJmS5PaPNygW -PBxD7rheuqXwOwY8HF1PY9JzUr/GgIej9cue1Tvk62PAw9H5c0tRfnplDHg4 -Or9cnVg9hMSAh6Pzp4+R3u6iGPBwDJFb4XNgQn4MeDha3wVbzC3aFQMejn6e -hwv+LWLHgIejnz+8uf1NVAx4OFrvS0pHhPnHgIej9ZKLyQalNTHg4Wh90XX/ -4xn7GPBwtN6u7shxXhADHo4hgqVTRT9nxICHo9/XjQ/XDk2IAQ9H93MlokkO -KjHg4Rjy/Unuo57+MeDh6P4uK/XS2R/R4OEYsuj2kQ9+X6LBw9H9k3KIu1ZN -NHg4ej27Oo2uexQNHo4hl4MLtA6URoOHo+uj/fvIdWejwcPR66vDc7xeXjR4 -ODo/hEepte+IBg/HkJmduzeXsqLBw9F//rjKcGFkNHg4Ol+/sBu+cUs0eDiG -PHyRtXWuZzR4OLo+j3DUHWUfDR6Orl/y96yk86Otav7n4RgSarryZtWMaPBw -DAmwX5JfPCEaPByd/zpb2g6pRIOHo+tTR9YRvkI0eDhaH2nuKI/7GQUejn7/ -7rpuwU1R4OEY8jtvn7tvbRR4OIYcHzD7sffjKPBwdHzM/IrnzSjwcHQ/f+mb -2pqiKPBw9PePkXSuzY8CD8eQaQ7r7DftjgIPx5DKnN0TQzhR4OEYcqElOCYp -Jgo8HENaKyetlAREgYej821rc9Ex7yjwcAw5ofAr66ZjFHg4htz9kjywzjoK -PBxDSuMvyPfMigIPxxCFkps8Lb0o8HAMeXrp+ZGlalHg4RgyWzjGKWRwlNXE -/3k4up9KvCLK7YkED0evx+o3fs/aIsHD0b+vM796wPtI8HC03nCb+9ayKhI8 -HL2/+NdZ8XcjwcPR79fM9mHJlUjwcPT3U+1X1FsQCR6Ofr7vcubLDkSCh6P1 -5I31W3cKI8HD0fUyeI51Q3IkeDi6X9Y4UGYSFgkejiFdbYdbM/0iwcPR63vq -2nsf3SLBwzFk7dS3TgttI8HD0flhrRE/3yISPBxDHtn7xg2aEQkejs5fe1K0 -QyZEgoej63GHJKFeJdJq5v88HJ1/Zh3Y5TAgEjwc/TwLjm4u64oAD0evH5Vz -XWZfI8DD0fr2cenyi/UR4OEYsmP/Y69ZTyPAwzHE/UHdrMu3IsDDMeTD1c9P -LS5FgIej633Tx9n3jkeAh2PIv7ZK39XZEeDhaP0fk72ukR8BHo7+vc7m+glJ -EeDhGKK6Jp+MDo0AD0fX1523DC76RoCHo7+nMmfLarcI8HB0vzy4Pu738gjw -cAxRuXdmU75FBHg4Wq8UfTRwmhEBHo5+Plu/h70TIsDDMWSMsarNpVER4OHo -96396nDQwAjwcPT3bea9m/I7HDwcrX+Tlf81tYRbRf/PwzGk95tNvzPvwsHD -0Xpvw8C2iOfh4OEYMkndoMz6bjh4OIbsj+EkjiwOBw9H5+PezsmfCsPBw9H9 -jO3SmyU54eDhGMIMc1i6VxwOHo4hFye8uRGVFg4eju7foosN1kSGg4ej6/Wk -K7yF/uHg4ejf55z7wdArHDwcXV9WzDUZ5xgOHo4hEel2SSMWhoOHY0h5cnb5 -wNnhVjsT1Lfa9LaTKV++DOmnH25VpCN/IeJfO8lN/ekkrxFuVfmouTv/bzuZ -Z5qepTA83KoltGrx8z/txGGQ4/uhcuFWg8eWCHp72smhcSNmqnWGWemXHXk5 -nY6PXUtJn9wYZrV0i0DLu7udnFq05sO8mjArX6XILbzf7WTEfBc7l8dhVqmX -1xZd/dVOIu3G3gwiYVaHvG1+N3a1kx+z/KwEF8KsSvvPXDSajj3qGp6eOxZm -VXtSjb/4ZztZamcd+npfmNUvF7kXoT/aSdyJaXr9BWFWY343jcv73k7+WTi2 -zUoOs5qd93zTk8524hnmXLE5LMxq5bLrZ/90tJPyV2/KcjeFWYV8y++aSsdb -1QRPaz3CrER7+Nae/69F+47n6vvjAB6RrRBRCRFCZWV33/ZK9t57b+1lJJqi -UkmLlBWShqSOUEZbhIakpXLvRdLE7/y+9/75enx9P3e9z/u8T4/nGI2yuYR+ -L7RLhktG6/dmj9LoZu4/IgCSofO9b9c1mkZyz34Xl2omw/A+i0UfKBqdnypS -nFiWDHM0V4aK4iyZlPvAXCoZ5PslqoxJ/Hvl/kcKBJPBJG3mR/wIfh7rktTx -mSQIUBomTn+jUY7ozzyH70mw4/HT7AdfafRv9OuDy5+SoHDDzae/v9Bo+6jI -KomXSXBzcbGUMs6Kpv8aUx8lQW/LvmD3Yfz7hnqbyKYk+BGdUpn5mUZ97s4B -AVeTQEzUd+LKJxrpafVs7ClNAvWb5mvefaTR26M2yKEwCewDV2TNxdk3TMfg -cU4SxPJIPFnzgUb362S/OGYkwb7q6QWx72n06+r+R70bkqDM7XPgySEaPWz5 -NRwclQT3/z0pb39Ho7VBn43GfZPgw/n68clBGlHaPZ27HZOAc22R4TKcuwWJ -o9LmSSA7vjfT5S2Nfr4pPHlTNwmIguRH6QM0imkNf+ulmgS+xj4Sl9/Q6Mhs -8dDpJUmw5bNZwMBrGvnMWaRSKpoEx3PUygRxTgn4puk6JwmurRYfM3iFn79j -MpX7TyI8fz2lH/US14PSJdFbZCKM7fqUcbwf13Pm5pH17xJhruqTB/f6aETP -bxPW6kmEFV035k/00sh+Nce2H+2JsHbLOb+lODfE5Gg2NiZClOzei44vaHQg -olsn+3IiZLUl0Tt7aFTNobvXvSQRSuK99aq6afTKSFJV5UQiNIubpb96TqMe -iz5pzgOJMNio2smHc3FKT/BAaiJMh8wX0+uiUYdV7N87KYmwWGDKJ/wZjfbE -/xw+H5EIBlc+lhx9SqMF6InaQZ9E8PR6TDY/wb9vaNe+1SERNs66oTP2mEZl -os2NsWaJcLT0bKoMzn9PZQqE6CbCFfs97ese0Ug78OM1P9VEePIjUWT7Q3w/ -Qtq3fWUSgTzl5V3xgEZ3dt1ZGiiWCALmpuf7OmkU+vDp5wieRFj+TWVkDs5q -RPSi4ckEsDostnp1B43CmiJU+d4mQJj+vx0h7TTqMlXi1GpLgF2DH+7ntdHo -o5lmcVhNAhRlP5rbdB+v/9PCwkXHEwCtvO5J3aORfBZh/TE1AV73nClajPPk -IWMXzcgE+LM9+6ttK43qKw5p7HFMAEmFRK0tLTTaIX/+4ye9BNB54Lm9tJlG -jheoJHu5BHBNNrnXc5dGNfpDfXf4EiBZSkWYC+c5Nl8X643HQ26TqIdmE41W -FCYYN7yMh+qIv2cDEY3eefRaWLTEw0PhD8M5d2hkwrNrVX9lPHy99lDj9m0a -rTv8dGbD0Xjg9bu29VsjjULMFt5ctCMelnGdaZHCuf7+db/OsHgwq8wStL6F -v4fKbzrdPh6CnBPcNjbQaOU79WRT3XhI/e1xpuQmjcLvlH8SkI2H0+eMP3fV -00jwb6XDAG883LJars6B8w+t7TU3x+KgnxLZsuoGXm/RPrxnXsbBz/w/d/2u -0yhxTrbv/pY4EF/znv/ANRrVPderTr8UB5ofHrg0XKXRce7SmbT8OHDcf/XU -cB2NxlZzuezdGQfxmqc/SuA8GJZacTIiDg70715pcQW/v2kz3muOcVCRFr8p -pZZGG59uie/Tj4N2JY+moss0cpWweMslHwefHgPf0xrcL1Lu+xoIxgHXRmXn -6WoaERMLv2z+EQtLpUUK1XB+Os83q2kgFoxbf7/3rqLR8sqzOiLtseAfM6S2 -9xJe7/N+/Y2ujYVtog823KikkebflL5HJ2Oh4GbdnY8VeP0fWvJYPzMWbgSe -4pmPc23QzEB1XCz08Ox2NC2nkXXiQqEVHrHwvTquILGMRmnLE72vGseCiLv7 -0JlSGqVGTbWbq8TCqilC9dFF3H+23fIeEIuFdSVK6/9eoJGb1DmR9KkYiFk7 -7/ZynH/EnabVPsfAnvFf3J4lNBoXK5589zQGLha8s886TyPuBQXK5xpioNW4 -8/jVYhr5T0RlR5TEwNDnK4NDRTRSKvw1Xy8nBmYdKlwugjNf3LLnIptjYIlO -ZjKcw/dPPGqZCIoBozext+LO0sjDs2N4cG0MeGe6cZ06QyPjjbTZi9UxsFmV -WNd5Gu9fHSK93TIxcKxL8divU3h/+jl9/jVfDFzdMvetIs5zF2dcIr9HQ5fs -LyW3QhrJdASP8wxEA902mLjrJI1G5oRtUm2PBqGEjpu1BTQScrNZ43klGlQl -rnAOnsDrs+KhRc6paLC5fXKtMM7tm8oOP8qKhojQXUeNjtPIquTwMomkaNgt -EPsm+hiNJvLWzIr0iYbzV1wVC/JpZLnYU6HFIhrueq1JaDuK+1HBwSNK6tEw -MEux/scRvJ77dzvkL4yGf6XCHAo4H1H+6CXIHQ0LHX7aOB+mUZaD3dUDdBTo -Tb49nJZHo4LDnoESL6PA/XT7q+pcGiXUnQ8ob42C9ea1Cm8O0chorKXOoiYK -Dn8riBPA2ZbPzv9bQRRcPpxxXT+HRrt1HwSezIyCx/oxMxEHacSl+6jROSEK -RgZdrI8doNFh05cp872jgH+PUV7rfly/4puy35pHgfKqZS/H99Go7avC77pV -UWDxQkheDueQtKDOvIVRELJjMsZhL434xUv/beaOgnSFt1d37MHPFx2bFzUa -CWcftE1VZuPrtSrsC3kVCbeTL1u+zKJR+lcYDr8fCa+kCg7x4rxhuUpVSm0k -/G5K79PZjevRye7l3lORsCAyWi4sE+/vNwSSyrMjYfVcl+gju2iUrNuZ3JUc -Cc7XDevuZuB60/w0yOUfCYl+Cv/odLx/5TY0GttEQg6XkMUSnI3unuHJ0o6E -S5U/Dtql4fq99am5WyYSOp0HXmxNpZHDrPe0mkAkDP++L1O+E6+vY4OHciYj -YE5RTWTvDhoJiy4v+f0uAhSsT9Ry43z3IOfK+EcRYEqn/dHajueFyzUrR+oj -IPBYlFnwNhpBVUJpSkkE7FzjfCB3K43yjXcWcOVGQOEHg547W3A/vCo25+y2 -CLi5X34JuRnX+2AoZRoRAb2aghGLNv9//z3vMuocAT/6J2psNtFo/vZ/OqVE -BIilv/m1aSP+Xv6FBREqEaChfN/k4ga8/wxc3K4hEQEOT6r3da+nUe5J03dc -nBEQt/H4c06cTZtz2t+R4bBfOm2xRgpe3+JlWu394VDWGhkWkEyjzx9K5evv -hcP9GKfqg0k0+iRWUlBbGw4fRA1+3kqk0bkFNceung4Hzoalxl8TaOT8q3fR -3b3hIBsksFcS53onFZXeDeFA8E48s4ynUaFLdfNkUDj41rxeuCEO319z5CcZ -+3DY6n4v5HwsjSp+eh9zNgiHE1NVl57F0Kh5KON5jmI4XC859mMmGn8Pvldn -ukXDoXttKrES5xfZ3r/lZ8JgbDwi2zcK17foj/fbv4XB3JOOT/dF4vlk4mTA -294wWGGiL3UzAvcDMf24ta1hsHZYLvhzOI2WjtQL3b0cBlGH+CvFcXY/NsvU -+HQYZOt8/24WRqNjLeP8HXvD4MKbV0bJoXheaoqP8tkYBi2ZrbvPheD1s97R -/2dwGLxTrXr8OBjPPyGJX085hMF0V/6CqSAazao5L2xnFAaLt+4MVMXZSKzx -AdfyMDCQiyj3CsT18S17aZt4GHi2O4xnB+D9UrNL7AhnGGxM0DO87o/f/87g -c5F0KByVkMv84Ecjb76pdqvXoXDlNt8jUZzdy4Nz1DtC4WnouLiJL+4nvY5j -S6+HAiXwyj/Bh0a/K9PHl5wPBcG6ltLT3ni/fF95WCE3FFS8L40+8KJRaX9G -l9aOULDiyNf/44nnnUPXr9hFh0JY2Y4MZZwvlH/XSvAIhV0O4Q/cPXD/in/v -XmgeCkWT9vN3u9NItFN18TONUECndf3q3GgUYZ+QOU8mFN6Yy15850qjIM11 -uV6CofD3Gy89F+dolyDjS79DQOrImC7hgvez1eb5vJ9DQNfgZVqsM55Xufcf -iu8OAdd3zR0nnXD/ONa2auBuCCTvqRTtcMTzR+iejR41IZC76qjPTwca7c33 -DH15KgSqX2wvWYZz0W/0O3xfCDzcEUa62OPzAL+Z7tSmEPiqYK+TsY5G+0eC -pU+HhQDvQ53Uy3a4fhNPX7J0CQHFFJn2gbW4HsTiPv42DgHzhbwiQjg7Tlm3 -X18ZAsF3R70MbfF5hfuC247FIZAW2V8cZUOjR5fHDq7jD4HTc5u/HbfG/Urj -VJLSr2C4db1C+74VrucoYQ6BT8HQ73dkx4QljXi+VRv9eh4MP7m231+Kc9jP -QQX6bjCIXwqd62SBnz+dq4GuCQYtl3WeqeZ4Xlyx8d/v08Hg9Gd1UZUZja46 -HhoROhAMCUVLvr4ypVH5xIV9qluD4aA1jxY/zi+7BXudI4Ohgqa36ZnQqD9Q -rGuXezC0H+trDTfG+0kj9/Y75sHwac1doXzA82WTWg+nVjBwfSx3byHwPPHv -0RtHuWBYeuDw2bE1NOKoUz1WNjcYjLW2DcvgvH8wc5pvOgj8X4Zo2Bvh9aAr -vmDDSBBsT7fbut0Qz4Ny4oNfXgbBSeXVLRUGeD9vuekV2REE9U+kBfv1adQ7 -qZ05eiMIXmyc48aD81/nawEZF4NgQpo+vVqPRnFK4SPS+UEgcq/3U4guvn5q -gkrLriBYFdu06rAOzrKTUsnJQbBOrHxz02q8/xxYdEslKAhiGvLuUtq4/3BN -C5EOQbA3aCu/NM4fMupFbxJBUMob4rJWCz9/c3BHzooguFez9tQWTRp9MxTR -jF8cBO/dtT+WauD5WLHHzkMgCGZNL175Qh3PR1sapWz/BMKSC9ybuHCOGHl2 -wvJLIBjZUUhzFY22/FzcadcXCN7fX/AGraRRbHdRlW9bIGw+iZwOrcDn5Z1B -ZhuvB8Ixk7KTt9VodOia1/6CC4FwdTj3/TdV3B9/Z+6+fzQQug5tUVuI8+fc -lxpTuwJhVCd4g7UKfj+Z9rlrUgJBeMD2zsbl+P2VPDuXHRwIaru1eC4o04hz -t1PIK6dAsFVb7PhcCc9DafW9eiaBEPGcq4ADZ+V6cuqseiDs3kq+W6WIz+fi -PT3zZAPhvNwLFf9leL60dQjYPzcQ7rbfSTmgQKNFZ1K0ZaYD4G1CaWODPD7f -PH4jaPgmAKYkcrm/LKXRMuP9VtGNAbDozmb7BTjnn5P/cKkwAPTDgo5byNHo -ubb1EOe2APAQtB1MkaXRQN8Rk1jvAFhfp7m8WAbPuxyFHF/0A+Cw96Lkp0tw -bvwmt0UqAC5zcN2alqaRdJ1Q8eLf/vC4bGT2CpwtVTPSn/f5w4hDj53PYnwe -5WlFp+v9gf/n7fy9i/A822Hlu+2EPyifuThwYyGNUN4299jN/mBpcUjpkxSN -VOXbqhI9/SF0ZFPifJx5h/YH7dHzh4wjgTdNJfG89kIhqU7SH84Z2HAmLcC/ -f+nTq7FffnD7ncbasxJ4P7khU2TW7wev9iw8+kgcn0dGFzSV3fSD36tmv/k7 -n0YKv+fpyZ30gwW935ap4FwhbclbtdUPVu/sjvcUw9/HdWSVnY8fuCy7fSNL -FPc/QrN62tAPkh5emHVNBJ8P1IwzWhf7waGUHJv383A/WqRXeXrKF6oWbjos -gnP3zdXK+wZ8ofNuwCuYi/fnMPtfe5EvDEdaK8QL479fmbfw9DlfmDNPI+6U -EI0y++fktKT7gsINqeudgni/G6h1mgr2BVN/zplfAjRaE5MTamvuC4Hc36yU -cF4bdvhexTJf2Hnpea4bP75eVFXKEh5fOOXS2L+Lj0ann7THXRj2gYY/JUuv -8NJIvKztCnT6QF/RwZhBHjxPNGWZkJU+MGm98aowzrvOv5asPugDYqP+U0Zz -8Hm4oEA3I8EHNI5bWcZw4/2kMvtUlJMPOBDqhwq4cL8rjrYM1fKBuI+SfW2z -/7+/z9JOFveB/Qc45CY58f2snAk58tMbyrW+RingPEtRqqet3xvaXnZdcebA -807br91zG73hY/qtv2mz8PmzznRD9BlvmL28xLxmhkK/HfOL+tK8QfbpgYNv -pikk0rWHzzvEG4hNG14I4HxAs6aSsvAG3yX+MgZTFHI2OJp1TNkbtt6zjIz8 -R6GEnbdPOAl4w4nYVbXH/lLIJrhiUIbygutikn9a/1DovWS3L8czL+humGX2 -/TeFJC5WCUzWecF40Jf9cjg/eXv+29QxL5jH19Xt8ItC1gnSfyW3esHKyw3S -O3/i3+vaqmfl5wV2HufDL01SKNRXoniPsRdETe+vefmDQiv0zHXeyHtB9oX1 -v3hxTot0/m7O4wUX7PxMdCcodL1jTy/66gkt3y32hX2n0CfR5e/WPfaEdydX -Pj8yTqGlvbhKaj1hxmTB4uYxCl3NOuR3Pt8TpL/MhI6OUsgnseFh9BZPMMwd -rlqC8/5mSW8LP0/w0n02aUdTqPzAo9laJp6wceAmbKPw/Tf9aNNa5glHdxfv -KScpFKlZVmLF5wlX1PY/6x3B93Pqx/F40gOePk9ZOAfn+2eGz5Y98wBqq2+I -9jcKeaDdDT+ueYDgUotLwV/x9eIefHQ76QEqHSt+5H6hkArnFZmOnR5gnShB -oGEKDTaphjuEeED4gpks8jOFNvcr3Bi28oDMO5+fLMJZLmrXvHw1DygOeypp -+wnf7zalRFcRD0CCN4M2f6RQg+C354qT7vCmrqji4gcKyfAV6wu+coe/3vu+ -d7+n0JTDwrNcTe4gxZliNBtnyauLOOddcAfdcp/dGkMUEpyKCFy5zx3cHM0f -B7yj0NHhhhsBCe6Q8lNtQc4ghZpUO7lLXN0h74x4YONbChmvt7D+q+8ONRbT -ZV8HKFQQ9WJ7mIw7PBz5NCaJc0y1bNEQlzt8PfLEwOoNhWrf3b2S/NUNeA3r -d214je/nrFON+FM3UBw69/D8Kwrdygw6+uCaG5jv3Sve9ZJCSao7Ao4WukGw -erL/LJyn1VWEE9PdIK3Xu3RlP4Veaw+f9o9wgzM7zUZ9+yjk91JdMHCdGzQu -U9Pf30uhsY5N7uu13ODlw/kZN19QKPiKytZCKTf4lTLV+bmHQmK2tet7ZlxB -fNEnMQmcrR3jzJZ+cgWt5se+5t0UCsxpeJ/+0BWcom5cSH5OoQX9lPPEFVdI -mHeOOtdFoQci3jlbClzh4I09uk+eUeiGkuURkTRXqPRPSpt6SiF9qZ/+jeGu -0MHt3aGKs8iPCmrLOlf4fMlU1PsJhc69KgBbbVfgdlX12fOYQitnTdqvWOQK -8n/FSq4/opByxTcpeU5XMC7+N/LhIYWCdIpOq35xAX+bj6vFcPaU1O21fOoC -20cf7TR5QKGUV20t62+4wMnj19sSOilkoRAZeO2MC9QTZ+ed6aDQo1rFCt4s -F3jxMdvrYTuFGv0Fz8THucDEgcTiP234+yxdrP/Z1QVEtb2+KeOcJuayOdnI -BdRfmWh73KdQYniZ5zwFF7DPUNmx+x6FdiYJ9TYJuEDMcrH7da0UKr4UN7rr -uzPsffpXeKgF11NddZHXK2co3fTBYx7OMeaXP5u0OMO9JY/OEc0UUrO0Q0aV -zvD+3rUvsXfx/bS6L7M94gwccWc0C5vw/U6cloje5gwy87O3dSAKHdf+euhU -iDOsuZXQ+vMOhaSEpg++W+sMPsGeQoo4+2kdE9LXdoYtfCburrdxPe2NEji/ -2BmOXV5+NqMR/73c8kwZbme46iE6fPkWhTQM0tZXk07QNf1H/W0Dhbos5/c7 -vHCC0QvvtwjhLPcts3o2cgLhdQ+bDW/i9TkdM9ZR6gRqE1cFouspVJHjd6Y4 -1wlsC0+7nriB18Obz7UHtzhBpGnW6fvX8XoJPaK8P9gJsr7Ef5q4RqHx3qHp -k2udoCTXY5U8zrvlXTRuaztBs67xZqerFHJL8Gkck3aCtwPKd1PrKHRJJu6U -Ho8TTO0W4a++QqGsF98f5Y06wqIVf5xf11KoZ73buql+R9DvHirkx7ll0bjE -thZH8Nj24IPeZQr9WKGgJFDlCBuWXl0RUUOhp47GW6uOOcKRjlMb86txv4jL -FwxOc4TaxN2opQrXe13sS+VoR3iyIJ53/BKFJo/xDXK4OsLIHXcnWZx39pRL -kWscgT8cTtpXUohvw+5dX5UcQVlI+f32Cgr9vfdY6o+II1henadWWU6hs+2h -tbq/HCDU5/f6/jLcL5OqN5996AAZnEO3eXBe+qMxXbXIAc6Vd87RKcX9MaCt -9dUGB7jjWOcQepFCH/1/61+2dYDXPwtPHL6An8c8pb9MxgH+nMl811RCoT4+ -28J7E/YgaRmnQp+n0EH77E1zOu1hNemWIo3z5oDl4TFn7cHlKNG4thj3Ax35 -gIn19pBkqMS9tYhCzam+3kW29nBoaK592Tl8f8drHZJl7aFq769jL85SSPbw -d52IyXXwQP3dWy6c/Q2GedIeroMvvR3KWmcoFC5h29BYvA54Uq8kBZ2m0Nqo -dgvpLetgmWJhw6FTeD9YzVNc5LAOTB/tmn2nkEJ3jM/et1FcB4HrY+1GTlLo -sdiSSvEpO9i5yC1/Ic7PZrituLvt4FTzmgHrAgqFyTzOk6y0g4YoRaVNJyi0 -xWsowyHDDvrmzU28cBz//yHnRSq87GDyxs/658dwfdwLX62sYQfzAwY5OHEu -79/4sYPXDjTndNiq51Oo8pmo1KHBteBYVXvE/yieF27Et22qXwvxridfHzhC -odTkus+7ctfC/r8Zy24dptCqe9OJdZFrobw4Jv5LHp4fXEO855ishTYb1xsL -cF5VNnxyu9Ra+DhqNMsyl0KuK/Yvlxi3hdknltmsP4Tfl77RVFenLciB8OHi -HNy/BIcE687bAnyafPn0IL6f5x4O17fbgt/Bt/IzByi07lLcrddutrBNuz12 -Bc5FF4atFFfZwolXl6/57KfQi5aMb4d5beF6RsH03n0UWs/57dTSIRvoXp5h -Vb+XQl/d7zn33LKB8afRuZ/2UEi0rmJ2Zb4NzNvs0j8f5wae5RfPJ9jAShmj -pWbZFFK3alFHNjZgd18hJimLQu0RYwVT8jYQHSd09exuCoWEy/b5T1vDnvmT -/x5lUuiP9ZV3Q33WcPHWgMW/Xbg/y01f2ltnDa3BbTkqONcIO6q75FjDO77L -vZ4ZFOIw/RIEUdYwc/mEbHY6hR5Oz+jam1uDtGd61LU0vJ7OoLJUGWswnIm6 -8j4V95/D1hXP/1iB10XnvyI4u0Ve1rR9YQWb1hmaG+/E9dvOofe+1gryJ+QP -xu/Az7/T6PKZg1ZQVyj44tR2vJ9vcMvbHmUFz0x/LHmwjUJz7+g8225hBdSX -NxG/t1KI5zAKOytnBYJ59y8r4XzdpdX8w5QlqOjV/Hbbgr/PYeHQtS8twfrt -cdPMzXg+E/S72X3dEsKz0vZf2YT7c22IQdoRS8hcEdU9uJFChy4+eL0u0RKK -u52k5+J8xULr2Jp1ltC0zSB8zQYKrZZf6eugYgkDS+VrYtZTaETYQmEXjyX8 -6xD4VZCCn0di8n3fBwtYmDRh3J5MIa19V3Mdmy1AV/LN3skkvN//GZb5etYC -3NC9LgWcd5iMZV3YYQEp4dWLXBIpZLtT9laGjwXkCR0PTU/Av7cY1abrW0DN -1dSqmnj89y/4A4sXWMAjn8jJN3G43ut0bn34YQ7fOJ1AEGcOkZh6625z4KvQ -32MQSyHTM/fsHl8xByWnpc8iY3B/C/WK25BnDha/+Bcej6bQvdaVc4lEcwg+ -+z34XhSF8lPNlJQdzCHN8nXl90gKdV7ZV6G10hzOkK0TcjinDIwcDBQyh8aj -VWscI/C8+snqfvWIGbw0PJa1M5xCZgsSrJc8NINfQzufXAqj0Iy8Kl9NpRlI -7IuQfBVKobgVBlOB+81AW8MxiA9n82YHKe0YM3Du06vQDaHQsqH5zsprzSAx -Ve57WDCFlGYpn1qjagYHFfmNjgbheZdbeiRFwAwqH41nNgdSyN1lh86Db6bQ -sf7Vo9EA/P3vtyWYPTSFz4taJWRwrrqZeOD1JVPgbrkUsM6fQplzhtKOHTQF -+ej8sm1+FFLk32aSFG8KJiI7x8p9KVR6Mbc51sEUAurDDfp88PNbxP3ao24K -OwIcds3BOfuVY+89EVMonKP3UNsb79/DiS7y302gvkpWPMSLQhPbfwUWdZvA -C1c+/zxPCkUZ8E7AdROY+Dt2EXlQaJ7H9Vkzx01A9PxLmnSn0MBZ4W0DW0xA -3bZFbzHOqttEPQZ8TMB+rDLd1o1C2t/q90yvMYHYE0c7N7tS6GIuNRtkTWAf -7BArdaGQfFV+41lOEyj7FObb40yhjmVZF2U/GsP9g/YXZuN8c/fBa3fbjOG9 -ti6l4UShk7MS3mRUGAPHaxndQEf8fje8Fwk9aAwyu3jTchzw+0oosAxLNIY1 -KmPtjfb4+dRWJ2S5GIPPs36Rb+twPZ50TG3TMYYtm5u9pf6f/bdFKy80huMy -leet7PDzl22wdv4LcO3+kZENa/H8KT/f+EgzwPO47atLbPH776orFtsHMDY/ -bGeXDZ6HXsp5PHcCEG5c1zYL5573EuZdUgBqITrzVlnj+pLWdZw3RIAtv4yX -nxVeb5aiwQcrCIis5Sneb4nnuRr5QJsUArI8R7/etKDQT+hSNzcioGSmT2vY -HO9nOxIbdnAT0Hzx7nYJnOtyjN7/eLwGBtdV3DM3w+/DJDDv0ok1MD1xWDjF -FM9Xc8cqioLXwOJT2zyKTChUIj8q3qO2BgzMQs89Mcb7s59tveVPI/D4avdl -Cij0xqtv0+RdI9iQt1pTDWdI8Tf4cMAIjugt2eZN4Pmx88DHuZ5GUPt2Tuue -NXi92c74b5E3gidZtOANIzwfVK05IEsbArmiz+2jIe5n6becuW8ZgkBP0xkx -nHer9R1XyjaE5dvLP5sY4P3qx4BxtoshWMkfVk/Up9AoF4+WgqwhhHZu3XJG -D++3MSku0yMGkJEU0vxQF89zylrZ4g0GcE7STuCvDoVc1EzqorIN4A7Sdl2O -s0BAZuMfVwN4HS592mM1hdIvPMluX2oAf4TmfNqtTaH+j+9+d43qg+Q1auVV -LdwPBcN/SiF90PHt3TSkifdL0e+hpw/qg+vspqZ5OP+bFlDy89WH5IoyPtDA -1xuTWeqlqg+5TnnOcer4+ZbuM8j7owdVv7YUFq7C9fAlz5W7Uw8enA3+0LES -v9/WaNdrBXrwxXLtil8r8Hr21l5SFKUHPJTWRkWcU9P+5Lfr68Gy/MXIVQ33 -N7h2VpVfD8yMuHl3qVKorc9apvOlLgS9Jx1rVfD7erj71/lKXUjd96Lg7XJ8 -nn+tPPfmdl04rYGGhHDOFXtlyWevCw19papGyhQ61qax66iMLvSl5q6PVsLn -g6LqUu8xHZhU3HL7hCKFtp1Dx31adGD+46A5bcvwPCeDdI7n64DmBluHHwp4 -vn31PUIoUgccF2udkMe57Eeu8B0DHYhvWfTOSR5/zz/XhMqFdOBANJdK2lJc -/5/irR8ProYKETK5Wg7/nurVohVXV0N7fc+t17IUEr4W/e9+9mr4FHCHSwDn -2JEQw5M+q2E2T+k6fRnc75v8TEpXrQa56kPHIpbg73ORc4ycvRrAbfPbfGkK -WV74ohbfpw1+/wKVWxfj9eByrFepShu2nbdJGl+Ez2uDm58vzNCGAlvNBlmc -Q6QoTisPbbgxtnC2w0L8+7FgfUlNG3pOzLbbIUWhy2TxbltObfgOI0crJfHz -BBieluvTgnmfu9/0L6DQRi3JJI1qLViZc1uRF2ePvNXvt2Rqgd3qiwk6EhR6 -5bO954+3FkS/zqkPFcfvf3Xz8qsaWrBn1yaOI/Pxf9/dcfcCrxZcVAm0vSuG -97cdJqlP3mpC6zPrI7QoPl9L3zfTuKEJQ5s1Xkvj3KE/ONqZowmzZBcusxPB -57Od3JGnwjVhSRtn/NZ5FDLZcGLfBUITjOK/XS+b+/9/DxnW/yShCV7i3TMv -hHF/ktL08Kc1YFNjozU3zm99z7QItWtAfsiFPC0hCn3ZZxY4cU4D6vhzXgYJ -4vp4pCAsulUDntVulM8VoFCrrWZ1uIsG0J4BsXf4cf0r28iNq2mA0CzrayN8 -FIo+qmV9dY4GqJaqTy/Eub+lbHbVoDrY2EtZ2fDieXHKTvt1gzpE/ODI3cRD -oeenahtM8tUh89TXvgtzcH2Iu216k6AOxWbP5bq5KVTwt9i2xlYdmr7eiubE -+QrXxPwby9RhIK+kTp2LQtzzcq5PzFKHf3oH//nPxtdzsZZ5Wr8KFg5usDjI -ic/PS6tmLU1cBXrZ/jm3OHA9bwp7NqC0CtxXWvV+mUUhq/pwpzmDK2F9zypZ -SZy5H6YZnzixkvVwJDqg6O9/1Gkl1Pzn4Ui0qGtX9B/+layHI5HZFieFztYV -rIcjUXcix9rZqStYD0ei1rtlpy/or2A9HImWaOm+ujyhxno4Eg25bGpWuKzG -ejgS/coUFuWIVWM9HImEZu/YY6Gsxno4Eu0alf4w9kEVbv/n4UjkHKD0nrtY -lfVwJCK9K8zSA1RZD0citfHDLSHSqqyHI5GqSZls1SsV1sORSGvbAUm/kyqs -hyPRsYnGyBQvFdbDkeik2uHHtKQK6+Hw9XqBp6tvOevhSLT7c/cTqYLlrIcj -Uc4Jw4/3vZazHo5E+pv1ufoXLgfu/zwciYoTE744vFZmPRyJJLq8nFTPKLMe -jkSjvo6/ogOVWQ9HovVrs04KyyuzHo5EVT78E+KflFgPRyKb/vtNaeVKrIcj -UVJpeqN9nBLr4UhUZvu6OFVDifVwJDo77rJKbFKR9XAkEss0kea7pch6OBIV -FfcrBaUpsh6OROca1PgXWSqyHg5/H36lVB1BRdbDkeh7da5JXdcy1sPh+igT -EswrWMZ6OBJxD0sXPAlcxno4Eq3wlj4fr7yM9XC4PkizD3GjCqyHw+/z7AWJ -R/UKrIfD33uX5sT+dAXw+c/DkWjm8XWdClsF1sORSGfo5XGl+QqshyPRKmf5 -Z7MH5FkPR6L946OFRJk86+FI5Po2rbY3WZ71cCRqzxrpfLxGnvVwJFKuW14k -zyfPejgSZRzlJ3u6l7IejkTbO9dEfDy3lPVwJJKJt293ilvKejj8/haVtC80 -WMp6OFxv/zJkjXmWsh6ORKVPBPbc7ZZjPRyJUicNb5wplmM9HIlitpFhvYly -rIcjkaZ079oYfPpkPByJEjwPyHoLy7EejkTeSTsKz7+RZT0cfn87fqZYVcmy -Ho5E5+MUgix2yLIejkS2CwQWnl4ny3o4EtUQh9zsl8iyHo5E/cbvj9YOyLAe -jkQ7J8/6b06XYT0cifZo2W4YVZBhPRyut/o8C6mOJayHw/efOWg4HreE9XAk -ejns3bpVbAnr4UjUeeqt7ZWb0qyHI1HEUx7DE4HSrIcjUbyx0iw1XmnWw5Ho -go0dd9zlxayHI5H5P7jm7bWY9XD4equytk5wLmY9HIlyj/oh/apFrIcj0edO -RC73XMR6OBJ1JQcltM5exHo4ElmaXKnivbyQ9XB4vYq9EZn0Xch6OBLN5mjn -OsC/kPVwJHqQNJ/uqJdiPRzuXytl9GsipFgPRyKNTbPWGi6QYj0ciY6KHjgQ -1ybJejgSmYY5hVlslmQ9HImW7yo0al4uyXo4ErmZJW15/2oB6+FI5OKSn1GW -s4D1cCQ6bJt1Z57pAtbDkejh7Z1HFk1KgNZ/Ho5Ecc4bItorJFgPRyLBrsXn -JAIlWA9Hor41H4q5JSRYD0cipaHJ7qMPxVkPRyK+aKPCll3irIcj0eS4p3ee -oTjr4UhULlu1cer7fNbD4f6q4e7KUzWf9XAk0hZZ6VEdPp/1cCSaSnwwNCY7 -n/Vw+Pr96d7PXomxHo5EH1qD5OyOi7EeDq+HOr2TQS5irIcjUYltnpLIPDHW -w5FoPLlO1fuRKOvhSPQkrYTXaL8o6+FIlBetJHvdRpT1cCQaWHD7eRuvKOvh -SLTuXUlmYrsI6+FIdCTuzZGaPSKw9z8PRyInJ8XgTBsR1sPh9eM125HiF2E9 -HH7e1pbbIw/nsR4Of599RwRSD81jPRyJlgaWF5Q4z2M9HIliZbrafSXmsR6O -RIHE+JN11+ayHg6vV+G8l1wwl/VwJDqdP8f3TYcw6+FIVBm0ROSFmzDr4XA/ -tvjeSg4JwbP/PBz+3nvvz5FPEmI9HIl0TwTdWT9LiPVwuD52nD3+LleQ9XD4 -e1fet4tcKsh6OBLtfbL/OM81AdbD4e/PIWdxx1qA9XAkapZ0fb3vDT/r4Uj0 -ouK6XmwKP+vhcL0ebxAO4ueHt/95OBJtXuA1P7KYj/VwJFIXapxJNeRjPRyu -p8BnWaU9vKyHw+/3vHzAm0Re1sORaIFu5xpZIV7Ww5FINCa4N76Ch/VwuB4c -BQfbrHlYD4fft06UgMrwHNbD4flj5KTg0T1zWA+H6yHF7BiXyhzWw5Fo7YtM -760PuUHpPw9HohQVDoGJBG7Ww+H+XfjSM3E+N+vhSHQnvW6CvMnFejgS9W6v -zokJ5GI9HImijsa/+MzDxXo43A88F2wLujyb9XC4nuesU+31ms16ODz/lGvn -W3HNZj0cni+M14fX1nCyHo5EBR817cR8OVkPR6JTlOT3GD5O1sORyEDckafh -Bgfr4UiUVrhL+184B+vhSBScNLhMYwEH6+FIZOItnuXRPov1cCRKFnWZids6 -i/VwJHq83s4wZcUs1sORqNA47v6D5hmC8XAkWml4GPaqzRCMh8P9jW+75uIT -08SO/zwciQzNS6h07mmC8XAkajHY13xj/RTBeDgSWRjW/W34+I9gPByJ/N9W -fNrj+Y9gPByJRtxnP1ny6C/BeDg8D9W5zWwx+0swHo5EIRqmd4/f+kMwHo5E -N7IS/Tas/kMwHo5EHiq5i+bV/iYYD0eiN+c8TcNW/iYYD4frvW3vguSqXwTj -4fC8Kj3yVmvlL4LxcLgeRJzell7+STAeDr8v2yOeD7R/EoyHw783fuxgYcMk -wXg4Enly2SJx00mC8XB4nsorWaH74AfBeDi8f84tXzDl9oNgPByJNl0IvRU6 -NEEwHg7PM4m0W0ziBPH8Pw+H+8PzWHnhWRME4+Fw/8QndNu87wTj4Uj0d2qO -mbTCd4LxcHi+3HGBN7N+nGA8HIneFxgMb7MfJxgPh+fXCEUpzk9jBOPh8PuZ -7nkmljpGMB4O96uWFqtqqTGC8XAkyq/bVPP42ijBeDgS+VVnGyW7jBKMhyPR -252rzNQ+0gTj4fB66Loqm6BIE4yHw/3zrVxKaTRFMB6ORHJmC04+qSUJxsOR -aK6l6/d3f0cIxsPh50u88bLPeoRgPBzuJ4uhovrEN4LxcHj9CvM3+H37SjAe -jkRNXJ6Bg8ZfCcbDkYiy2zemXvCFYDwcnh+8FJpsJoYJxsPh/cXl4eJlzsME -4+Hw+qxd6377ymeC8XB4nrt/6KagxGeC8XD4e3hX5Alt/0QwHg7PE4ZdSg0f -PhKMh8Pz1rOQFkHHjwTj4fD3vPKufOb2B4LxcHj9rv6x5ODKDwTj4Ui0bU5C -aE3Re8LtPw+H+3m9VUPggvcE4+Fwv/M+5194aIhgPByer/haDnrxDxGMhyPR -oV3yGfnZ7wjGw5Go9lbAehuedwTj4fB6izUuTNg7SDAeDu+f5TdVOYUHCcbD -kciOfFE7ufwtwXg4Es0qNy+rMBogGA9HovDLNQXzXd8QjIcj0fNN4e+UE18T -jIfD3/O9WO+r3FcE4+FINB3b9Fju+kuC8XAkcrd6Lkm/7ScYD4f/PlOMU0+4 -n2A8HJ6XDMqfjxr3EYyHI9GjkiXPhTb3EoyHw/OnfZDprroXBOPh8PlFvSXA -4nsPwXg4Esn2/w2z1u0hKv/zcPj8cmj5gbTUboLxcCQS3tTFPfTgOcF4OBLt -u/KRM2jxc4LxcHje29NYM5bYRTAejkQ/shet3tPxjGA8HH7eUq16ScVnBOPh -8P4Sf977eNZTgvFwJEqXG10/2vOEYDwc3j80/T74X3xMMB6ORGHC0RrBOx8R -jIfDf//xRcaQ30Pi+38eDtefv+2vNvMHBOPhcD28dqn4o9lJMB6ORDxNcRXB -yh0E4+Fw/62e4RxWaCcYD0eiWz2BN5KV2wjGw+H7V3vZ8lXzPsF4OLy/3yqT -1jO/RzAejkR038wVU99WgvFwJBJJEYgZ39pCcPzn4fD7Wze+bNW5ZoLxcLif -W/Y1Dj64SzAeDs+n+YMc9FQTwXg4fB4UVW8y0WkiGA+HzzvvBjalf7lDMB4O -z9dChaGlybcJxsPh+xGfXdfK00gwHg6fj8tP3i0rayAYD4f7F8wMLnW7STAe -Ds+/+SMunEL1BOPh8H6kauIg8fQ6YfOfhyPR107OPyvOXCMYD4friyMkTnLT -VYLxcPh8XNRytcS7jmA8HO4fJrceFVlfIRgPh+fBeqmyAeNagvFweJ4/M7JM -xewywXg4Elnl8OhePFNNMB6ORJx7vp1x7LxEMB4O30+j9Y9R3kqC8XB4v1LN -yFXwLicYD4fnMaPzlXtulxKMhyPR61uR4Q2aFwnGw+H9vdvmnvvNEoLxcPh6 -Qum1fxzPE4yHI5G8rfhv099FBOPh8Pm0fcj+Ye05gvFweL1YWeeHT5whGA9H -ouPXJp8pl5wiGA+H+3PtDwnJ5JME4+Hw/s/nNxbrd4I4+5+HI9GXapv6gLBj -BOPhcL8cpQ8o7z1KMB6ORM8eV3nPtB0mGA+H5/lQ6d5FcnkE4+Hw+pi054pR -OkQwHg6/nwNqdjzEAYLxcCRSrHWs2Zq5l2A8HL7/2dYjmr+zCMbD4fk809zm -9MlMgvFweH1yuAUoRmQQjIcj0XfVvkhDvzSC8XC43j8f0cqd3E4wHg73/523 -dBNTtxCMh8Pr42OgH+m0kWA8HImyZr91eBOdQjAejkQXn/B/nt+WSDAeDp/n -/KMdnt6PJRgPh+vJ7/Vu1y2RBOPh8PcKaF3lczaUYDwcieYnaYVnawYRP/7z -cCR6al1p+MPTl2A8HD6/nxrWLhfyIBgPR6LW+TJrUzY6E4yHw/37TcnF5/PW -EYyHw/MKd3Y3v4wlwXg4vN4CVT6demZM/A8tuXX0 + 0``26.49226731311519}}, CompressedData[" +1:eJw0mnc4l9//x420aFBRCSkjo9DS4mVGEpKkFBFaEskoihIhIkRlRDbJ3uPY +e++9qXDf95t2Sb/z/Vz37y/X48L7fd/nvM7r9TzX9RA0va1rzsLExGTIzcT0 +v5/PfJgFv7MQSHrZ1NxNnQ3w/yzLvDj9P741tbm3hpVA49nJ3Ncv8YC2vLTf +62UECp+0569p5AWZELXjN9kI9G1G9/YR7e2wgWH099hyAg3WabyW/rwDvqnb +Z61dQaCKMkNHuSxh6I3yvTmKWc9V3c4gbRcU/I7ZkbGSQJecLn13HJKAsDNF +fW6rCMRfYm0cfFQKHr7v8D+7mkBnBxgr9cxl4DLbrJooO4EyHmnm6KruAyUj +ln8/McP2ZdPlcgdAKHdLTj0HgbpmNqpGasnC8vUyt8LWEGjCRMFl2uEwfLqm +LmS1lkD6hzngRf5RqC8zHoB1BHKH8t1hG+QhZatDAOd6Am3ZkXePWVkB/Gyf +n5jAXPnPNvSypyLYNMYyZXMS6LXziNbnPiU4I1yc68FFoFxZUYvXsipw4GGn +lcEGAm1925dhFaUKPD2zwuIbCXRgYprtKo8a/JJiHfqD2XcmUsr1tToMeG4N +atpEoAuqhf9yRTWgeEzm5FtuvB+CJ1U5yk/C2yMnWGx4CJR6rKb5/rVT8Djw +cr7SZgJJDay4wcyrDWZzDtYbt+D3v7hzodlSB46r+olOYz75cB2bqO5p2BUR +N5y7lUBZIdUdT+V0YfWP4pdevATSCv6hzNhzBua0uzQNt+H1ZGc5aiyiB80J +c6y7+QgkJPo9vGPnWUhjXla4hNktNei0pqg+BFzgvdPKj9/nr9aRWulzcDdz +r9g7AbxeX48fO65gAOc4NEZttxPo/l+to1Vnz8Nhc5MQVUECrWCZ2apicwF4 +Sxy1eHYQqOXKyZKyAEP4y+3P9hmz7E/9lXIFF2HkdnxRwU4CMbFEDWR9vARl +tSW2PkIEUpKw2rqL1xiiBbvFjYQJVITOd2iIXwb3+8SYlAiB0F52maW6y3C1 +Y9lrZlECtWt+D06xNIETktt0OjAPbIiwM+AyBUn3fStid+H9zrgkt1RgCmuH +NUrsxQikaXfNKMLiCjAOmtqpi+P3ralTP7TJDNr97klulSCQhbS5ZUO1GWR9 +8p+Yxbxd5/uhc07mEKyY8KZYkkCjg+v5B/ZagOMbdNpvN4Gm3m5/pk9YwIUv +3StN9hAo6M51qjbpKhzTJNFeKfz5R1cUyNy8BvyxbA7LpPHvZ4nz/nuuA/PS +tj3dmNmdPstNfr0OE/r7p+JlCBQxHd4pWXIDqlJPht3bS6Bgyac+171vQvzK +K2dO7sPn88SvjtfnLcHL5P5qvv0EOii7fUuxxC24WfCijMQsOv2vrp3JCk5t +SHQsPUAgdfm7ev29ViBlWSoVcBDXy1YZkY7M28BZ1TN9RZZA/jtM9IfVreEL +HxV+4BCu18Iq8ZP51tBtv/zsisMEeio2WS0lYQN5LXwcfZiRzPGxZxE28GbX +gYqkIwQafmYibbzhDjg/0rzvfJRA3qMhNxK97oBR/xUZrWME+lFz8PgNZltQ +2Of0SUCOQE9qHe5F3beFHT4Bb+cxa5x1LdD6ZgvLphL1K+QJlN1ogmxs7sK0 +XNmal0AgPoct8qupu1Ab3FtpoUAgI3f/OR4rO0imKKdDigSyXhfm+oa0A1/1 +FftWKxHoH9ff1BfW9nA7in9mADP7ncvSf7/Yw+nfB6JSlAn0YN4od9DRAfad +OWXgokKgGtkHv6X+OcCm92brTqsS6GGDROp3D0f4scy5esdxAv3hrPGWXn8P ++i4FPviK+cupEKWhN/egMCdpf7Ua7l8Rrc8WRe5D+Lry2RB1XO9/AnifZ90H +12t9766fINCrwzNxL5SdwLSMcf6oBoFmmJvHl3c6gcrWlZxrThIor0vwCWHu +DCK2ArXDmDezZ4PqL2dY2XjQJU2TQJ8alOrXPH8AM0JaBx+fIpDVxju9x4Ue +QuMDc+KMFoFYMrr4GYUP4UO3c4ywNoHSFOdOrzrrAv5SQYY/MI/s2S4SQLnA +Hc9krjodAi32TohWqbrC2bHyujenCeRxNaDMMcgVZI/0u1rqEkghaW/BxIQr +bAmcl5U/g8+TZdss2/5H8Gd2JbVOj0C9RjbSI08ewZDK9rgxzGNc7Ka3ux8B +Cpe9lHkWr8eSz4WcXY8h6rvWRnd9XA8OA18ynR6Dm7ZFg/45XH+5rSuutTwG +84QHj3cZEIit+5h1+043UGN+efg3ZtnGqeVfHN1A/MJ7RsN5AvnZPI2ta3YD +jsyK+PALuD84dwjrCz8Bkn3A6LYhga642pi8cn4CrWYLmxQv4t9TSzL+nU8g +o3hVE9clAhVyr7OR2+0OQdyCTyYxh26X+PnWwx3sbx86mmNEIJKzPTJ31B0M +arUXnhoTyLzeUeXJUQ84Ing18fxlAsWyehexhXjAtvsPL0uYEOi9jsX40S8e +sNT+kucvZhE+Mz8RnacwJpHS3GxKoMb3LWFVKU+h4kmle+QV3L+2zk5s5vCE +2KGBY3fMCDRkQMptv+kJTw9++aJsTqD9UiueDNR7wnW/1cmbLAh0/Oh5Pw0J +Lzj5SdD0I2Zb6u+hWz5esFvx8Jb8qwQ6F7DsgjLpBeve6LR6X8PfL3+noVHH +G+YXrj69eJ1Aq0cVLVZleUPHSRf5PTfw+ZU1Y/3F8wxyYoK//cPc1YWcQp2f +wau/Ke/bbhJIhU8mgTH2DO7rV12JtsTzTsHb5KeaD1xMHdxqd4tAd5QCXTM+ ++ID8yq9tx60IFPN11TA/ty9sN2H32nybQO9+ROnKPfQFloIdCjOYu/OX5a75 +6AuTXEd+FFoTaI1D24SfznOovnn6g68NgTpkw9MrCp5DQuU1c+M7uJ5aF34m +CPvBMz7XbTK2eF6P6wbACz+4ZR/SwXKXQDY9+/Sf/vUD7ZYP3p2YWxZc1yoc +8AeZXdWKcXYEWubhN7LR0h82PBr66WBPIAcvm9VK0f7wre9r6gkHAvlUnXKq +6feHnr0cV3kdcT+dVuWP5XoB+c928hOY/ZztR/o1XkDo5JGuknsEqvv9Pema +2wt4IKfr43+fQHG5fZZaRS/gcvB1ZVMnvF5zEpw+316AEuX6e58zgQ5nfXMT +lAoAIfVX6WwPCKS45kj62usBsDwq9VoP5u/d35+ciQ6AT7+qBRIf4v7XLjRL +DQVAve5w930XnIfe5bZMbA6E98nffDVdCTRXF84vpRcIz5etUeV/RCDtlNKK +Nr9AsL4ktEhhXvFiWXJDQyDo5hzNLHtMoMzX6qXbVgbBgXVnbgS6Eai8wYKq +VAkCnms3BM2f4HnAvl+o8lEQ/Cp91HvQnUA8vK4aW1EQDGx57bfSg0A54Zu0 +ahaDoPhO2vF+zF0bCrY2HHkJbxtq/iY/xfs9uDtM+N5LeCQ0kvXAk0A3D0iW +dOe+hCsPvt/U9sLve/im5fD3l6DavWanoDeBqkZDA44dDAZRKeH+BcxtzDe2 +zdsFw2rPYy8qn+Hzre387U92MMyNnlEP9iGQncHdVZe+B0Pz4Zv/rvrifu5F +Km+UDYG0gMc5h58TSFL8sb+gYwgEzL6+xe5HoMdGZf1P8kPgrkq60BDmQeHD +a+X/hIB+eO3AB3+8fhdsuE/JvYJD30cCXF8Q6Hrm3HC6yyvYqv3jhG4AXh+0 +64xl+StYjF/LLBSI/38m3MiZ7TWMMInkfcPcdA/Njam/hrLzcrdrggj04tmf +T2E+ryE6Q0/k9UsCNWwulE9pfQ3u7JZDN4IJdO+LSR/Xpjdw1cwt6FgIzl/V +WjFN59/AieI3J9e+ItBV7gHvoYg3IMGdwTKKmQMMHZUm38Ca23X56a8JdM2O +32BRLBSomlFrtze4vqqtNrFbh0Lb9p+iZ0MJJMH9ItQqJxSy7q0bEQnD+ylY +1SXyNxSC20WCf2K+d181dZ9KGDhKyJ+qD8f50kdtw8tnYXDhydllYRH/y4N/ +5jQ6wuDYkGXhrbc4P2T6iJ7lDQf+g0/uQCSBbjRv/5B5JRyY/ELFOKNwv0zq +vWnxPhzGP2aMjmMmx1pO3voWDpUK9SFZ7wjkMr7tcK18BMS9HtPyiMbzldHK +7+AZAV4LP9kMYgi0cefPj/faI+DmyfXFYrF4vl177dK67S2cihG9+wez7eOc +1vtX34LUX3mJpjicH9g0qx0z3gKnvv54RDyebxdPn6z/+xa+fLj12joB9yOT +i7G5QpHQtcJdRykR55nY3J5MjUjIvRy2YmMSgVbe3JPRZx0Jr/MzS6Yws63d +Ky4WEglOXA12uckEKtCVOfCuOBKMbo5Ler3H/cPiVa3KZCQoVP6auJBCoF+j +rX3r2aNgBx9nqOQHAvWXKhot3xsFy+x36S5hHrfSPil8Pgqmm2FVayrO60WG +wbdco6BW9FxpVBruj+NV+0fioyDJ1crBNh3Xv9sM7/2WKPDpc9+jmkGgN0k7 +FeV/RIHV3vAp7kyct+pqX0sIvAOdZ1lhnzAbFu7hVVJ7B/smG84UZBEoujy+ +4NHtd7BJbmK1TzaBPnq53ZoJeQc/Xv4uu5SD93fnOjHn0nfQR3Lek8olUP3K +l+MHP7+DQjUxaeY8ArnyXvLbyhUN4ZEKH9sx88e8FxI9Gg0uv85FxOTjea9b +HXLRLBpMdG+ftS/A+y03M1TgGw3KyR4c6oUEKp04O6eYGw3CyyIqthThPCSm +kjc/Gg0rL2Xfn8UcfYE4WL86BmayG2WKi/+XD59eatgfA41rJz89LyHQulQV +wW9GMfDh6p+3lxHOkzf0HdS8YsC/lOvc3lI8TxVn9MozY+DOFvG1y8oItNtV +ItV8OAb07ihWdWHe1KvkJLMqFg42GDjHl+N5aKL/QXh/LGwWst53rwI//2sv +OSXjWPjt/HRGoxL3T7O/fE+9Y2GwKyJqWxXOm6tbVb5mxwLak2NAYl55jC/e +aywWop42rSutJtAH9qXDamviwG10svpFDYHOf/FckDwcB+aHFx9cqcXzva2t +6oh5HKgFbDhwoI5AxjOfE6xfxIHYrPjc8noC7Zoe8W8ujgN2FaXoXszh7eiO +3kwcEGHnLyQ14P66I1CZhSceWr5Zczo34vyXfPlnj3I8ZGh51p5qwve7JEmP +Lut4CIp/6yLQjD8f/Rz7HR4P9ky5B+cxM5MVTOoN8WBwvpkob8Hf99a7p+hn +PBzJmIoJaiXQs7fHDc+LJMA29r+GFm34/iFAufLpJcDSlY0bDrXj85TlKLfq +cQKMFknUr+ogEKXf5b4tLQHKNyk/GsB83PSr1rnhBIixunAopROvR3C5bz5H +InjU2FAPuwh0l0dcVvloIlzf7hWn043vjze5FL5eT4ST9yIv7eghkLzz5fDG +V4mwuz1341fMTiHflRtrEmGdREtDVS+e37eydn35ngjzbtOPQ/rw/YnTQUFR +JAk6Bv8evt5PIK5fm11zziZB9oFN80cGCLRvyWZQ1z0JQp5LJnAM4s+/eE6D +OzsJ7n1UNh7G7JkeWsI0lQSGCobcaUM4Py+t3cO1KRnkX99pejSM53P8Q18N +1WTYvuD15MwIfl6b7LZEu2RgORl1VHgU76ebEyUVlwyT0XkL3zEnXgseGOxO +hurFlsTaMXy/5ajzTlvxHhLOfrz8Zhzft4s65pMOvQfvD0s8lhM4r+66y9Z4 +/T1YruBukZsk0F8T84INoe9B6/Juj3VT+D4yaMz2uPE9SOeryI1h3mS5ZYB7 +6T1s4Lr4NWMa52k1Vel2qRT4dsM2+clHXK9sQb8yTVKgp8LbVP8TgWTeZkoU +BaZA/rZ3W3Z9xvndSrtwpioFQu3yW39h/rRnfTj8TIEHza1PG2bw+/8JrSoQ +/wDGop/kw2fx3+sGi1289AEUXf99s5oj0CrOyOKd/h9gZx93igKB+7XdOXvO +ig/AtnePGReJ91/AQF34+wf45K3KO4l5+9qju4zFUqF+4mJ7NkWgE++CV6OL +qfD+2F2vpwwCXQ5bmlDxT4XnL58pnJ8n0Bm+ZYmMilSwJt/9EF/A8yrugFb5 +j1TQVSv4sIj5mM+6ycFNabA/ss28+QuBovpj3Gel0oD716dtkV8J9HUt//I1 +Gmnw8zRTp803AtWKFRsrmqVBfxLPM+XvOJ8NlPt4PkyDYlYppU0/CJTxxOrp ++Ks0eHvx+K9pzIoHlk5oZ6bBo+xLaXk/8XxM+dDc3JQGV9baXfX+hc/fxcJ1 +Jp/SQPWqD//F3/h8mxitWM6aDqKl0V27/xCI8aw1tZgvHVZtKfT5h9n2wglW +z0PpMGvTrty2SKC1f5b+mJ1Jh6b6z7/f/cXn4aRIkJ5VOqTuZM64u4TzUe9C +lb5XOgQ4b75+/B+BekaCn92MSYe7XVLbNzOR6Kmm8kgASgf9PWo9nzGfLRAt +bOxPh0NPjZ4XMpNo+d07W7Z8T4eto3aqviwkSrLV+erAmQGLh3wXjVhJFGxK +qH6WzIDhFzGZ0stIdO617cpb6hlQOlN4g4UN/70Ax0FWswx4p9wh2InZ5v1w +bbJLBjwJm+mNXU6i5FjOQovQDLj6jdnfYQWJbm6vX74/NwNOaG1RO7GSRM2j +EnEbOjJAIl56aesqElHnzweyURmwhkk9ew7zZIpt7Sr2TKAMjC1LVpMoNeUN +bBfNhLZ0+53+7CQ61De3oK6cCZmrn/ebcJBIyfjpoJtxJry8Evti3xoSWfB5 +fG91ygSHoiJ1trX4fSNZj0q/yoTzmzr/dWNW2bElJiorE45ZzeYkrCPRX99h +CeG2TOCvYbG6v55EJU43GguITGDavlVYk5NEBXvbXUxXZ8G4o8wgHxeJqhPE +FLaJZkFlm3oghfnkY7cVn5SzIE78skbZBhIpcM23VF3OAk83B+bAjSQSvvA4 +MPtBFtwYfJ5ntolEX1cd18x9kwWaB+JuH+QmEb/ria/1uVmw53mxyEoeErnW +vXJndGbB+o+dQ32YjaPkf4suZMEXmAtK3kyiCzYqmjbrsqHrFavmgy0kMj+d +YdcomQ2581tZtbeSqHKFn/VhjWx4rbG3YDsviZZWjh7Mu5oNTtEnbBYw9zkk +lqu7Z8Olxcu7KreRSEeYXDP7LhvgrOPISz4S+fincr0tzQbBD37BV/lJNDJN +1JsNZwPrivhThwVIlMuaIH1sMRumjUuWsW8nUbj1gJzw1hyozesqHMS8y/zJ +nMChHEjiJO58ECTR7+rwg5L6OeBzY5m46w4S5YgJcmvczQGrCt6x0zvx75uX +u98LyAGdbfte7RTC9Ran+qAgLQf22mlof8OsdarjJ0dLDmxsNlleI4zXd13M +vDWRA99F7hW/EiGR7VSSyTR7LvS6+N+9IUoiZoc+pVviuVDQGy9xbBeJujdv +92M7kQvhMmh8jRiu36ZbCqlXc8HFu/v1COYfqmk6NzxywWSC0EkXJ9H3kY78 +g7G5oHyMbaWbBIlC4ivsNlbmgvDLbUhPkkT39a8/ZJ3IhRXkPnuR3ST6fCal +iZUlDz4fP7n7J+a2LPtLmwTzoOGt6WTdHhKVHk0WPaSQByk/74WGSpGo10BK +xNI4D/xPv9C9JY3Pg9i0bvrDPLiTlLAKZEg0cS89fkVEHuixlpau30uioTIb +/tvFeXDwYo/DOGbmXcvTPw7mweZsck/WPhKtd9U8b7OYB7/XLJ92308iuZOC +nBzb8mHQgi/83AESiecZt+cezYcStF9P7CD+/HdjwXcN8yFysyb7H8w9QS4G +Sk758NjmSnmjLInaK9dzCobmg1n9/XsRh0j0i/tmwfrCfFDbGSBtfRjX/7Yr +WpwD+SDmnPhR8QiJ/m1srN7xJx/Yu0ojNhwlUcasA58qbwEQu3vPTmE+cERW +0/FoAbR4UBy5x0i0Ra1Ps8iwANJHlld6ypFIbLnkFk7nAgg8xO90QZ5EVzcv +JtmHFYDdiwN7JYFErI0bF+eKCuDcjObnv5gvbFNYfneoAI4om0W2KJCITD9e +wb5UANvCnM5FKZLIbJySzOIvhKWvAWttlfB5SmOWuwWFMHoqqUpFmUS8jQrE +wcuFUB5X5sytgus1wFqW81EhxPzr3fcJcyzHmU2LUYXgYcCYyVclUdRCguuP +8kK4lr7i3bPjJJJKkLFlnSwEjdUC5y+p4eeVT54WYCsCySsH10upk+iKbXun +pkgRrC06VcN0Ar9/hqmst1oRzG80f9iOeZaLe2XvtSLouOV8IEaDRG4XYhUO +ehdBdnXgnN1J3A9/1/THJBdBiEBytJomidg37G/d2VQE9xzLL2w5RaIIq4it +mWQRGLb1cc5iPuNRkKm7vhjkxOdri7RIlOdy5CXL3mIQcFvp+lybREd2t+SV +nykG5kEB2cs6JBJcu2tzoF0xTOyXJWVO4/Ou9eW9bUgxVPtqxbLqkuhOzZC1 +WX4xJEybX+zC/Gop4JL5QDF4w4MN8WdI9FKk2NL+bzFYvgqqd9QjUQM/26sQ +gRLQmk9+pHEWr78tV1+tYglIa1Qc2qZPIt1Lz8RWm5UAV3Q/RWDWKN/oZuhR +Al//zMehcyQq2687UpRQAt16q4xeGOD1+TIlu6ehBPJStm+6ch6/z6y1RypR +AqHLDzXuv0Ci1qyASoX1CB4Ya7stN8TPE/R5dmwvAuM8iyO9mHkfcH0POItA +kfPhfOJFXP9rEgfPOCLYeeNlgtMlEh32V3stFIqAreK98SkjElkteQizlSD4 +yFvJLWCM+9ni0v1vowjq7g40MTALku/qfjOXQnLTwpPyyyQqP/Uz8/GGUvAV +WX0syATPyzsn7nEJl4K1i+AXc1MSGXgacycdLAXd3kNJsldIxMXJ6nlCvRT2 +y+iYrDIj0fapP/WM86XA7X118wDm3fs4B9/eLIWf4w9b3pvjenFdlaf/oBT6 +jwZ7PLTA9RGfZLjJrxSKglLkdK6SKK0/v2IgshQiiMqvgtdI9C7qz1xiRim4 +Hh9M/oL5ef++TpfKUjB9+8W06jqeN/nC9pe6S0H15+qtITdwPdcHtih9KgXR +0zvart0kUQoBQ1K/S2FV0mHPI5YkenhhNlSIowxmWU4Dxy0SVUTorxTkL4Mm +w2vfhzDbRSgKCEuXQWqWS0qqFYn6A28OSSuVwYs1IWaPbpPo0bpAUNErA1uL +D7xnrEmk/NNOydiiDM6iqnYhGzyvn7WNP3IsA9nNQ17fMROGpnzvvctgq81X +hdo7eB6cmSSHwspgsY7952tbEt3I5NLjSS2D4R07U2/eJZHH+oQTBmVlUOp0 +xELODueFc0aNkR1l8K7zNN86exKZNJK9jKkyeLL7eucoZqEVXJbqP8vAwsP1 +WYYDXq8fLo/jV5eD+kiI0hNHXD8T1Oa1fOUgfij119l7JGK7ulnSWaocOF5U +p4nex3nhbmrevGI5kJ+Hrv7CTJ25UWilVw5tSt/4G5wwx/yV/mJRDpmhHN1h +ziRyvMjH7XKvHF5+3elr9YBEa6qdr3L5lIPDqaMqCg9JdM+tWTAlohzOx+n+ +4XTB89inUlE7vRyO/rueMYE5RHp95c+KcuAzeHQ925VE1zeYxiZ2l8O/tFfb +nz4ikb70jXGTz+Uwtiqtx+AxibJ7exwEF8uh0rTmubgbifZknTf9tLYC4gqH +VRcx55eGR+QIVoDnxu+LTU9IFKl7WsxnfwXcuLUm6607iR5vFPx3Ta0CNKuF +btp4kEh7Rf62UxcqYI/AsR3KT0l06nHlw0O3KmC945m+jZ64f+8jBSVcK2Ch +9Yb/NOZYvlEW4cAK6BR7rJbnhftNmDK/SFwF5Dx+veTlTaIHxmXWe/Ir4NVA +WrbhM/w+Vsw/5BorwGl/reVuHzzvRZJT9EYq4JLvyM5/mP8cMX9xZ6ECYPp7 +f6sv7q85XREhbJUgCGsD3j0nEcevqPaKzZXA+kr4xF0/nM/yrcR+SFTCFOMY +03F/EkWzjb6VgUqoOaGXy/OCRJcUXu+11a2ExHc3rT5jHt0pN1xoXgnP/jwW +LgwgkW/prbfs9yrBSu/NoE8giSQeNN4x9akEnZT0QKMgEn3i/q1X+rYS9i6v +05B++b88FqwknFkJG41HmVmC8fn3UDz0oroSvuf+yOvAzPr09d5l/ZXQu36d +dWwIiTzzj+15SFRCwXURUYdXJHJ+Viv6j6kKwsrlhtVfkyiutI/36cYqeMh7 +9uXWNyQ6bsuxgntXFVy+a6k5h3n9xsWp5KNVoNTkxloSSqK9N5Sz1bWrQFgk +tMAvDOf7QGebOdMqWOGSYWMSjucDqyJPiH0VfO6p27UvgkSZ7mLR6t5V0CA9 +NrLsLV6vI82czBFVkOL1M7gb86U31cal6VXgN75OKyGSRPV3Er08qqrA5qgo +2/0oXD+fOHzO9FXBmSD5opPvcJ538LgiSlTBAeKsLV80iT4wkjhYmKth8/Fb +4hTmIee1TyY2VsPviCdjpTEk+vhGpbphVzUM/gh9FRBLIs3toy0Fx6qhRCdT +2ywO5+PDtuFpOtUQmVi//GA8iXZc85NIMauGxyzjxSsS8P54VNmnOVaDmeGv +u32YdStjnQp8quF41nrJ5ETcL+LRwYbIati1ZteEcxLOH2vfxYxnVcNqC3ij +lYzzreRAGVNdNcyV6J/e/h7nyWc/vYWHqqGFx2rlAuZujqeLOvPVkG7tjipS +cF77xLXBja0GAuvC7F9+IFFgimhD4ZYasNuRtftqKn4eBR3BP7tr4JxTw+Sh +NBLFDHFzKSrVwOHO8dDV6fj+xcP2xle/Bnh3/9YdxBzTE509eqMG/rpzrv6Q +geeRkZPJEZcaGBneVeaSiee70oY3bwJroFxWwfF0Fs53NguGzAk1EON/Tmpn +NokCpN5GWxXVgMdnq+mvmDm3vL013loD15Q8wqtzcD8XcMi5OFUDGqHheq9y +cf7zSb4/9KsGJL9msd/Iw3l7CeWYra2Ftacay4/m4/2/JXt1YUctMGIn7q0p +wO8vEuTpIVsL7Uu/pUcw32s+xyOoWQtZ57g+pRXi9TVmXV9+uRaC08TePi4i +0ZvdPLev29XCvVWK+nrFJPIa5ZLh8a4FQ1ODNSIleL8d7XQbImpBrvB25Q/M +DaeKW9wza0Fg41OnOoTre8212OO1tcB8K2JvaCm+vxQttKwZqoWJquzPlmUk +ks3tOzkwXwtV/E2R8uU4j6a92pS6vA7iHSbPra8gkV5mkpgXbx14tf5ZO475 +k4D3k+vSdXBTbEN1ZiWJmj6k7dBRrQOtx+IP3Ktwv7wdwiR3oQ6kBxT3n6sm +0UJNzlbp23XAtf/87K4aEknae9wSe1IHX32s3/3GnPP8wbddr+uge+rp+cZa +/HwPuFL3fKiDPPm36yPqSHSUrTD4SEUdvAnJqbldTyJLhbkEzd46cGY0PVRs +wP0hcuu4GVEHRiemDmxoJFEWkS/vxlIPCu8W5yYxn1CXK4znqYedfzbE5DSR +CER59Nol64FNT8LQsxnf1wzy2ViV6uHjeyWuCy34+1hfNh4+Vw91bBfqJFrx +frzbGmtvWQ/JRjaufzG/5Ch4lv+oHnxzPWVb2nA+uTnuzBJSD7fXR5KR7SQK +UmaxO/2+Hk5fz42900Eid6un1nFl9bCvvPmiSieJHPhHbjL31MMm3ukN3F0k +Khy0u2I6Vw8/bf/Wf8S8yTv3TB1zA/Q3bnyc343zgNG6wwd5GqBIWPLwsx48 +72c+rkuUbICIh8qMi734fGxM7hRUagDXngvxe/pwf+Atd4s81wCm0neMmPpJ +dCz45RbhWw2g4uW1qR0z/x3fgNTHDSAyHtkYPYDvR1/Xz8KrBlh5NM/NbpBE +3oUeAt0pDTAT2HJEbYhEPwv0JO9UNEDj3PT85mESvYDRNRv7GiBVdSlhBrMt +4VtaSDbAi4hNl4tGSGRTWqZwfVkj2P6Q5Hk+SiIejhHvbVsb4ayOSrPxGJ6f +TuqhXVKNIJto6C4zTiIjrstWQaqNsIXF9hjrBM5P4k+Zzhs2wp8L3l86MYs7 +8mvttGmEocyopLhJnJ+XeZz54tEIiCPfxHEK57W9fGvqwhrhnXnrZo1p/HlF +Sg4xGY3wpORjC+9HPO+y9J671zaCBc8/DwJz8JM0LcvhRlC35pZHn/D5pTKy +DL42gnjd7m/+n3G/XZtXpLG6CTh2qL43ncH5SY/3itL2JiDvX7yyfxbnJ2/Z +GDjYBK0dtluXz5EosdPCWUmzCTIkn7X1YG5IZvmkYdoEQe7vPBMJElWNX5kw +cGwC++F8cCLxvIsZv2H5vAnOy7Z916RIVHKn+757TBMc9f+Uws/A9wGx4HUx +BU3A9/mfGQOz9R8H4drWJvinyLOtfB7367ttefPTTTD2Zk9H4AKJDjn8qNr+ +twkqvqh6m38hUaqC/ImzG5ohVvOSouxXfN80+yXvJ9YMT2Pv/lz5jUQXW52i +m6EZri89S+3HfLKWx3aDfjNonou2eP+dRFtn+JMuWTbDnrQCvoc/SJQuMa6S +8rgZ1q9q79T+ic/fQDqwvG6GBZPPzwR/4ftk5FDwxdRm6CxgUv6CWeNe1vGi +qmbI2bD5d+Vv3N9LnpwUHGyGV5ZS6cF/SLRWMCzq2UIz3K86fu3aIt6fRDXV +Pytb4CK/kcCRv/g853fstRZoAXkHu272Jbxf7D4WMwdaYHurj+8QZoHMwsHr +mi3AKhajkvoP1y9vygvStAWmHhX+cWWikMnqpEeO91qgpr89Q5eZQsJhfxJW ++LdA4r6Z60IsFDJWm2ENi2uhfTgKiQwUPz9Q3EL7cBS641Ks2tnRQvtwFBLK +lhJ2nGmhfTgKrZRICfJnaqV9OAq5aSjGf2Rvhe//+XAU+vzQXl2Rp5X24SiU +UGBlH76jlfbhKJQbdlJqcXcr7cNR6LX1HptLh1tpH45CV/YcOlKm0kr7cBQq +v+/lKarTSvtwFPoVp3TB37CV9uEoRKa5pfyxaKV9OPw+ypau1++00j4chVqy +eNv6HrTSPhyFylalh2t6tdI+HIXcFzQmy4JaaR+OQucaV0QfjmylfTgKSUax +9WYmt9I+HH5+UdNH0rmttA9HoesVR8JTy1tpH45CD568F5NpbqV9OAp9F+0U +yu5rpX04CsXzNPscnWqlfTgKyd7IMa5ktELkfz4cXu+JhFDtxVbah6NQw0Kp +/NCKNtqHo3A+3aJ2a0Mb7cNRiO9nU8Y//jbah6PQnz0/XYLE22gfjkJMLhnv +JQ620T4chfjzuWWrFNtoH45CSobyfCan2mgfjkL6/kqX/hm00T4chdSlj317 +a9ZG+3AUWluqPKFk3Ub7cBRKtLER/uTURvtwFJISGsr3e9pG+3AUqr8SEXE4 +sI324fB+Xm9sm4poo304Crlse3g6KKmN9uEo5Leunlc1p4324SiU5Fa952dZ +G+3DUajQwsszpamN9uEoNHpml6h5Xxto/OfDUcgmMG+lwFQb7cNRaBWvtsQA +o4324fB++zL5vl5so304/D5HxyQurGynfTgKbRVkW863sZ324SjkOOOyZVyg +nfbhKKRFmZklSrTTPhyFdhyombwj2077cBQas8p/Ja/cTvtw+PkWTz3k0G6n +fTgKTc8FBw5eaAem/3w4Cu35Hdv9waKd9uEodNvXR9XtTjvtw+HPKzIcPv+w +nfbhKKSoKfR2r3c77cNRqPf9d/c1we20D0ehR3/H/Wei2mkfjkIfVv8qrktp +p304Ct3SUmdPzm+nfTj8fYwR++dV7fD1Px+OQuuqm5ds29ppH45Cero7owyH +2mkfjkK/q2YNVT+30z4chez/Hdgt862d9uEo9M973QYB5g7ah6PQ83fu7OvW +dNA+HIVmdsduZN7SQftwFHpffl/ym1AH7cNRSCWG6/SsdAftw+HPq3B0nTjW +QftweL/K8vKG1Dsg6T8fjkK+n4Z+9Ol10D4c3t8DX472Xu6gfTj8vDqsbr2W +HbQPR6GPLzY39Dt20D4c3s+/x7hGnnTQPhyFDh6/rz/l30H7cBTKb+p7SYR1 +0D4chVijzZp/JHTQPhyFLrntXGLN7qB9OArt6+ffyVXWQftwFNpSZnR0R1MH +7cNRqP3fjMr+vg7ah8PnjaNBTn2qg/bhKNS/ermQ0XwH7cNRqLPp3Te7vx20 +D0chtu74NL9VnbQPh/uNLu+Z5E2dtA9HoajtrAO1gp20D4ffp9Hs+KfdnbQP +h+eDn2rgqiOdoPefD0ehbN0kJHm8k/bhKLQ/JaTutG4n7cNR6PwkZ6qjUSft +w+F+M7fNKupGJ+3D4f2xKFrWaN9J+3AUYo/7Yv3zcSftw+H6TahKE/HrpH04 +vJ58B8r1QztpH45C1iYq0Z7xnbQPRyFPl2+nizI7aR8OP/9ejcZ51En7cHg+ +jCuvF2vspH04/PzxE7ymvZ20D0ehUHVxImyyk/bhKKR5ZPPjPkYn7cNRqCsy +pZfnbyftw+Hzrj1DnlvVRftw+HxWN5W/3tRF+3AU+nTonO6QYBftw1Go4ol7 +6I49XbQPR6H1h8+FXT/SRftwFLoWWK+bcbyL9uEoZOk6VLSo20X7cBSqDfXt +VTfuon04CilI90YH3+yifTgK5cgWbJt26KJ9ONxPDaUVZZ900T4chYYXDq7z +9u+ifTgKiS2vfzIc1kX7cBRKPzn1dn9iF3T+58NRyEjsiZFPdhftw1HoclZU +yVRZF+3DUehY+qESheYu2oejUGugsmF4fxftw+G84FIU+Ge6i/bhKPTyeLi5 +4Zcu2oej0IubI81F/7poHw7PYwHnJgGObtqHo1CNiqXxk83dtA+H1+9GwuMZ +oW7ah8P11L7rgK5MN+3D4fl4Y+puoVw37cNRaKCjC0Q0umkfDve7i/OBAfrd +tA+H+88yqdtMV7ppH45Cx++5t9y+3U37cLgetsxmjDp10z4chUK+nOU549lN ++3B4fasKf1cHddM+HIUOLHIaHovqhof/+XB4vXJOHcxM6aZ9OHxey654SxR0 +0z4chTLeqJ+Nre6mfTjcn6wZQds7umkfDs8fAW218JFu2ofD+eKAwQ3euW7a +h6NQKWPpz5uf3bQPh+cBHPzNy9ZD+3A4b5QwTCM4e2gfjkKRxdv37+DvoX04 +ClkdybsZL95D+3C4ficSVuyR7aF9OApt2zLJlqPcQ/twFLr3+YIZ6PTQPhyF +qjcuba+/2EP7cPj8s5cc1r/eQ/tw+LwR3u8n7HpoHw7PCx89hzuPe2gfjkJf +r69+xeLXQ/twOF/khXIEhfbArv98OAoln//RLpLQQ/twFPoxxv65IKuH9uEo +tPpljaZOWQ/tw+H9ubp5cbqph/bh8Dz6N/v1YX8P7cNRKC5d6sDmjz20D0ch +Q4/WgowvPbQPh/vjgUI3LaZe2oej0AWRQd9Zjl7ah8PzwFagx2tLL+3DUcju +k/UlMZFeGP7Ph6PQxX+F/PV7e2kfDs/bqdnNltBL+3D4/DrMnlin2Uv7cBRK +UY1NzTTopX043N+fs6qfN++lfTj8/yqM9Ux3emkfjkJyK8+uTHjYS/twFDqx +h1fy9LNe2ofD86NQ4v6fkF5o/8+HoxCv+W1GXEwv7cPh+R7Y7HMmvZf24Sik +YSxzirmkl/bhKNQW4CKVVt9L+3AUmk2MlLnc00v7cBRaaHM6zTnZS/tw+Hk/ +//CpYPTSPhzuZ4//jNn/7aV9OAr1DVpqSazuo304vL6kTOsodx/tw1FI1FDa +PGRnH3j+58NRKNjpFLu2dB/tw1HIwcCqdIVcH+3D4Xp77/C47EQf7cNRyHTq +lLazfh/tw+H3FewSOnSlj/bhKJQlPc/07XYf7cNR6Fuw+1iGcx/tw1Eo09Ou +0sarj/bhcL+Yi46XCe6jfTgKOV/84rnwro/24fD77jhukZXaR/twFNKVeyjn +UNRH+3D48wwfcByt66N9OFwvI0Jt/7r6aB+OQk+Gz3pVjffRPhyFNvHP7/Wh ++mgfDs9vnp6GM4t9tA9HoYc/Pp7etqqf9uEoJB3KWjG1qZ/24XD+CVzFl7aj +H/b+58PhPCLUd8lJqp/24Si0Qfm0m9qxftqHw3nulc6zjSf6aR+OQs9cs23H +z/bTPhzOmyuNjqSb9tM+HO4fC6JDrrf7aR8On790xvnTzv20D0ch21svP+zw +6qd9OAp9WVro/fqyn/bh8Hz6MtVd866f9uEotNdbIy40tZ/24fC8yllUsS7q +p304PO/5e9NU6/ppHw7ff3jzPvJ299M+HIXuFjh8XBjvp304CgkWzKfUU/20 +D0ehzc+ZDkcv9tM+HN6viw89nFcN0D4c/n4m1SB97gHah8P1xrbnsszOAdqH +o9BgCtcnDukB2ofD9wGiQezzsQHah8P93HLvzuoTA7QPh/uDB397tP4A7cPh ++aRxbf/jKwO0D4f7s/NHNRPrAdqHw/elQNvVig8GaB+OQhvzJ+4Jeg/QPhyF +JhrXBrGEDNA+HIV0TBr0J6MHaB+OQj/xGlanDdA+HK6n/tNNicUDtA+H6/1a +jbNv/QDtw+H7Zb1wo03PAO3D4f3kVsrXnxygfTg8bzfPKx6bH6B9OHzf1llh +tmNpgPbhcH6cN+BbxT5I+3AUqprMv8ngGaR9OApB9V/NXqFB2oej0OOPP0pK +ZQZpH45CBlpOKFF+kPbhKLQz+4Jm4MlB2ofD933va1ceGAzSPhxe3y/2LNfM +B2kfjkJ/q8+JnrkzSPtw+D4aONgi7zJI+3D4/V93/xL3GaR9OJz/2rijeV4P +0j4czpfTt+qWxQ3Cxf98OLy/R97fWMgYpH04/DwTUU9G0SDtw1FoPol/U0vj +IO3D4fsB18KWkr5B2ofDeUF71j9lepD24fA80+xzCP8ySPtwFPJJ9G70ZRqi +fTi830VNPg/XDNE+HK5fP+uc21uHaB8O9+MJBTUT0SHah8P7vYdD7sz+IdqH +w/kp9O1LVcUh2ofD+8Feq3VIa4j24fB9hVvXQsJwiPbhKMSxcnU//7Uh2ofD +/Xy8MJ7Lboj24XB/NN7XtPzxEO3D4fk+e1T9z/Mh2ofD65UUyc0IHaJ9OAr9 +cpQ8OJUwBMv/8+Fw/phKjOnPHqJ9OAp5HZwwbi0fon04PP+vvzOvbhmifTg8 +r6TTM4sGh2gfDufPr71qmZ+HaB8Oz7/ucYGk70O0D0eh1OSQw1Gsw7QPRyEL +ptKAV+uHaR8O9yceITF/vmHah6NQ5eyz30/Fh2kfjkJmkmiZq+wwFP3nw1Go +XsFd1VFlmPbh8N8fjy2wPj1M+3AUWuSaNrluNEz7cBT6I732sOnNYdqHo5Dy +xIScoeMw7cPhet6520rPfZj24fD6RqXWnAoYpn04CplXS6urvR2mfTgKMafZ +EQrvhyHtPx+OQk37lbOP5A/TPhyF1MYvhe+vHoaCGZ71HEwMdOKvV/SejmGQ +CImevYuZ7/Gzql2jwxCmLFUzhNlXSIR5JzEMaxgF744zMxBDm0+P7/cwPAw7 +/jAVs5zo/iKeFSNAqbef38zCQDzuu2W5No7A5W+XDjzCPPanoZxDcATaoj6v +n8Fc39V2ccWeEVDSspvTZWWgkY3MrCxHRyDzN1NtIWZeW97MRbUREIr3iRZa +xkAOpuM3fuiNwMszm118Mf/i4Nm1YDICy5liLnzHXKV3/9Oc1Qg4vJc6aMzG +QJKdjYkfnUbgk0EhZy3m0qHm6+OeI3CBTY2QXs5ARs4KIkMvR6Ahvb32NWZV +27HBnncjcMzIKIZlBQPtkLd51p46AimrZ1xuYhbkTJVqKhoB/lw7w07MzH3n +a2rqRsDvCrOs3EoGEjgqrVPePQJM63254jD7vGTUFE2MgE3RZnLtKgba/M9A +MpcxAuPXYuocMN9QE3VK/zsCZzZJx45idnfi6DvLNgqVZYWuJ1YzUGG83cd2 +9lE4YKV2MQPzq9iUj9pcoxC3tUOWl52BPjUH9DZuHgWeGqMNTzCvvcCaf0Jg +FDxtZ8g5zGwXhp5WC4/CLwH7+rMcDHS7ZElZWXIUbjQyx5VgDj2jOof2jsKA +o+8j0TUM5Ff+3OXY4VHQFN5yyR/zuhd5i3kwCiVtMYd+YY4TjDQ9cHwUpB5K +bzRdy0DpmTvS0jVHIVK8iKrHbHaFb3L3mVHg7FFr2LeOgbrEbv1NPD8Kj906 +4sIwsw2Rv4Uvj8IXKePHbOsZ6LOUy0CUxSiYDc5cssJsnfE7nO/WKHR52h/u +wfyiTU7xte0oHD/AskmBk4G0eoQqN94fhdwxX0YC5oqZZ8L+rqOw6/mWRk4u +BhJbr23G/nQUXh+Jjb+PeWKXhouH7yis/ijtNoHZ84uBHXPQKDgFFhlpbmCg +j+znVJ3fjMIcqB/JxrxxVoD8HjkKl+Y6NvFvZCCbj8+tbOJHofmV8bwH5tz9 +LlWzKaMAqrONFObFiYZ586xRSJu3TzDYxEBlE9oLIwWjIBjB8qQM869/U1Xn +y0YhQOO5sTg3A3GNX7vZUTMKy35sORqIeWl95phm8yjYRcdyL2KWn48Qre4c +hWltmQUzHrw+lcuPwcAonFssamrCnJdcyZc3Ngq1CeqJBzfj/Y7OaJD+NAqH +z3Y+eYuZxSNJJZEchSTmy5dXbmGga2s8ngp+GwXeD7NHbTD3tvAHvv4zCj4X +HHj6MSNFLQtOljH4u5z1i9JWBjLJJv55rhwDq8znzcmYJy90GzGtG4MR461J +G3kZ6LLC2COHTWOgwxHn/gDz8OmPV0neMSjLkzGZxnzzfNk68x1jsNe8+Jj2 +Ngbi/6fmOLBrDKI5T2zOwyxYdybytNQYbCzp/LKdj4GKeIs9ag6MgfuNyy1e +mC8fvigud2wMvnPPJS1gTlFa5Z2hNAbXKhw8DPkZ6NHBsBjRE2PQd5vVtBLz +0vycY5j2GGhs85PbLcBAL6U72Dj1x6CwduuWYMycPiIa7hfHQNIu7usS5ijn +EpVfpmMQLri39ep2Bho1uPPV8voYrG0uTm7FPH52i8Ho7TFwuX/i6WFBBjr6 +1NvqjP0YMES6TN9hXjMSdrDaeQxMOi7Ls+/AvEc09ZDbGLS7zG25izloJ1NP +ktcYKEs6fhvEHH1q6f02/zHI6mVtU93JQPObf0o9Dx4DYXe/9x8wL7RWGP0L +G4NgGV5PHiEGmn4re8g6egxWDMddccU8+lyscDRxDBy998JnzO3ut6d10sbg +88GSrbrCDBR8ciSvNGcMLkyc+F6A+Ze7lox08Rg0+HW17RRhoOr8AK23FWNw +7JhJig/mdo1H69bWj8GHT3Oe3zCzOlN3nFvHQOClo5mRKO6HtzMcZrrHwF9x +mUIN5nUib7cZDI0BM+nHK72LgexdvS9XTYzBnTe8P15hlpE/obR3Zgwmjse3 +M4sxkMhSamkEYwz0vuz9cAPzc/nA/tU/xqDqbYlXB2amSzXP7f+OwUFNDfNj +4gz0MHj74BjrOMT/7FKIxey0wrZMc/U4bI412bZWgoFmkwPkctePg9dp4oc9 +5lPGZ7QFecbh91/HjhHM6YOe37z5xuFm0rJUdUk8T0qWS33dOQ6D+v7e6Zi/ +uUT+vig+DqdYt1ls3c1Ax++LnauSHoeS1HhFN8wBH+8q75YdB6mL+/jmMN8b +O1sSJDcOkSvRT709DGRn8rb6j/I4cGVrdBZjHlcQMjTVGIfHJt2pIlIMtPpw +7v1anXH4usb0mR9mmQlxoT3nxsG8gLD4iflt3mn9wEvj0G1xT8lEmoHSuJbW +/bwyDmob2PjrMXeLs+hcvDEOecj/114Z/D5bpTeVWo+DmOW2rlDMawfPG+10 +GIc3mxPSlu3F/Ub9tLjHg3Fgr9rncwtzB+8nh09u4+Bsg652Y1akKFUN73Eg ++E4qwz4G0pM/EpjsPw5G9d38CZi9zF4ZcYSMQ4u96e/1+3F/Dh+IswwfB4Wd +ZNc9zGnLu240Ro9Desu99HHMImFnEyWSxmGHM5vvyQMMNHB0j4l32jgE7npx +LQszf4zUi08547Csa5sK30GcB2zFDx0vHge7RwkCHpi5JSi96IpxmN69/w+J +mVdca/pf3TgY9KPuc7IMdDWDZ9awdRzqPE5mlGJWmeY1ze0ehyP7enzFDjEQ +USuuwTU0DskjptcDML+S3/jOcmIctvmQKn8we3AkXKv+PA6+h+5vNzvMQBx9 +2WECjHFYmmRbbMQcIbFGzvH7ONx+8aLnwBF8niwfqLcujsOoHF9mBOa06a5i +UdYJOD2T8HzFUQaSrpwKfbhqAsqD99+wxtzN6TzWuW4C9imXqvZhti++6ivO +PQEx1ElBpWMMdCbYIcJl2wRsCutZTMK889T9DZ07JsBD/UrvBjkGEr4rPysq +NgE/vpKZzph3uQQIOUlNwLWo+35TmEMqVEqaDkxA36nlN7XkcV5w3JkrcGwC +NH6/OJ6LuTNncY2N0gQUxvHt2A74vN6LKCtTnwDJM4l/PTG7hHa3cmpPQMS/ +/X3zmD0H7xwxOTsB696XZl1QwPNyUOFvquEEuBpo+ldg/rpt7eYlkwmYX9Z7 +U1KRgWJZErxOXpsA0/Qrai8xh3cM6LyymoCOS9SOJcyyefaWk3cnQGW105KF +EgP9LlAdkHKagOyc5f0tmONrN4XdfzQBIlcCsg8pM5ByWmZK5dMJCFnH/yIK +s9jOubVrn0/AyqJEy9UqDLQp+1mJftAE3Lt2QN0Ws/SgUWHEmwmY2Vi2cxCz +wLzMsunICTAs0/ynoor7t1RbiGT8BDTe6u1PwVyfudLuTsoEyG01y+E+zkCZ +LjFvcjMn4EM19cIF80c76xWL+RMgYOt06xNm+YuHCqB0AvwFVpw4rcZAxgM1 +6Y+rJ4ClMUCoAHP9w5m5ysYJsHXkZ9qpjudt1s0byzsmYFIoaeAZ5i0t3GJq +fRNwtu1A7lfMRcy5ok9HJqD6QVnApRO4n5jxmFdPTYCs+Cmraswa8yyjy+Ym +IKG794SUBj5vHiohSgsTsMXNTPgV5r+TcV4uPyfAW4rBxHwS97e0r9mFSxPw +Z8Bp8Dpmyubn1h/LJsHSc0VeO2b2QOdcGfZJGNofGHhUE7+v6Unvm5yToDXG +fzsG8wiXVEAMzyQg3ySNNadwfsmfbxrkmwTpIwdF7DE7al06tlFoEqKmy5hH +MA+lHh3UEJ8ErsBTQ2paDNQUrv/eVXoS3KAvLw1zZJFDcvbBSfg6axa0RZuB +3IKu9X4+Ngnmrxi3H2P2erEgw6c8Cd0qzidnMReyjuRon5gE9fkVono6uF8I +/DB/pD0J+eGBLMWYP/5hlc84OwniGgLDwqfx/SC4WW7ccBJCvyflP8f8qlnA +jNN0EjiiD778gfnd9dJUuDYJD7TLrS/rMtC59fcEbllNAvnnlGYdZg4drozX +dyfBOKFPdO8ZBnr8Rs+i6v4ktOqZs4Zi/qK68ijDdRIUmeeHWfVw3vD9tmfr +00nISHEusMR8w29SQdl3EnZeWBnchblZJ/z2zcBJCFoeZCN/loGWF3zMD3g9 +CWyZAqfiMfu/esaf/3YS7I2Td63Xx3kpQidsOHYSPrLLLruHuebKkgzr+0kw +yCsfGcPcceXisEjGJNSZaRVqnMPzk2lv5Im8STjC2R+ciTnv4XH7myWT8L7Y +/M42AwaSYjcx9qmcBL4b86fcMS/LUb/wvn4SnnM/ECMxr7KrNG9onYR/5SvZ +zp3H9bsz1PVz9yRY3w4aRZg9HwUmLh+ahDHe7UW7LjDQ3QN3R3ZMTIJubXLI +C8zB9ayC8p8noeKurO1vzHPpK28ZUJOwX7BC64ohvp8pnSi3+TYJsU1a4o2Y +zXOfCXj/mQTu+/1sBy7iebcv2C2KeQqeiliMhWO2RHuI3BVT8LN9vmj5JQYq +UeM1bFozBdddHry6jflJpGDj2IYp6JdYdbcX8zW3FfBtyxSc7A3SVjTC/dE/ +IGPF9ikoerJdIgnzC01P4S0iU7Bb5v3yDcb4fAl9CBaTnIKIIdlxJ8zkjbpl +h/dOwTrviuJJzFXn3lqpHZoC14Par09dxud79bd2PfkpWBjvv5uDue6pn7SJ +yhRc8bPQETBhoPNF+zwsNaag8+iChCdmu/tx7fY6U6D66cGKeczHnd5vdNWf +gpygVRPnTRko2Wj1Sc+LUyCq+LKkHPOJ5Cd3/Uyn4BWx/Y3EFQZ60zzi9/La +FKx6894uCDML32joG6spuH/80Om/mAfaToRE3J2C2YUKSQszvD/rxlyj7k/B +xbfaK1swPx25fi7adQqaTg5MyJrj87U3YUuMxxTI/7RAkZgvjxpXR/tMQWrM +wptVFgx0NkHv4ruAKdh++qH9HcwL0hr9b19NwYu/q3QHMB9buQzCIqaAJenl +bpWrDJQfreoVEjMFtvqCq1Iwu15uz32RNAWTLCmTm67heeB2q847bQr0Uw+V +PsT86lB/0eOcKag2rAz9+D8OGvC/VzQFh1bqOOhcx/e5wP0qt8unIDFrQDcf +8+/NCV1Xaqdgq8nVPTtuMJDB/E/lc81T8GzNl1XPMHu3fvY90TkFi/kPp75g +LtSVyzjSPwW3LFaXXbzJQCcXs1PFR6dgmCs4rArz0GFu9y3TU6CNBB33WOL7 +w+XtMivmpqD0ZsqZEMwGKcEZX+anQGbzYSmmWzj/Hz+6cuTHFLyrrFx9HbPO +kVbpur9TsMFGZ7oNc6sLj0QG6zQ84RssO2KFv/97+7fXq6bhW93V8GjMAVcr +/VzXTYOF/RdHjtsMlOSW8sN80zT07HDRs8OsNKUtqcE7Deotq6WHMQetuyW5 +W3Aa8p2C2dWs8Xywqv6+VnQaxHft+JiKOcmAz5uSnIawzpTyzTYMZMu+b6p5 +7zSseXQ44hFm0dTa5SmHpuHh7qp7M5hZzr4lvOSngerTOXvmDr7f/HB4Za4y +DZc9BqWLMGs5bViuoDENbXuvcQjb4vySsvfAFp1pUBr58tEX86JqsND82WnI +fOZS8R2z89RSe43hNAgdYv8/Gu47Lsf3iwO4PbJCyCYZmUmhxCWSmU2yszOz +ouxQJCTZhUoq0iBJ6KTS1F7a+5n3zXPfZWTkd76e8/vz8/JVz33d13Wuc3zf +r+fBhkP483uNYd42Enaj9qZjMmbLRVXb92+XsDbXdFaOP4z1iC+Zb75Hwo5M +DRl/F3Nz4zJ5j4MSJpMbd2ppj/trq/90yVEJs775QbYLc7l7w8KXJyUsdcaS +hDzMrd67dj97TsKmfCl9MPUI/vfS4ZcXuUpY8L0dxx5jflB1KbqPu4T1n9Ow +sstR7O8P2d+tvSFhVxpOGRzFfHVJwKhn9yTs78MOnaswH9Yq333IR8LsLG/J +5zrg/plZvNYkQMKqG3U+PMcsLlrzsylYwpY9DnnY1xH7tRuDzOOeS1jCUpPj +5zC/XiOffC5Kwoz+frDiMWvkHSo0j5Gwx0+XTFh5DOttX6cBrRIkrNeqss6A +ucOUrI5xKRJ2oZWtYvhxnE+GDvA5mSlhjWENH9wxD44eWWycL2E71532acTc +Iz4isr5Ywkradzyx6YQKTL45GgVXStiCyFur0jB/bZiyYrNEwt5tGmJoeBL3 +64JIrd6chI3tEtrFG/P4w4EH0gUJe/DGRNn6FPaDeVl7Tn+XMM0diYl7Mb9/ +zbcy+CNhZ7SW+hZidt/0bmJ1CykTY8tOTD+tgrHf/zS/1k7KNu+xtQ7CbOO2 +Z+u0zlKW1/urYbczKhgzJHWDoruUWSSe1jyGWRqY/cWzt5RFHujI1WCe991M +a9pAKRsx8HbSAiest3mf0up0pexO2hC/l07//XvIsh5uI6VM42joyQFn8f6t +t/uiry9lx3SnrHbB3NWGX5VnJGVcVqKRCnNRhPNi+ylStu7E0q7W53BeXf4z +r6eZlGXolXPvMet6tq57aSFlrMA2eeR5PG/Pt55atkDKwpy++l3HbF1W6Ptl +iZQNHnfm1G/MtWN7L3S1kjKPko5rtjrj+w6RnBqyTspaXrg9MQNzyNI/E99s +krJDhrrdJrngPPu794ElO6SsrjKUf4DZ6UTjOMkeKVt5eUpKuws4z3is2udw +UMqSjJMe7cd8XFY/toODlE2WLD1djLmD9oU9905K2ROP8jUzL2IOytYbeU7K ++rCdk4Ixd+56edOri1LmpvzarYerCuJe2PeceVXK/tw68/kE5s4188zTPaVs +r3mnVAnmYRUfFCvuSlmF6rb/oku4/wv82pU9kLLF3rpnojC7SR56bfKXsvdz +w9YOdsP938fBX/JEygy+TZnsirl+t2ywbZiU+fkmda/H/CHxiabipZRpLVr2 +Zc1lFdT9PWZn+0bKzv8qT024/N/v6zVdGitl3wJ2Ph5zRQXr+pic3JwoZduX +fztzE/PJlff1ytOk7FMzp3V/MYcPb2ZmlS1lc591Mt5xFfufrn3jMwqkLNr6 +jlY2ZifnJyHmpVI2qs1QlbG7ChbIbVu8rpIyr+dhab6YQy9pvRsllbJOG0wD +OlzD/i10a5kXJ2UnOyQ7HcJseV57U0dRylSvlq0vw9xlnGyu43cp27ilwtjC +A+eDd1evS35LWY7mrh6hmGcZpE5d0kLGZr77pup1He+vk0tnR7eVsQhbp4+n +Mfc/WxMyuJOMDe3ZOVCOObXb5IMu3WTsZtyds0s9sZ/5onFd2UvG2u4buuEN +ZquPPTst7C9jR/uGm+jewOdZ0LMyREfG5EmmPS9jntEqQaPzCBlbfShZ+IrZ +42jxpV1jZCxt0PL09TfxvA7U2pRkIGOm6RWBSZgdNoxyGzxZxp457Dqnfwvn +Jffido5TZWzAsO8b7mD+87ukIGuGjF3NcZrS4jbOt+XyhqFzZKzZqc69dmEe +sjHGxsFSxvaPuivmYr78sHu/tKUyVl04NMP0Dt5XIX46fVfJ2LJz4UH+mAe5 +jjhku07GEvSnnu98F/v1YWu7RG6SsYllyRuPYH67/YfYbIeMBVxcblqJeaHu +q/7z9siY9sTKXnPvqSBQd+mVawdk7GL1rvpwzI5Dzs4sPCJjP698z+jjhf1Z +ekvTvidkbNeUs0/OYi6Mu2y/zknGSqWdnTnMQZ7FgreLjFl63rVZ4Y3zRZJf +cKmbjMVMHzY1BrNerq9/bw8ZG8eHaw+/j/Vg8LWS5bdk7OGdqQ1XMa/pPMLy +ipeMdbVIyfyB+bdiUOMHHxlzEpc/tXmggnY/Dct/PZax+vuVzqmYs/b3/zUu +WMa2zN+9acJDFXw/dn3hpnAZy//+faoX5utGiws9ImXM4tHZ3q19cL+EdvR8 +/0bGXi3u8nUP5uX8mbOfY2VsxJ+7WQWYk6U7HvVOlLG7QcOCma8KnF0dv85I +kzGNlc9dAjHvernv8M4sGTveYtrmrn7Yj5o2H+KeL2N8SMo0R8xeUunvF8Uy +tn7Nij41mA8eLG1eUCFjmW2rvs5/hP2D+y39b7UyNj1id3YE5pTabBcthYyF +b/wR3N9fBZfam7cZ/0XGdDqdu+CMOfjy4yfzG2Ts+usuW778lxvCD25plLFW +2+6xVY9xfSIHbDzWJGOHuw3v+x5zvxn+e91bypkk5vk3vQAVRP744+3XTs6s +dk3L8cD81qCKi+gkZ8m9Up/9wnx6atvVCd3kzDhhxcUtgSr4tGZEbXYvOXti +V7UlHbNB4u+LZf3krG//PdMnBuH9+MR0nnSwnLml/Oj7APPuMQ+HfBkmZ38O +n/ve9gme5x0VWl9HydleHc1cO8zjdj3v16gvZ5UZ90KKMO8zzTb+ZSRni48N +d53xFPfnp/qdv0zkLG74i61PMY80yAlpZHI2IW+amVYwzrMGuq2+mcvZo9Op +/U5gjo/2sVXNlbMeY1b+qMPs8LBFuWyhnDkXVeUufIb7LbxpQ8UyOft+fk/o +K8zdXlh+zl0lZzsMGl0HheB+3xjgkrhOzorKz227iNl6d/zIV5vkbN4lzRki +Zocz1gX+2+XszSSv/mtCVbDNbPBFj91yNrp2eGM85l2zcsxO7Jczb/cXeaPD +sN8eoPt3q72cdZ7Kwm5gPrwiAxYck7NT8tRLTZi/77zgNP60nKlurNy+PRzr +T2F7C63zcmYzo3pGFubCjhrtv16Us5zPewYYP1fB0oPTU3OvyJn5vcZGH8z5 +u7dcCLsuZxGzz+drvMDft2zMjEu35WxYg2b4QcxDHJd+2+wtZ7ceermVYp4x ++pKfia+ctbMcsWNWhAoWhVyZ0yVAzhwaX8wMwQxTtWqrnsqZwp8N7PUS17tr +7qHnYXK2Zmnaz1OYd61yajz9Us4+Nq0skGF+Z1pkZxktZ1OfVocviVRBZeWV +ol4gZyFWey9HYzayWW1QGS9nA1v93DHkFf55rujwOFnO3MPOm7thrpvTJXRn +upw1X9d10FfMlqnbskbnyNmB9t6/1kWpYObasFKuQM5qXo4oTMRs9Mc350mJ +nC3fFPF83Gu8z/y/hm2rlLMPnadfuY05f+4G+0F1cjbxTZpt82gV5BXcHPxJ +LmcB261m7cQcbrQs/PJnOdPWqhmUi1lr3nxds3o5c43d+3vKG5zHRCMH8buc +/dz9s/AR5kXtU0N8fsvZ7t7OLzq9VYHO5pj4hc0VrOxD16v2mMOyUiIbWyvY +wgPeOysw9xjg5+yroWAwQM9izjsV9One3GBuFwXTT4sYHI7Z6uDdV3x3BfM5 +Mv1P7xi8D5qG9nTXVrBuuh8/OWF+u2/1Av3+CnY2yypCiXnlqc+rMgYrWMPx +mqvLAetR1W2TncMUbKvevl3vMK+10FS1HKVgBfk/LYbF4udZ0+HovXEKNtvJ +Wecq5uVp07P1DRUsamy3pu+Y9Uy3/4yfrGB6Jd5FG9+rQHupkbh8qoLdddF7 +mYJ5TIjNqxozBetg+NLdIA77xc++c+wsFOx45fTd9zDfCg199HOegvFuH2e3 +isf+3HVaqtMiBVtvvGrIHsyderSJardcwbLqapryMV+re7vbbZWCmXnsK56W +gPP+ld7STusU7Pm0Xy8DMJ94mDP0so2CDVE6X9P8gH//6f3hGtsUzPNWtz0O +mMOmGCrP7VSw1ub351RjPl8+d9+fvQpmr9LTnZ+Izz/g5vODBxVM6vXy7wvM +uecyX0iPKNiquWYl/ZKwP7kbvN/6uIKlfP0YeR5zO2mNIvm0gpn4rvL4jHmd +MGrAxPMK9nRh7R6rZKzvbIqGz0UF6/dr39xYzP1XJT9rf0XBLgf80tVLwXkb +Lra181CwpmUuzTwwL/1t1DPvpoLta9a99CfmRI+zn4zuKVhl8P1Xm1NVcKed +nuWNBwq2xHrk9Y+Yd9aUHhD9FCyudeReozQVsKAVcywDFczwudm8+5iDKud+ +9A9WsEfr04e2/agChabdn19hCtazg3VzO8y9404WL3qpYC6vaks/YV5wYvQm +n9cK9mOzXZRZugqiP467rnqnYLaav68/wVw1he2eGqdgxW9d9nXPwP7Kqivn +kqhg8227zz+OOShkbdesVAV72+PBsDrMnbILi3tkKtiYuJEtFmZi/7HYeLZ1 +roLd3xtZFonZL27SiruFCtal74zXA7Nw/7692LKoRMFOJ6V7XsCcVVC3uEel +ggkHre0EzJOetTBdVKtgmwbVzV+dje+/7Ga8s0zBcj/aDY/H/KOtteQNp2Dm +Dr9bjM7B+SDrr+9nlYK9HHqh3BNzQpnZjwFfFWxYTvfoP5j9ivJrFzQq2K2T +D25sy1XBuf0Hth/9o2DtRo3an4l57cqMkz7NlcyxMHLB5DycH0wf6iW3VjLl +2RkjfDBfLbu/jWuvZGv1M1pq5KtgwNfThp07K1l6qXXFAcyrzf9cG9NNyaZd +rIsuwWzvnnpiXk8lCzXaf9O8AOuh34NvW/oo2aDq3/ufYS6fN+HX8QFKdu3K +BcuehSrIWW3q6qGjZC2maOmdwjz76X5f/2FKdlD6oJUM89PerjMiRypZ7fVR +lYs/Yb90wdQ2YaySrZj+6s3r/3Il65FloGSJ3IxbOkXYP3aZP6toopJNupNx +4BLm+nY9flSYKFngrNULGzB7Ji4fXTtNyXqLdXrrivH+mvO+sm6Gkrne3986 +EfM8z65adRZK9mven8qxJSoY/ep3QtU8Jdv9/cLbW5hNE4zFkoVKVu6ndbtZ +KfZD+afu5i5VsoWLHx60xTyjo/Pb5JVKFvt71KIczGfdW1q9Wa1k44NejZxS +hs9/LXTH0/VK5rtiZptHmFfONlPd3qRk3VtkVnUsV8HtL/u4s9uU7FzI6neH +MQ/OFtfs3qlkX1dLbpdj3mdyZurSvUq2re2BQ7MrVDDcKeeq0QElK3zxZ1EY +5tlt7y/paa9kczZeHNW7Ep9v/L0zDQ5K9rpjj7ZOmHfYnRmQdULJRr5+WK3A +/HBqu+FBZ5Ts3tbRMcuqVNCyr/TOqfNK1rFb1J23mAuv5x9edlHJTsTMPDy0 +Gu8700uvdS8r2eedmYuvYP7yAjbXuyvZhl5rRn/HvMxn5EHwVLKseEnbjTU4 +n0WelVy4rWRmdgdqkjEPD3N6u8hLyZ73a4oZX4vna1lFffeHSqabcvHuXcy/ +9y+5lO+nZJ6He9i3rMP3IXE/4xmgZG10fJbsxux/c0X+4qdKdiRj9Jh8zF0P +WZ3XCFUymWNUu2kS/HyOC93fP1cy6+HmtY8xGz0XGw5HKllqbiZ0karg1Jjf +4cOjlWzK6TX3jmLe2GFIYsE7JQseLbWvwnzcfqD+2fdK1r/owNJ5MhVc8Q/6 +PPqDkl053zTmBearJQ7N8pKV7O941/b95Crgts/ZcvSjktmV96g7h/nF43Tt +PllKVuXqE8tjjmsW1+91rpItnTTGa6VCBY3Ksr0rCpUsvibqCGDepizq9KVY +yQzdzZeNUKrg2NW9P86XK5m/adbYa5gn2y8f06dayXrK12j8xLxpvvnTp3VK +duGGtG4Th/1r8jc7E7mS/TA7+D4Ns/BS90Qip2Q7Pzd5GfLY/8udMxaplKzk +rutRb8xesws2F9Qr2YLZPZe3+ayCvglpU1Z/V7J39T7j9mHWsxm0ouSnko19 +OKbDJ8xj9C+HWDcp2YMFryXTv2A9X5Jmkd+cY5qN5nFBmLd8u95vYWuOnfHP +8u6mwnly3cOxCe04Ji5Z63AM8/bCoGOTOnJsc5N0eS3mI/G2LQK7cCzvyUF9 +SwHnt2t33vfozrFZVn87RGLeHy++Ot2TY5EtL0kHiCrQl4+tlfXm2PCwnvEu +mBf6t521sD/Hbq/1va/C3OzpxKKwQRxr336so3U9zgMxhx9o6nLM8eXrFXGY +7SJP3twznGNKm1njRzXg/nDo8C5pJMfWds7u6Il5WIuCrgPHciwjeq3sN2bj +NbevHxzPsWnbZfFbv6qgwLej2QdDjoV1P/QgA/Oq9ry21mSODY796zjpmwoy +sut7b5zCMY/dl1Y+xDx6acmMoGkca9m7l0H771hv69d5fDHj2KEPvp0OYDY2 +mNh2wiyO1e0fKy/GbHh/wMODczi2ckB0wswfOG+UZK8Jn8+xpNRZD4MxuzzU +MOYWcmzykexjPRpxP0efnai7lGNBQ9ZZncRs3u7HUusVHOuTJTOQYt58Sufy +pVUcu3T8UOfFP1XQWS+mJnoNx36PaKaIwhw3xHmFdD3H9uRf+jD4lwom+BlV +aW7iWPmZXj6umM+oXM5P2sqxRWP9jtdjtt852WzNDo7FFo9dtfa3Cl6db9H9 ++C6OjXeJnvAB85+PPo139nLMd4JFl7F/sB+tyq6P2M8xrcpsxU3M4x0XNks/ +xLHzbusS/2L+vDW3f/URjn2bLPfZ0YT1+2K/+Q2OHNted+hENua21XXOLU9y +7NO1ZtYmf7FeOykzu5zh2NxpboZ+mDNvynV7n+PIwwnww8br3EAXjjycAOtZ +LK/jypGHE8Dbt+uGIZc58nACZPWyLBzkzpGHEyB75rgVfa9z5OEE2Fd7qLDb +TY48nADBWcVr297hyMMJUP+jf+2Pexx5OAFWbP+1S3qfIw8nQALTr8/x4cjD +CWAZ63D0zSOOPJwAS8xu/HwYwJGHEyDRaPrRs084dvSfhxNgz6jJqk3POPJw +AnRJM7FhYRx5OAHefGqdqv2CIw8nQDNDK70vLznycAKM/MSdiIviyMMJcKr0 +XKLHG448nACOh8qab4zhyMMJ0F4VMXbke448nACpb1LmC/EceTgB5tsUW71M +5MjDCWDm4r/EPoUjDyfAR0E+yfAjRx5OgHCnbe2/ZHDk4QTwMi5OeJzNkYcT +YJVhK9s1eRx5OAF6hvo1dCzkyMMJ4N/q6LY3RRx5OAGeh0x/t62UIw8ngIbF +u++dKzjycAJ8cnqmFVHFkYcToNY0u9vKWo48nAA5u2SqBgnHxv7zcAJsHf7i +mbucIw8nwNsAYbYex5GHE+DGrC0xMZ858nACuDmmdVkicOThBFhbJTWprOfI +wwmgM9Fx2u5vHHk4fN6VM3t//cGRhxNg54xWqY6/OPJwuF/6nlz05w9HHk4A +16EHAo8348nDCVCR5JP7owVPHk6A3m4Zmftb8+ThBNAbGH1P2pYnDyfAwSXD +Jq7W4MnDCdC5V753SkeePBy+3wsXso268OTh8P1Utsi635UnDydAxpk2t1tp +8Uznn4cTQKVaMWJ7T548nABPPr04lajNk4cToLxdjZdOX548nABN9o9PHuvP +k4cTYOm090OyB/Lk4QSY8vCb6xAdnjycACdaabw4oMuThxPgdGPM3ZhhPHk4 +Ae4YFk1vq8eTh8Pz49Hv0YJRPHk4XN+elvFXxvDk4QQw58d6p4/jycMJ0Han +s357A548nADf22kfNzPkycMJELk38KT9RJ48nABJO35PDJzMk4fD/cx/8isw +4cnDCZDXv2VC86k8eTgBHs2YflOP8eThBPiVuaL3QjOePJwAZZvbL9g3kycP +h+uVOGjM5Vk8+/TPwwlgy9m8CZjNk4fD/Vzv8TVmLk8eToAoXcfinPk8eTgB +Bnwv21FjyZOHE0Da4fwD1SKePBy+3/ETT/xcwpOHE6CTTVSz5st58nACrInP +GdFqJU8eToCLwQsaWq7iycMJ8PA+b9NsNU8eToC9c0/YNa7hycMJkO4aP+DL +Op48nABf917YVbWBJw8nQJy+54osG548HK6/SWjJm808eTgBCof4/vbbypOH +w/WMNHp1YTtPHk6A40oDjZ22PHk4ARwUmxpm7+LJwwnAdbx6SGcPTx5OgHel +py827uXJw+Gfa7UwSLfjycMJUOJTutv7AE8eTgBfn+LJOw/x5OFwPbYkek6w +58nDCWC/e+epxiM8eTgBWu679v2NA08eDtd3eZvfjsd48nBYTyZ6Xpp4gicP +J8C0bW38P5/kycMJoLV1xGy/0zx5ODwPig92y5148nACnKl6MqjFOZ48nABt +dvmtCD7Pk4cT4EDpsc7LXHjycPg8sc3mfbvAk4cTYERRU5ubrjx5OAG295tp +buDGk4cTYHDU3WZpl3nycAKEaOaabLzKk4cTwOX9a0Fw58nD4Xny7qd72oMn +DydAUGhKloYnTx5OgAsmV79du8GTh8N69XqKZ49bPHk4AdIqvQNv3ObJw+F/ +733OoNtdnjwcPk9P0L90jycPJ0CH4H6+zbx58nC4n37sPn/gPk8eDu/HnFNF +lQ948nBYz+YM9Z7vw5OHE2C2/5jM5748eTisF3a2e3s+4snD4f22O8jB3p8n +DyeAx62YLzmPefJwAvQY45g5KpAnDydAX/9nvc4E8eThBGiMGJmU84QnD4f9 +w6L40kHBPHk4AST+c612PePJw2H9G+Zu9DyEJw+H5/e0rf3XUJ48nAB1DwK1 +jcJ58nACvAob1Hf/c548HH6egf7Hg17w5OHw591sb1oewZOHw/rRSmdVl0ie +PBz2HzfiMk1f8eThcH9Hgc+2KJ48HN6H/T5/dHvNk4fDehOhszg0micPJ8Cu +FwYjM97w5OEE8HSvt5a/5cnD4X5oPaW8WQxPHk6Au10qXmoBTx5OAJsvLyt0 +Y3nycAJU691cPf49Tx5OgKsXVg41iePZ238eDu/v3hnTWDxPHg7rgX6e//QE +njwc3mfbp1tP+8CTh8PzdU9hNTmRJw8nwMaGJw/HJvHk4XB/l1kbDE7mycMJ +cKV9VgfNFJ48nACPD0lG/cKs9nACLLexv1adypOHw5+/2npKYhpPHg73l5Ht +iMcfefJwAnTsdNrKKZ0nDyfA3LlHk1Zn8OThBBh6d/SRcZk8eTgBZv48srVZ +Fk8eTgAhxOhaOma1hxPg8hyzxpvZPHk4ARS+9l5rc3jycLhf6sIdBuTy5OEE +4M9lXi3FrPZwAgwzCy67mceTh8P9MmLoJst8rGf/PBzuL89e/ZoV8OTh8H7S +t9EIxaz2cNi/ihWjVhfy5OEEaDf4wKnmn3jycPj3F39r6Y9Z7eEE+LZtfpR5 +EU8eDvuRW4vvVWJWezi8L3bUPT1azJOHE6B5qFzWsYQnDyfAn2tTl3hjVns4 +AUa7vq/RK+XJwwkwftPKB88xqz2cALqTypwmlfHk4QRo5TDpchRmtYcToJ/S +4p1ROU8eDs/3I75rKGa1h8P3q9nZVbeCJw+H/e5nV92bmLf983AC5C+bXdmy +kicPh+vxZNjbPZjVHk6AGS86vsrFrPZw2D+fK8s0quLJw2E/73qitSdmtYfD +/vRS9srPmNUeTgBNv5g482qePByej1kj59zCrPZwApxjP+vqMKs9nADbXmnd +06/hycMJsGX0xm1HMKs9nADxR+ItojGrPRyuT/rQKY2Y1R4Oz3/03hmGtTx5 +OKwPI53X7MKs9nACLNy0/Px9zGoPh/dti7iYdMxqDydAgEZUm0bMag8nQPf8 +gWsH1fHk4QRo6FPzbiZmtYcTYEy9cuRmzGoPJ0D/XF3fk5j7/fNwAmhfPapz +E7Paw+HnWZ3zJAiz2sMJ0Cu1v8lrzGoPJ0BylkVmAma1h8N5LN7Y9iNmtYfD +825d0yYLs9rDCXAoSD8gE7Paw+F9OrbL3DTMag8nQIsPu5VxmNUeDueXZ5Mu +R2JWezgBnrmtH/MYs9rDCVBjm5V6DbPawwlg/fz4FgfMag8ngP7kZY1rMas9 +nAATJ5hfMMWs9nBYP82ma2pjVns4AY5un+z+BddT7eEEmNR1QNt4zGoPJ8Co +bjUHPTCrPRze948d8tdhVns4PN/780YOxaz2cNjvn5EckOH7Vns43P+WfsEB +mNUeToAHkU0FmzCrPRz2r+15VW/Mag+H9efPpsaPuN/UHk4Ao8gVX45hVns4 +AZTvo7OHYVZ7ODyP/S88SMf9rPZw+HzjI6zsMKs9HPbD+UaNnTGrPRy+30Wt +zgbheVF7OOyXlvdqYJjVHg7rQZvt83Pw/Kk9nADOjUqXjZjVHk6AMIMHgQo8 +v2oPh/f3MMdgO8xqDydA6Rt7DxHPv9rDCTBw/lVrO8xqD4f150FMMwXWD7WH +w3n4+1/nDZjVHg77udaLlFlYf9QeDs/nl4DR0zCrPRz2v4vazgvA+qX2cAKM +m7RzRkfMag+H72t0htYerHdqDydAt1HjY1OwPqo9HK5PwLWZOpjVHk6AOW6f +ve2xvqo9HO4/09kZiVh/1R5OgGjPe7ndMKs9HP48uTJ4NdZvtYfD/qSdsfV9 +rO9qDyfAItNzhWVY/9UeTgCLOZnDemNWezh8f7v6WSzG+0Pt4bD/3rTX8Cze +L2oPh/fLlmRVON4/ag+H98vwsY6leF+pPRz+vHK/zBaY1R4O7zuTsSpdvN86 +/vNw2K/tyimegfef2sPh/Kpz88pavB/VHk6AZldPaRzA+1Pt4QT4aXPD8ize +r2oPh/UtvXylO96/ag8ngPH5zUPv4H2t9nDYP8GoKG+8z9UeDu+bidM738f7 +Xu3hsJ8Z/mTYXewH1B5OALtlB5t5YL+g9nC4vs0e3z2P/YTawwlw/9eChkPY +b6g9HNavGwc7bsR+RO3hcH0Dh1ZbYL+i9nDY71zfd0gP+xm1h8PnZ+vj2mK/ +o/ZwAvj0/Ztahf2Q2sPhfTBk4+VX2C818/nPw+H8XnS13UXsp9QeTgC2wHvK +Suy31B4O+8ket4cOxH5M7eGwvq1y/1CD/Zvaw2E/mXyzz6OXPHk43B++b4ds +xH5P7eFwnnzevbIX9oNqDyfAkWUhC9Owf1R7OOz/9zzY6xjGk4cT4PrRn9OG +Yr+p9nAC7D6ZG5OG/anaw+Hn02b8Huxf1R5OgK77l6Z0eMqTh8PzYTFkqT/2 +v2oPJ4CBNMnZBPtjtYfD9zXJalsa9s9qD4f9a6jsixX212oPh/3yak+dSj+e +PJwAB0sO/NmM/bnaw+H62nqfqnnIk4fDP88ZE7ge+3u1h8P1OTHNPh/nAbWH +w89vq5DN9uLJw/13P1r+fYnzhNrDCWD13Slq4B2ePJwAXW4Edj+P84jawwkw +eVdJFwnOK2oPh/3dMePgGTjPqD0czsebqqR3cd5RezgB/FaL7z/jPKT2cP/9 ++5Gb8TScl9QeTgCTU5mWF3GeUns4vP8mlf3JvMSThxMgsXfhnG44j6k9HPZL +xyvGLMZ5Te3hsL+w6h180ZknDydAoFlgXAzOf2oPJ8DmI2F2X3A+VHs4AXoW +rnzZ9wxPHk6APTWxV2ee4snDYX8fr/V3G86fag8nwPRZDi2ccT5Vezi8X4t7 +3HmI86vawwlw9n63uEicb9UeDu+DpbePJx/mycPhPLYxKyn/IE8eDufjklrf +8v08eTgBNp1p1qlmH08eDut79MLWNTiPqz2cAE63f7mW47yu9nACLC6d4J2P +87zawwnwXtCdkozzvtrDCZAp/t4cuZUnD4fzQ4Ci58PNPHk4Adwv9F923oYn +DyfAhrcfem3bwJOHw36/r9aWGet48nA4T4wzmdRnDU8eDuuN0U53fhVPHg7r +X5/CvW9X8uThsN6fjch2/u/fR/55ONw/iROjFizlycNhv6ryGNx5MU8eDu+L +hKaOaZY8eTgBBr0Lczg7nycPJ0Boh8ptk+by5OFwPe4m5EosePJwAph3ugXX +zHnycPh+3rvrTZ7Bk4fD/ZKl1CxmPHk43F9dag4dmcqThxPgZafnyzWn8OTh +8Dz3vRH6aDJPHg7rm3nKOcOJPHk4rG8r3HJhAk8eTgCN4n73LMbz5OHw/A2I +Lk4ey5OH+28ee3TNYjRPHg7v16q+AHo8eTisvwmbNhkO58nDCfBd/43jI12e +PBye35k72mrq8OThsD5WPGt7ZCBPHk4Ah+Asx6J+PHk4AYL69tw4qQ9PHg5/ +39aESPdePHm4/+5TnZN1Wjx5OAFurzn60qgbTx4Oz8POzmvPdOHJw+Hn6zt2 +f3JHnjycAKL34AYNDZ48HN4XnyfUzmnLk4fD9SsKm+7UiicPJ0DV99p2r5rz +5OFwfV72N5U2ceThBMiwDCrq9psjD4f3bUZBpXEjRx4O+5/e3xav/caRh8P1 +rrLSc6znyMPhPB9qaXtdxZGHw/ulVk8zkOfIwwkwIYL1j1Jw5OHwvI2quRov +5cjDYX82znZ7ai1HHk4A2Ynhfh+rOPJwAvwNPTQjtZwjD4f1zTjQPL6EIw+H ++39Hn6BXnzjycPj7d+nuC8jnyMPheV8w6KZHDkceDutrw47+DpkceTjsx0av +brXmI0ceToCvDxbPm5zCkYfDel0U9FkzkSMPh/fZ7ARVbRxHHg7XZ+rfRRHA +kYfD/lcV3eHUW448HPZ3J1YNmfWaIw+H9VtqfLNNJEceToAU7bjN8c858nAC +ZNcuv3QslCMPh/N8W6uO44I58nC4H0eMlZQFcuThcB4qnq59wZ8jD4f16d43 +nzG+HHk4AVZ+euWUcZ8jD4f1mONf77zHkYcT4PfglrNb3ObIw+E8FLFJ74Yn +Rx5OALfMoxuHXOPIwwlgdv25MvgyRx5OgLbdTqaOd+XIwwlgumze73Bnjjwc +7r9lLqfHnOXIw+F81vbxykenOPJw+PuttU/2PM6Rh8N5bdySb2ePcuThcL9v +SYjhDnHk4QQ4nScpWLyfIw+H9WHp2GlhezjycLgfw7o2aOzkyMMJ8ATa/di4 +jSMPh/2Jpdvc55s48nAC9BjZU9a0niMPh+dx6OBcizUceTi837vrabhaceTh +8LwlP3FLXsaRhxPg9WDd1S0Wc+ThBNja1P3ApAUceTicHy1+5m6fw5GHw/5N +anXqujlHHg6ftzTkYPR0jjwczj+6TmGlphx5OJyHM1YY/ZzMkYfD/dcQ0ry7 +EUceDu//d917DB/PkYcTwGtdh30Tx3Dk4fA89x3QxUyPIw+Hf98yv8FiKEce +DvudNW8GzhnMkYfD/v39mqvm/TnycALs7TRzpmlvjjwc1vO6JpNxPTjycDj/ +thlg378rRx4O7zcz/YY2nTjycAJEnkuMULbjyMPhehcceJXWiiMPJ8Alq+Rf +j5tx5OGwP5+95syJ30rycALkN38/e9EPJXk4rKfN3Rf2a1CSh8M/r9pwo/aL +kjycAG/Xp/QNVCrJwwkw2Gpb1Tapkjwc9gvp2XWDapTk4XAekQeMKChXkofD +efTH+SDnYiV5OLy/92rsMChQkofD86vM21yUrSQPJ8A1Q2evY+lK8nB4H0yN +7do7RUkeDvsZ3WHx4QlK8nD/zWNrw2bFKsnD4bz5pW1R3hsleTicL35mmm54 +pSQPJ0DIhLUltc+V5OEEmPt0RcTWECV5OAFiHm1LqgpSkofDenHbRMvaX0ke +DvuRiSfvpj1UkocTIHdrhbWxl5I8HPbzFp2W+t5SkocTYJlBlFOb60rycALU +xF5Tbr2iJA+H5//DArfYi0rycHj/L/He0vO8kjwc9vORkw9tP60kDyfAPpf8 +6BfHlOThsB7eGTX5l72SPBzeD60alFMPKMnD4fMPk+cf26MkDydApV/i14gd +SvJw2B/tmDtfvllJHg77kaBxBdoblOTh8PNLJt+cuVpJHu6//98y9JLtCiV5 +OAFeZERFuC5WkocTYMfPiG4B85Xk4fB+G1rxKMZCSR5OgDNWf3ZmmynJw2E9 +5Au2VJgqycMJMPaWoYdskpI8nAB9VleKnIGSPBye/+v+ztwYJXk4ARy/L7aU +jlCShxPA5WaYRdkQJXk4nG+Lrx/IGKAkDyfAcLe0rOjeSvJweP9l6dn4ainJ +w/03zzsNOd9FSR4O34dLUK/NGkrycAKkjbSfatpaSR4O78ME8NRs9n8PJ4D+ +9g39K38qyMMJ4H95XOGTrwrycLhefTrG2akU5OHwfa7JKRuvVJCHw/VoZzPy +c52CPBzWh9m3A/wrFeTh8P09s16xqkRBHk4AQ3ZhQpsCBXk4vP8PtJsRmqUg +D4fzuH7s6WVpCvJwAkz5dPOL+EFBHg7vu2mHr1yOVZCHw/0TOmvdkDcK8nAC +yFX1ayJeKsjDYT0x3+M6PUxBHg7r8/aHsqQnCvJwWA9unLCf568gDyeApeu3 +CckPFOThsN44NQ0yu6sgDyeAxTTXqS89FeThBLjb3P2i7lUFeTisN7fatr56 +UUEeDufrJYrQhrMK8nBYTztMcF1xUkEeToDw0eXXw48qyMPh8wfKP7Y7qCAP +h/NhsxVGa/YoyMMJYGsxOD1wu4I8HD5v/yWego2CPBzOX+9krkZrFeTh8DwJ +fNihlQrycHhf9t3RJnSxgjwczrcTN16qnacgDyeA/adK1mOWgjycAE/1vw0x +YwrycAI87xQyaYexgjwc1t/SXsdcJyjIwwmwINj8c8AYBXk4AYTRs6/FDleQ +h8P+KGLClrzBCvJwAnCmg7bX9FWQh8P+b6fuXb6Hgjwczquu1n/ELgrycFh/ +bxS717dXkIfD8zsuYfmXlgrycNi/PzOcK/kjJw+H56fngr2fvsvJw2G/O3B0 +wgdBTh5OgAGTfswLUcrJw2G9OJnfwqNOTh4O612WQmlXIScPh/U4dmOb+UVy +8nDYbz6wWTooV04eToCTjr2zVB/l5OEEaDcg8tTbRDl5OPz82tttzsbKycPh +vBW97vCsaDl5OAGSeqe/aREhJw+H85uWwvDNMzl5OAFuLFRW7g2Qk4cT4J5x ++9j+PnLycAIou57MSborJw+H/WX1ee09nnLycDgPuaz36HRFTh4OP1/o7OlB +LnLycLg/ip10pp+Rk4fD/njTMuMcRzl5ONwfR5vObTgkJw+H9WhYWmvZHjl5 +OHwfS1u83bVdTh5OgI1xnwMUG+Xk4bA+9ClL2bJaTh5OgLLqvjrFy+Tk4fB8 +nv8VNs9STh4On3dZhn2khZw8HO5vZ9nB/tPl5OEE+Gn2MPCUsZw8HPYjNyx7 +lBnIycMJEH9iXrTRaDl5OPy8CvHWxaFy8nACrIt+FPJpgJw8nAAX4qFJR1tO +Hg7PV5b/hR1d5eThcH56HL0gSENOHg779RZb5te1lJOHE+CET/vz/f7IyMMJ +IDHt8XPhNxl5OPz57uKT419k5OHwvljT5ba/TEYeDufHjSXvU6pk5OGw39yd +PEJeLCMPh/f98nnpLfNk5OEE8G0sCO2dLiMPh/3fPEnWyEQZeTgBus4W9SeB +jDycAHnNjmRNi5KRh8N57L4YahYuIw+H/dm6ukz2REYeDuvH9Wx9Yz8ZeTgB +hr6YkjvGS0YeTgCY/+RV/xsy8nD4/B0fl7e7IiMPh/sv8fWcL84y8nACHLM7 +8C3rlIw8HJ6/YRtkz47KyMPh/Dqm60Dn/TLycALEfut7z3qnjDycCEMG91g3 +YrOMPJwIdY6eW8U1MvJwIuS2Mnz5armMPJwImxuuLjhqKSMPJ0L3SIvRhhYy +8nAiJH75sVw5TUYeToRhZ+cmeU+SkYcToYN72dn5+jLycCKU2a+91DBCRh5O +hNYl+8pvD5aRhxNhqX/8icl9ZOThRNjbps3O3G4y8nAiWDpI/Ww7yMjDifDw +Q5/Rv1rKyMOJsLzFipYXfkvJw4nQ/LblSM2vUvJwIuyfGeNznZeShxOhj/bm +nd0kUvJwIvg5/TznVi4lDyfC9vmzxOaFUvJw+PPutHlxIFNKHk6EbLFlcnmS +lDycCB4zWxtYxErJw4lQU5InBEZJycOJUHGItWsbLiUPJ0KEgZb9xiApeTgR +Jgfomr70kZKHE+FSnvma1nel5OFEeDFuft5iDyl5OBGYn2bgLVcpeTgRFNfs +CoucpOThRJhbNGdzr2NS8nD4/HkOCxYflJKHEyFgveT6uV1S8nAidCs4aBKx +WUoeToTdAzTMKtZIycOJ8N7vemDr5VLycCIMWvdn//AFUvJwImzMnHjf3FxK +Hk6Eki4GY9eZSsnDiWCcVKOz31BKHk6EsYYzT5weLSUPJ8L8sfOmXdKVkocT +IXXE123X+knJw4mw1mjmDw8tKXk4EZraTKy/2lFKHk6EnIbsVRdaScnDifD1 +d/+Rx39LyMOJYJM0ZMeuBgl5OBFSAr90X8lJyMOJcMbffaxprYQ8HO7/Q9qv ++5dKyMOJ8ObMvYifuRLycCIYiPo6uWkS8nAiJPiLLQLiJeThRAgz+WNl/0ZC +Hk6Eg5p2OmYvJOThRIibt2dz26cS8nAiuOb17pfiKyEPJ4KwydvS+a6EPJwI +647qNE7zkJCHE+HY9oKB9Rcl5OFEWLJSHud7RkIeTgQLsys1lg4S8nAiTGv6 +6dRgJyEPJ8JTtsP35g4JeTgRnt3qOtNwo4Q8nAhjjhltS7eSkIcT4a95j06b +FknIw4mgYfx1smghIQ8ngp1LP+WJaRLycCJEL8rXbT1RQh5OhI6PdktdxkjI +w+F5dTMwbDNUQh5OhAvtHTue7ichD4f1QvvO/q/dJeThRGj3SrZlWwcJeTgR +ztUkSHNaSMjDiZDv5/bL5GcdeTgRCtr4+twX6sjDidBmxM6SP7I68nAiFBtY +PllVWUceToSe2yK7hBbWkYcTYXgvrU7NM+vIw4lw/GWh38LEOvJwIojph/Nv +vasjDyfCuM7b/Eoj6sjDidBrzpAu/YPryMOJoH94VB9rvzrycCL0jf77wf1u +HXk4XM+duloJ1+rIw4lgqDG4Tf2FOvJwIqxecdq7/+k68nAizGFZJTOP1JGH +E8Hqh0/c1r115OHwfKguLju7tY48HO6fsoHuXmvryMOJkBnV5BC+rI48nAjn +UxK6xc2rIw8nwsBH3ddmmNWRhxNhwTh3q4LJdeThsD4EVLcpHldHHk4EU9/I +XUXD6sjD4Xnq9sYlr38deTgRIuf7rk3TqiMPJ0Jyax3uXYc68nB4n2ysnxTc +oo48nAhBshyzm4215OFE6HLndIfjqlrycHh/VMHNddJa8nB4fz22qjMpryUP +h/VjfrfG7vm15OFE6B32LleWVkseDn/+xFFHXsfVkocToT55XN3517Xk4URQ +FvsNXBhWSx5OhMq9m0d0C6glDyfCnt4Lm+d415KHw/rSenbwZc9a8nAivPtu +OGrWpVrycPj7lrU4/eNMLXk4EfYN8A4OOFpLHg73c8vvz5fuqyUPJ8KVVa1v +NG6tJQ+H6z34/XKvtbXk4URYpDW8wWRZLXk4rGfekw7nz60lDyfC59pvRbum +15KHw/qfuVu3aWItebj/1t9/pduYWvJwIqz5HnKgp24teTisB+Xejl59asnD +ieD54YLdgK615OHwvF90XenVtpY8HH6+ivdjezbVkIfD51+15NelhhrycCJ8 +2bYh5o+ihjwcnoeNPR13VdWQh8P79+wj/fzCGvJwIkT9mVJrklFDHg7vQ67b +La+EGvJwIszcsnn+z+ga8nAinDy4q+Xy8BrycFivh+95HxTw/++Hw/1+LcTl +l/f/vx8Oz1Oqw6q5nv//fji8L7/1m3jd9f/fD4f1dL5Cp+j0/78fTgQnrcmD ++x75//fDiZAWuXGC9Z7/fz8c3v8v49Zc3/z/74fDfuVVrFeK9f+/Hw7Pk+v7 +H78W/f/74UQ4NUL/wEiLGvJwIpS+O9Zhhen/vx8O+6GnvZKOGfz/++FE2OB2 +LOD+iP9/P5wId6cMCX034P/fDyfCkcIrlZ+0/v/9cFhvk2ZMU2n8//vhRCiP +SUpt2fz/3w8nwssPTy50/15NHg7fp/EJx4F8NXk4EWS5eb7Da6rJw4mQFTq/ +1eiiavJwIvhYnvYZnVlNHg7fz+0eJ/Q+VJOHE8FW+fyOzptq8nDYP/Ro9bNX +eDV5OLz/Nr5+2D6gmjwcrpeni/t3r2rycNg//h7+scqjmjycCEd3r1qZfKGa +PJwIreaUjH96spo8nAgTzx2xcT1UTR4O33fID+nWndXk4bAeimOTp26sJg8n +gvuAL626rawmD4f1O6CbT/X8avJwIviGHnkQalZNHk4EvA1aOkyqJg8nwtYF +NzOmjakmD4f749WQ1s2HVJOHE+H16rtBoF1NHk6EoRqqGMfO1eThROi6feAc +g1bV5OFE2FU3fI6ksYo8nAgm2Z3ibn6pIg8nwoDlxVEz66rIw2H/6XhvHF9c +RR4O36fJFr3rWVXk4bB/PbIgyCixijycCKva7X+e96aKPJwIO2PqZ9uFV5GH +E+G+7a/d7QKqyMOJEFP+Qtfbq4o8HD7/H8sDYz2qyMNhvx/xd9VblyrycCKc +aN+q1OJEFXk4rI8D7jWlH6giD4f9qZFGwpIdVeThsF5G3xuXs66KPJwId2Y+ +MF+0rIo8nAjeXrZtUuZUkYcTIThl+VE2rYo83H/3baz38wlV5OFEeCt2cdTR +qyIPh/V+fojm1QFV5OHw/jLV2PqjexV5OOynZ9x2XN++ijwcru/knOXvmyrJ +w4nww3rS90ENleThRDi0aMHWE/JK8nC4f8fd8y0oryQPh/Xjwovno/MqycP9 +17+NvHMqpZI8HJ6vBXetM2MqycPh8672+943opI8nAixBkr7rUGV5OFE6K/Z +v+Tp/UrycDgfpMqHf7leSR4O16+krc24i5Xk4UQYtX2C6+6TleThRPg2fWzA +44OV5OGwX8SSWLajkjwc9rdxL9O6rq8kDyeCPLq6eMaySvJw+D7DBvJ2cyrJ +w+HfnzO/jdfUSvJwOM/8nTsywaCSPJwIbQ3arJMPryQPJ8LpgXa+HfpXkocT +oajhRNPIbpXk4bCfyh51eHbbSvJwIoz2/q4V96OCPJwILTty575UV5CHw/Nl +sGRGr/QK8nD4fHaLVhi/qiAPJ0L7ZX0TV/hUkIcTQer91Wv3pQrycLj+60dV +nzhcQR4O+5PvPe5f3FBBHk6EZfp9i67OrSAPJ0L1jGf33CdUkIcT4YBi3udL +/SvIw4kw+MCpojNtK8jDYf2Lr956QCgnD4f91OHeXutKysnD4foW552b+aGc +PBzup665I3RDy8nD4cvRrHD/e7ucPBzu79dZH/KdysnDYT2occt6vLucPBzW +172tow+sLCcPJ0L4YuNLxtPLycOJ8MHf0PKXXjl5OBEcYzTbR3UvJw+H9Va/ +IWnfnzLycCLwtd2u60jLyMPhedULOZiVVUYeDn9frNZBh+gy8nAiNHK+d/s/ +KiMPh/ONS1z928tl5OFE+KmhdLE6UkYeDt/nY5+N/MYy8nB4/81zcDk5r4w8 +nAidYrq16WBYRh4O778n/SQe/cvIw4mw4tmy8T3blpGHE+GPrZPgqSolD4f1 +6/YZ/S7FpeThRHjUa/KPc/Gl5OGwX/59d+m34FLycFgf/Z7N3XyzlDycCDvi +zkjTTpWSh8P1Pjppor5tKXk47G/W/2HuS0vJw2E9vavZhZtSSh5OhC2f3z6b +ObSUPBze12lrh93qXEoeTgSVjfVZyfcS8nA4P0eMzBxfVUIeDtfzsZWWQ2oJ +eTic17Ts1719UUIeDs9/6ciXv7xKyMOJ8PC73rBJziXk4bBeXpz4Zu++EvJw +ON8IOqd8V5WQh8N+qjzreI5ZCXk47Fc2jIemkSXk4XA/fzdfMlyrhDwc9sPP ++k5b8KeYPBzOf7r57nskxeThRFgY+HD5pcxi8nBYv8zeefpHFZOHw/2e5rD2 +rU8xeTjsR1obvcp0LSYPh/eh77qwioPF5OGwXm86sIxbW0weDuvvoBbBX2cV +k4fD/lT59MOvscXk4bAf7zowuKlXMXk4nDdN++5qalZMHk6E9afsOv+SF5GH +w/20+69PQ04ReTisF3qvxyvfFJGHE6H2zIOU8kdF5OFEWNyUcDjzchF5OBEa +GhbMeGdfRB4O59GHu6YEbigiD4f35fhte9znFJGHE+GjzKP28Pgi8nB43w+1 +fraqTxF5OJx/j4/Pm9yyiG355+FE0M3039qT+0QeToT0dUv2CnmfyMOJYF9W ++TPl3SfycNj/X+6m/fDxJ/JwIlTNj8w5ePUTeTisl8HPJs06+ok8nAglHbll +WjafyMOJcOOJvWHV3E/k4fD+e71F8tTgE3k4ES4fqbA/1PcTeTgRnljo1Ju0 ++kQeDvszcNzxlyskDyeCddKc2vf5heTh8L5+0nTQKaaQPByu17jBQ80CCsnD +idA8eHbrpquF5OGwvg7up/P6aCF5OOzPF665eMCmkDwcfv6kvDkj5xWShxNh +peauvZUGheTh8LyHdG93o28heThcr1mFQ+a0KiQPh/Phk+zMRq6APJwIz/+O +7/Mkv4D9/ufhRIAkk67WMQXk4UQwaj4jvk1AAXk4vE9Mw6e9uFpAHk6EtZGt +PdYfLSAPh/P87qy0djYF5OGwnk2O/Bk+t4A8HM73sn1jVhsUkIfD97My9UDz +vgXk4XDeOPu4KKBlAXk4XO/gRjtLLp88nAjjd6TPFvPyycPh/fCW7bn5Lp88 +HO7PyWdVxo/zycPh+exWUVl6JZ88nAiGxqGWp47kk4fD++rwFovBG/NZ538e +TgTN0+eK4+bkk4fD+bTfk95bxueTh8P3M+F899Z98snDYf/AFxf6t8gnDyeC +88fz9hbKPPJwIiQlHf4ryc0jD4fz2dYwJ5e3eeTh8D4pWKw5wj+PPByuv+aF +qOTLeeTh8D5Rel2ytc8jD4fzjjF3R2NDHnk4rA91svqns/PIw+H77NzviaV+ +Hnk4ESYMHpr+RTuPPBz2q99e7/FonkceDteXf+xlpMglDyeCf4fMnUU5ueTh +RJihNVp+4k0ueTgRbgdmjBjyKJc8HM5bm8tNUtxyycOJsO3bHX27w7ls+T8P +J0KihVVf7fW55OFE6HPpbMf3Frnk4bA/2OHXY9e4XPb4n4fD+0dz2Zye2rnk +4UQwWG8RGdcslzwc9idf5+y3k+eQh8Pft2HapYE5OeThcH1H6vTMis4hD4f3 +Qdt2uk5+OeThRNgk75tk5JZDHg77MR3frspDOeThsB9z/t7Dd10OeTicb85f +k6y2yCEPh+drYdONHuNyyMOJkPq5dmJOrxzycPjn+1qXujfLIQ8nQr8fk28v +kWeTh8P9yO0/qZWTTR4O61untz5F0dnk4UTo5WXaw8cvmzwc7vfDBtxOt2zy +cPh8E78ZTzqcTR4O++91P7u2WZ9NHg7r9c40l0KLbMb983C4X5u6hD4dl00e +DvuRZhfvOWlnk4fD+wi62qxtnk0eDuebzg+7GyuyyMNh/77HPEk7N4s8HP78 +USPdf73JIg8nwlSnW05Vj7LIw+G8HMs/T72cRR5OhJs7g4xe2WeRh8N5bfWm +gQEbssjD4TwsSzt3d04WeTh8Ho2XjtfGZ5GHE2GMY7uebn2yyMPh/VX4cZdr +yyzycNgP3Zur07sokzycCFfs7k8c+jSTNf3zcPj73BYy4xOZ5OFEiJg+d/uK +RZnk4bB/1n5V5DA4kzwc1ie9n+8f12eQh8N6sDJkfumHDPJw//37XpBvn9sZ +5OFECD36W7TZmUEeDs97o7DjuWkGeTjcX7M1x3fskkEeDvdrnvbRfVXp5OGw +X3jWblfpi3TycHg+07UnLXNOJw+H+31rTPu8Venk4URwG/9Uc8OodPJwImh/ +SHdo+PORPBy+j5IlBz2zPpKHEyEk4OEo5veRPJwIDsNtshsOfyQPh/XnwNHr +L+d8JA+H/UpHDZ8zfT+Sh8P5yuSonvXnNPJwuD8Wb1lr+j6NPJwIh9uF2o7y +TCMPh/dZ4dEzw7ansZv/PJwI90x18saYpJGHE2FdvwUeZp3SyMOJ8EvzE2dT +mUoeDv/7OJnW1Rep5OGw/5xaPSXFOZU8HL7f2Tuva65OJQ+H+zt6h+XWMank +4UQo/NTWK6lZKnk4XI/AiPBJeSnk4bA+zh34/mVACnk47KeWTfljdiyFPJwI +riOn3yldmEIeDu/f9UHJ53VSyMNhP9liEkz9lkweDutbx89hrVKTycOJkPJM +O6fYO5kF/vNwuD/DLaxi9yeTh8P+v9jy8MtZyeTh8HnYPJvo3snk4bAfenZ/ +cSafRB4O63/zr0cb3ieRh8N66zZNc+TNJPJw2O/vj1hgtzOJPBy+77aftiRN +SyIPh+/X5ODVcd2TyMNhv8PdbhkkTSQPh+u92v/HhLeJ5OFESD4x7XGWeyJ5 +OOyH7Zabn9qaSB4Onz9rcIfpJonk4bBe642e0L1LInk4vI+XmX/9UfOBPBy+ +jzJnVyHqA3k4PD/2a0f/ufyBPByux0JHrX6bP5CHw/N6tvr4wskfyMOJMMKt +9oFnpw/s8z8Ph/PY3f5Rn6sTyMPhfN3Jodm6qATycHif5etHVFxOYNP/eTgR +mtWldz6yOYE83H//fj5iwRDjBPJw+HlH2AbWdk4gDydCU+d2G9/UxpOHE8H9 +ZEZoQHQ8eTgRzNMK8oLc48nDiWBV6tYxbls8eTicZ7xCPFWm8eTh8DzYLnhq +1D2ePBz2Qy4pV67J48jD4fzlUXqiVWwceTh8/+LVV1duxpGHE6GN5Yxj+nvi +yMOJMPz343aKmXHk4UTo7pT44E2fOPJwIpT9bTrtL7wnD4f1LLgVH5D8njwc +9p/zqnXjH7wnD4fnx7fR9rv9e/Jw+Pt+t2wyX/iePBz++Y1uQ4KHvmfO/zyc +CFkDr/Yd8yeWPBzOn8amI5PzYsnDYb/LGXmcCI4lD4frNVy8aXkuljwc9tNT +zY5PXhtLHg77/W0LnacZxpKHEyHe+GHjho6x5OHwfW4zmGiSAuThRHhho9rA +OQB5OBGMFeG/CkYCeTgRLi63vfGzJIY8HO7P1ITXVpdjyMNhv7ls3LfP02LI +w4lwtSL7U6zqHXk4EcYu9VSl+74jD4fnz31Aes8V79jtfx5OhInuRU332r4j +DydCf1tVz/XRb8nD4Xy8fUnAuj1vmeKfhxPBc1DojHuD3pKHE+H6xTPHe+W9 +IQ+H/VCXtvIclzfk4US4EDqrf8aUN+Th8Hl7dgxtr4omD4f16Y1o6Pwomjwc +/vy/ay3MraPJw4mwYLHjMfPO0eThcB6ZN3uPS/xr8nAihJdfednJ4TV5OBEe +Oyg/F459TR4O76tRyRGS2ijycCIMybfxM7kXRR4O12NJslXekijycNiPBlTs +i2gXRR4O64WJjWsJvCIPh/vzZ6nFvCOvyMPh/rkXPav5uFfk4USQpJuMaC6N +JA8nwvf9R93nPYgkDydCYKHt4HKrSKb/z8OJENZYdfKtZiR5OBE+v71mKUl5 +SR4O98v49hPWnn1JHg7rRUFU/oCpL8nDifC1Qiwd+z2CPJwI4jFO5RYeQR4O ++4+BEG28O4I8nAi/s21rjYZHkIcTQc8hR9up+gV5OJyPRie1HHj/BXk4nH/O +/13SafUL8nA4r33tH27Z8wV5ODwfA8MzK3Oek4cT4dxoo3VJV5+Th8P7d2QP +jRaWz8nDYT+4O9jlmsZzNuOfhxPhbO3sC/bJ4eThRHh1ZTiEO4eThxPhWtap +ypmzwsnDiaCqdvQZ0yqcPBw+3xSTqH3xYeThcP75WViicTaMPJwI6612JPyd +EUYeDuub1q+Ri1qGkYfD91ljfL3eJZQ8HPaTFs8jm2mEkofDeej24clJbiHk +4bB/4L085miGkIcTYefI2WbOns/Iw+E82tIr7kzvZ+ThRPBolXnT9EEweTic +P+7qTI8aGkweDvuXYcoZ34KfkocT4UwPj6E/DJ+Sh8P9+fO2Hbx7Qh5OhI9h +XiGWs5+Qh8O/f3bV7kfZQeThRDhkHTT5w9og8nDY70rdH4XLAsnDYf+tmDxz +1+FAVvzPw4nQuP5bUH2LQPJwIqSlLbBbcC2APBzed+eFcUcGBZCHw/u0Wea5 +w2GPycOJsHFIu05zzR6ThxOhte+vHfU5/uThRGAbZk+x3+pPHg7vp5y0Ebk/ +HpGHw/vlYOinDpcfkYfD8zl2U8NgnUfk4fB+Lnk4vFeUH3k4rN+xRwfxC/3I +w+Gfa86/6i/xJQ8nguXJrGEzTvmShxNhdHI/rwRtX/JwIlgs93089oUP4/55 +OKxf6UbNnBb6kIfDepfSdWus4iF5OBFWHSy+rnR5SB5OhP3Mx7L1sIfk4UT4 +YpH9dIDrA/Jw2N89W1TXM/U+eTg8X/ren751uE8eDvvzH9f6xi3yJg+H++Fc +m7nHb3iRh8P9vqqkmV7ZPfJweH+kNpOlDbtHHg7rXdbJwB0H7pKHw/WuPi60 +iL1DHg4/v3Tukftd7pCHE2He5C3102xuk4fD8//4jK4s4hZ5OKyP925leGvc +Ig8nQgdWE715003ycNhPhguvpr69QR4O6/vywDvjtG+QhxNhyiBL46n2nszg +n4fDfrHj1cM7C66Th8Off+6KwZvJ18nD4fzB11lP8PZg5/55OKyXk58XF7T0 +IA+H9fTqvaCg3dfIw2F/3aI8NrTQnTycCKcWLR3Em7uThxNh5CH+btqOq+Th +6uF92ZfHUxZcIQ9XDx2/HXQ2MLxMHq4ejj+O2xY1yI08XD28Knx1Or/rJfJw +9XAzPk/bo60rebh6WGu79NS35hfJw9XDaV1DzQ7NL5CHqweTbasnfGrlQh6u +Hkw9bqzd1smZPFw9pDdFdYjpc548XD0EaId8lI4+Rx6uHnKfuEziZp4lD1cP +NfNuqHI3OJGHq4c8uX1gwOkz5OHqoeKifj+7x6fJw+Hvn3B85G7fUyz1n4er +Bzf5wtxWLU6Sh6uHkB56P97sOk4erh40VsUf8atwJA9XD+cat89LX+dAHq4e +tg3q5zRfcoQ8XD1kLEuZp+9gTx6uHjYs3K643PMwebh6SB007L19zEHycPXQ +wv/23D92B8jD1cPLGRXahmP3k4erhzsdHr9bw+0jD1cPq7ps+vjz2h7ycPjz +rNz0dlnuIg9XDxM3TSzMGWBLHq4eosfM6LyqzXbycPUw//mbaYNbbWWl/zxc +PTxuNUFzhfZm8nD1cG9Er019ZtqQh6sH/2FDbuu330Aerh60YxJMuddryMPV +g2KzY0Lk7VXk4ephwQvLiAr/FeTh6uGDcUCrV1VLycPVwynXjjqXFywmD1cP +7CKUbE2fTx6uHiQDzU7Wb5xNHq4ewoetS9y2fCZ5uHrgHho5Ji9m5OHqYYhJ +YKTO/4g677iaozeOG2VTIknIlp29nsyMjOyGTUIhhOy9StHe695u3duu2x4n +p6FIZgqRmZ343iSJ+J3f73ueH/953du93/v9nvOc5znn/Xw+2ZM4D/eN7vG4 +2G3IxzGch/tGncZZRq7MGMZ5uG/U8tqPgV+G9uc83Dfq9mPJpYuW3TkP9432 +OtFZs7F5e87DfaPvEx+OWj33xzSRh/tG1Wq/mKxpX/7//1v3T77afZHaVXz/ +qcWpMx9PV7uKn/f75fWxPh7aRvh9Q2vGDTQ81NoIr8f1yDGnNIP7BK+3xZ3o +6Vc9tHPw99i+6ZR9vI9uDv7e6vA5C/3Lm+fg/Xj+GX5utKgGvF8d3cqbW1h3 +yMH7OSi9bIHprb8E7/f5ryu1Xhp/I/g8bB+vbj5a0SoHn9fLS4ln+ikbAZ/n +rsLnb6O+tsjB5x3Zslg7X1EDOB7e7zR42aSlIjhe+va+NfnciVKC46nx6q73 +R5Jb5+B46/ItyCF3Vy3B8Xjj15ilSa2qCY7XnOACjcjzajk4nicP0MuqKKkl +ON5Dz32cGju8iuB8SDpSenb/9Q+A86XMoPXzeZt+EpxPwWGvxzYf/ZPgfGs/ +8/6q+pYqgvOxaG2f97s71xGcrw4Xj7vMHNBAcD5v6P5a91D9a4LzfV/PYdv1 +Mp0B44GVcsGsEPVfBONF1Vmfcc/r3hKMJ7tevTNp7F5PMN7UXJ9V8vFeNmA8 +uj9qZryVrJJgvNr2e2HpoIAHBOPZjAE2LxdYvyQY7+Y8CJn7vCgBMB4uiD/r +F+b4AjBeLtyQNNGzoZZgPI1WZepFvPpGMN7GxrjPdEuLAYzHQfURZIpHHmC8 +ttE3CN3o9pBgPL/Zo/G39oHngPHe0901TfvCW4LrgXt6lnzIlfcE14uHfoqJ +M5+/ILieDJuyIP9F2DuC6030oj8/9L9dB1yPAl1dCnv+vgu4Xr3x0U38s7qC +4Hrm3eneTqMVRQTXu1nBFaTgz0eC6+FOM6vk70NrCK6XF4a2aNPL/CPB9dS9 +9/u9+67fAVxvrZ3Wrz7ctRBwPW7G/+F6fX574C+9CXcA13N8Hdf7CcF6If7t +HxPMBxzjMud1/VxOMF8Y3yLaf23lS4L5xOfEvS/8mr0nmG/I9Q5rz3IqJZiP +1I5cF9924QeC+Uo/m/P2ww98IZjPFArLW/Y5/pBgvnNz3JiJq9SfEcyH0rVr +zO3f3SeYL53T29uvQiuPYD71dGSfTcWV1wnmW6HZpu2uFr4gmI9proUbVbPL +AfM1/L2Yz9lP8bP1OV9JMN9rHXLXfr3TNYL54LDpp/o9M31FMF/c6Z+xV7H7 +HsF8snVNi2tND4MB883L44vOPvkZAZiPqlvYjex59w5gvpo//dXYuLGZBPPZ +kLKYfu5PbgHmu+PvfZ+/IOQ5wXz4o1aXsxF73xLMlzd59DDVafuaYD6d3/ai +nkzXHzDfbhdwvKSfbRLBfNyg5ybHvm0qCObr5+9+HDRiYRZgPt86P7D77JHP +Ceb77dtNme649TXBesCzx5bFh2+WEawXJvr9DTngcI9gPZFnV+Pd5vFrgvWG +RUa/QcvMUwjWI6/UHJ92jntBsF6xDvgSunhAKfl/PRNo+d3txAuC9Y7Z5YEn +7H96AdZDcrUNI5rmFQHWS4/WN29n5V9AsJ4yC2xvElSYC1hvGZyq1bmZnwhY +j5VqLCof9DidYL12a8N87d5hzwjWc1cqD3XcsfoewXrPxiX6QttDWYD14Mr1 +N0ZEWb4gWC8m2gabal+/QbCefLd1Q9ctn58SrDdTxgydF+WUCFiP+ujM/zp0 +5lOC9er05S+P6Y+qIFjPNrca8jwz/ibBeje74sQHp1UVBOthx0kvvyzKLydY +L88Z4aFvfO4ewXp6bGlHR+WalwTr7dxDxz/G1aUB1uN/f1k7EotbBOv12x8q +HK1vFBKs52e1SvhgrCkBrPfD57l21xl2n+B+wMN1wz9e7lgGuF9Qrzduu55x +HuB+wpGMwRe122YB7jeYtE2W/2nIB9yPMNb30NVRlQLuV5i9m79gwJYswP0M +qBux5VdKOuB+B6wNWtLf9hrgfoj2gfcDr+g9IrhfUvDUeWVZciLgforN+Nt9 +0wxLCe63vBmy0dJ2pBJwPya1fFRcPygiuF/jcM/BaYBBGcH9nMYZJUeP+eQR +3O/B+ID7QXbrukTekDwiuF+0PXJd3arBCoL7STVJu4dZLyoluN/UzFLNhk7N +BNyPKp03e7jH1quA+1Wqg22e27kVENzPwu/D/S7HzVdkM/cqAPfDIN5m/6uy +OwT3y6ZERvxp71QIuJ+WtWhzx/CdOYD7bSsHr4luYZVLcD/O6rZrZ0+/bIL7 +dRarfLofOXgVcD9v2oOxPslbEgH3+1a9Wnnq83Ul4H7g0aV9qseWXye4X/in +fViuoVc24H7iSbvRWTs8CgjuN05f8ab5GcGf/H8/sm2s3hbZfYL7lZeOXbFU +nbwGuJ95/XzdjlnJMoL7nZt6SFoOSc8A3A899WnPPMPbIQT3S6Omujqe+5tH +cD81SufaOYdXmQT3W+uaZeV0Zs8T92MtZuygzzqWENyvzZrv35EVqwT3c5+E +Gwf+ri0muN/rm0AfG/QrI7gfrJXitPUvex33i10+uXZepBsJuJ/crH5ZUHJg +NsH95gGHH5Z/lN8C3I+2WNttrVuLVMD96gWSbzUBVn4E97N17vS2in6YQXC/ +ezRdOrrL8wKC++Ff9P1i7l7OILhfPsrIrdXJK+kE99N93w27a7ZHArjfvjvZ +pld8zg2C+/Hjz7Tz6b4hH3C/fl3by+vOnSwB3M8/oj7W4v59Crjfv/bIzwsf +Z+UDngeEhQ69+Of8fYLnBe6DNxcYOuUQPE+YONCn18jFdwmeN+j0uPqnXUYW ++f95xIt5pWFWeYDnFVdnfHo6W7OM4HlG1UHTM932Xid43oHzAc9DlKeKtp+J +jSN4XhLTqenjS7sSgucpW0uHRhsa5wKetxz8G14qO+APeB4jybQd9Wn6fYLn +NV+iyoe8GRBL8Dyncb59m+LYLILnPXN/tT/heauE4HnQpMW/R97sUEjwvMjs +zciqYf2jCZ4n6f82P9tom07wvGm4/oExxw/cI3gehb8Hz6sc4mvOtTVOBDzP +0jEhHacsDQM87zofNGdct3kFBM/DNtvucLaadI/gedm0IX0t9nxMAzxPezNw +j1WXoV6A522tBhpuzxh1GfA87tKl1we3VeQRPK8rcPHLmh+VTvA8b4bhq16r +xhCC53074Yn/vQluBM8Dm0Xeez/fORPwvPDca8sv8ZZRgOeJQv2nFZnDowDP +G5e3bV2R/DkP8Dzy8+jYvZUaSQTPKxe+XHp5Ivt9eJ7pGPJnk0dSEuB5p6Hu +4CvyrrGA56GO+h0ftszNJXheOn+E8c5rh5UEz1N/7csLK/O4R/C81UKRnWVr +f5vgeWzAbWPLroosgue1Pfu9u1m5TAJ4nuuxfsLMhV1jCJ73Lu8VdmcxLSJ4 +HozPD8+Ls5yidndsHU/wPLnDqebyG+sTAc+b0/xjjo96Fgt4Ho1/j+fV+H88 +zy6oXtPvoFEewfPuV2fPvl//zA3wPPz5tu0BhYHXCJ6Xa1Y5brt+7i7B8/Q1 +9gP6P/HIJ3je7jOt7tqbMcmA5/ET+m1/d2WFAvC8vtvzwA2nL8UBnufj9eB5 +//L3Dmb1s5QEeQB8HXmBXk/rm0etziXIE+Rq93ed/kVKkDfILJ0eOL88hSCP +4P71RfzPrmkEeYVPJX//vBvoAcgz4Ocj76Be6FLrlplMkIcYtdfX6GNREUFe +omu01c5Hu3IAeYpN4Rtqi9TzCPIWqz++/pEx/R5BHgM/H3kNm0l/HxyZmQzI +c8i+e87XCJQB8h4Nfqe91c/GEORB9gcN2tmhhzMgL4KfhzxJQv/huY9PhBDk +TZasLO5WcT+III/yMNz/xaE8L4K8yogWOr82TlUS5FmyulQ7DRmYSZB38fKc +aVE09hZBHsbxukN9gnoSQV7GUOdLBa1VAvI0vdrc3N5gkE+Qt5nUZFRqu0EO +yONY5XiMn7e3hCCvM3jULk/bbnKCPA/+HuR9ag559r3WKocgD9RmQPCj7W8T +CPJCViUVKz1zCgjyRPlmB9Nym90kyBt1dTiSHKt9lyCP9ODRSTkkpxPklRrm +dtGyvU4I8kzOi8umXfW7Csg7KZoeuqwyKybIQ039plZeMzUJkJfqMP/v6Uiz +NII8lf2MpMqYN/GAvBX+HuSxcg9MVijnpxLktfwefrAuPRxDkOfKUB0deW5c +LkHea/Xq5Kpe8VcJ8mDeOx6dvzAmCZAXO2qen781O50gTxb7c0DUo4RrBHkz +rTrtnhrTEgnyaLPmBs1blJ4NyKvh9SHP9vvx1ZN9f5UQ5N00LiiGOU3PIMjD +9ctV/spsk0eQl8O/R56uYnlf08LO1wjydr/MmiZ/251EkMfD9yOvZ6W88nDG +1wiCPF/6Smst/QsRgLxfo+XgQacaWTzjPGBeuIFdVWkuQV7w//GM84TE8k95 +1A8/QN4QX0ceseXVpA5r0/IJ8or4OvKMM6/ePlZvJSPIO+LryEMW/VYV7LZO +BOQlP/3RN9ghjQPkKTOvzgv3180C5C1Dw+bfqpqRDMhjlsak3Lm61YcgrzlS +pt7y/D4CyHPu3T9w+GKJNyDv+a1T8853ArMAeVAdKB80ZZEckBc9HKbTlDYx +hyBPiteLvOmKPh015Wx8Io9q9qrZNLu2BQR51Xm/9pm0Tw4E5FmVHkP/Wk1L +J8i7bm4xYXDb9YQgD4ufj7xsyL3XxSb90wF52uP0XqlsFiXI29bl/a6oX6oE +5HEHpS64k7U4kSCva1BBHiy6n02Q57WvqSzXPZ1EkPcNiX5nPMkklyAPfCNv +wf0ci2SCvDBeD/LEZ5d3vl28JxqQN97xyiDOL+kaQR558G11I2X8DYK8svrC +T+SRiZIgz5xeUeOxMysRkHfGz0ceGv+PvHQ3+2a/R3fOJMhT2x7pZbDkmZIg +bz3aV1t76eo8gjw2/j3y2m5n7u+KOJdLkOfG15H3HrfVLzJprIwgD56uZVOk +ObKIIC+ec6Pt6aezIgB58hmTv/pd/iQlyJsPkT6I7W97hSCPvnnZEfs57eMJ +8urGGw2t/ByzAXn2F6o+m064ugDy7k36Jv4GltcI8vCy9+1CggYRgrx8fs9l +LQIW5BHk6Y129t7UNLeAIG9/bMRCc+WYNEAe/0w6mdV7dgRBXn/qwHXd67xT +CfL8+PuR9x/qS6sX3kkl2A/Q+0tvHV9VHMF+gb19O1tXmd8g2E/Q0XlKWL9V +iQT7DTZtKng9c1QSYD9CUXDHYfHZWQT7FfD7sJ+hjXnIyGlnZQT7HbRsU+tt +6tMA+yFqzU0bg0MiCPZLTDeOuW0UmkWwnyJvtPt61za+gP0W9bPfHG409wbs +x2joGt5oqpFCsF/j00eJn8PWFIL9HDBkZGThW0qw32OCQ72hYVwiYD/I++we +D5f5XiPYL4LXj/0kYbZ+e83PFxDsN9k8cESMQdQ1gv0oba+NrIqukQL2q9g+ +UHWsGJ5CsJ+laFud7/RTuQT7XRbXr9JKy8kh2A+zaX7Vy6W/rhLsl8Hvx34a +TesuqrS2qQT7bcbsvNXiUZqCYD/O1LnTZnTcLifYr9MU+3zr7p6pBPt5stTy +7UMHRhLs9xm3/GbQpSmxBPuB8PuwXwjcZpR4N6YR7CfC17HfaHXus+t3Wb6A +/Ug1G37HrjuQT7BfKdl6/6OCjBTAfqYJularD5nkE+x3mvZb/4lhYzhgP9So +7+8HQu8kwH6poaH+lVeM5QT7qQKn7l7xPbqAYL/V3WsFj5a3SCTYj7Xp8UWf +AdsCAfu1gkY9HDBankewnysu+KHTxSNeBPu9oq8YGaqkSYD9YBtX3Xq+hcVD +7BdzmjM4JblzAcF+spbv31lcvH2DYL/ZxLsBfSvs8wn2o8n0g1PM7vkC9qv9 ++mTfcvTzVIL9bJM3KCNXbZQQ7HfrOT/e7kFGFMF+uOKL43QmffQF7Jerueeq +GXU+DLCfbsKw3y22PC4g2G9XPrWLtPRlLsF+vG3bzd92e5gG2K83NG20b61p +PMF+vmCHN3UbthCC/X5RWs4HPDdnEuwHnGD3IGCDpQtgv2D/IWmTztzLINhP +OMBaU6NkVjJgv2HrDos+tD8bCtiPeNLiyXGD6DyC/Yp3TwQauY4IJdjP2LZV +5Hq9hmyC/Y7Ofo8ctuSlEeyH3LPuqOWuFfkE+yVVeqtleRZKgv2U1YdOlFx+ +HUOw35Kafx9nuCKMYD/m6g3SzHtNroD9mqH6LgMOzsgn2M9pZTN59E6TaIL9 +nvv1On5Sj8oj2A8a/tN6coEGJdgvOn6cU6F2kBKwnxTHP/ab4v+xH/X9z7K2 +w1bmEuxXtW0W36Hdh0jAftbgtpOfTxqcSbDftfhS4O7PaYkE+2EnmiTdhYIg +gv2yRxY29Yx7nQjYT6u51EL/pkwC2G872tNrrfaWbIL9uHg92K/7Nvu83p/w +LMB+3u46dT/NLT0I9vvuWTGieE5xLsF+4H7N2tt2OnEFsF/41dIpd+Rbkgj2 +EyfP/W09u7UXwX7j3UGndMImSQj2I88dsmR9bC0h2K+8Zb626bZVhGA/c6iz +WdKY0SEE+53b1P19ZJcXQbAf+sapG29afpQQ7JcOPNan6vAUd4L91HppTU2D +dsUS7LeWL3J85PwkCbAfWz0ltttfIZpgv/bnBO0Br2x8CfZzdy7/4guHcgn2 +e+P9wn5w/D/2i7uO/TvL8aEEsJ/8dqTx8/kfrxLsN7e/PfpYF7UAgv3o+PfY +r75/4/avBQ4Kgv3sFUvbhka5ewL2u1fT1Q67hsQS7IdP893YpvRsCsF++brX +tRaOGz0I9tOfKj43qSQzlWC/fV7N3JxBySkE+/G33IiM+e0eQbBfH68H+/lX +N3RvpRkfDdjvr3hzs3vD/nCCegB0/tRuOWcJQb2Abad3N8x8G09QTyAhc13P +aC0vQL0B/HzUI4hOmWJ/5JQ7oF4Bvo56BhNTXjQJO/MI6h3scC5r6BEQR1AP +4SgbWVGbcgnqJegaXanQWyslqKfQVzrznW5vBUG9Ba+35Uf3uSUC6jHMnmb2 +2zgxhqBewxVzvyE3WqUR1HMwOfFI/6mfDFDvIW7LA/nJvRkE9SDeLe0wbKhT +HkG9iE9t31ab/cgjqCcxvc2C8XOq0wjqTZwsO9d6jI6coB5FzupH33TPSgjq +VRwOdJ3Uf3w4oJ6FtN679WG3WIJ6F0kLXiac3RNCUA9D13jV+Hl/kwD1MsiU +NYZdMhIJ6mmcvDdd13djAUG9jfJtwx8b2uQT1OP4O/ppjOmZqwT1OoLHR1pE +jvAE1PP4aJ+2tPh4PkG9Dz33hS49TmUQ1ANZeLnjnPkH/AjqhXQZdPPnqoU+ +gHoi56SN8p56EQT1RnYljlDvV6ckqEfy0/po2RCtSEC9Enz+qGeC/0e9E4+G +yVnWv4IA9VAsjAIeqIJjCOql4PtRT0Vn9uF029lpgHor8ifXzU2UuQT1WHJK +nn63XKQE1GtZbrIsaijL/1HPRS9kTXH1zihAvZfQKYvde2iEE9SD6Zxl7Jpq +kUtQLyZj8hG4PiKNoJ5MROPgZfuGehLUm2l2qdLJUZIAqEeD14t6NRNX3Fnn +rh1NUM9m7s0xl/z0vAjq3dgNT43U/aQkqIfjF9eg+KKXR1Avp+M5I6eobmEE +9XS2tyzqn99fBqi3o7b+BSUD0wnq8WhNsL7UlJoEqNfT02HSoqIABaCez8y5 +o1ZOVoYQ1Ps5+1Z9tfMPOUE9oEetOp9Z2y6foF6QnueUsMWP3QnqCVHtnLRB +igyCekPVEz82TZJHEdQjmryoUuOJczBBvSLDDndVIdcVgHpGeH9Q7+jphlrn ++g0+BPWQDv2YcHriqwxAvaRGf/uUz0XJgHpKC1vrvo1enUpQb+nYgd2PyxwS +COox5b6PHh+YGktQr6lm3qKMiqepBPWc4jf+vlrtEASo92QR28GqHcuXUQ+q +PDLme8X+ZIJ6UYYP8ytSF4UD6klpkg/B6m0SAfWmnJJaJS9N8gfUo8Lfh3pV +rmdk+3IHpBHUs/qe8SpEo5oS1Lv66VhWnBrrR1APa1+wRtcLm9wA9bLa9LCT +h3RNJqinpakIvz7vYgJBva1KCwP5jg+xBPW4WqmUoxRLEwH1uiYOyDBWdkgj +qOe1LiJH79waD0C9r0Q17b4rwqQE9cDw+lEvLGNqrOOG4ChAPbHdekuvrTdJ +BNQbm7a/3clWLpkE9cj2F8aeGOviQVCvDD8P9cw+Zq11flzsB6h3hq+jHpr2 +jcEGdxekE9RLq8+V6f5tGUZQT83hvmdoZd9sgnpr97aPSQqlmQT12J6bm+m8 +nq8gqNfW0vXPa/0/fgT13JyXbXk9N0FJUO/N4HG/FtPzMwnqweH1oF6c0aWr +xnolWQT15CYbrG12v3coQb25llet4oIW+QPq0VXo6f6dd9SfoF6dusmNNtZB +WQT17AKnjt1EApQE9e6kam9aTbCRAOrhPd2VcGi8TjZBvbxxX0Z4rDmcRVBP +b/zZ5z+1bL0I6u31XxCzJTRUQVCPz756n85Ka1+Cen2VJS7pAUdTCOr54e9D +vT8NvzZPJhmmEtQDXNAmKeGEbQxBvcDBoeZXfcZ4AuoJ4t+j3mBva3vHqdYS +gnqE+DrqFTZ7G16SGkYI6hl2LllsF08zCOodXow+ae8rzyaoh3jKrM3l1btz +CeolXjN13vJ7kD+gnqJpwEi5z5VMgnqLhiscPWVNSoJ6jA4nGy76x2cR1GvE +60E9x0ynBT80L8sI6j1e0Ag+trGtP0E9yGW9Q2uTPyQT1IvUHe20KWBGHkE9 +Sfw81Juc/fdx5ZcGGaAepXVOCy2vK3KCepWy5qVPst86EdSz1L5a2OyHuZyg +3iV+Huph4v9RLzOo5JN3exslQT3NEoNZczNK0wnqbZ7+vtqjtbmSoB5nyiI1 +vzKrREC9Tvw81POcpaq0P5KVQ1Dvc9wnZbtl4bEE9UAP3Dy5ts/SGEC90I1V +nf3PF8oI6oni56HeqOZF+eK3cdkE9UjXxamOZFUlE9QrbWO/Y8HgFjKCeqb4 +96h3anE593HRhDyCeqiKA9PPXJ6cQlAvdf/ed7XtPsUT1FNt9mXokeQ6J0C9 +VaOUD0te/fUG1GPtbLtobZBxJkG9VpuIM58t7gcT1HO9knfBUW1NMkG910aT +VwsHaKUS1IPF60O9WLsBweP9ZuQS1JPF11Fv1tKga2rq4HCCerTTex993uqp +J0G9Wnw/6tkmaDVbpCyNBNS7fdLc3e5kXTJBPVx8P+rlLjjZXWEURAnq6V5o +9u7iCNtMgnq717rV9CsLySSox9tw6YTlhNfZBPV6KwcthNRNMoJ6vi8MNi3R +nRUKqPeL34d6wI/jf3gXn5YT1Av+6PF1o9eXKEA94QmRr9arP/AnqDfc4s+a +/CurEwnqERea9Y+fqh1HUK+41dsDWq2q/AD1jOvJu7i7T5UE9Y4HGE3o6R0m +B9RDtv/x2aSxsxugXrLmrlATPVUEQT1l63OSrn9aJRPUW361OiolvbeUoB5z +SAQ1MrD2B9Rrdpy/WbNxSDBBPedWRuea1T9JBdR7niw/6KnTKougHrTayspn +kx74EdSL3rlUM+jCiSSCetJ+OzYkfMnzA9SbXq7MrzatySKoR73V+LCp7mXk +4VQ0uKOBr6tnDkE96+27XY636RQDqHeN9x/1sLccHJV3PTWRoF62sf7bwHZv +swjqaX8ZNOLFiR0JBPW28e9Rj9s2/7nZw5QQQL3u466Gf8LXJAPqeeP7Ue/b +p3FxQW3vAoJ64Be/LPWfoRYOqBfefXuQoEzxBdQT/3ji8aihdokE9cYvvLtx +emuFkqAe+f/jGdcrXx+9TljUM4mgnrlvhvqLceOyCeqdewaNkOQPdwfUQ1c0 +u1b1Y6Q3oF76rB8X7CXqSQT11Ke7rgrQ/iElqLeeplhiv6p/AkE99gqTeRsP +9okE1Gs39uhkpm6UBKjnHm4d9nfG2nhAvfeS6h5TTVn8Rj34Yt0uu38WhADq +xQde7vhBv1gKqCdvU9F6g/nGOEC9+Wm2ZQ7XKhSAevS9vpk5jV0TCqhXb9FF +++XJYUpAPfuTU6bm+4+KAtS7N9Zvc11fGQGoh5+hGh47XyYF1Ms3Wpu6UfUz +GVBP//J+r107dIIA9faPr27jr5UVA6jH73Gn+Eh/3WRAvf4haj+HfiwOB9Tz +/9vYJWFlmAJQ7/+UPGVQGasP0A8gyzF0YkhDHKBfwIVRlhuvPpcA+gn0n1Ka +d7ooDNBvYP36ui3vv0YA+hFs8S5LjroWDehX8Lfb0vpe45WAfgZxl9N7x06I +BPQ7+DFivn15RjigH0L8+U4jzW7IAf0SehgVdxj1PgLQT8G759Rqs/xo+L/f +glE7oztqKYB+DOs3dzl2uDAa0K/h+KaCBJMOUYB+DkTzuFbPSiWg30Ofl3rD +kmqVgH4Q8tVfCmfYSwD9Ipb67O2z4l00oJ9Ev4mHm/vLFYB+E8d3bvJ06R0F +6EdxZKmbFWkdBOhXcb7kaEyX+3GAfhZWXplD1rHnjX4XBxYftCx4EQboh/Ey +3zsxXMMf0C9DEr1iuWuFBNBP48P10uLqbbGAfhu79D5PeK7uBejHMW3t2U4L +tscD+nU4hnnur/cNB/TzkHUz2x8zTAbo99Fsve6wZ5cVgH4gWho31O2nRQH6 +hZhLL61PDogE9BPZeL15bsfTyMOp6AmzHfMOSaMB/UiabvU1GxacCOhXknOl +8HmhsQTQz8RsXvJ0W7coQL+TCAejAPumWEA/FP+U3/VfL4YB+qXcGVT6bq+B +BNBPpWUNTApqJQX0W+mW2Gb+1OWRgH4ssj7zt3xPkgH6tbTaVmpsbCIF9HOx +0Cm27GrpC+j38mSXy4qvVWGAfjB3RuSnz94uA/SLyRrxRcMm0B/QT6a39wq3 +/RsSAP1m6N1Nzy9NTgb0o8karJM+/UIMoF/NyseXk4NfxAP62XzbMz5nXqgU +0O+m8/TPb+2rIwD9cMrXrd+89E0UoF/OD+f9lbuSgwH9dPqoF5/ptjsI0G/n +cu3t8FmD4gH9ePy+fdK6NTMF0K8n4HvrIWMfxwP6+QQtWnepfkssoN+P18/E +Suee0YB+QELNC9W151JAvyAfB+X0qxPDAP2EDOvG7+k1OwrQb+jVpCPrp44M +BfQjWnTcTLa+fQKgX9F4p9OVpzaHAPoZTT9d7JL5SAnod3TZWxbxR5AD+iFV +D4pb47kgBtAvyfvPWDixJgjQTymnUefi9X4KQL+lPJMuXevmhQD6MdXFlb2t +qA0H9Gv6vWvtm+LzkYB+TqeGf4ibeFwO6Pd0f25DodoBOaAf1K1FUQ8+VsYB ++kU9GaTU/loXBugntSF+YOLhfnJAv6kfHTSezsmRAfpRXTrc1m7flihAv6qS +Xx7N7i+MBPSzcr2k4bhzWgyg39X21aMnu6RGAPph0ZzwDReOxQD6ZXU0vT69 +s9Id0E+raOu0P3+u+gH6bdWNa6NtFqUA9ONKrZ4SavM7HNCva5Zbsv2pgQpA +P6+MPt1dmvYhD6eia46+OfDHzxPQD2xietWDu+2DAP3COm5YMcvodQygn1he +gtOuG/0jAf3GEhNNz09wkQP6ke18VNU+J0gO6FdmOk/D1yZBDuhn1umpn8mL +dgpAv7Pg/TmWA/LlgH5on6YHGHc4kADol+bvnWhzcm4ioJ/aygpP7xGRSkC/ +NeNZaxyjp4QD+rEZTtvR+UZlGKBfm+fi5i7qC5MA/dy+WU6yS41LAPR7W2ll +uqDlTwWgH9ywXN8pezwVgH5xbUNKToxj6zX6yR1uUIbMKogF9JsbfkXt8pSd +AYB+dOnPMo6PCA4A9Kszs9g9q7pzJKCfXZoiYM3vhChAvzvMl9APr3/xeL3k +A+GAfnlddtmk/3zvAeinN26pl2vzwRJAv70vw+5vKVWGAfrxfTqXEWCU7wXo +12d7YtqRjmulgH5+Ly7Pzk5bowT0+8s19titExwO6Aeo9dXG16x5AqBfYMiG +SGHTWjmgn+BOm7MBex3jAf0Gt/u0CfpaEgnoRzgy4ULUyRlRgH6F9ideVHWu +DgP0M/zbrOHF6y2ugH6HNW76RWadkgH9EN+oNziYtXIB9EsM27qh4/xOPoB+ +ipo7898ccJUC+i3uOpa/WL91GKAfY86Fkw/GVwcB+jXGDbmbYZ4pBfRz7Jzp +ccZtaCyg36OWpV0bs0mRgH6QwlursUOjYgH9IrvPdegaaB4O6Cd5ts+bhImv +EwD9JjsNHHp2kk40oB/l1TT/BSm/IgH9KhN6mZxuGhUJ6GeZlfBq7CWdBEC/ +y79T599y3xsI6If5+NjAP5lbowH9Mt+/WrFOlRMB6KfZbaLxizJ3P0C/zZXP +noU97hEH6Md5VeZsvL8mCtCv81vvH517hEgB/TzVXasVh5siAP0+mymCXY8I +MYB+oFozlnkukgYA+oU2f+T3ZueDGEA/0Qunojt11pEB+o1uvXjbuHhbDKAf +qc3vguN+630A/Uqj8l/PGzUiDtDP9E/4jgszM2WAfqeGFpUbhnX3A/RDTdxT +XLxpsQegX+qmqzVTP1vJAf1U3+6bVpXVLg7Qb7Xs7/l94dqJgH6sXZMX3u7w +VwHo1zps3qHLTzRiAf1cJ8svKBfejAT0e9WsjVZqTkIeTkWddWXPEouiAf1i +a80NBk8RwgD9ZMf2HvDDlMUv9JutvD5Hf2JwBKAfrepMZMVrhQzQr3b3W4eZ +CQ2RgH62jo+u7j0yOh7Q7/Zsees9wdXhgH64pYsmHOnZRQbol/u83QrvlEIZ +oJ/up/EHAjeEKgD9drXzZ2Sbn5YD+vH6wom5M+RxgH69/b5M7BjmLwf08124 +dcb6yGPegH6/Uwd5TTZg+Qz6Ac9MPnk9uG8ioF+w106zZmZOCYB+wrmL+x4e +u1QK6DccXZvQWrUmFtCPOKhHeNw69rzRr7g8aubK1a3jAP2MA9TXra2ZEwbo +d9yr6efc/TdiAf2Qk7pPW+86NwnQL/lKSZG6w4BEQD/lOQ8HV1SuDgX0W17Z +cccwDU85oB/z8FlPS1p2CAf0azbasUW3fIsc0M+5qMDDZn/vJEC/Z3WDT4WH +9P0A/aATzhZv3BIoAfSL7j2j+dpFhhGAftLOQ6Y7hDQPBfSbtjaaldHXUwLo +R114w+Ru0zQvQL/qIwdWVPZy8QD0s14aXttTP8gV0O86feeXzQ6WsYB+2CXN +a8uH20YA+mUHr65dNuKLFNBPe0XhIIt3gZGAftsrB95scY3FV/Tjfn/a4LBk +YTSgX3fSmzure2lLAP28je3Hq83MSQT0+06PeOiqMTgB0A/8+3a7stbxSkC/ +8Kl1K7dlPosA9BNvs7GPWcMXJaDf+PIxfW/NNI0D9CM38irO63UnBtCv/Oka +y7VVGqGAfuZHTkWePpARCf/3O2/KfT7qkQTQD/373t4S4wehgH7p5i+kKU5G +/oB+6qdfOw9qZ8TiGfdb3zBp2cqv3cMB/dhtvE/NOnXZC9CvvfXsZJ3fLF6j +n3tQseOQhAwFoN/7L8nHjZq5UkA/eMlBr51Hl8oA/eJHzzd7NaQmAdBPfof5 ++Z2vvMIB/ea3nJ0+fV9ONKAf/SH3rsM3hfkC+tVXDyyxsdoeAehnDyWeF7Z8 +DgT0uy//uctiv148iDwcux/LU0x2zpFyHo7ly11cOrTz9wGRh2N/P95FzYp4 +g8jDqej8zAfjO10I5zycip5c0V4vvTSK83Asvo5+d6CVuwJEHk5FO2THj7cx +DQaRh1PRz6cHfNAIigaRh1PRzY35JyVp8ZyHY993epbNXOIKIg+nos++2jX5 ++ESAyMOx+DtLX2WkLgORh2P11w41qffERBB5OJZvDlmuPO/iz3k4Fd1W0GLx +Yd0EEHk4th5GfIw84+QFIg/HxtPhhhEhEk8QeTgVHWR9vtLVTAEiD8fyiw11 +azvJo0Hk4Vi8Uu7Q/6EZDiIPx/KlPRY9T/5NBJGHU9HXhaX7YEcQiDyciqp1 +Dc1eaRcLIg+nooGtfSftZOu/yMOpaP2w3XvdZ8SAyMOxeFPSmHn3eDyIPBxb +/8or9/86Fg4iD6eiE/ylTq8a5SDycCr6U7PZUqv14SDycCx/PdwrY7FmFIg8 +HFvf71weGeKexHk4tv5GpJzcOD4WRB6OjR/LSU22fxSch2Pxe0fPqWO/JnIe +jtVLJzQ1tpoHgMjDsdf77L3RSicCRB6OPS/t/b9sH/qAyMOxeH3C4E27bwmc +h1PRm+903rl8jAGRh1PRwV8O5XVpFQUiD8fWj6MTW23tJeU8HKsH3u40nb0k +FEQeTkWzc9YdijOQg8jDsfXxdPZA2WUFiDycig7oWHU+ndXfIg+noqFtqe3h +ORGch2PP//LZrHk3AkDk4dj1bGr5YoF7BIg8HPv97Qucvpv7gsjDsfHzK/2L +T8tIzsOx+NF49pfaF2fOw6nox/ht8H1XEIg8HFsPl3T4UP1LAiIPp6KjNmqd +vvQ6kfNwKjoiYkjMjPRQEHk4FW2MtCAzOyeAyMOpqNUcRcr4DBmIPBzLR3YN +uv54ZDTn4dj43jmwdGdUJIg8nIp+vdV9yB+1BM7DqeiYAvXK68PCOA/HxvOe +IDdFSiyIPBybf8mfe2sc9QaRh2Pjs9c9tYuTo0Dk4dj4bjr++F6XJBB5OFZP +mw14t0MWCCIPx8Zf8qzpZ7/IQOThVLT97x3B39ZGgcjDsftbGroxvkEGIg/H +xsdL97lnJ0dyHo7N9ztr4mdHKEDk4Vj8Icmtz3QLBpGHU9FjkslHj62KAJGH +Y8/bplvsakkEiDwcWz/cin7MHBrBeTiW/9fqDerrFwIiD6eii00b9CdqJoLI +w6noPedOy7c1+IDIw7F6rGqmUeW5GM7DqaibvZnXsFYKEHk4FdX9uXjAF614 +EHk4Nj509vacuSkSRB7uv/7pGie7svpT5OFU9MO6V6M8B/pzHu6/+xut3+Yn +xIDIw7F6Z2x7c90lviDycOz+Rde1nbvdh/NwrN4K3KmnviMKRB5ORR98OjnP +LkQJIg/H6ouI79+d9aI4D8fq9/WVAWmqBBB5OFb/bz9wdV5YNIg8HFt/bX5u ++PI8EUQejsWj1feMtMZEgcjDsfVzqnH4fD8vEHk4lp/LUko6lUpB5OHY+nU4 +XVl8VQoiD6eiDbuT759ffAVEHo7d3/h9hqGdgkDk4VR0XrXk0TNjCYg8nIru +Mdk4OioimvNwKrqgLOPbsZ2+IPJwKnpqRPtvrm6eIPJw7PUz1XPPacaDyMOp +aGZOYeHq3hIQeTgVXbIg7VDfehmIPByrNxdqNRQPk3Mejn1f4fQiTdNIEHk4 +9vz6dL00sdAPRB6OzX+/6w59LkpB5OFYPeVjs+1nXjiIPBybr1on7Z5qRHAe +TkU7GgzwrGX1gcjDqeiMwk+9ZiTHgcjDsXqxLC74tkUsiDwcWz8GXbAo2i4H +kYdT0bul8aPUPwWCyMOx9f1UettbzyUg8nAqqm81RmfilxgQeTgWX+yeW/9O +D+I8HIvflts+hi2LBZGHU1HF0ZFv790PBJGHU1GNlCWVHwK9QeThVHTVKts2 +kYsSOA/H1selYw7cr4gAkYdT0aqEJY6bT7txHk5FT3RKnyod7gUiD8fi7cbs +G8NIFIg8HKsf2k8bom0UDSIPx+bHo7N6hmkyEHk4lg9/P3a586xoEHk4Nr6y +day9f0hA5OFYPDl96+7tXlGch2PXL5348YhGFIg8HKvnhyycYmOpAJGHY9cT +FynIFwRyHo7Vv1+6tZDcjACRh2P372G5xrvDChB5OPZ937wUo6z8QOTh2PMt +6t/HoUUsiDycijps07zZxUwOIg/33/NZawnkyEHk4Vg+RMNdvtkpOQ/H8od9 +ZYm+l8JB5OFUNOXYu2eHSmUg8nAs/x14IOLT2zDOw6movV//iS9bx4DIw6lo +ncbCxzOexYLIw6moNN5ZvnKxBEQejn2+ddapjReCQOTh2PidKT/h5pbIeTgW +H7qpDn4+GAQiD8fiR+sWs9/NTwSRh1PRCnlN3aCTMhB5OBW1aHJ40GZLDOfh +2Ho83y/NfU44iDycij4JKauy2xcGIg+nomvbrf/64GMc5+HY+Ippe957QRyI +PBybD703PTyiGwsiD6eiL732Jx0MknAejs3/xmmB0gQpiDycit4HU8+qWh8Q +eTgWvxs8JrzLjeQ8HLt+20mZ2edDQOThWH66esk5Ew055+FY/Fg+VNsnTAoi +D8fy+2bev+44SzkPx+JP9ZvcztIgEHk4lo/qdmmRP9IDRB5ORTcWSLZd7x8M +Ig+noucGtFuqRiUg8nAsX53U+8aTaTLOw6loy882qd8DfUDk4VR0/bL6nLeL +okHk4dj6svnk6dD9ISDycCqqXLD/25hD/iDycGw9ut/RwOFNPIg8nIoezdUw +9LCVgcjDsfXNwvZAuTKM83Ds+1ZbVvV9GQwiD8fifUWYr0wexnk4Fh/em/by +XiLhPJyKdgp47Tv5pTeIPNx/88+zv417u4HIw6loxNmSKdLvYSDycCzfrPk5 +u+6tO4g8nIrurcv5+aNdPIg8HMsvYjbqb49h8ex/PJyKxjqusrTf5AMiD8fW +B69nuUUzJJyHY5/X8KjLOaNAEHk4Nh+r53ru/hMNIg+nojkXHT+f0g3nPBzL +Z4ae2F+fEsh5OBU9Pnb7mmWZ3iDycCy+uX1d73A3FEQejsX3R2F9VxSEgcjD +qejkX0/dN8piQOThWHx65frq+w7k4dj96/ruUB+/QBB5OFbfa27rnabyAJGH +U9G8lYVrTgRHcB6O5U/yLdOnvVZwHo6Nv91+jj6Vcs7DsfwksvaizaYYEHk4 +Fo9apAcHqCtB5OHYfIxIf2d/1AdEHk5FvdVLizsVy0Hk4dh8e3y2sX6HnPNw +bL29eFh/3tIIEHk4Vo81c5L1GxEFIg/H5oPBfauYn2Eg8nBsPZkWP3RU/SXO +w7G/r51pstAkBEQeTkVNv5we7v4mkvNwbD7c9tA+o6kEkYdj9fMj/RNdOkaB +yMOp6PPAIbUn1oVyHo6tj6NOFp0rDOI8nIoOr/kgmKp7gcjDsXxhf47Vxs4S +EHk49jzetmn26agMRB5ORc8cbne22DuM83Aq+vDRzTOXYmNB5OFU9Mbh3krD +nmEg8nBsPN3yLvcoCwWRh2P5tsavNlv+RoPIw6lo/MRF80x1o0Hk4VT0lteh +tV1Zfi/ycCrqd/FTVWTbCBB5ODa/3ANkp45FgMjDqejWyyv3Lq0OB5GHU1Gd +K2lHdayiQeThWDxK3Du9IT0ORB5ORa2LO816c9EFRB6OrS9+cX20lyVwHk5F +3zVqmjxgz1fk4dj60Jh6W9dJBiIPx8Zzv55fGgqlIPJwLH6XWjS9lcZyHo7l +RzPy/k5h9brIw6kovSl8aoIArg/H6ivt6uOb3slB5OHY+6017k5wiAWRh1PR +iyllg83vBILIw7H4Of+1Xdl8GYg8nIo2GcgPnVgYwfXh2P0tPqw54HU0iDyc +isbk20SXjJZwfTgVVff2sZ0914vzcCyfb1P4tNo2EkQejsXH91PPHLwUDCIP +x+bDjPMzOvWXgsjDsfEwz81sgIYLiDycipYYT8/J7B0OIg+noguvTywam+cH +Ig/H8luzl2dMrSNA5OFU9Oqc1cKQFcjDsfX++AzdrM5hIPJwrB6Z/M2x+EA0 +iDyciv6ulMwwGR4DIg+nor26pk55NjEORB5ORd3XvZ70cHg0iDwcy6eetvO8 +1zccRB6OjSf3+qX6JJzrw7H1IMay/khBHIg8HKuXLl64aNcuEkQejv3ew4nn +LhVJOQ/H6sOXvYJiwoO5PhyLp/VJp44dl4LIw7H7l5B/b7lvGIg8HFs/hzRO +n+IiAZGHY5930WJLZZw/14dj620guRsQcYXrw7HxFOylMTQgHEQejq1f3xb1 +W7kiBEQeTkVJ2+r0KcXunIdT0eTm5uqf3si5PpyK6t2q/WnSMxJEHk5FN7gY +d80pkHN9OBW9bWy16lbXAM7DqWhb211Bcg8fEHk4FX3TvmN77WHhIPJwbL09 +v91w4e8YEHk4lu/sezl+uraM68MJdEjDHg3Pz6FcH06gh03MtbzOeILIwwn0 +Y0Unr8weYSDycAKtqKlr3ds5HkQeTqC7Oq11mtLHB0QeTqCztwc8+fE0hvNw +ApWk95m5aK875+EEOi9xhyJmWRzXhxPoqFVFiuUZ0SDycAIt22hNDbcFcH04 +gWqTrinEMAhEHk6glxZ3N9iWEQUiD8e+zzOxhpr5gsjDCbRh9NnNUu1IEHk4 +gV60nB61kcVvkYcT6C2tBW7LZsWByMMJ9ElJwpk1k9xB5OEE2rNxyPMJTWGc +hxPo+Mr3xwIspCDycAI9kjDxp/2jUBB5OIGuWfvrWd9rYSDycAJN2+jWkzSE +gcjDCbS314/XA1VeIPJwAv3eZKY9K1YJIg8n0Kv0VlWkSRjXhxPotvp2+3bG +R3AeTqDNR7rn9C5SgMjDCfTA5KHbluVKQeThBDq5qvYWFMs4DyfQ989LzF4u +lYPIw7HnteDBlm8bFFwfjr0+ePZITTsp14cT6NmAkZ9Xx0RzHk6ghqZGc1+X ++4HIwwnUqOz2WPmTGM7DCXSuzLPL5mmunIcT6OU5Ey/UTfADkYcT6IY8K48V +rSNB5OHY83cbvMKugzvXhxPoyLjj1W0PRnEeTqB2N6Z2cvOKBpGHE+iAiLwz +O92knIcT6KutPy+uM5KAyMMJ9IzyWpL/SR8QeTiBTjVsfOcTJAORhxNoVZVk +2v1pQZyHE6ivU1zzdbsjQeThBJqUoNvuzO0wEHk4dr3j73daOiMARB5OoFm2 +0ML/tDvn4QRKms13P1PiDyIPJ9A/nQvXtT8QxPXhBPq41ROj6E1XuD6cQDv8 +tLDqfEgCIg8n0ME3bm1JPRrO9eEE2q31hVm3hiVwHk6gDsY/X64blsh5OIFu +GbQiv/dWOYg8nEB97OpvHBoVDCIPJ9CNTq1US2fKOQ8n0Okhfrc/uMg5DyfQ +Gb+77UpMjgCRhxPohKqus5/MlILIwwl0Yrdx3/TGKrk+nEB/7oiOXeMRDSIP +J1DzbV20FmxVgMjDCdTb3lUIuh3FeTg2n2ozuyelunIejs3/d9rx3Y7HgsjD +sd/T/nSHoFx/EHk4gbbtd2nRuUg/EHk4gR4bonep/9FozsOx69nTqD62rZTz +cAJNbEmC9V+6ch6OxYeCk1q5s/04D8fuLwm62WdXGOfhBNr6nPML05G+nIcT +aOGfpJp+LXw4DydQ2Ygp/V40RnIeTqCbj8Wc0VfJOA/H5uMB32xrzRDOwwk0 +W5LtYW6i4DycQI3HF/dVOio5DydQW7Bx3Xw0jPNw7PeYlQVfWibnPJxA/Ryr +/Hsb+XMeTqALJuQILScnch5OoH977Ws9raUf5+EEWp44Xt3kjoLzcGx85x5w +az09jPNwAm20bu3ZsEfJeTiB6uzYVLRgqRvn4QQaf879zu2iYM7DCdSydUqF +bZM75+EEGpwjzZo8CHk49v2BJRfrZ0ZyHo7Nr4/F9tfTIzkPJ9BmitZPfxmE +cx6OxS/16Npu5j6chxPoiYeynDbjpZyHE2jgphkddXZFcx6OzXezX9ov1ko4 +DydQ1+c2RmZ3IzgPJ9A5a6ZmQ1UM5+EEOj8g487Q9fGchxOoy4fkfu+mh3Me +TqA7WvrKV/f25Twcix/kb+s1bcM4DyfQ3/Wn2nbQjeA8nMDy69a9ujhEcR6O +Pe8Tlan3jUM4DyfQMZfX9l6UFMZ5ODafcjt+ruoi4TwcG++xEV0HNbvEeTiB +RvbdvPNKtwDOwwk03214Yeq4KM7DCfSTycXC7j3knIcT6KykVxuanY7hPByL +N/Ov5e67HcF5OIGOfma/vXaSlPNwbL7vP7nM/5k75+HY+Par/PGc1c8iDydQ +95FN0W+2xnIeTqAp3mMfKOwiOA8n0HFB+7IvnPbhPBwbf3Frmz55hnAeTmD1 +Z3a7UybenIcTaAtv3ZLadu6chxNoddXbnBfHwzgPJ1C5ocuErLdyzsOx+Jg/ +x3/+GQXn4QS6P0Stxb13Es7DCXRvm+rkK+MiOA8n0A/GsvHR32I4D8fu1+QN +Pdp3RX04gd6t2/Z66IoIzsMJFGz635Gz8SjycALdbpXtuShXxnk4gWqW3Xnc +xSuS83BsPU4OXtqc1V8iD8fme99rs7WWeXIejsUDU89lCsMIzsMJtE/XzBU6 ++tGch2PzyfnI8YxPwZyHE+i+DQc8e+eFcB6O/d4eoFYeKOM8HBvvf2d+79MY +xXk4tr6H5SXmXYzgPBzLB1wW2yxh9ZTIwwl0T+CPlyUrQzkPx8Z3skbjM4cg +zsOx+RWyPnDskUjOwwl0aP9SF/NgBefhWP7idSDL0zia83ACdUzTsDzilcB5 +ODae2u102/QqgfNwAh22r0Wxw2zk4QSqYexjbTc6jvNwbPyPdp//uC6M83Bs +PdoVur7zHn/Ow7H1Y7en7ER5OOfhBLq10OBUaJ6M83ACNb3fZ6xGZjjn4QTa +JWddtdZXD87DCVR9oU1B93lSzsOx35vqUNDVNIzzcAKtf9Y1fONBBefh2PX+ +Kn/o0jeC83Asf9jwcvOdTsjDCXSsk9y02fFwzsMJ1Gx2yL5TibGchxPowvDN +c4pWyjkPx9b7y98jtU2knIcTqLKl9VDXokTOwwn00TK1hBe/4zgPJ9CgPUk/ +nwmxnIcT6IMHi8+OCUIeTqBTJnufnbZawnk4gTZZfaWNnjLOwwn03nibKWek +4ZyHY+NXdcPJsbWE83ACNfj9ZE9qnyjOwwn066N9y6OqYjkPJ9ALwasm/zde +iTwcy38e39c5nRzPeTh2v8faawx+E815OLb+bW18ZWMawXk4ga46ea48lEZz +Hk6gJianfFf2j+I8HBu/fbb+3jEmhPNwAk3tseBsyBAJ5+HYeuy+rot5kyfn +4dj8/DKKhBd4cB5OoNYet1a7lkdyHo7dP6vJ2xb5R3Iejs3Hof7qeVOlnIcT +6DXwGNlqKPJwbH7d1s14Njec83AC3bmpaHW5cSTn4QSa4bq5dHbfUM7DsfjV +vn+XmuWoDyfQ0sNXD216Hct5OJb/ePw9KGPrn8jDsfUg3macXXEU5+HY+vPp +zErnUCnn4QS6ory3e/WLMM7DCfS25NZOtTA55+FYvtvtw+uhhrGch2Prs6uO +Y4fFAZyHY/mxlnye3xjk4Vj+tF7/dqVaBIg8HMu/Z9g1vTwcynk4gb42PWee +vSyU83AsH4pds+tJQzTn4dj4uuLZ15w9D5GHY/nNyQJqwPJTkYdj48uo6vTa +zXGch2PjcyKY04sKzsOx/GKe1drvpfGchxOo2uK0m23WyTkPJ1Cr835KTWUk +5+EE2rlt2kmTTsGchxPoKbg1v9kxCefh2PwX2pwwqJFyHk6g12PDPEp+STgP +x+6XdHTmx8tRnIdj6wO9qrH1VBTn4Vh8yJ+2UjpHynk4gSbsn9zh+NVQzsOx ++Nj50Ghlrh/n4QTq0eHLi9cukZyHY/me86tDFzuFcB6Ofd7RXiuNq2WchxPo +0qr4C71vR3IeTqDvJBf2jVgcz3k4Fv8MlOGrtGSch2P5xrbYgz5ngjgPx+J/ +H50dVZ+DOA/H4jM0My6f4815OPZ7RlpY563x4TwcW89KXpz1Hy3nPBwbH86f +YEaxgvNwLN+QLJebqcVyHk6gy39OPJZ6L47zcGx+pnbfuOx9Iufh2PV/Ku1v +1kPCeTiBtgpbbfPnqTfn4Vj9YB671HU/8nACPe/5bsyRbgrOwwnUvt3sO+bu +cZyHE+jAd6OPSK8EcR6O1WM13352GCvnPBx7v1ZucK8VgZyHY/O3Z7RXez8p +5+HY/X9+wtBMT8p5OIH28xKGK0fKOA/H7v/uDqN71Ss4DyfQYpc9954Nj+Y8 +HJufglptn0dKzsOx8TO0auHaJ8Gch2Pzucvvdja58ZyHY+PVcebkyHBvzsOx +5zVjU3Sr33LOw7H6+4nxjKnTAzkPxz7/ySK3d6MSOQ/H1uu17x5erZZyHk6g +i7UazVcs9Oc8HLu+k60mh+xQch5OoHnHiqvdG3w5D8fG/0ejxJaNEZyHY/nk +pyM9dY6Ech6OxeOvez7eqJRwHo7dv41qR/fFRnAeTqBPNceCyZ44zsOx1xvv +nr1z1J/zcALte2rlde3KKM7Dsfs7uyHPxgj14QQ62828OuC9nPNwAu2h4Run +uzyK83Csfr5ZoG+kVHAejsVzWU5FTI0b5+HY9dUmfBzjKuM8nED10r5XlP1Q +cB6Ojeeod2WD/0g4D8eu933n44ljIzkPJ9BOhyLdv9yL5jycQCsfWgzY1y2U +83AsHkdPPJhuHMN5OLb+arW8/3OMkvNwAnXa2DBlkLeE83Asf3wq273ZNoHz +cGz9mrK0+tSaK5yHY+t7K0XuxBHRnIcTaNz00Cl3TGM4D8fi3UPtoBVBUZyH +Y/X1yPqtH5IknIdj9eSCXg9vmYRyHk6gycVloWfOSjkPx+qHbdfOPfWRcB6O +jb8aU/dnEVGch2P54EqpfXsvT87Dsfxf09l68zp3zsMJVGXxZtrm/WGchxNo +9z9JevHHZZyHE+igaU9DHZaFcx6O5RN7ms4t7xnOeThWD0yy3PFkvpzzcOz3 +f/huXuwcw3k4ga4LC3p4Ik7BeTiWH9mre+x7J+M8HJuvc7cpk0eEcx6O1Y/K +fh2MjRSchxPoi9j6rd1qHDkPx+JjkfZ934lBnIdj8fhm6dR9t0M4D8eez+/a +c3bGcs7DCfT4r4Fer/aEcx5OoJfOnd7a6BvKeTiWb5WuOJPjKuE8nECHbHDZ +UDxcynk4lv/pqVX6V8o5D8fiWbnBlAGXFJyHY69vPdtvZW0w5+FYfpJ86Jzx +RAXn4Vj9aVkUbu4Zz3k4Nv9fWM40DkEeTqA2L0+Z9VtxmfNwAv04Jd7aqH04 +5+FY/jKn0DktRgJ/Jf/l4Vh8kFnPvFnpw3k4dj/aL5hzuSGW83AsP2661zly +ZQjn4QRacvOe9IhDGOfh2HiuGpowNTOE83Ds+n680r6/NIHzcAIN0Pkw3Gal +hPNwAt0dbT5U6i7nPByrv7oEx079KOU8HMsnthuPCdBK5DwcG1/jSveuGYQ8 +nED1p7lfCOsXznk4dn9sG2LvZ8k5DydQrzifQON+/pyHY/mX4VEjv9pIzsOx +fKOldX3BIgnn4Vh+c2Vq2bDPcZyHY/P52CWj97+k0PF/PJxA59350fOqbijn +4QR6aNE9jRbBUZyHE2hEluyR1tdwIvJwAj3YoXL2pWR3zsOx8TxozeSeO525 +PhwbD4XBe193cuU8nEDv3F+uN3ewguvDsfFpm+28vM0FzsMJNMa3sMXRPZlc +H06gFh8CyfszEq4Px9a3uqZmul4yIvJwAm0/7lr/7yNjuD4cyw9d1DavHZTI +9eGE//cbiDwcix8B+/7ceCPl+nDs8/Tt1umfCuP6cAK9MaatlfYPD87DCTTz +cfarRw8zicjDCXTSsjV1TfWuXB+O1RPbD+wfXhjOeTiBttk6ZUpCZgDXh/v3 +/SIPx+Lxi5DjFq5xROThWH5rt92y7cMQzsOxejfqpG5jJyUReTi2voTc2zud +xTeRh2P5xw7rG8MvSrg+3L/PF3k4Vs+YazjZqsVxfTiBPvmwxu7lLQkReThW +Ty32fbr9fgLXh2P15/rThSZF0VwfTqCHP26y+ePrQ0QejtVD6j6aj+MzuT4c +y0/Wvz+gVqnk+nAsf0m8NIh6eXJ9OIF+avux0+d4H64PJ9Ds0wGPTG9GEJGH ++3e9Ig/H8kfdubp+yotcH06gM39P7HTBLZrzcAI9mvK4VG+dH+fhWD2rfbK0 +r10Q5+HY/V+V/uzW6nDOw7H6+Ep3zXOWsVwfTqD9G/LqhmxNJCIPJ1DDpTOi +XtUmcn04gZ57NOD+QQc514cT6M9506jpJm+uD/fvekUeTqA583poHzFI5Ppw +LP7tdB/ywURCRB7u3/tFHo6N/83mL3VnJHN9OIHeP/RxeNnyi5yH+/d+kYdj +83erDuxWd+X6cGx9mzL+wuCwSM7D/Xu/yMMJtHbTms1Pe8q4PhzLt4Oyzs9N +doVe/+Ph/r1f5OFYfXGq65K8fl6ch2Pzq2R8rb9OCteH+/d+kYdj9zN27lSb +oGSuD8fqPUWdaZ1LPBF5OIEG92jpfGGXKxF5uH9/L/JwLD5qq/mvPCXjPBz7 +v/vNbs8dlETk4Vg9q7lh7urAeK4Px+JB5duI/hY+nIcT6DK5xvei9TGch2P1 +mO+x4g/pcs7Dsfh/cfL6B5pZROTh2Hqf5WPorCXl+nD/rkfk4Vj+ZPJKd1hE +KhF5uH+vizwci49zfStupfhzHu7f6yIPx+JN9cvtq2bGEev/8XAC3eRZsiam +JoTrwwnUctGJpaPCnLk+nEAjb0DdtRYKrg8n0K797z11myXnPBz7vSvsvIJf +J3B9OFaPwNqXy4encX04gXo2E8xLHNK4PpxA5+dW3G1roeD6cP/N13e0Liv0 +4Ppw7P4mbXi65XUo5+HYfL424c/tLf6chxMojal38egUxXk4gdZ97xJa29Wd +83Bs/k1y731vg5TzcAJ9s627wzjNTCLycP/uh8jD/fu/yMOxfHK9T2VQcAbZ +9z8ejuXXbSNqhv70JCIP9+/9Ig/37/8iD8fiYXDywkOGCs7DsfrVZY/b9VQv +rg/HxtuPD5oGW3w5DydQR5/cGSUnFVwfjsVvme/kFiaRnIcT6FSz+03et5Vc +H+7f94k8HLt/EdojLy9KJiIPJ9BX7dYfGnczgevD/Xu/yMOxeOH8quhOdxnX +h2Pj17SPZitFIhF5OIGWHbLv47QnhPNwArULHn3ywqgAzsMJ9Mvm7uerJW5E +5OHY+tNt11a4FcD14QR6tY+2psGFIM7DsfWqc7sys18yrg8n0O32izYG/sok +2f/j4djvvb7ii/foRK4PJ1AS/cjNsDyViDycQLfVDPFfZhnI9eFYftrooWug +l8L14QQaW95K1b1jFBF5OIFKO9r0ftvRi/Nw/36/yMOx+q8tCZ2rEcT14Vi9 +pBSSlrP8U+ThWH4WpD/MuCiM83CsnsnQm5Sq4cj14Vi9MuJKpxnVSiLycKy+ +qdHtNcArmevDsXrx0878M19DOQ8nUPOedv0TPkcTkYf7dz0iD8fmx5R2h76u +SOT6cAIVtixv2+GqO+fhBBqWsMc5ok8Y5+FYPpWwRGf72iwi8nD/Pk/k4QT6 +eNuhujssHxB5OJZftV5w4+WpTK4PJ1CJbmeXkbZOROThBDrL3sf3ZYsIzsMJ +dO1jnTFnRsk5D8fWz9DxY/rtkXEeTqClF+ccLjJMIiIP9+/7RR6O5eMH1+xp +NyiWiDwcq6++LGuIfCjl+nBsPts6mn01UxKRhxPohAvj5j4ODyciD8fyTbNZ +f+bbp3J9uH+fL/Jw7P9C0/o1D0K4Phx7Pr9Vz0N1YonIw7H17fCz2KyJSVwf +TqCKP/X+q75LOQ8n0OZX1fTZSsr14f59vsjDse8fqPup49gQIvJwAn27T99y +y5M4zsOx/En6e/HDm95cH06gvRT78nYsQB6OxfvWB+fpPYji+nACbVd+ptg8 +IITrwwk0wfzm+mPLZVwfTqDhao9vHjoWSUQejl2PSfzUbbMzuT6cQJ3NTAOe +KvyJyMOx+kV/XLu6YxGch/t3/SIPx+rfpUE/3zWTcH04lj9brD3jZ+LBeTiB +3ovXJHv2S7g+HLvemsqs8FXOnIdj47dm2gLHnxLOw7H69ux82aiv8Vwfjo2X +Yd//hBp4ch5OoL/iPiij1wZzHo7l45OVw3uY+3J9uH/XJ/JwAh3ttOd3X0tv +zsOx+9No59ZBlcj14QSqVWH8+exfGdeHE2ho39G23dxSuD4cq3+H7h4ieEg4 +DyfQoFvBxz0HBhKRh2P1o+0Ep7rhLlwf7t/3izwcq5e+jB5zWZ5MRB6O1dvP +h8gOHkwmIg8n0M+HEkfPTJZwHo7VN2o37uWuSCAiD8fy2YT+0ovaCVwfjuWH +ddNNO1N3rg/H6u/+v1bftQrn+nCsHohbaD+m0J1U/I+HY/mOV9GHk7lxXB9O +oLsitwxbMETGeTgWL04M2LKgPIXrw/27fpGHY/X0MacXF7omc324f6+LPJzw +336Q4tamPlwfTqBXLqibtxsYzHm4/+b7/mHB472IyMMJFGLrm25qhRCRh2P1 +28Uko47j5ZyHE2hhYauFqkUJnIdjz6NO486JBUGchxPo3Ng5bsFzgonIw7H7 +57HuyLRAJRF5ODa/p6qHfRvtxvXh/l2vyMMJNGnUDcnAKleuD8fqU7+Fn4MG +S7k+nEDHLFirkF2Wcx5OoD6KOR8bEmM4D8eeT1rfjw9jvEnf//Fw/z5f5OFY +/dNxu93kbBci8nACfRR8ehI0RHB9OIE6xN6RjFqSxPXh/v29yMMJ9OS4H23N +taO4PhxbzwduLZ18P4Drw7F84ZL5jbcdvDgP9+/vRR5OoBc2/qep+47rKfr/ +AE4lKSISChmV0CJCjowGlSIiKirSEklCKlqSdmnvvfcep0477dJQiKwk3XuL +kKzf+br3+/t8/3w9yp3nvM/7eDwfNzV257UVzPfhcL/3s/NAp1c68304vB+W +0qk+dDyF+T7cJNrpeElm6dxMxsNNoosjvmSBrjvzfbhJNLC6uXNykyfzfTi8 +/zCcs0lMKofxcHh+C9SlW9eHMN+Hw/P5wsHb5T6JUPqfh8P9l6zRmFxaBvP3 +UvF6erBF/nFqOvP3UieR5dmO1PD8eOb7cJPoRpSnaF3FQ+bvpU6iVY+j+z1+ +pjHfh5tE7dY56hkx//0+3H/2118E/kSXMN+Hw9c7tdlyeVkx8304XJ/YIzdO +wQTGw7GeF+3hJlFN77e0poP+zPfh8P4uTr1b5mkQ8304vB4VKe8Ik45nPByu +Nx0yZecEMpjvw+F6aRfVpnIvl/k+3CSqK0/sWjj43+/D4fVTIrR90/ki5vtw +rPPTHg7vP6+CVNvacMbD4f7j1zj3Ts5AxsNNouGBlE2aB4KZ78PhetPDtqOe +NwDe+ufhJlHt65tKglNFzN9LnURTGWzpL+enQtrD4X5heTbPs6PhjIfD/br6 +ZcG/XXmMh5tEB4KmOXp2/Pf7cHi/aLRK41t+IuPhcH+Q8mBp8ttkxsPh+SYz +NWdeQynzfTjW/dAebhKlOB5Y+bq8lPl7qayf0x4Oj0+vtKCYz/GMh5tERRnm +5y3Ms5nvw+F+7omfrYNWPgx0WGmu8pdiza+Ncwtu/KGQkqrBuTTuaNjR+nE2 +4Tfr55+snyj2/GLlBasqvf/+pNDeLaTgnFspcFNNYr8kzt/fSJ6rrs+Byqbe +a/VnKbTWmBc9nUkHF3ltTT1/UOh6lNP+sn1RwLn4XF7ZDOt4sfoqP0a/U4jv +SpLCE+tUANmlDy3HuctJIcJDvxA+y1jhpfiNQjkJr15x1aeAGa05fdZfKXSw +TKVOiicQCPwYWx03TSH7ZNVoIfcksCOu51LnF9bxTxyuyPn1mZWvkQnft+B8 +KNB1cfXJUugb7HXg7BSF1nhbQu2D+TAL3Hj4YJL1+61v9Z8UUxTa52nJoTtV +CMc8lYXekRQSMec2Ju9nQc7tUsZLcb5c+VuDqzYDbhwSyD5AUCgtaVf1O3Ef +eNDp79erE/h6t5uYHjYPAgabxhSiP+H7mXZ92fC0EDp2dj9oG6eQhcZMbGNC +AYy0Le/+8ZFCBe/IFKgZB8tXJ6wSx1n57tSe0bJs+LTe88LpMQp5qlQ8swrO +hF8tbDLdPlBot6pLWAlnAFi2VH+6YJRCicIxFsrniqFMudK+1+9Z96NpKOm+ +GGfzNxc3cnqkQ8v5Al373lFo+vbU3TN4/fPM+bPC8i2F2kO94oy/58G0Ux8M +I95QKHSBWc26n8Ww6VdX+uPXFMrYbb3XQT0bvkss+/xthEJ3d16fu5HvPmBT +j98rivMMl02q3OZSuO7zQ7eTryjUap3DYVgdCRXCr3c4v6RQx7WjgkfJJKh/ +QE8gb5hCkUHC80ZOxgG7D4oGL19QSNjT6tuxhTkg1FcibSHOgp4eXENO2aB4 +5/Ip+ef4/LH5fd333WHvi997zJ+x7m/KddQldIhCx1PWzdd9nQwWb+1qaxyk +0GDI0eXz7mQBySel/NNPKcQvwJkvtssTqNvFndvwlPXvzdc9TDk+QKEFoVeg +RnYidG+2pu72U6iQ6C+ZXBIHk67q7s7uo1BMR1dj9IICWLdc0fl5L4UOd/9p +5ysphyNwa+sCnBPfLuL2KPAEfy7yL9v9hEJfTh36/E3CA67m+a1n0kOhJL2t +W8H3VChf8D4pqJtC4vtaFT/8wfuDs51EXRd+3hl1N6w4ksHNOaVyU50UMkMP +r5ZWPgJBqbH3hDtZ11ug6fFYo4OVu75e43Nop9CA/2fvXWcjARF1VjejjUJc +Oborby2PgzxKhxIHW/H9vZI75lr4AGz+tGWCE+f0dTaKJgdK4eHAZTt3tlDo +l1vs1D7ZeHhpzy/Hi49Zx3cdedcU0Iznv7PxbFNiDox/0LG4polCjcet7csO +BAEkVXKGbKRQ2WFhdsmmYPCiPyZ+Nc7KOwfXGWmnwlmHB+NqDRT68zGXfds6 +f7hS5JqsXT2FzgqYs1lIZUC5tjMOqXWs82lfP9jYX4t/LrqS3+lvPLy+agsv +B87nntSY27/OAf41S3W217B+P8f0Z6whwvOxZEE6p2oRbOd9N+ZbTaFyGe6O +AbMkMF7cvq2qikKx1tf8LlVlQa5zxXc+QQp9RduDJeTSgChHTP0qnCclxJL0 +Pf2gYqb7wiOV+PkF8vw4sDgYGJ2wOnWzgkISe7mPuXs+BPd+6MQklVPo7VjY ++bSECBgdd+DDkzIK6cXe6J8KL4WVhzfLzMV5izjsnrlSCodIPjvpUgrJntkW +tpg3A34Pnq09V0IhQ/FEeFI9Ci7f95bbu5h1P9vftZ2sKML1R2NLoO3zPHjc +qyhqrJBC89UN+C4SieDq9uj3Ajh/fKPlsPdHKvQeui+lXIDfX6qgTo14AMxw +unrLJp91vMebdGri8yhkN3hZeL1TOBzt3L+gO5dC9V/dps19YiHHTfETf3Io +pL9paNl3yQSwYQ1fpATOJWjS61BMAjzQ8OOtbjaFCM12g2NyfuD85TcSD7Mo +JJO+Bv5wzoD2S9tsSzMpNJziv20U94/h5YXV7zMo1OQ8s25sTwYsNYyaz49z +epPp5EWpTNg///7xQ+m4HseKbRySz4dfcq6EX0vD9SMf8XJVJAC+06ffxKRS +yPtn4JYttQFA+rfC1o4UCrkMonLJdXFQI2nTjZ/JFLKOU9QxUsgHl9WXVG1O +Zt2vx+eZeWeSKLT4vU1q63Q6SAl/remeSKFdO2/vcJ9fDhsOtIYWJVAo88bG +dGGJMvjmQ8HIm3hcb4a1VXV0S+Acv8jNfPGs462Vc7u+Pw6vl9AYNp30AWDY +svJKLIVgSKlNZ0Y01HU7xREVg993Jo848SMW3N6qoNEaTSFur1wpHoU4EPJE +LGQmCtdD5eB7akKhsMhu8SsxnG+L8dqLo0z4ZN3MplORFKrIdt5UoFgMqeaR +a64RFHo1Gq7hlp4PF1m1lOeH4/Nl5dWYL0mEWwUK2EbCKOQ/X7FvIiYJqFZF +qPPi/GJuX2TFnDRgauwaBEIppLVfyNnBLhTe57Ectgih0IWb9u9c+UthYoG2 +WHgwhX6P8U3vtkoEtWf3WTUH4fr0zOLjg5v58OUcsbKvj/D6uiRqUKUlHP5K +5Z0r8oj1PASPfVc9EUghpy7uE9AoDOz+9irQKQCvXwq++ubuWfB09OPnOf4U ++qTpAvx2J8AbSvkiw34Ueq540YYfz8/AT+FXeHD+28T/Vk88FeYFupTs8aXQ +qaHGop/WAaBzz+W/pj4UCttzv03ItRhOjJw8EuLNOj+3Bwho8MLrBY/8GQch +fyguLfrssydeX77kiHEeTwbKA4s2rsc5U+Zs6EclH3DR8dvlYw8pNNawSv4S +Xv+dRV4VOXpQKPXeoailcoUwtq35d+YDCo1wTcwxsvWEVdfzVJ65U+hBiXsZ +bPOHz1eF+3HhXHCWDVW+9YE/apwH5e6zrmeFmcX6S24U+tB+z6x3OBnuXHzS +4pHr//QjJXsLa10otOfGjUaXqGRw7ZzIL8qZQppH/YJd44OgL8ci5bU475Sc +9K2VKoFZmV99jjpR6Gq34OEZvjDYeuLlwJ17rOON/WgSTr+L+6W6Kf177CmA +Mz7X7KkjXm/SVaYSAqKgyJGw/Hk4H3K51Ne2NAceopxmZR3w9c/brnR/qycw +DDFXvGCP+y8xr4irS33B3X0nvP3v4PEpvTHq2+YQGPlOvr/aDs9faWrFycYY +WO61cS1xm0JFRH70lzx/8HT7QlMhnN2dM1zs9PPg16HpXNVbuP6+eDAy72AC +XOY8PHPrJn4fMVlKJ54mgm3iTQdTbFnXf6wrx7PvBp5Pl5xNZR4lgCs3Q3vZ +cD5Voe639Ewq9FrjtHqbDYV29FxCjbUlMK3B7JLBdXy/hSJqhWUhsOmyVo6P +NYW05etSCkKSwbul8t8rr1HozkRvQLdyPmSr2HBg3IpC4bLvKMnWTLjOiOfh +SpyLnAd+HRkohApc0z0qV3E/ttDYIEo0AOjnvhC0vfKfemFxzcE0AN453Xgx +0ZJCqnwihvZ1USDsd3ZWz2Vcv7aEJ2cmxcOSpJCvfy1Y99Onfk9BCueJSj6z +VfYlcOqz6QN9c9yvVIb0VhoVwsURx7s9zfD7sPdR7XSLhZIH96wqN8X1f1VV +/5mcGKg+tv7CBxPcXzR3xgizlUJzP+7M5Th/iU0qsi9IAA/kvnxRvMQ6X/Lw +c3DdGL+/GX3D4wdyYb1bw/24ixQyjd7OqZEeDF5vze7svIDHu/X1a2K2+fDP +k+AVv40oNNpOyYuNFsDVd+4absV5TdFcTh/nYCC/3jT9rCGF4vP/RuwD/vDM +42OfHxjgepkh/6BePh7etNq9t+Q8hUK6wbndHvEgSGC927tz/9OPVC3oWPo/ +udv48/KD+ni9nLLiLhQPhyTP8/NWerj+xLWdfuuRChcW1qdG6+LxYRKxc/7b +FLhFN2uy7Sw+/vne4bpgP3h4bvCe2TO4vq+sVe1MKIKX0hxdxHGOWdU6lfPG +E7geM2k7rUMh24mKzRrm/jD+myb//dMUmlK71e3wJw+i6F3nCk/h818Qys2X +LofDSutSXmvjehJ8q/6Xair8+YmLWozzYa/fU52JPmDVo6ldCidxP56FFJ9o +RoFd8s+cLE9Q6Mj88fQLVBHUfl3XEqGF589qv4hL8+LBdY/MpS3H8fkvyH9+ +OewN/KWD9L4fw/NfelDK6kkOzBlwSBI9xnoe7Y6XiJOarDwuoinnokGhUpeC +pplFJYCrXe5e3lEKqRcktnE0JUMxG+HHL9VxP778qNJdlQSoJMjFtwjncwPL +/q7YUAIv1E6e3atGoZNJmYUiWwuhk9lQgrkqhVK4i1ojrqXB6MV1n0KPUEht +gc7vxNXlsLIkY0fTYQo95jw+Nbc4Gw6de+Q4rUIhYw9f3ZTRKPCdw6FpA86D +gcGSIgZ5YHmW8WItZQp1bu4+admcD2RPapy5p4TH6xAPj8QPN6A1uzM+WxHv +P+a/DMyaDIRW8WvHnx+i0IE9twJ0ApOBz5H5stw4j3NaDFicSoQZFGW/++D/ +9CMhgw0mB/D7ie3xRHuK4ei+2kXB+yn0qGw329nqOMjxPv10vQLr9zd4B+LG +FNfHZSu3+Y4VwAOy9mPCOLvIbxJwWB4Ezz+7uE0T4H5OqmFCwiQbOjgfveOw +F/dvRex1w78CYIT4zvoMeQoFFOz89bUwF5Z1rVk4tAf3gxvTTKhzBXDgJuep ++f/JRftf/rEpANNrqOiduykUpFggMz8pE/I1Ph29uItCmxPVVftup0Jpyxrp +QDkKLQfzImOICKixLP12zU5cL8e2aqpWlsDLFQG15A5cT+9FPX8okgoeGt3h +XoOz03ojf/mOEpjKdfGkuiyu783bZYNCsmFjrnqU3XYKdR/ZLywtnQDent7x +PnUbhW6OCT19MzcPzPmzWmpABt/PpV9sX+dlg7XJ825x4DzpWul9wSIDgqMk +2i5NodnrDURrYSXU/TLAZSSF58OK3gdfyQJwOwJp+UlS6Oe75l3R/AUw5GBa +RJUE6/kWjfm//bQV94OztSUxalHwiZ+dhCDOtedyttZdDIGTchdsj2zB/TgP +z+4Ni4og70u16pubcX8iKlNYvqgMStyXnZ8sjsfbfDUeojQKqEmsPt67iUJe +qmoDj+KToWkvR/hcnP17TSxSdmXA+3eI19JiFLLxnfxcJ1oEE9cPbDkviscb +1+/+27tSQO3jahtvEQq1nG9qW/khDryySoUVG3E9Ut7qteV2Fvgt4D/v4wYK +VQvadsgnRgOh6tuaK3Duub/+rEtXNthzyShUeT3Oa189lVOLADoL1UZs1rHu +90bh9s0JwqwcqCt0vXst3o+1Tx068CwU5M3lqPyzhkIKOV5OF84ng860CXZJ +nNlvXjQVv5gLJo71H9VbTSFfQe3fHwfKIff3quCHQhS6Z57bkJ1UBsVjUl6W +CuL+jhJaWJBZAlWU/TaNrsL1/Mr4g20WJdB44tY1fpwDU9xejnf4A5dHhuWH +VrKuJ05elc16BV5/tv/aKDURB6peb1OPFaBQ7uLFon7cRfC5h2BQx3IK3Ths +E29wvQD+kGYf/smP+/8h3y8/yxLgiqefRLfws463827f1TPLKNQ8+ePnbvMH +4KRoVan7UtbPrduT5xTzsbKfja/q2yWsnC14K5APZ4FVmUG8i6JAa63B8/2L +8f40RmW/UHgWHDM7InKVF++/S7msib5syLlk25WoRRS6bv05Vti1EoqUripp +XUihiMfdu1OXFMND59n+zvBQKD8wkV/COgkazvt0eBPOuQenjnR8z4R3s3r9 +T3Hj/muvyO2bZ1NB1Ek45LqAQv2rv/iJngwEFbNJGwq48H5z/ocvb25nw8F4 +n8sj81nX++3IzSLe/8nLJs//BpwUOtZg4jNemwy2hR5WuTwPr3duazecgong +mIKMXzgHXi9DLPYsnusPrrxfOdjMjvtf8qSjyeds6OU9d/03Ngp5ro3iCC9M +hemy4+YiOHsL+2l5bM+Dzc+eFJyYi9f/0wE5vwd94Hvnyp9Oc/B8iRz5fvFV +NGDfnKSU+5dEznc9J0NOZ4B13d4+w39IJCV545T3g3yocMt2gAfno+rf4jqL +CqD+2vPC8r/J/7/+O40qZma/SPRa9Nf8W3GFMMxSOj/kJ4n0ePSzrk17gJJl +K2cbZkl07EWy7PLNpbCvYo7ilx8kGkgZ0auiUsBno49e63EObi6XOzdTAZcs +eNJ3bIZERjKcDxXUi6FUXsWau99JpG//+O12kVx4VCfRJOsbib4arM/pcIDQ +/I9X7rOvJFIq/KrptiEQPki+McOF84Dk2JaAuWUw+ei5g7umSfTTTfSooV4C +qP+i7HnpC4l+XVjPFhgUD19HSPU++sy6n78HV6yumyKRcv0T3tmdfnDNx7/G +k5Osn+/1H8tei7O7U0jyIgkvcHZXz7ejFImSEqLfJRamw5svy/fbk6zfD7qf +4JFOkEj79QffWeESWCDh1fN0gkTh1369GrscBrp7bQQ5cf6mvavZyqEIknf0 +L+74RKKD29b+kl+fAxZuUM66ME4iacFX83YeioVbWiS/+n8kkbHTjOYlwUfw +yDUBBTRGoqqnozm8PKHAZMVfd+IDiVKyZS5HjedBt+oPXUI4t40kvY3ki4AJ +l7pXqo2SaPnCPqFEo3yIFpYb3X5Pogrrw3YVDm5guDA+I+UdiSS0dRuS8uLg +T13PL31vSRR2b7mRPu5/V7HZAHacfTYVxTfpPwC70vXub3tDIke3DbznPsaD +U8eVOg1ekyjk1vCSc3/jgM13iRW+IyTq3WHz21SpHAbELDeEr0h0O/qHWnd5 +HshV/pM2/pJETdv59ntqhYP2idGplTibXUp1dubNh+OPuuQPD5MoT0tAOS6o +GHDtLXO1fYHPL3RyZZduBhB7E9ee+JxEc0XimhXdfYDSw4fLnzwjUVeSDQGr +feAFmevn5+BsreEzY/MzAzo91U2VGiKRrJiMhtfTUhhzV3FSf5BEaXPfaNae +LoFQVGKP11MS+W07s+NMcRZ81s7vUj5AorcZLe0f+AvAjM3v1g/9JCoaiYuv +3JsHlwuNLhPAuV53ll3JMQXK1nXqK/WRaLX3tu/el+Khlnlp8vVe1niwWhJH +xj0hUadOupv6zQLoU+qxq6sHj4f427sMRpNh5nlrp9/drN9vmafbshVnMuTN +3Il9BfBD1qGlul0kSp2ZXpyUkwLnaW/V8+gk0e8aZW2jjZFw489lSSUdeLxZ +nWmpvJEJDyT8mnjXTqJQfpek7lX58Lzq+53LcH7WUv33VKo7cJjsuHuwjUQ3 +b56TtE2MgxGhJc1WrXj+BeeeMHgXAMoUYpfEtJDoQoVRyXhcLhx4/+Bs+2MS +nX4nsOGpRgqc9r6WMNtMIp2amIgrdt5w6Y6zn8RxFi+qbPclqqDM84M7dJpY +96PpssXxfiOJErTlr6RszgKXNy9rKmwg0avevmuZiYXwYfdP3jf1JFKxWZ92 +sqIApt56p7ME5+mEH+vb/eJh49qOOIU6Eq20sBCR8SoAbxuLP1rW4vE7qNwu +Vu8J5l6J2R5Zg5/nRqPb13mCgTD/A/sWRKLNt90iJgoiwb5Kq4bv1SR62fM5 +LOdpPtS7cGaRWDXr+uwWHDytXYXr4Rm1wkTpSBCStznWBZJovutq9eqtybBI +Z+lYXiWJdjc5ft7xMQE++TMr86qC9e8nk9/aLcI5cJ7rtjKDcsir0V63txw/ +/3b/JFgZDCSmi3gsyli/rxYZrR1WSqIo8qfg0ZvJ0OyQe3RTCYmunEBW/bb+ +wP3j1dHpYhLlcv7kaDiXCpL8daQ34vxOZiR/6GIFrNt14LZWEYnGtCJnk3an +wVcvxWvvFZII8PjeGRcJBr/v83HnFJBIPXH9oqMH8qCQ5OyJF/l4PvcXCVS+ +zoN7+t5EcuP8uMnyqe1IMtCxb3u3Ow+Ph63GQgMWadB2Q5GkaS6JWoqeRSr+ +CYKPWqJuBueQqGEIWgn5V8L8a/dRfTaJYsH63utHkmHXiqtcn7NIJL/omWR0 +ZB6YqD6ttQ5nt5V6P78a5EFuk/0Rmpkkin7jGtzvWAnFF4m/dcggUU5JIfvS +W3FQpWiJRGY6nm82ukrdCZnAWO/HjaE0Eu3Qjn7jp5oMXdjeVM3HWVjbLCpR +PxLEpbdyyqWS6JH0Ai9X/xBYfbzwmHEKiYJEdbRt6grgi++RYYHJJDrsnn4w +Gz6CszFur2uSSHRf+eD32eO+cKXKlS1UIokuB1W8ym2NgjuJUzZrcOa9IiGy +SDsdnAxSgOoJJEpc8HKl7cs8aL1307w78STKWHy7+3JbEfR7s1gzLY5EIwfs +fucqh8HshzMhA7G4Ps/kiEtZFcE2mdevOHDWrbQaD5HIgh+ftojLxuDx3XM5 +zmoqFcy/V2BtFI3nzwrVFZseF0BRscgKvygSuXSTOxKgNzzU4cpeHYnH+8UZ +8XbrAmB4w/LoRASJ1DgErrikF4O7QqeCBXFev2lh6YNfmSCqbt/LI+Gs8VVh +LrbpVhgrDy5ZfC05lESiZsoRgp4Z8Fvp97LeEBJdC92mv3K9P+Q3GJnLhvO9 +Ffeq/qQhuJ2zRU0mGD9Pgi+rqjMDHs/Of3Q+iEQnOCKyj2WVwKvaES+8H5Ho +knjSwWqvAuj100W0MpB1vvSEy1c/BpBoxkty0UK1Qtisql26AucJYFStmR0H +30+COSr+JCp/8pWPfSAbsoeJqt7wI9ESYGJZ4xoH1u/nDUzwJZEAx2K5sZ+l +cP/ot2fdPvh9GnDAe+Ml4JzPq41/vUmkFTarfCk2E9rveGwpiXPS1Xtjnwfy +YdjzvGI9LxLJJf8qf7EuHpS4hP956InXv4bo89EzybBvs8vhsoes6/3cbeE/ +6kGi8+I7+xTyc+CS2yeH+HGu/hbukSwAoZQw2KD4gETtvp9+GgRFgaNNIpet +3fH9L7+1vnM2FlhcWVQUex/XX313v6ZsP+DB/+1Xhxvr+CmVL5V/uZJoQ9o+ +6v1wDGy40Oy7BWeJ4dkP2UtD4esFeU/PuJBIVT3DRdGqCPzNC1v3wBnPH0Ez +gwWN1XDNGWfzYidc79p3Kr6/FwL2/jUveHsPj5dZ42ChuWngbMqJn3w4e0So +ch40eQRvaexVOnAXP/81z20NfNxA8PRGn6uOJFpoeuv0HtEAWBi5cCDKgUS2 +WpZUuHkF7Dn0dW2bPYks39orfFYrg+THYdMfd0j0RU4vVfd6AlgY0JS3CWdr +s3kP/ZZmgy27c3+cssPrkYj30RGjCnjkVeght9v4+ctqXzuxyQ2auDt5FdzC +88NU4IX5ZwjdJM37Rm7ifkZzR0qscC5M6NNasxjngfOD2vfN42GNvbzJPlsS +xQ28cZfZWg1fbtiYe/kGicbhQ5lhi0j4q4VnJtwGP+9K5/ovr7KAoPX0gcfX +ScRx0rjvQ0ka3LVy+OE3a9x/LnFxJI7nwlOo8YmINev525jkCJ28hufPWP6m +RsFiGLAo1NjZikSc5qF6hk2BILfoXnbuVVyPW7Id325JhR16Zt+Gr+D51V/t +4esZDj+xae1fiHPZxPuNz64VwAUZezzkLUn0Odg4gSOhCm7S2tBjdhmv/3fU +71v2R0HlGW7BUAvcHxGHjYedi+CF2C8XGs1Z1+Ok8iLzixmeX3c1E4MOhcMY +omF6Pc7yNgf3/XlbAmBQ9r7jpiQqNmYjZx9A+GxviPtdE5y1Rw9LfYBw5s3d +rqxLJIof/8uhmh8ABDxNVz43xuPF4KW4nX8x3LHtuNECnG0Onrh7RjMOnhjc +nbHrIokO8Nnvc3IpgNfurf9y6QK+3h6N9pRbadBHjBsEGbGuL7Pjs1ud4f/0 +Izeed0wa4H5/qdfE5bsQfBBqEBDGeUnMt16ZzEo4rz7LQOM8iSTZdkX8sA4G +Gy2C0+zPkSi9eEDPyCkdHOS7O5Wuj8ebn6NwEpEJDcpM5Af1SFTZw31CvSUJ +Ohocc+XE+deJxQXvSvJAJOfu9h26JDrzPXnxUjYfUJa9bvnFsyTqv1MYQQwk +wQHtBecDzpCo23zI0XutO5j+OZWCdPD6te4rW3Z9Clya+IwiTuP+TlXJVvdk +JZRRq9+9Gmcl4Y3HDG9GQM2pTGe1UyQSclm2pqIwD1qGBbXe1mbdr+d+x2Wp +J0nEvsF5/0ktH5A2ekm//wTr500+msnsOGesWWAQtDoIvt2xi9ymRaItmslf +BqNr4NwXwrsMj5No7ddjo6P80UDYlcvJ9xjevyzofvA8LBns2zL1GGri/l/C +N8NaJxTo9QzxfdIg0cXQwBvKcx4Bu9t1uqtwllvKx3NvfSUMFc5MPHwUzy/F +j7PqJg9AcdOjCVt1PJ7P3Lo2fTIZ9l5x2JmkRqJD3qaca14WgSn+S3efqOL5 +YjnJc+p1IuCFGs1zVFnXL3FRbon0ETzeTSRD2hckAjVu4bPnDuP508L75KCo +NzDLn5/gpUKiJxTBdu9VEXQ/MzlerozX66Zr/lqDuTDp76DsmBKJSlbnF2mV +Z8G6lFoHAZyrC/vtXc1CwYhGRqOSIu73RC/MNwouAX+mA3ltDuH+Rfyi2vID +eWB1lL1O/EHc/6f4TTxaWwnlFY3jug7g/ee+5/McstKgzvjRj7/3k4hnp5Tl +/P4gYBuwc7sEzpIrSxRLxAPho91r7XUVSPRnZRhbpbYXzH/F2eCxD7+PWC1N +NfEI0OVOLSwFJPorMMO/4V0wICQHT73fi5/PbTm96yJJgKe/JmYZzl5j3f6n +8H5zs0P6h4PyJOopkWwR0PIHhzcGylzbQyJzIbm5X/VqoHHrHbuY3SQq7OT3 +/KL7CLhYX6xr34XXp5FPd9yOZoG4lUd5fsrh6+cJ4ZCdkwirceeyGee9700c +/N/GwRcma6J1duL9QqugpcadBDi7iHP0/g4SoYU18iF/auDKYlKqSJb1fuT0 +n956sx2Ph8Ags8HAfKjNXlOzBOfgqmPLPnkVw+sZaQv2byPRvpxXxZ8t/aG/ +VsCJKzIkWsreoPT5QjbInrGLjJTG4/3rkqlW2YewLfbCuxYpEl3nkX5hdrYc +flRRl5yRJNGpTLcNAUEVcD4pe1MM570cp3a3mMVB0eDVSFuCRK7qCXFqLlFQ +Eczjct2K+8kN4gsSLmcAo7fE8fwtrOu95zkQ/moziTxdheTWtEEYvQ29WYRz +2Ro9vpzEfFAxmLoViJOIf3930Onr+XDwnv8Ni024/qkYLPiYWQa/idlVhYmR +6BY/z+g81VjI32nE2SzKOv52W7VjX0VIVKPOa+CxphYeXy0btvE/OdtSb4oq +hFfrhV5rbSTR94U7MhSPlQBvC44tThtINK87Ucb9Sg3M4COu56zH/VzWrPYa +2Qr4uKy/8sU61vFHDao5eP4ns89P1dgjjOvPvJDZjyGlcH2OX4jpWjxehmtX +dp1uhPtP3X4VvIZEg8F7Ru/VecFzvwzFG1aT6FPv3mvl2Q+hfaKq9WchvB/P +1ahQqS6F4WrbK9bhfGjpnE+XQBkonRJkPyZIIrtKI659uSWwP4z9qOMqfP7B +q1Z1Xingy/6JoMyVrOtZ8qFveGgF7s+S02JjFHKBlG+VGBfOnza3xi0Vr4BH +d6ZYyQmwft/ihW+Z8XI8HtxVkMCVIujhemvuI348P9Z2nX7zMBWkbDFUq12G ++3m3KJED8VmwoefII2opiSKH468QZzzhm9vbXqxZ+p/9WKTcy8s1cM46QdGj +fHh/+PtDrcaFOri2me3qnSUk8r5lEygaXwTB1U8laYtJdFy0CayQToZnl/f9 +HeDF/UnaKW7P0w3wFoRH5uE8IrAi6f2dfBB8MTlAdhGJuOUfD5hx1MBCbt9n +RgtxP2HPU6YuFA978m9u9OfB+0O1i05aw3mQOmNgWc3Nur9Fc44UTyzA/bvu +gl6NrfFga6rMH0GcFWa72L2l66Gq5qrDqlx4/S4+PtC/sQSafp3rf2s+69+7 +RY0PJnPi/YOcy289lXiQoNi7vm8eiQrqokxtjZphzXilBRvOC/vZd5b71sCX +AUmFMhx4vekuvSNRHwJ+7fb5dZ4d14d5P081ZtZCwRFbZR82XA9d9BfVLwsE +ux+c962cSyKu4d1LRp4UwNNSh59+nIPnv1iJx8mYBnijX3rdSpzlorL5P1NN +jIcjkL7JiuEd2+th7j8PR6CbvU157vkBjIcj0OXHo7d2LqmFtIcj0KrzXe0C +ecmQ9nAEKkkpELbTyQO0hyOQHDx0biahhPFwBKr3LvyeJ14CaQ+Hjw9F2aRT +/+vhCCQquzxp+8lAQHs4AkmoCbROXa+DVf88HIEGXzaI9skWAdrDEajZlhNq +7HNnPByBfLev/BLWl8V4OAJlOaYlfLkRxng4Ai0VuRk4sSEH0B6OQFKDUiJV +h9sg7eEI5OkRIlmTXcR4OALtGxx6/80nENAejkB8ql3+U3IJkPZwBDqwQr9M +z7UQ0B6OQOUFaITHKQjM++fhCJSp0yndHVnOeDgCNZHu1xxeNjAejkAXPT3C +U/zLGA9HoPtqpty3GvIZD0cgdcHnp7+exf3IPw9HINWqCKkJ3kbGwxHovOTT +9aZdNYyHI5DLwtojMfEljIfD98eLwsxbgxkPRyBO42yvvOQWxsMR6LX7hnNV +G5og7eEIVOftfnjsaynj4fDz2xWWOnAkHtAeDr/P1/mi56ciGQ9HoN/Ok3ap +c4oYD0cgOGmetC2unfFwBAouMPC9414PaQ+Hn0fDjI7ns3DGwxHI/+6XJoq7 +CtAejkABV2Z4fykmQr1/Ho5AnR289rK2+ZD2cATarBF2PKi5E9IejkCEOLF7 +jmwppD0cgbL7P+waj6xnPByBJlWPdVWUZEPawxHIoZRYXlxYxHg4AllWKyvv +/VYDaQ+Hn59jR+isSQukPRy+/gPnONpS6yDt4Qik7Zoa+2XOY8bDEchCpZTP +uzGL8XAE0rsv8FezLxrQHo5ABn8N395eW8p4OAJdOno1WkelDtIeDt+fl8Oq +2rtBjIcj0NkPOUsuc5QxHg6PxyTFFUdzYiDt4QhUzK+80GJPCKQ9HMH6/95/ +Ho5AC96mBI9rFQDawxFoedDmrQu3dkHawxHoikDq2dbITEh7OAJZN8RsHe5r +ZzwcgZxmRmxDN6dC2sMRSGfDJf4D+x4zHo5AhxXOrgpZVgpoD0eg6I07TcSk +yiDt4QjkqPCMe8HcTsbDESjj2WLLdLs0xsPh+T8mOt6WWgxpD0egOJmh4VBc +X2kPRyCNobt77acqGA+H68ujSGnkkMl4OAK5vk7bPJ1eD2kPh6/3wv3n2+82 +MR4OH//55OjGsShIezgCtVksuH0hPxvQHo5AqdSL8V2nUgDt4Qg0URDUNBSZ +zng4An376MHfvDuU8XAECtystDdZNR7SHg7Pz/uGDsfONTAejvX8aQ9HIB47 +O4Mto5mMh8P1ap1srQhnPuPhCNQ3f1534IsCxsPh55UxX21CqgDQHo5Ar4qc +FLQ/lALawxGIiiChkvJ9QHs4AnHZPZQSetQIZf95OAJxcLp82viiAtAejnU9 +tIfD55fnHYsWbmM8HK63qTcbj0uWMB4Oj3f2hGxDs2JAezg8v1aF/53YX8N4 +OALxG3qI6tVUA9rDEaj1bVrpXsdaSHs4Am1bIB9z828K4+Hw758OqxBJKoO0 +hyPQD5cONkOPJEB7OAJtGd/drP21k/FwePzfdiycTcsCtIcj0FMp9sv2ad2M +hyMQ72HzmWKFHsbDEWi9pZday/HHkPZweL0ZWf6F/WwrpD0cHp/fkxV2bIKM +hyNQzlbjXf0y2ZD2cATq2msyaLeqFDz85+EI9Eu/QAya5jEejkBhCwuTHmg+ +Zjwcgd77fVta35IHaA9HoCKdLVwB+wsYD4fP3/7uduCtKkB7OAJ9NT399pqE +P6Q9HIEaXAxrlIbKGQ+H1wuxK6fT/R5D2sPh+tmrLL5htAHSHo5AzyuOCDRu +6WI8HL7fr3xzmjXaYc8/D4fHu6Nlkzp7EuPhCBQeVXvIZm8IoD0cgRI7Ux8H +yNcB2sPh+i0io2uVWwNpD0cgQeEu0vpMKePhCKTSrie91LGb8XAEGu227li8 +oYrxcHg8xtiKnDBpg7SHw/NRxGPyknM3fPXPwxFo8dqy2EMZiPFwBGoX8j2/ +b14poD0crreqM2zvFTsg7eFwfZI3ct6uVc14OAKd/GZstvtBD+PhCFT6TCWv +T6QM0B6OQJ8fu2hsrXnMeDg8vzZpHDNo6oC0hyPQbuXRhk72VsbDESjelUhQ +DymAtIfDx2+5qSm0vgdu+ufh8PsYcz1ltRpC2sMR6KP16i6l4AxIezhcH37K +7ugsLmE8HD5+KHvv4pMxjIfD77frkJDX9SeMhyPQwsEFApReM6A9HIHYJvku +NagkMh4On7/nCmf3zWZIezg83pxql2nOr2U8HF7fRZ6Z9Us0QNrD4fVV/oPJ +tEsvpD0cgcSuzL0+4FcFaA+H10fPO2HBxyoB7eHwfDbpNjM1qmM8HIFivz06 +GFzRC2kPh5/fncb9fJcHIO3hcD+Q+Ft81+snjIfD469tj0zQiQ7GwxFo+oRh +h+VAH6Q9HKueOP7zcAQSdjmVdhl1Mx4Ov++4UOWGPZmA9nAEkjHqPrvncxyk +PRyBzKKlhtCFGkB7OAI5T0ebJb9qYTwcrj+/2Y3LrpdC2sMRCJhusfSM7oG0 +h2Odn/ZwePws73Kca4UA7eEI9K7KIFAKBALawxEo8nt7noZ/F+PhCESezo2O +y4qGtIfD/aHS+F1972pAezgC/WkrLfgc0cN4OFxPFZR28jYlMB6OdX7awxHI +pi0yiW1+D+PhcP9w7O2bVTXdkPZweH29GnPAy/4BoD0cHh81atcXD+TD3n8e +Dv/7D6GEAHsp4+EItMTv8vOplY2Mh8P9Bd5MaVomMB4Orz/jFt0bRlsYD0eg +io+nE4pj6xgPRyC7PWZv3OoaGQ+H+xH5IyKRIkWQ9nAE6nD3ONvMXwZoD0eg +/qWP3J5KPYG0hyNQo56MSoh3B+PhCHQoc/qbIdd/PRw+XsEy54c2TZD2cARa +kb9AaVi3GtAejkC7lscqhMmFMR6OQNfV9glKeFQzHg7/fqjEQgc5V0h7ODw+ +uk5vesZdB2kPh9c/Lp3s5WzxgPZw+P38uZdhG9cMaA+H388mw0XeH5oYD4f7 +DfsLr42zuwHt4XD9mv3jMcqFGA+H+/Pni5XNE55A2sPh+tzleiljcTvj4fD9 +aL/h6Fv3jPFweP53/xHuyaphPBwe33bfbj7SqAK0h8P9fNfhpoLXzyDt4Qg0 +Ky429N40CtIeDvd3XHtXqvAMwFP/PByuh6Ur3nd7dUDawxFI91XM+HFqENIe +jkA1ErzXdfSSAO3h8PMYfObhsGoA0h4Ojz/RJ0PbyyMB7eFwPzheK5422Qlp +D0egxy+ra+6+eMx4OAJVTxNzEs+WMR4Oj58I9Ojp61ZAezhc7zPaWpL6CxgP +h9f3R1oLOcjnjIcjkOGWOSNyOn2A9nC4XinPL7PJgoD2cPj5ZNgplNj0MR6O +QCmcW2c3F/QwHg7Xu5mNJ9QGuiDt4Qh0zEvifmJgK+Ph8PFmLGIaVgwxHg73 +s4UNT1e8ymU8HN6/8XQHWtwZgZn/PByB9udOFPnKVELaw+F+fkHPfOMbA4yH +w8+LL8628Fs+oD0cgW68uDtx8PcgpD0c7td7RNbvO/iS8XD4eCuqfSY+DTEe +Dvcb4a3+39X7GQ9HIMlVKnEdb3MB7eEIxL77ddOv8WeMh8PrnyIZZurUDGgP +h+vnlctlIx0D8Ms/D0cghfzzllya7xgPR6A8YXWZs4klgPZwBHrw58T9hbyP +GQ9HoCH7kDwOcojxcATi5hv6WeaRD2kPh9/3fXI0h60F0B6OQGs/+H0YGH7P +eDgCVcl2plktfg1pD0egjZtWVGjLFMK5/zwcHh/sZjMnal5A2sMR6JTrXjvV +wiFAezgC3eFeHdDxdZTxcHi+GfrWc7S9gbSHI9D4HuXmmptjjIcjkFroWUNn +WAFoD4f3z2KmRa1H+hgPR6BFL3x1f/8YZTwc3j/2eH9HQ+8YD0ego5ann0eL +JgHaw+H5o8wt/ffga6D6z8MR6O+q6fWSQf2A9nC4XyzSErCLTGY8HN7vWKmt +rnUaYjwc3u9+CNLP92lhPByBfnqVNPS+mYS0hyNQWleHisDQGKQ9HJ5vwfHh +j/50Q9rDEegaUohpzX8PaQ9HIK87Elc2zOtlPBy+/63bvZzjn0Daw+H+c5mO +loE+CWkPR6AL0jx7sp3SIe3h8PvwcTwx4E5B2sMR6PSP+9XB2ych7eHwemAa +ftZ+ZpLxcAR6IjxSY7ylFNAeDo9XR4ONPot6GQ+H34dFMldJYBGgPRyBeqp+ +GT2YWwNpD0egq/rn+UIdP8DYfx6OQPf2hAdOD3yCtIcjkHvIyLsRsWnGw+Hx +pGJi1Zc6AmgPh/dPdTV3lKJbAe3h8PXZRm/pCBiCtIfD9WTO3cGshgnGwxFo +bFGb5asgAtAejrUe0h4Oz3/+a/r6uz4A2sMRaF3NhoBA4V5Iezi8/z5+99D1 +L2OMh8P3c7E3zMeki/FweD1SO7N1XWchoD0cgU4cGZMdEH8GaA+H1/cfuoVz +O+dU0R4Or38n1qjM2fQZ0B6OQGvKvWtDgqch7eHw+xV7IftzYQ/j4QhkJTfy +rVTiK+PhCETU9jgEPueq+vrPw+H1RTtUHMo0VtEejkDPIm6X7cSZ9nD4fYe2 +NqhJNlbRHg73J7ElZ7ZtbayiPRxe/04WBSwXa6yiPRzr+fwftxor4w== "]]]}, Ticks->{Automatic, Automatic}]], "Output", CellChangeTimes->{ @@ -120222,9 +140640,11 @@ EYyHw/MKd3Y3v4wlwXg4vN4CVT6demZM/A8tuXX0 3.895484138404581*^9, 3.895484213058045*^9, 3.895484476770958*^9, 3.89548453049854*^9, 3.8954892321601477`*^9, {3.8954892633018923`*^9, 3.895489382655231*^9}, 3.895564343580621*^9, 3.895565423014121*^9, - 3.8955654635889597`*^9, {3.895906246337761*^9, 3.895906275214447*^9}}, + 3.8955654635889597`*^9, {3.895906246337761*^9, 3.895906275214447*^9}, + 3.901786876077631*^9, 3.901789992065366*^9, {3.901799215397029*^9, + 3.9017992322352247`*^9}, {3.901799412928567*^9, 3.9017994477811527`*^9}}, CellLabel-> - "Out[1269]=",ExpressionUUID->"c877f2e1-eea0-4dc2-932d-f5128c9c9d05"] + "Out[211]=",ExpressionUUID->"243284e9-dce0-4523-9a08-fe7983fe27dd"] }, Open ]], Cell[CellGroupData[{ @@ -120264,1577 +140684,4828 @@ Cell[BoxData[ 3.895484593262805*^9, 3.895484605553296*^9}, {3.895564357257752*^9, 3.8955644078425703`*^9}, 3.8959063116743927`*^9}, CellLabel-> - "In[1270]:=",ExpressionUUID->"1fd67170-95be-43a9-9fbf-19de46a99b77"], + "In[171]:=",ExpressionUUID->"1fd67170-95be-43a9-9fbf-19de46a99b77"], Cell[BoxData[ - GraphicsBox[{{}, {{}, {}, - {RGBColor[1, 0, 0], PointSize[0.003666666666666667], AbsoluteThickness[ - 1.6], LineBox[CompressedData[" -1:eJw0mnk0Vd3/xzXJPZOUqaSBEmWeMhTbEDIVRcgUGVNCxpAhEhmTkEikWaMk -alfSIJoezSrNKfecS6S53+e71vn99azXynPvPfvs/f6891qv2QGRrkFjxcTE -NEkxsf/9d/uOMbO/jRXi3JOTq09eFqD/52ye172Xf3JjnBAbpTYNHbkiQE5m -2oUV44X45DbfpQeuCpDWblubdROEeN4Avb+mXYCkRL5/FokLcW9n+++KawL0 -1S7uLDNRiCdbpXmWdgjQw9r8dX3AkpuszhdcF6Dmn/VKpyWEeEYKPXX7DQGq -XNH2NFMgxEUpLzdn3hSg5GP/FbkRQny+9Fxfyi0B8p3wxXYeKcTs6122CZ0C -hHzH/vsOXLg1+WT0bQFSap56rpMS4t97whXWdwnQ+Ek666toIa609c8J6Rag -D6F2czYwQvygwWd0zR0BunnF77m5pBBLPw0I9b4rQEemxZdITRLi+38in7vf -E6AdMQVL3wKn6Wctd7kvQJFdB8SapIR4b9X+Gw4PBMhl7sXm7MlC3OB2E9n8 -J0B6qT0bPKYI8awt31pRjwDJPP4yd760EJcaaRibPhSgUa1xL34BF9RGnDd4 -JEBPc6aVdssIcc3Ts8bajwWo9bWOQ42sEPuIiV+c/0SA9posHRslJ8QBBv6W -c58K0Jad/i2W8kLsVN5+a+YzAVozEL9ReqoQX7bTXjHtuQBZLymc9wF4bXDD -S+leAVKpbnjZPE2I305SiZB8IUASoxd3bVcQYi7kxC/BSwH6vOyh4+rpQmya -Z5k//pUAdR0aGKehKMTpla9m/QNuHDO+9S9wWlP2uR99AlTkpRB9b4YQf/+1 -0Hn4tQBFn9FV2z9TiE/nf/3IvhGglZR9X8wsIY7PbcnsfytAhkFrdi+ZLcTy -k3Nnv3snQFMvJTjLKQlxmHXQlZfvBeiXbNGEfmBLC4fApx8E6EXkwbYLyvD9 -qosm9nwUIHzzUsyOOUI8cZrR8TufBKh29qP5vnOFuNXA3O1WvwBlJglfa6kI -cc4el3/tnwUo6L/xFWPmCbH3usijl74IkK369OX/AWt0lHu2DAiQWpbexAOq -Qjx4s1twVihA5Ev7S3Fq8P7KmLZGVoBYw4BYu/lC7Oy8euNhToDuFSaqT1sA -6yV5VqVeJECnPxW9/QK885f8q+pBASq1OFR5UV2IHXRyKyqGBCiuErsUagix -6v2J7qVfBcjj6yOJNZpCvORXsXThsACZOLJYV0uIL95Vfbh9RICmH5gQP15b -iM9u6Nq99ZsA/f0zXfMRsPP3ZO8towLU567//qAO7P8dJspJ3wWo/YRDVaKu -EM90nDCw6YcAHZAIXOGgJ8Qt7i/ORf4UoG1rkghFfSHGXVczw38JUNiF4iss -8Po7Ta5BvwXIYcrhhMsGQiyefE7Z/48AaURc1ioxFOJ7I9e+ef0VIMmOxx8C -FwqxnPfr227/BGhQkdtrYCTEC+6SdcvFCPRfnLjbRGMh9o+0TnYYQ6Cmu4rU -U2Apn7xVNmMJVK5q0H7EBM7b+T59i3EESkp3TEo2FWK//dbSi8YTyPtZoI7z -IiG+YdI8YjiBQGZ6mz/NXCzEZvuNnuqIE2jWjpKaQWDDHzcvqU8k0Nj3h93b -zYRY2T+0YZ4Egd4tvkLvMof9MSpbpCQg0PWyJ9eCkRB3v+rZrEgQ6BDHbTay -EOIdxvvD5EkC5dpN1CMshdhm7hbPKRSB1tfO+PwceHv7OgeGJtCynwa1x62E -+KN+qLmAIZDOCiePLdZC/K1ik8F4SQJNObZW0mWJELtMKdb4BzwyPvm6ko0Q -V1y5OO/nJAI99tmZMgy8qeOn8ogUgVrOHdG/bgt5am6nJJpMoD2SV7/sthPi -vOUNSl+mECgl9On+sKVCPH+qzNwP0gTyuyLyNLWH93mhVO21DIEspklI0Q6Q -pyvnavfKEmhOzMybL4EnSNwweixHIPEuwy0nHYU49Vui1QN5An2a42yY4STE -vshsefdUAnWmBAlXOAtxnLi0381pBDr2KLl+7jJ4H2G/ItsVCFSgVbp6FPhA -7nDGpekE2phzdPKt5fD3uf92tygSyPX11VuVLkIs2j79xNkZBNI3eZYW4Qp5 -etjh5omZBJLdObjQbIUQbyPz3x6ZRaAfXyQ4yZVCvPVun1jDbAI9t57V8Br4 -s6ztrFolAl3cu9DnjBvs77GXLaqUCVTzzVk6y12I359xCNo9h0Dpy4Jvu68S -4gyHz7klcwkUeCglQ9VDiHd93nM6X4VAS8bsMv4JrHXavzdnHoHmeR0T3faE -fGs3ktiqSiDBmfaDe70gD83nGG5RI9AX8rlv5GohJmyVg5PmE+jO2iEZC28h -vvTLoDx2AYFOXhR0T/YR4uJU766N6gQqkZ299R3wSVHZ2AgNAm2KNDI95wvn -L+a9SYgmgdxvLhva5ifEdfPsYgO0CGQ0O+Swp78Q92viUz7aBJqWlOq/YA38 -niP2Ig8dAv1+sEvuD7BH62ftlboEerng+J07ATD/MmtilukR6PLWa1n7AoX4 -tVLYeXt9AtW9eL4oeq0QK7TZ/ltiQKAsw69frYKEeNpGUzsLQwKFFBJHZYKF -eLG/9c5FCwm09NPsgI/A/ccD+hYaEWiBhfHUlhB4H0llWnrGBKIrl9/LDYX5 -/fFVmqYJgbihkG3eYfB7ZM171EwJdN9hi5lmOLxv1bNqcxcR6Ex92cg/YD9z -8/RZiwm068/xY/fXwbxK7numYEagBPeOwLoImHdjyg3lzAnkdaJ3Wux6IZYQ -BZVORgRaJDF832aDEAeF2Q/TFgSasYbcLh8J76NwibvAkkBiF5TQZ2CvzFUX -xlsR6M1kk9HWjfA+Q9JmiVkT6No6l8b8KCGO9r6c8wu44VpokF80nOetcl+/ -LSFQjmLadJ0YIR4ak+03ZEOg8Ljd/43dJMRlI+QdoS2BnO425vYAx6YfNuu3 -I5CW6nWLhlj4/hu+p94tJZBU+ovv8XGw3z4tUOmzJ9DXp8MnlsZD/klI7X3u -QKCHulSIQgLkiQ0j+9iRQM15yjOEwGrXlYsfOBGo4p3Jw0uJQvzkkAtzx5lA -mxe77ihKEuLISeX5t5YRyKcszCpgM+TnjFGmYzmBzLm0n3rJQvxhZEPJZRcC -KdmVn5qQIsTVzf/k2lwJNL72ROhjYDbrcE3zCgJ9+HF95uFUIb6TEaF2ZiWB -brq+fJS0BebxPbumRjcCHTk6ku+YBlxkZnXEnUA7xtNLZqQL8aN3Tv8dWEWg -DT5zfnPAU0VxQbUeBFp+zvTMlQzgnpYfVZ4E0pVcEb4zU4iFp2WLyr0IJB0a -PjtoK5zHxnzV0tUEGr2c/sQwC85D/4z2Qm8CPZ1aUSiRLcTHsjr98nwI1Bp9 -0uYZsP6Bor/ZvgTae/vGn6Pb4H2GR9Vk+BFoy5xXZ1NyhNhzNMIy1Z9Aa1K+ -rVu2XYgjNmR+TFxDIKtHtPLsXCF+PHi2IDaAQHO15j4bAn5XN8YoKpBAE3MW -FV/LE+KXDWFvI9YSqL9vhV3ZDtj/isKi0CACdRmv+xeSD3mkugOtDSZQY0nG -OeMCmJ8DNkN+IQQq+lKxniyE95ur2LA6lEDR1qfmvAC+N3eS96owAq3ce/N5 -Y5EQD7yaIb0inECG316VpBXD8z1fesd5HYHkl40udS2BeWBanGsfQaCfB5kx -c3YK8VilETub9QTqFVM5PwJccSpWYLmBQJc8F0feKIXnoaZ0LY4kUO3plSoV -u2D+u3cXGW8kUCYZ8SK8DD7vZP0qgygCBa3NLF20G/aTWcUsnWgC2V6sdGDK -hfj27KNf1GMIpCZ7emwf8JTUZ+dVNxGIjLzVcqpCiI/EzsuZE0sg4Y2+jZmV -MB8XlHjOiiPQ3Vnf57ntgfN9ZZrG9HgCnUqUfKVSJcQmq6+MlU8g0M4HKmXf -gRUUsp9NSSRQ3AIzp8698P6Vw85KJhHIY6vb+KpqIU4qDy8iNxPI5EVE6/oa -OO97cjdMTCbQdMOt0eb7hJhZfst5XAqB/hbsUZOqFeLrT1R0/gH3fTzd9wa4 -0qNO5lcqga6izt1n9wtx1JDZ729bCFRf8do5uw7uC7d+vBtKI1D20PcJHvVC -/Orff3fZdAKFOky6qHYA+llLd9vnDAI51M/b9Av4uPzHox8yCaTxx2xBd4MQ -96BZe99sJZCku/ub6oNw/lYmFr3MItBg4/qKjYfg98QPZT3Lhj4yMWu55WEh -PtWVl/JoG/QR/6qJ0kegj8YtiX+QQ6DdLWcuvQf+UD4z5s52AiVOvh3bfBTy -xn1aVGcugVave6O+/RjM0wcGUdfzCLT42o+3XseF2Fg7OubqDgLNVJTao94I -319yN/5SPvSROFXXv8Blis6pFwqgj9wxF9w7Ad/3VZh9rhD6yLxVl2tPwnoY -nSg+XQR9JG1DfMwpuA9IllQ3FkMfeZqlueQ03H/2lB0/UkKgCN2972XPwPr/ -a7vUsJNAznlnqz4BU/4THuwvJZD2u9srLpwV4qevIj9W7yLQ5MVviR1NMG/2 -//5bWUag4V0/r/icg359+6j87t3QR1ipRK1mIV6XkqK/sxz6iK2a9pjzsJ69 -Ua6FFdBH9qGPD4AfUNuj8yqhj/xYVV3fIsRftNtLt+2BPuIa6RZ3QYgPRsxq -yayCPnI0m7JrFeLyt/tebdlLIOXx1e1T24R4RYu1RHI1gSb4NCV9Ad4kRekl -1BDoY1OXzsWLQlwlOeq3aR+BbjHvPhVcgvV+PKFwYy30kZBfNf5YiOt3GF2O -2A995PLkVbqX4fy6Fn4NrYM+MnU+M/4KnFcnQi2oHvpItEXHQ+DE2sP+aw5A -H7ntkXzwqhAvj1pf6dMAfWTORr3EdsjH126PPA8S6Hvyts/212D+ywRKux8i -0LOH1bXTO2B9tXetdD1MoDbNcx4s8NlVA2XORwhUva1b8vJ1OL+Noc/tj0If -6Xt3vfiGEFt5EEq2x6CPGP9OCbwJ8zXxfrjVcegjJVMMDG7B+zG81GTeCH3k -y/wB8U4h7jx1b+yiE9BHrC3rngBfnSRwNToJfaTK0+vIbSH+ERdSp3+KQN0j -G6WSu4Q4QWLgm/ZpAp1wzrnp1A3r2V/mqHGGQMUHa7bMvAP3wcWh9WpnCRQj -1mw4CJyk5v93bhP0Ec87wqt34T50P9VL6Rz0kdPv60vvwTzyuHZ+RjP0EfLP -6uD70C/eak9VOA99JFB6itEDyPfd1zbLtUAfaVvQKfgP7k+7t/RNuQB9RMYq -/TnwPokA20mtBNq/wcvoeA88LxNxkmoj0NYbUVzqQ8jb7r0KgosECp61vWH5 -I+hn4aM5Ey4RyC5xn4/SYyEOFSR+H4Ohjzxolh4GLrujFP4XmF5w93bHEyHO -fDn84udl6COZHzJ2P4X94cOtGL0CfaT3j3HYM+jXGyd3fb0KfcRAZtDkOeS/ -qa+NqB36SIH6IaoX5vubB1cHrhEo/qOV30vgD7kbLfo7COSJVsuefAF93WPh -1ffXCWRaEd2d/lKIDaLmLXlzg0CKQ9u3rngF+fgddb68CX3EodZ0bh887+St -Ls9vQR+pOz/0DZh8L3r2uBP6yO+7h2++FmKl0m3BPbehj7h99K98A89rbTd8 -rwv6SONfuYi3cN4U9LZ2d0MfmSh7d/E7IQ5eZCfbeYdAjv4a2ZLv4T7bve3I -9bsE0myxXvz6/f/ycRC13yPQpMnew6c/CHH7veyn+D6BhsJjjm79CPs132ZT -2wPoI+25Ae6fhHi2o45Uy3/QR6bvn6raL8SfdG1PNvVAH4ltufcD2DY4x+X0 -Q+gjd+5tu/0Z5gs5Mtz4CPrIvE9me79An7bJrzz6GPpI2r+RDQNC7LjYxfLQ -EwLNfip7HAmFOEvaYqD+KYHG6WqunczCeg16l9c+I9D73CUK74BDvtfbVD8n -0I233g+aOLg/L5s6WtkLfWTRpu3bREJcO+3C4d0voI/sykOeg0L8Zss239KX -0EfY/aPzh4RYtj5dpvgV9BHbC42/gUOPHbqT3wd9ZN/9oDtf4Xkv/d6e+xr6 -yI9P0/cNw/f/TbHd9oZA31zEeqJG4P5coj5x61sCPTkil2f1DbhG4lbaOwJd -GKdlKTMKz2c/OT/lPYGqvG1+fADOblm6IukD9JEmn5PnvwtxgdQJhfiP0EeY -2JDcH0L8Pd7yQ8wn6CMhO2Z4/4T71+TxZzb2Qx+5XPdQ45cQF00YTl//GfrI -1NYd/4Ar4yevCP8CfSTqgdX930J8Ld9PJWSAQLc7+3/u/wP3u/XPfwUKCXRc -eczpTX+FOGZR9n/+LIEKk+XDbP7Bfprlf9yHI1DUQ61Z8mIsfr84bLuXCPqI -pu3jfuDy1tqQVYPQR7b5FrSOYXHHJYHdyiHoI32xS/LHsnjy+tr5Ll+hjxjl -//Ydx+IFA6GSzsPQR4rrz2iPZ/G1Nf7f7Eegj3xuDR87gcW7vm57ZfuNQPus -/pvdA+x341Wn9SiBMqo+PzkgzuJPY0PPW3wn0NqRMUXxE1n89/asQ2Y/CGTj -PNV2qQSLN9kRlaY/oY8c1P47TcDiudVzCox+QR8Rs2saAB4aitxq8Bv6iIdf -xCWCxe0RA8m6f6CPnIpTLiJZvFWnMl7rL/QRouDZGorF6iGJm9T/QR8JPFCs -R7P4nF5ujJoYiWLb2uwmMCxWvti1SWUMiVbJ9Px7BJysZpGgPJZExhu+nDsk -yeL71R9TZo0jkcKNsRuSJrF4odXlLMXxJPozc9pcRykWdy26XThtAon6EnR6 -FSez+MwpiSo5cRJdvW+3kwOWuZlwRHoiiern+9tfmQLf16DQKiVBouzM+DE7 -peHfowe7GQGJQnsLzq+VYbGa6883JEEie4OGSENZFtdHGP6UIEmkXnBRRUIO -fs/HA1PEKRIxH3tePAUe99lOaxxNIpH5QOlReRbvrJjpJMaQ6EH5OMeUqSzu -mz5//R/gpsFp45ZNY7FrZWjhT0kS7bbXvTBLgcXuRs/PjE4iUWLd0qghYH/F -jGfDUiRa/dtf9dp0Fn+J9Bk3NJlEi90SXu1SZHGi+3pNbgqJZjYWloXMgO8b -PbV6QJpEYyYedDKeyeKbiQvy+mVI9Nbv0nhyFosbxz1r+yBLoo7zD1t7gd+1 -XhS9lSPRQSlhdONsFpO3Hqm8lidRbvj4+WlK8Hwuc/1eTiVRRLvCaxdlFn9I -PlzxfBqJnKfrlSvPgeeNCnz0RIFE2rH2y0aAP61cIfNoOokm31kjfmMui1cv -S3T/T5FEwyqJF8tVYD23Pay4N4NEj7YUbQqfx2JV5dBX3TNJdP7JwQWLVFms -a68z7/YsElXq4De0Gvy9sk7UzdkkSs59VPEKeLQn5GKHEon83gqXn5rP4rzs -h2S7MoksFk2QyFzA4pe+Sd6X55BIedd0vFKdxQNb3U9cnEuiCaxenIoGi9tm -ho9vVSHRRxsHje/Anu5Nq8/PI9GtmoB3tzRZ/GiZUVOTKomOfk/cs0eLxU56 -XyedUSNRvkux63pteH/zPmw4OZ9EkUcOCcx1WNy8Uuru8QUkchl3+fIkXRaP -eb1J56g6ifS9H8e/AR75OqnskAaJZJtYzbN6LL579v3vA5ok+k6Lf8jSZ/FD -+69BdVokehasuHeVAYtdXhjf36dNojasv1LNkMW7d7aYVeuQqFrekfwFPGZX -dOMeXRKlRQVe7VrIYk4iaFaFHokCOpMSq41YfEu2uLRMn0TWyiXaG41Z/O/9 -N6LUgEQqyYc/WpiweGJ1WWaxIYkEDy9XTzFlsW/wxr8FC0n0ReOJ23vg3OCt -m3cYkag7m6OaF8F5uP7g53ZjEp14JX4tZzGLS4+tTt5mQqJioxmbvcxYvM9i -pliWKYliig101c1Z/PyYYnbGIhK5fXbs/wPMyqxi0haTaKHV2n13EYtz6m+X -p5iRaGrV5lW1FrC/0zbP3WxOol/DJUyMJYsN7wefTUAkeul0pMPaCtbrUv6S -OAsSXW64kixrzeLvG0VPYixJtP/fE71PwFslCzdEWZFoq4foc8sSWL+rYeKR -1iQKPjVxf54Ni9GptH0RS0hkR8z09LFlsen4nkXhNiSaH2g4ScuOxQZvA5+H -2JKIanO6IbaUxSpx2slBdiRipYNSHwAz741nBi4l0b31yQb19vA+V6Vd87cn -0ZnrOwdiHVj8+du4CF8HEu2aebTO1pHFYz9fk/F2JFF8wlWvqU4s9vFsv+Lp -RCLP+0+lvgDPWP8vcpUziUznD95sc2ZxtFPiLLdlJFLMlEgrWMbiAiWd/1yX -k+jf85kL/Zez+Jj0vJzlLiR6rb+Q1XFhsaTjanNnVxK15zsfGOcK3zfY/d1h -BYkOfAjyfgj8aMGWs0tXkijHPGXKwRVwvudERtu6kSi8vLQzYSWL88dX6y5x -J5Hj4NF0ezcWm3wlRyxXkUjTvt1oujuch6ktLciDRJPqnnFC4ICaA2lmniQa -+jXYgFex+L/j95cu8iJRz0qBb7EHi/XXL5YxWU2ic8dnyQR6slhKvP/NQm8S -lYsbdel7sVistue0gQ+JkvyWZYqvZvHeYLEsPV8S+ZwPNnkCXLAlwlPHj0Tm -UqmDh71ZnCo9VVvLn0Szw3cd2uzD4tnO4gKNNSQa137Mz8kX8sFN/938ABK9 -V7gmO9OPxTGudVdUA0l0Y9PzbhHwqQ0ralXWkuhw99DWq/5w3h9YZ84JIlGe -CrGodA2LIw/GhygFk2j9ltlfgwJYfERmwGlWCImWPTE6sjCQxR42+w1nhJJI -V2f5GsFaFocGVM6eHkYi6dwQ+efAPSU9zLRwEn17k3r3WBCL94i5/JVbR6In -pmXZqcEsvt4jKZKJINGF0uOLl4ewOMhI6t2U9SSqEl4bnh0Kz7vS7ZnUBhKl -2vQe/Qrs79r7QDKSRP41XwM6wlg8fnVDN72RRJbfiWm7w1l8cPeZTjKKRHNc -lO6HrmNxms74TkE0iSYeMc4xiWBxt0v57YkxJOof62JOrYffN3vj3QmbSHR7 -dei3F8DvOvMejosl0fGzW46f2ADnI+7LizFxJCqkd69Nj2RxklPhp3/AUcGN -Cis2Qn9IjB/5E0+iFbjjwZwoFlfNqR//O4FEBvIvtn8Djo+Xkf2ZSCK5qGF0 -Mxr2w57bat+TSPTjFvm9Igb2Q+t182+bSdSrpHxi3SYWz/sn7jGcTKJLm02C -F8ey2KJ4R/RQCon29bgoSsaxeOaeVYWiVBJlaIT19AEH2YQ2sltItDY7Le90 -PIsvt126O5BGIptXuy23JsDzzFv99XM6iVSNTvxwS4T9fGrx1P4MEhHF10/O -S2JxemawxcdMEg30vwj5AbzjxsN177eS6I7lyIzbm1mccTCv/G0WiU7uoR5V -JUM+OOXceJ1Nop3DyvkbUmA/vLn9/dU26CNOptYolcXHi93VX+ZAH2lw/SW1 -hcVmW1UDerdDH/kXdvotsOc7i8pnudBHPNLDmtJgHvbu73mSB33kZPmsbeks -XrrLQerxDhK9Epx87JEB32eyaPnDfBJdCbhRMD+TxRNGNhX/V0CiutaXS34D -X+RGeu4XkihL+tvv7q0sLluJp90rgj6ynj5bkwXvx+l+wJ1i6CPX56yLymbx -tgnqx7tKoI/MXKRktY3FSg13f3TuhD6SsOKpdA70S882u1ul0EfuhRd9AFZx -GKq4sQv6iFqG7fntLLaqjxJ2lJHobEbF3+25LN5faGJ1bTeJyp6fbFqdB/1p -odOeq+UkStC/GaGxA+bp9cMjlytI5JX/SvkfcHfIcldcCX3kw7dn9/JZ/MLa -4tTFPdBHzJmS/QWQXznJk9uqoI+Uz126qRD2i8/YuAt7oY+IFonZFEF/ZB88 -P18NfWTpyma5Yji/waxVcw30kf3rNvQDX+VWNjbtI9H2XxlzW0vg88+KK5yt -JdG6lZW9O3bCeesbl3t6P4mcjp/a6VvK4iXVjr9O1pFIS/yWvfYuFmvIv95w -oh76iF/fmLFlLPZKvPLu+AHoI82j5/8DbhwQeh9rgD4ySXLjgd0w7/YGPz5y -EPpImMq8+HIWZzYtWHn4EPSRq4tf2lVA/vsv/u/gYegjCm67plVCnnTuWdlw -hES+myIcB4D/Tbd9Un+URKg7c9ylPSy+kGnuW3eMREoqey4UVsF+Vsv6UHuc -ROO3nI5asxf6q4l81L5G6COPb6nqVbPYue/7n+oT0Ee0X78aX8PiRbbz8vee -hD6y/XvZI2CryroZVaegj7yRdD60D9Z3/IbTlaehj5jOm5BUy+Ibp7LtKs5A -Hyk1a3PYD/2gS9i3+yyJ9IRuMYp1LM5Or9tc1kQiGZv18zng7z8Pyu86R6LR -6q2vL9dDn1n7q3lnM4meju4pLznAYsvPez1LzkMfWX5m2doGFk9vLvxT1AJ9 -5HCnuOFBeJ/f7tUVXoA+MvbNxYmH4P7Qs8axoBX6yOofm54Cm6ZYju5ogz5y -dpL60cMs1lOIqc+7CH2EVn2bfATuJ8++rsi9RCKJYPNK56Msnvbx2oTtmESf -L7m7zDoG8z3iQ8u2yyTqktsgMQRcXeaxMfsKiRo3ZuH24/D5xQpqWVehj9yq -itvVCP0hR+tdZjv0EaWzGiEnWBx7sLQ24xr0kc233xmdhPMwzWFNegf0kZ43 -e4hTLN7MrFBOuw59ROOnay+wUeOxj6k3oI9kSRGNp+F+oOLRmHKTRC9eql7Z -cobFjvvd4pNvkQgvRAkuZ2H/Ox+w3NxJotqiVVrKTdC/VtlOSrpNosz+DR+G -gdf1m/YldEEfsczee/0c9GetzNPx3dBH9uxdWd4M/X2V4ra4O9BHhs+S4edh -3uwgfGPvQh9x6rpq2gLz/Zf9wk33oI8ceJtIX4C+dffl5Jj70Ef+/tR+Bbzf -8Loo6gGJTq+a/OlkK4ujvMfc3/gfiUpPqtVktEEeri86E9lDojiBhfvKi/D7 -y2LKNzwkkUeAB61yicWrxh3dsv4R9JHWyGujwNqvDcMiHkMfkd62+RZm8Xpv -Obd1T6CPRFTr7rnM4hO1jlbhT6GPdDT1R1yBef7ikV7YM+gjM7r3mV1lcf+i -cyqhz6GPxL9bNakd9vPAZ4WQXhJtu/eLeQNcJB87JfgFicLUplw/cw3ud+xK -OugliRwy5qdkdcD9oTJXsPYViTSeW+ivug75Yy8rEdgHfUTf84vqDbgPz/8u -EfAa+siOjft/Ak8K1abWvIE+8n6bZ9dNmK9aWMr/LfQRs5pJ1bdYbN1YP9Xv -HfSR3eduRHZCfku9VvZ9D31E1J1qcZvFJVmbtH0+kMh76XuDKV0wj438zL0/ -kshs/++Bd8D33GuXr/5Eolm/ptSf62axNGO61qufRGNXLlidcwfeV556kudn -6CPHLCd73YV+9ju2xOML9JEJXrcW3IP1zZc7vmoA+ohvVNof4PIIqU53IfSR -5pyFd++zWOdGYL8bC31k0j523wOYZ50M6cZBHwlrPhD9H4sV6ydrrRSRSOfq -HW/rHujr6ZFuKwZJNEXhwxTZh9D3Cuemug6RaCTmT+dHYOk/+oddvpLocZd0 -RssjFp/8tvfR8mHoI3PVjfMew33miL/48hHoI6lWIu8n0OeXpBgt+wZ95LHX -Qc2ncJ6+fY1wHoU+oh3tK/YM8qz/Wp3Td+gj27fLPAA+7CzqdfwBfeTNvq66 -5ywmXOPkHX+SSNz0fGZsL4t/zV7l7vCLRJ923jWxfcHiNQMlZfa/SdQ58GFQ -/iXc516pPV36h0THlvw99BnYXnX6jKV/SVRQLePf9gre70hYkN0/6COj6nIF -fXDfjp1ywlaMQiuWW9/xe83inw/lf9mMoZDB4dVZOm/gPFsnLbUZSyG5sTGL -xr2F+6BoYeWScRT64ZX7tQd4xkRXofV4Cj0/U3uk4R2s17mbltYTKHSRalmT -8J7FPzSqKq3EKVQTdE/e/gOLH1R2DVtOpFD6pY93FT6yuGWBl4ulBIUC5f5l -C4ElJZectBBQyGajrBn+xGLzmHwpC4JCqrc0Ror6WdyarReLSAoRSkuOBXyG -PpCw8Lk5RaGBJO9A/S9wXmIrrMxpCt35L2aa+ACLFRo9G80YCp1Uz7v/GFh+ -eYKCmSSFSrL25xwWQh7ljuQunkShTS9bzDezLF6Qd//3IikKuS+8/82Rg/eR -TkUtmkwho6JPx2eIoA9XNXw0nUIhhf5/a0XAq4h9/qbSFPpjITf96iCs/8/v -z01kKPSqUvO/nUMs7qw85WkiS6ErX5fkBn1l8RyFzqfGchSqc/SxWDgMf3/E -0ttYnkJZBzZ9lxhh8ZM4hddGUykU8jfvxDNgo5MrQ42mUWjpqrrgY9+gD2Wx -gwsVKLTg5AXF1FHo03P7UxZOpxAteNCz7DvMX2xNLVSkkGhNf97sH7DfM8bs -NZxBoQcXxKy+Ai+onqltOJNCZ6fI/7z2E/qmRU2HwSwKlUVonSr7xeJLe9J8 -DGZTKKHDJjT0N3x+b/uovhKFvGb4zjT5w+IG3bBSfWUKLYqPfUT+ZfHkOxv1 -9OdQaMa9HfkvgKVfPuvRm0shMbV66xP/4PlKDiboqVDoTXrrrzQxDvdOfTxD -bx6FOp49OO06hsNX6sNu6KpS6KDe57A5YzkcF+AXratG8T4ch2szzs/UnU/x -PhyHR/Vj7+osoHgfjsO6h3el66hTvA/HYQXJaYY6GhTvw3E4rWysUFuT4n04 -DptHLmvQ1qJ4H47DprfEArS1Kd6H43BQj+wsbR2K9+E4fO5ycZ+WLsX7cPB9 -l6LqtPQo3ofj8Kff50K19Cneh+Pw4LE12loGFO/DcTjyb9RPTUOK9+E4XDj7 -03XNhRTvw3E4z+baLk0jivfhOFy3VzxE05jifTgOz111wkTThOJ9OA6H1F2a -pGlK8T4ch2+f1u/XWETxPhyH25qkrmkspngfjsOnet1qNcwo3ofj8AKPMeka -5hTvw3H4rId0oAaieB+Ow2+YQlsNC4r34TjsfjRKU8OS4n04Drf6tslpWFG8 -D8fhDs/IcRrWFO/DcVi/O3dQfQnF+3Ac7uln3qjbULwPx+HEvl896rYU78Nx -eOwbl051O4r34TjsNVPqqvpSivfhYD/cMm5Tt6d4Hw5+j1TXeXUHivfhODxO -9WazuiPF+3Ac3mmm2aLuRPE+HKxf9t82dWeK9+E4/FbLuF19GcX7cPD/r395 -W305xftwHL62gX2k7kLxPhyHo4LD3qm7UrwPx2H/rGXD6iso3ofjsOj3PnGN -lRTvw3E4/6+PgoYbxftwHD7amq2r4U7xPhyHu4MUHDVWUbwPx+EUzemhGh4U -78Nx2NV2e7aGJ8X7cBwWvg44qOFF8T4ch39qHe7UWE3xPhyHdQK9RRreFO/D -cXjF8WR5TR+K9+E4rIEIK01fivfhOFzuNGGjph/F+3CwvhM31Gj6U7wPx+Gm -Guv7mmso3ofjsLPd9glaARTvw3HYRm/RIq1Aivfh4PlKfGK11lK8DwefXy48 -qRVE8T4c/L74AVYrmOJ9OA4f9PfS0g6heB+Ow4bbFkZrh1K8D8fhOYpbm7XD -KN6H43D7SvRPO5zifTg4T/4RS3XWUbwPx+HiaIkynQiK9+E4vPei9Hud9RTv -w3FYclOxoe4GivfhOLzpZmqubiTF+3Ac9hX29ulupHgfDj5f5pixXhTF+3Dw -PmIGSvWiKd6H4/Al4+ohvRiK9+Fg/fZccdXfRPE+HIeX3HZv0o+leB+Ow+K/ -faYZxFG8Dwe/z/9xukE8xftwHP6xoP2LQQLF+3Aclime7WGYSPE+HIe/Xx26 -bphE8T4ch88MGxot3EzxPhyH13qyRxcmU7wPB+dvzlQloxSK9+HgvOQ0Vxql -UrwPx2Gi5ZaM8RaK9+Hg9/Qv22mcRvE+HIcDneynmKRTvA8H+SzXssskg+J9 -OHg/yVXTTDMp3ofj8ITG4VrTrRTvw3G4v+/KgkVZFO/DcXiN3fjzi7Ip3ofj -MCnfZrN4G8X7cBzekdn/eHEOxftwHK68tGOd2XaK9+E4PPz7wBjzXIr34eB5 -ow0qzfMo3ofj8FVXUwO0g+J9ODiP95sfoHyK9+E4/EL5aLRFAcX7cBzOSJaR -sSykeB+Owx8kR1ssiyjeh+PwtMnL11gVU7wPx+FFzbNI6xKK9+E4HKsX1my9 -k+J9OA7/uqAWvKSU4n04DjMZAXI2uyjeh4N/b5ty26aM4n04DivuW5xuu5vi -fTgOP/LrM7Yrp3gfDp5f+/ewXQXF+3CQVzbFp5dWUrwPB/PqcU20/R6K9+E4 -vFBW1cChiuJ9OA4nGM3/6bCX4n04Dh+JbrjiWE3xPhyHs39U5TnVULwPx+EH -YxgP530U78Nx2O/yD5VltRTvw8F+Cff/vmw/xftwkP96ll3L6yjeh+NwuGvN -fpd6ivfhOCz7K36z6wGK9+E4fDegy31FA8X7cBxuvFSlv/IgxftwHH5m1S/t -dojifTgOZ807Pep2mOJ9OA6z1aO97kco3oeD/H3Vcm3VUYr34TisN+NHo8cx -ivfhONxZ1LTH8zjF+3AcLo3gcr0aKd6Hgzz42JC8+gTF+3CQ9wa9G71PUrwP -B/lWUBjic4rifTgOG6tc8fc9TfE+HIeX6kV5+52heB8O+sCXGi//sxTvw3H4 -QqbT6jVNFO/DwXzVTfINOEfxPhyHxTRV1gY2U7wPB/v/qEvE2vMU78NB3r7+ -HRfUQvE+HPAE1a3BFyjeh+NwldN/O0NaKd6H47Cn6O+B0DaK9+FgfZWPXgi7 -SPE+HMxfxSf3wy9RvA8Hzy+T/WUdpngfjsMnzZsmrr9M8T4cvL+eIJUNVyje -h4N8lyi3jbxK8T4ch99PcVy3sZ3ifTgO26tnFEddo3gfDvZDjsmF6A6K9+Gg -T/jGvo+5TvE+HOTtB90psTco3oeDee0WYxV3k+J9OA7bvjWMi79F8T4cfP71 -lKMJnRTvw0F/MLB7m3ib4n04yKeQMsXNXRTvw3FYrjTUK7mb4n04DqcPXqpI -uUPxPhyHpZornqfepXgfDs6Xwo+Zafco3ofjsMqqF8Hp9yneh4P12mdzMuMB -xftwkBcaBr8z/6N4H47Dvxcets/qoXgfjsOnR/bvyX5I8T4czJtqJW7bI4r3 -4eD8hKku2f6Y4n04+PzCs3tzn1C8Dwd5anPje95TivfhIH+u+7vnP6N4Hw7y -zzb9XMFzivfhYH+OUZta1EvxPhyHMxd4pBa/oHgfjsPyf5kPJS8p3oeD/n3S -aXnpK4r34Th8ebP0xV19FO/DQZ6WBarvfk3xPhyHB4xNq8vfULwPB/tt757J -lW8p3oeDfvFj6/Y97yjeh4N5kjU6du97ivfhYB4kfU2t/kDxPhycX6nEPzUf -Kd6H47BPek5q7SeK9+HgfY9TGlvXT/E+HHze3SU59Z8p3oeDvJgxPKnhC8X7 -cHC+1TSqDg5QvA8H+091UO2wkOJ9OA4LVqILR1iK9+E4PP/9NKdjHMX7cBze -LZ3+5riI4n046IsKMUknBineh+Nws86g9Kkhivfh4P5Q/OvU6a8U78PB+4wu -cTk7TPE+HIeNJM99bRqheB+Ow/dqgsubv1G8DwfnyafevGWU4n04Dv9Lj+y/ -8J3ifTjYDzY3d7X9oHgfDtb3baP1pZ8U78Nx+HyJ8jf8i+J9OJgnBSpHrvym -eB+Ow4+Z8/7tfyjeh4M+4/xkasdfivfhOJycve3h9X8U78NxeL+oY+dNMZr3 -4Ti86/rOlZ1jaN6H47C05aBc11ia9+E4zFW9fNE9juZ9OOjPUt4Nd8fTvA/H -YZMnYVH3J9C8Dwd9WF3C/D9xmvfh4O/tFko+nEjzPhycl7Wjrx9J0LwPB+vZ -Ztf8REDzPhz0r9wFhc8ImvfhoJ9O3BfWS9K8Dwf5G9lg85KieR8Ozu8vM5U+ -muZ9OOjL78Mk3jA078PBv4fPE76VpHkfDubH1fie95No3oeDvFZdfemjFM37 -cJC/T+4f6Z9M8z4c/F6yt+LLFJr34Ti8709inlCa5n04yIdPR7dwMjTvw0Gf -koiOG5SleR+Ow2N2d0V+laN5Hw7mxd3WdSPyNO/DcbhMaB4+OpXmfTgOH1/g -ue7HNJr34Tis3DEx8pcCzftwHNYccYj9M53mfTjoH6NzU/8p0rwPB3kuXrZ9 -zEya9+E4fMCnave4WTTvw8F5MDY+NGE2zftwHJ7dE9E6UYnmfTjI0/CF9wXK -NO/Dwf9vWNFPzqF5Hw76b2zJeGYuzftwHEYBykqTVGjeh4P7qZGj5eR5NO/D -QZ7o00HSqjTvw3F4W0lwrqwazftwHC7K9zgtP5/mfTgOSwS87Z22gOZ9OFg/ -x4mEojrN+3DQl7ZdM56pQfM+HNwnXRQiZmvSvA8Hv+8dWausRfM+HIetU8ue -zNWmeR+Owze8Wier6tC8Dwf5cS5p2Xxdmvfh4L7S3VOgrkfzPhz0+Re37mnq -07wPx+GZs71kdAxo3ofjcMWjLG89Q5r34eC+beLUYLCQ5n04+Peck0MLjWje -h+Nwy98TFibGNO/DcXjDU4edi0xo3oeD/ua29aOZKc37cHAfPelpZrGI5n04 -mO8qXbutFtO8D8fhryPPvy4xo3kfjsN/vba72pnTvA8H962dPWfsEc37cBz+ -9PyinJMFzftwMK+il6Qus6R5Hw76UOm6jy5WNO/DwfxI1V6x0prmfTiYF5Gl -V9yX0LwPB/lRv1vX04bmfTjoO6tNGlbb0rwPx+GL95Kn+9rRvA8H62Hlt8t/ -Kc37cByOGHw9KdCe5n04DivJTygMcqB5H+5/8/WmZKgjzftwHJ53U2NnuBPN -+3Dwed16U9c707wPB/lg/bw2chnN+3AcVg2foxG9nOZ9OOh/KZKtm1xo3oeD -fnKxyiHeleZ9OJhPIV0vE1fQvA8H+XahelPySpr34TicNDyF3uJG8z4ch8+t -VD+U7k7zPhzMp6mflmxdRfM+HJyHdNsP2R4078PB83XZb9/uSfM+HLzfhUOa -O7xo3oeD+TfW7HHBapr34ThcHaGZUexN8z4chzefbdcq9aF5H47DDlP/virz -pXkfjsMGz16UVPhB/n6Wm0SJiXCkYaBdlT+N5u+u+7IJ2Gp9gVjNGshjK60b -L4DFL/m11gbQiBJd2G8zRoRnhz5OqA+EfK6yST0BTB0dMTq4lkas3QNP+bEi -vOz6hV+HgyCvR3wM0oEzf6hcORZMo3u1/ZM+Ay/farL9RAjkt3PsgOs4+P6G -kRWnQ2l0+qfYzVbgwzUes5vCIM8P7qibM16En50IHGwOp1HpCvkt+cCfZeWu -XVgH+S5W7/UN+KF0TMXFCBrFHdMy9Jsgwgf646Mur4e892iVugkc/3CuY/sG -GnlMsBVqi4twrHyK2vVIGnWeenCzAvjlUIrg1kY4n76+9WMnivCfetWB21Ew -D4jPW9YBa0em3L8TDee1OXZ1D/DH4uSW+zEwHwLHLFwsIcI1Tir1PZto9E8y -f3ID8Kc3ScWPY2FetMmzjECEA0uS0p/F0eh1aP2teOArVXM3vYiH+SGjfaAP -+KplclhfAo3ar7SmLSVE2LQjdc3bRJgnG2y9TwPTQRreH5JodGDafwsVSBHW -CMzx7N8M8+WG75StwDViRZ4DyTTaFvOZHQDenGrtzaXAvJkZ1+lGifB7xYY1 -Q6k0Cusa03AJWGZWU9jIFpg/Cfnp82gRdrsetel7Go0c5k71KQL+49Kb/isd -5tH9eqMfwAnjR4r/ZtBII1VbOoARYYuZbfVjtsJ8mt/GdQK/e6tzYXwW5Mdj -29t6kiIsVur+YGI2zKvM/xqqgK2i5guJbZAnWn4ZEyaJcMTF4wSTA/Or97PP -BmCnC33zpbbTqCcnzvgx8I3D7U7SuTDPDMbKICkRvtPtHiOXR6Nzr/NFh4CD -Qyv3TNsB861gapfUZHjfrQXXFfNpVG5y4GAS8GmB/vCsAph3H7Uz3wJfL82d -M6eQRkk723wdp4iwWk3JqnlFMP/M7UyagI3WOebPL6aR98B/MjOkRdjP9HyH -RgnMw3K/wWxgBddHYjo7aWS25EsXB/xrsMFMvxTm42DcIQ8ZEa71mb9l4S4a -zaoeu/UKMH7sf9WkDOalfYHffFkRlq+zlzDbTaOxo1NNdwJnjP203KIc5mfd -AdnfwO+MjKusKyDflukMrZUTYcPtZp9tK2Ge/m7r7gbONPxu4rAH8u6Q3WFD -eRH+kBlc4FwF89WtZ2sNcP7Z7e9c9tLo8Bh/f4mpItxMBix2q4Z52/jFNAq4 -qYsr96ihUZ5XvNwz4M8meqOr98H8FR/31XKaCN+uUPfwq6XR+jMFd44Cv1Dv -bQ3YD/PYb9oRaQURblloqRRcR6NlVENWCrDgr29eWD3M5/M6az4A57brjkYc -oJFO0MVFy6aLsETXxaCNDTCvpZbKnwcOWi32OOYgjaZc6vk6S1GES5p+2scf -gvkd7n93+/94xvErSYdpNCI7cGQIOOWZnGnqEZjn7fHZq2eIcJKGaXP6UeiP -keMCrgFfWy1nmHUM5vv0wsUaM0W44fCx5pzjkL83p00tA96y+pfpjkaY97EN -w3+BrzSNay88AXk8W/deyCwRFv7ucNx5Eub/nYtH7wFXxFo+LTtFo9SkpduM -Z4vw+rWJoZWnoQ+oPAzYD6zMrPu59wyN/P/zNyOVRDirWa6w9iz0gy0DUzcB -bzqwReVAE40s1RNGeoFfTa+7fOgc9IUn4+4vUYb1DcjwOdZMozlZhccagR3b -FP+cOA/9QUchR26OCO/YEFtzpoVG4i8bAtOAOy5tt26+AH0iV9e8H9hqyGvg -QiuNPhlemuY6V4RDnfvLLrVBv3i79NsF4APyBtZXL0KeFT68r6wCz19mNtxx -CfJs0ZrjO4ALafGDtzDk2aeBnJH//Xt7nnf3ZcizXQlrfeeJMPn3rvT9KzAf -LMajG8ATxv13t+cq9BG2UEFbFc6n/K78J+00iqpUGC0Hfp4p69x7DfqJzcEH -Y9Rgf+WtkurroNGKr7qN4cDfYz0ev70OfaXm0vb/gO/nTN338QaNDBztgxbN -h/1P7Fn35Sb0l+8P0QFgX4sXxtwtGskdWDOdWSDCXTF9xNdO6DMuwtE4YNtP -9S+/3abRjz8J/70C9no/v+lnF/SbI+NP2KmL8MFT0QV/u2n03L0o9xTwq53J -4WPvQt8ZNz14mgas/wO7peL3aHTxxEGLTGDLpsfzifvQf7z1FAeAdTPUJJkH -NKqRwN9XaopwZZrZN6n/IM+a7HsuAheMyvbJ9ECerXl0QkVLhPU1z3RNfQh5 -RgfkFQJfXzelTfERjdZeEAZ/By4aXdg4+zH0peBEyzXaIjwsP7tu7hMa2UyZ -MKMTeK3c3Uq1p9CfcNEPXR0RDjOx3KXxjEaqEdMf7gHeeyupROc59Cn5QyfH -64pwI5VYYtBLI6JDb8d6YF+HxbuMX0C/isIhj4Azum9ULn5JowFFBytzPREe -/1y+zuIV9K3ORzMOAb9q1W5c0kejO3EBPyfpi/Cc85JtS19D/1JmHyYCj8qd -63J6Q6OTdxNPvQEuUp7T5/IW+ljyhHwHAxE2Vvb65vaORiWqxaFngV39PCS9 -3kM/ezjdWtFQhJ1nzVzg+4FGm9IPzcwGPtPYuDTgI+SZhv4vFlhxxcR1wZ8g -z57hR6sWwue5qxWG90OeZTucvgzcKSZzbsNnGhnrPc5XMxLhQ3s6X0V/gT73 -KiCsBLg10p6KH6CRwg7W+hfwuOulppuF0O+MkmatNYb8+Hh4/RaWRn/eTfjd -BVygmLs/k4O+V1z82MAE3tcpg2fbRDR6tVjxTDXwvS/HpHcMQv/7fKhgoinM -G1mRS9EQja6U6YdvBC7a/K+49Cv0QavLS54Cnwt83lM+TKM6zmG25SLoI/Jb -p+0dgX5Y9fj3EeAT734E1H6jUZZd4JMpi0X476TFjQdGoS8Os2eSges/Ov0+ -/J1GIbVJhe+BS06rOzX+gP7oJL7O2UyE77Y+3Xf6J42W/iy2aQaOcXIbPfcL -8qxBUWmWOXz+qZrlrb8hz1Yc/pMD3KHbcgz/gTz7p/90EJhR2E9e+wt5duzy -WS8kwiNnvdbf/Ad908OxqB34j/3b+11iDBKNf7JO3UKEL8xbZHx/DIPWnAq0 -3QX8eFdQ3cOxDHrgwyn9BZbp85v0bByDrIjNf4MtRdjGZUHay/EMOntO/Nld -4JX6N4feTGDQ3MCSJiMrEV7UYxj6UZxBZZIzimuBpZLj+75MZNDEtsMRhDXM -y7jc1SIJBiWEGtjFABtO2vh0WMCgfukryr3AU3aqev0gGOR1xfGf9RIR/mF/ -9sUfkkG31z95dhx4beaUtWNpBi2atvacrI0Iv91mIxRnGHT8Ole8BXhGvVMi -KcmgGTGb138C7lZWk5g0iUGFMycudbGFfuX6tEJaikFiXSVzLgC/SfbVnDqZ -QVEJM8SU7UR4Hnu+Q3EKg97MOfI8D7h26JOfkjSDVt43aB4Gjn3E/laRYVBH -ypUSn6UwH993Vi2QZZDhfKcN14Hnr08x15Zj0MFHT5Zq2Yvw5fPi7/XlGSSf -uXZuOfA0mZB846kM2q4lEhvjIMK37lQbmU1j0M/nm3vDgKN0Gz9YKjBoXc7E -8w+A7+7Ytdt2OoN69XfuNHWE8zTfzcFRkUFOr2dE1gOP8xSOcZnBoEv5R+xp -JxFuW+vb6jaTQVomhipxwFzloXivWQza9+HKmFfAHXZdhn6zGSS10+mFrTPM -09Zb3wOVGJRh/vT8SeA1qPZiqDKDvn5ZWzp1GazvnBVZ6+cwaG25KDIDOO3c -q2XRcxn00DrZ4QvwbUtbxXgVBtkMTpy3cjn8frlc4eZ5DGreu3PsRWDtjEOX -01QZpGY/8+VcFxHuu7e/LEuNQZXfjrQUAG9wSYzMnc8gss5w1yhwr4u6Q+EC -BiUvu7rR3xXm3aw2tVJ1Bgl/OTneAv6PUCMrNBjke+jpPN0VcH79Yri9mgy6 -uzJo3B7g3ev3PNqvxSA0ZvDluJUiXJ5Re/mgNoNOHU++EAHsLcw6fkyHQUpe -EmUPgdN/2+09pcugneKlUWZusH5/Pxee02PQ+DMznQ4CP7aMyGrVZ1Cs31HV -Se5w/uW6Uy4bMOgDuXB8IvCyLjqxw5BBq85fffUa+PQJzfjOhQy6uda51X6V -CI+V0k64a8QgY6lnZWeAU8wnJ/cYM+jIxaDo6R6wn3IfZjw1YZBC+KBTFrCL -RdKOl6YM2iGbosYC7zwjVvF2EYP+XpWYsMoT5qd22KFPixkUGVnah4GbJc5e -EJoxqE9hVpuqlwgTea/vDpkzyOXm0d3FwAcmDn0cRQy6umlhzE/gxDvvx/6x -YJDe7HbnwNXQB7QuzhprxaD6buf5XcATNydYTLRmkEzSswkG3iIsN0Y+iFrC -oGyV4Nd7genJVXlSNgwafTDYJu4DfeDv+CZZWwaFbkkpjwReKbvitYIdg54u -EGx6Anz2SPak2UsZZP+kdJmFL/Rfap+lij2DWrfOWnAE2CKvJn6BA4PUdY6J -T/GD70/MOqHtyKC9Lxa+2Qy8WsX1s4ETg5jc9ovvgK3eTVA1dWbQFsNlFU7+ -MM/G1YaiZZBnb55tOgcc+Ej52JLlkGeFwctnrhHhmccLhuxdGPSf6dCCHOC4 -p69Nl7syyPpTysRB4A/HFHPcVjCoqVTw1jNAhMckWj72WskgFYtdl64CxxYu -V/N3Y9Bu4azKBYEwTy3tUoPcGSRReSy2FDjnjdqj8FUMSrQxcvkD/O3qiPZG -DwZ9HmpXD14rwqsMjhXEejJodc0yibvA3XlOXJIXg7ocnr9dGAT5L//MNW01 -gxZ/D8b7gH9ouLZkeTOosX6oUhAswutmnFPK82HQTJfUuGjg8EXihUW+DCr6 -I3B9Dlz0zPLvLj8GjTmyS8M6BOadQ8TGPf4MinafLTgOrPkm4/2+NQx6O/b4 -O5lQEV4xmO3TEAB5dsLocirw6O2EJ0cDIc9WX9vzEXhBh4f7qbWQZxLL45eH -QV5pz3t8LohBh84+d20Bnuj/zqstmEFT14RoKoXDvD9U9PpKCINy6a+CPOA1 -3urrboQy6FdL6vuvwF13m0e7whgUEUxc8V4H89ddb9uDcAa9mFxW1QHsZlUz -9ck6Bjnj2QmaEdA3v/xqfBHBILzu+IrdwDq1S23frmeQtryxlth6eN8tOW8+ -bWBQ7bVrRBiw1vaWNDaSQZOjln+4D+wZ2Dt7eCODMhV7r5hsEOGjVYMdP6IY -NHwrZG8dcNH2HxH/ohkUFPc1gYqE+0rqsOyETQx6pLRlZSyw3r037UQsg2zv -Etovgc3+uxYzKY5B5zeXkbYb4fz0VqrIxkOeqSp9PAF8EwX1KiRAnvUcvyof -BfnvNmfX7ETIs3Tj6nTgcxueLJ+XBHmm0ZH4Gbj6TbqkxmYGsU+Xu62Ihr8X -n31fN5lBftm92m3AFzXP7TJKYdA93VBqbowI95yy8DFLZZDFq68f84HHvW+f -Z72FQafztrR/A/6huHhkaRqDlI3IGr9NIpx6+njHsnQGlb4rS7oJHPNdpsIt -g0ETipXcdWIhP5fERa7OZFDc4kadSuBTojt2a7Yy6GO/MT0uDub3sllzQ7IY -5FHW8Wkd8IXm8PHrsxl0y9LlWg/wjrTGD9HbGGTC9dYsjhdhdbGB2wk5DDq6 -J3RzA7BWvvLZ1O0Mmm437C6ZAOc71a1may6D8oe36CYAz5+fkZ+bB3m2j2Re -A3d9PJxatAPyzGl3/9JEEZ7NdEeX5UOe/VDqOA1sNvwlrKoA8qyhcZ9CEvTN -QfG1+wsZ1O5qkrwVuMF7xppDRQzS/9exSgi8N193TWMxgw4cddFz3wx5/Nxq -7dkSBsl6vGAwcGq1a/iFnQzaNj7s87xkET6p4RdzuZRB308OdxQBy7wO23J9 -F4PCfNJqfwCXTIop6Cpj0DMBlRKQIsIq0pv3PdjNIIdzuz1uA3+xymh6Us6g -tgBlff1U+P+/53S/rGCQhuQJyb3AwzmFn95VMqi61eTLhC0iPOhcJv5lD4Mk -Q69f3wBcsH/vvMEqBqVJu+5/DLyu94DD6F4GDV5+kYLSRNjc7UT0n2oGBawP -8zwM/CroQtW4fZBnU0f0J6eLsFHIjVuCWsiz62mTNgOvOP3oh+R+yLNoauDt -/3jfJ3XZOsizmeU3HDMg/3L/BEyvZ1D5beW6JmDZTpkqpQMMEiScSJ2RCfen -8zpPVBsYlDTH1GsbcPMJFzmtgwz6cu+6gQh4UCLO0+AQg7xTXKU8t0Kez6ip -Nj3MoG61lwNXgOml3R8sjjDI7FHYzflZ8H7fiunaHWXQiYyRup3AYXYm/9ei -fcfl9P5xHLfJuM8g2TMUSUSy6pAyvzKzKaOEKGXPrGRmhEhEhEhWMj9lC5E9 -k5GM0Dl32eP3/j2uz5+vR1T3GZ/rungu6HXApNVpFjr/N9oqc2Zm/4Mmbe3T -8kPHLs2neo+owdBEk1Zs2WanDLRxovxcn0MmLbiltdo6DOvbde+Hfkkm7U32 -oU/b0RYTz7ScdNikDVjV7lqZZfm0r7B2ZMgRk3a5zZW4IDSdX/F91lGT1vpt -3wVP0B3si4wMPYZ5ti5rqFs45uP6uVfDjmOeuY5vfQB9pmeJVquTMc8+FqqW -y/PJNnlD3IYTmGebQj/PRT9o3Mxqa4pJC+hcIf0t2qXUvZWxJ01aVv7m3Z4r -ME93LSq595RJ89xmHZqCPj7SJTTxtElL7ZY0rO5K7J8jSxQ5fsakNf/aznk5 -euOOB6Gnz5q0nTuvVDSjE54fK3X+nEmr6Nnvy9BVuB97t6++SiZt8a+s9Ivo -9OGbqt5KNWmF8eP3NF2dT5MHR8ffTzNpvv2/hm5El/+e6PzsvEl7WGTh8H/o -Vhtv3Xh1waR1PVihzbg1+RQV8Xf0+4sm7eTgqEqZ6JKd2//9csmkNS7VIL9N -RD55Vwjf+vWySdt6JOn6TnRSt5x2f66YtPIj28eXW4vnxavPi+LXTNrcclcX -hqAz1txcUjYd8+xEvxHP0S37D2mmXMc8G/Oijce6fDpX5PtTqxuYZ/IEy0Po -f8aeFbVumrROZ7/mW63H+W6Zn0uDDJN21H/hjQXopbXbFjS5ZdKsK5v2vkcv -tKx9sMVtkxZ5PmpR3w35tPNqZf82mSat1OQGI0+jy22qa6PdMWnTqx9uax2Z -T7+zXD943DVp7660r7wKffdv0KH/7pm0wSFX9UK0xYCU6f3vm7T0Ov1vjtiI -90er6Db0gUlrd/PF3ivoirUXKaMemrQDMycsdtiE97F3mdfjHpm0mg2/jYxC -N3fcdWLyY5O2+s7CdsU251Ngw/5rpj0xaf/mmawmoPuEVx8/96lJC2yyxbiL -rnn6R5fFz0zay4cNMtpH4bxT/YvNiucmre/iw/t2o+tY/C6/LgvzzKHDEtMW -7Mff1CnY/ALz7PlV7+noohVGZG3PxjwL798+G73m1dHre16aNCunbKtuW/Op -xqV6Zw6+MmnLXk0wH0anVko4dOy1Sfux+ltGteh8Otj8vz2n35i08e0W7V+E -dppjseN8jkl7mmtamoe+1j87+tpbk9ZzwxafAduwf6l+J/p2rkk7qzXscA7t -2+LF9ofvTJr9p8NVGsXk05vvpfdkvTdp26M6FKxBD7rd81DOB5Mme1y79R19 -uWri6byPJi3U6J/gsx37QSe76+Y8k2bEZC9NR7uFXXn+85NJG91j4ijHHZiP -AQvMRb+YtHvfvnWIRuf0GFjeIt+kucctqloyFu/Pwp42sm7SkntLhQHoWiHe -XawMk9boz5bbD9C/Qtf71zJjnu1reMB1J94v09vVDQowz7yOhO1FV5o96IRd -IeZZMZfRyq58im788ZXjV8yzxGsus9At58co7b6ZtOFDB1R7jf7v6RS3Tt9N -Wkbpl4U94vJpTuy4Gd1+mDTXYxMzj6GDWi5I6v3TpCV5fz9Qczeet68nPw78 -ZdLqVli8bCm6fM/KjUf+NmnrTkpjvqCTNkZO8P1j0or7bnUdtAe/T1vnpIC/ -Ji1EbVQ9Db006u+3kH8mLefcka+28XieqrzvNKeIpHlNcLmzDj282veIRUUl -7YpV+sFf6O/F7F4tLyZpzhcHhI/Zi3notMRpXXFJ2xf4csxN9ByrEqujSkha -tZoBmtM+7K/K7Xu3o6Skrbj2vfp2NE0N8dhbStJ+T138rfT+fCpy2jf+UGlJ -C6gn3w1Ez3NdUO5EGUnLytia+Bh93i9tyjkLSfOc3Wh5pwR8/s2Nn18qK2mp -jY6OTUD3dTrT/WY5SWtxz6VjpQP51H3PtNP3ykvargXpNeaivf8b0uxZBUmr -1NTrew46JcJvz2uTpC15/PJur4P5tOV+TJ2PkqR9XRJw6AR6QmiRGEOWNL8W -P5bXScT+5MfKWj8VSXuUtdg3HF0xrvPOohUlrdsKuZOB9nrbyNaikqSdah1d -c+gh7D8btj4qW0pakzeNflxAt0+folWpLGnREUfv2SXlk8n56e3aVpJWoYNr -UiS61oPAMY2qSNq89+kr/qIflG35y76qpH2J9PLzO4z51b5BpFM1SfPu9KrT -bfT8O51buFSXtMzPAbXaHMH70GL9HfcaktZp648fsejHF+Vp/9WUtKNdltwv -ezSf/L6crTGglqRZF8iHg9Fnq269PKy2pEXuiF75DG2TsDd4TB1JK/2fzTj3 -Y5iv5XLrT6wraTN+HHVL/H/Hej0Mridp73e71rY6ju9/79uq2fUlbUjf6z/n -o5ubrndZZC1p1/96PXiHVo7fLrGigaS1T3h1uE9yPq1qbnFpXUNJOzhw0qpT -6McfQ5ZtaSRptUr8HFf/BJ73npU8d9pI2pqkJZ1Xon1O51bZbytpRYYrdQrR -h2K/5BxuLGlBFtt+DU/Beuxnl3yyiaS9Om7z8DK61PSY8DQ7Ses36tiRZifz -6UNrD+9rTSUNS8zqzehzFa3bZtpLWqvT1/2Lnsqni8HOVo+bSdoev4Hu49He -aYu+ZTtImlWl13Xuoq18Sz1911zSlqVO+t3uNPbr11PT8ltI2o+JPx/GoTcO -PZrw3VHSxldderTCGbxPC7I2F2klac8uKWumnfn//690Ci/jJGn/Tdk2/gU6 -0z57jtxa0s7VsvXoehb7uVUngqs4S1qz68fqHkbfsr0WUKeNpO2Yrv2peg7z -eJLlBJu2kqZY33i0EN3+UvQEh3aStvD2wGMf0UW2jJzs3F7SzHNer+lPeF4H -jpimdZC0MbaTJ5xFR4+LWtDVRdLu3//p0TA1nx45K6t7u0qax8Kl9daglzhe -jhmkSdoJe/XvN7TdxZQj3h0lzebptsfeafk0w+v9tXGdJC0qzPb4tbT///vo -oDeBbpJWtuXxiBbncX+alSo2s7Okzc7WJm5FF+9lrhfqLml5K290KXEB87hW -nS7hHpI2vM2g+gHo0+VXTVrbRdIycl7/vY/ev6RtVFRXSXNdN/mJy8V8ulJo -cyW2m6Qddvl1PB798Nqg7/u6S1q9j0vXypfyKW1Sut2RHpK2fpMaMBO9rs+8 -Mad6SlqJzjFdX6FnvAjZfv4/SZuab2vd4zK+35LE5+m9JO1t9PF/R9EFh5vU -vuspaQO7dXxa40o+bc3MG/20t6RdLbyRvAQ9s6+R8LqPpLXZOWjdZ3Tgzvbf -PvaVtP293gQMvIr52OyGe0E/Sav+a3K3VPQbv9hNv/tL2sr4X9a213B9T57J -K+ElaX/6hRVZh767vpZ7hYGSNqlIxWc/0RPHXNlhOUjSXhyIOTE6HfN0y4l/ -NQdLWu/BjdffQC+J++LTcIikpZVMntTqOtYfffIV+6GYZ0c6do9B79GdHVoP -wzwbcbNB6Rv5pFbsEe06HPOs3OCigeitl/aU6zpC0paeePPsEfr+jJ7zeo+U -tG+jA1M63sTni25fMMhb0sbJv9fvR/vumB7g4yNpj8+ETa6YkU/bC/689x8l -ad39K/aYg+5hcdt/ymhJO225vWEOOqXX57xZYyTN7nzjYr1u/f/fEwYHLxor -adsmJT9PRlNT5c8KX0kzVe90svbtfDqwt/LyDX6SNv/KzQ3L0EWsxlfbNk7S -8oMHB+ro1PflEnf7S5pPnZweQzJxvl/3u3PieEm7cyOw0QX08ND2L5InSJrb -zN/F7O7g79vemEMTJe1Yg2VZG9CxPxNqXg2QtAZ3Kp76g/7n9yzt9iRJ2zhv -e6Tv3Xwq8Xq4/+PJmGdNmgTdQp957lDpVSDm2cPkns73cD5PH3D+QxDm2aJO -NrH3/r8fuhlsniJpQx0yipe9n0+3O22y+R0saTeeDX4xBX3j6LHsElMlrUN4 -zqmn6MLsBtEVpklaYqugjZ0f4P3s8HFI5emSVvvV76CD6JPtStasPUPSIlYv -+6/yQ+wvPUNeNZopaUXbVbKdjw7Ic05wmCVpU3K3l3iHXrek3/Q2syXt9fom -2b0fYT+0+qJHpzmS1l87cfok+t3slVV7zJW0S3mdNtV7nE+fUhO+9JsnaU5R -GVNWoH9n1rs2bL6kxbsP6VWA9qlv7B67QNKqGDm2w5/gfGdbbemkUEkLjwkq -eRldffBW/+kLJe1n9z/Z9k/x8xpO671gkaRN+LbszCb0vNIJbcMXY57tqrS5 -yLN86hbY1mbdEsyz3juC/dEjHlhX3boU8+x3E8876GqHxleIC8M823eicbvn -+VQssHzJg8skLXaAW6k4NK0uWyQ5XNLUYrdels/KJ+vQsX/PLZe0RYlDzk5F -252tWeTqCkkrGPJ2cxb6e4pjycyVkja29JSQLi/y6c6H+ApPVknag6N/PJPQ -ja/Nqvp6taR18Q5vUjUbz3/6AZu8NZKWUt6y9EL067Eu7QojJM325I5XH9B5 -ikOfv2slbctYu3P9XubTVfeF40uvl7RyakrUGXTijFZh8gZJm3PObWqDV3je -6nWPrxopaZ/G3+q9Gu1xMjW93kZJG2E11O4bumVylN5kk6TduvC2tPdrnAci -7ldvtVnStMApr6+i16WGdHOJwjyr8fdc8zdYH89Nn9VlC+bZtfAtW9B3ir5I -7L0V82yq5bTiOfmUXzzh7eBozLN6sX0moh06PKs7epukTcuwa3ofPaphsPfE -GEnLnZVSxuVtPs12Dtw5dbukDWrU+c0e9Ja8u7nzdkjatbu3SMrFfuxMjMOy -WElru2Do1hnodnUy56zdKWkJdrnTXqKvrZtwY8suSavxeErf7u8wP1dNqh0X -J2mrlvxtehTttPzJ1IO7Je1v8+UWNd7j/hZPupW8R9ImZ1nmLEaPnfLFLjVe -0rKXx6Z+Qof2jVp1ba+k9WndNNrrA84LtRL1O/sk7fzrlOmEjvO0H/xsv6Q5 -RnTuZ/Mxn3qPqnYxJ0HS4trftl/78f/n7yktvhyQNMv3Q8v+RLe0co77fhDz -LDI3Z1QezvNJ46sWO4R51jE47Tq6uVW5deWSMM8+/41u+Qnv89WqJsvDmGdb -ls/Yhh7vumlVrSOS1qNL5f6lPmOef18k2xyVtDPm2GaT0XsmZ29sfkzSmu5o -Wu4RelLdg3XaHZe0mJ4n32pfsF9d/uFg52RJk350Pr8PbdkoyqXXCUlbsPv2 -NjUf55+Qk3cGpkia3mfYzNnoO196jPc5KWmj/ub2f4O2qtyn5IRTknZ3f7DD -fzrWrzHXdoWclrTOA/+VS0aPHHzcfd4ZSTtefEVuLQPn7SDLj2FnJa1hUuUL -YejwJh/Wrz0naZuG7YzJR5cr2VLbSpJWxsJ+1mAz1pM55i9xqZI28/jJAefR -qmy7KzFN0j74uDdvUpBPa13uD045j3lmyiy/AR2/9HvF8xcwz04Ne/cb7eK3 -PvP6Rcwzv3cXxhZi/zByz7r7lzDPKoZsz0AHfm4+8MVlSauT+m9W66/5dGq9 -U+33VyRt7cQVXjvQrzOOfTCuSlqxqlYtLL5hf1z74Mnf1yQt+NLOClPQlUrV -WVnquqS9CbJ//wRtV8w0Sr4haQNqnbro9j2fOm6Y3q7aTUm7nO6+4wD6Rvvh -VawzJK319MzZlj9wP+bQ96a3JG1v/eED56G/34151vq2pFW9/a5FLtrv4q8L -HTMlbfmcEFPvn/n0KuNBYo87kvbLpsiHFHRaiMO2AXclbeL9FZfq/sL63dpi -zch7kvY81Cp2OTp7s89i//uS1st+1xwzOrFa27nBDySNntgPGvYbP89l/cy5 -DyXNIeyU4yX017CAmWGPMM8cPST7P3geZ1ybs/Yx5ll25oeN6Iy18Yu2PsE8 -Wzn88j/0z4FlVu9+KmmFzu9jx/3Np7n/5W099EzSfHNC5maipxT2O3jyuaQ9 -XFtkcNt/+VT3ruv5C1mS1tVlZctd6GLjk57cfCGxh9PpRIc9Xx9mS+zhdKp4 -p27lVy8l9nA6WSXUb5P3SmIPp5Pe88DIr68l9nA6/W13JrxIjsQeTqerv/ud -KPtWYg+nU9lKIe8q5Urs4XRKKFWzZu13Ens4nc4P9hxg+15iD6fThnXl1jp+ -kNjD6RTp1Pd2h48Sezidxl2vX7FrnsQeTqfsBwsG9/0ksYfT6XeW/65hnyX2 -cDp99n+R7/tFYg+nU6W/LzsG5Uvs4XT6U3fKxtm6xB5OJ99hEZ+XGBJ7OJ1c -WnboHmGW2MPptNNh5r4tBRJ7OJ2eP+pcfnehxB5Op4BjO6Yc+iqxh8P16b7q -2clvEns4nSZYl+t+8bvEHk6ntKvVTmf8kNjD6dQh9Uyzxz8l9nA69Vivx7/+ -JbGH02lZ+sn6n39L7OF0Sv1suev7H4k9nE7GslINi/+T2MPp1FDxeFCqiMwe -TqfKO/tVX4kWHk4npb3dKKWozB5Op+/1b+/fiBYeDvcnxrWwejGZPZxOC/VZ -HWPRwsPp5OOzcE3D4jJ7OJ1iHb1eJKCFh9Mp5uBXh+YlZPZwOqVXGrM4GS08 -nE5HE2Metysps4fD9Urd45CGFh5OJ/+1c8I9Ssns4fD3x9Z5cx0tPJxO6uJ1 -Wp/SMns4nbq3uBvzAC08nE7rk1/9HVpGZg+nk+3ANO+XaOHhdBo5ZPJFXwuZ -PRzub6lPtnlo4eF0mrWz7dqgsjJ7OJ2Whgz6+RUtPJxO8y94jJ1TTmYPp5Pz -g5J3ipaX2cPpFG2O1MLQwsPplDTqW1L5CjJ7OPx+4xvXX4cWHg7fr2+zTVYm -mT2cThd8SlXYhhYeTqeMF4cW15Nk9nA61atk9zceLTycTt4es2c2lWX2cDpp -57Z9PYIWHk6njRfWT3VWZPZwOv0XM/zrWbTwcDpN3Phrhpsqs4fD+1IQ8Ocq -Wng4nRb8O7aoV0WZPZxOxYtmlruHFh5Opwodz0cOriSzh9OpUZnwui/QwsPp -VBDT4NAYS5k9nE7Ne212+YAWHg7zaMTLW5Mry+zhdJpRtejoQrTwcDqFXvn2 -bZaVzB4Oz+vhS6uLVJHZw+lUp96ERkvRwsPpNMDnXVq5qjJ7OJ1MJzuMWIsW -Hg7v75QJvytXk9nD6TTpUVB0NFp4OJ3CHfu41Ksus4fTSc6weBWPFh5OpyLG -1rCmNWT2cDoFvS/d7ChaeDhc77//PXKuKbOH0+nc7EmLzqGFh9OpT4K/Q+da -Mns4ne49dH1xDS08nE5ve+hrPGvL7OF0cus7r9N9tPBwmB+tcr8OqSOzh8P3 -62h3MBstPBx+v9T/xvrWldnD4Xr97lE7Dy08nE5z3Bo9Daons4fT6WPWi83f -0MLD4f2vNm3Q3PoyezidNnX6ULW4tcweTqeaO9s/X4YWHk6n+ksCdpoayOzh -8Hy3nuu/AS08nE4hJSe2qNZQZg+nU27btn+2o4WH08nO+t21Bo1k9nB4fypM -25yAFh5Op0Nub8Y1t5HZw+n0TXVsdwItPJxO1ldHSR1sZfZw+PxJwTnn0cLD -4edb+Z7t2lhmD6fToh5tN2WghYfTqVdU/pT+TWT2cJgvvcJ7P0ELD4f5caKE -g7edzB5OJ4t6o5W3aOHhdPJ4FlcwoanMHk6n1Y7pj3W08HB4/6feTZ1uL7OH -w/XJT933By08HNYbY92GRc1k9nA6PbjaLdTCQWYPp1PU+ZzJa9DCw+H5aOrn -bdlcZg+nk9PgjL5b0cLD4X6sr96lbguZPRzmQ2PPDvFo4eHw/AT4t2rqKLOH -06nVgfHNjqKFh9PpWst+Tdq0lNnD6bTXq74toYWH06maz1Mb91YyezidFu+a -3fg6Wng4rHcBJez7OMns4XQKNE1zfIgWHg7P64PbbYe3ltnD4fmrZNn5NVp4 -OJ3aVHPz9HeW2cPhfXYaOuwLWng4nYJPDp8wtY3MHk6nD+buc36hhYfD8+RU -f01oW5k9HNaP2293lW4ns4fTaWqljadWoYWHw/vRp/ndiu1l9nA6dXyS8ikK -LTycTkP+2pWt00FmD4fPJ6222YMWHg7v94hnXe1cZPZw/99fVZlwBC08nE5P -/3Rc4+wqs4fT6Vb+4OPn0MLD6dR/nPfzzprMHg7zOXlA6eto4eF0SrFr69in -o8weTqfkmiafh2jh4XD9n2ZGDO8ks4fDvE5Zcv41Wng47AdKN/7q7yazh8N+ -rxo1yUcLD6dT0c7uo6d1ltnD4Xo9PBP9Gy08HNZjm4aPFrrL7OHw/i0LtbTw -kNnD6XSw563+a9DCw+n0+rK00bKLzB4O+40ebo+3ooWHw+e3G1+rXleZPRye -d1o8di9aeDidfg1cn2jfTWYPh+eh28Yfx9DCw+kUl7vKo113mT2cTtPCZkWm -oYWH06nU3GFvu/SQ2cPpdL1GyzYZaOHhsP6fLrq6f0+ZPRzWm4OX3jxBCw+H -/W6XBR18/pPZw2Eevmi+ORctPBy+f/qTgoBeMns4nb4Ond2vAC08HPafHyoe -m+Ups4fD9bsRV7lob5k9nE4lvOxnh6GFh9Np7vOklxX6yOzhdDqcYtd9A1p4 -OJ12dNh5rFpfmT2cTuPPK3Vj0cLD6dR72+w1jfrJ7OHwfFhn/zuIFh5Op1qH -XKe07C+zh9Op2fotb0+hhYfTKbGRPqzjAJk9HJ6nC273r6CFh9Pp1Nl1nr28 -ZPZwOs0OyLp+Dy08HPYLjRt1HzpQZg+nk7l7QPpLtPBwOu1rdLjnuEEyezid -3lmZb39GCw+HnxfsOHDqYJk9nE5T1k158QstPBzu340k/4VDZPZwmE+zPheW -GSqzh0MXNFm8Bi08nE7d1vtXqjxMZg+H+ZAYvycaLTwc5tGOt23rD5fZw2Ee -pjbM3IcWHk6nnHHj/B1GyOzhdCpjJBQ/gRYeTqfpx/XtHUbK7OF02lW+rctF -tPBw2I/0WZLV3VtmD4evP7u7IBMtPByeH4uGDQb5yOzhsH44zLmehRYeDvvt -Aw+Cx46S2cPhvPKwVa08tPBwWH8rbEmfMlpmD4d5tKf4zB9o4eFwnvo7xXbB -GJk9HJ6/wHdPS42V2cNh/+M1JmIVWng4fL4KuR6VfGX2cFhPPwf+24IWHk6n -dQOKnarrJ7OH0+luzNZpe9HCw+m0pkGHVs3GyezhdFrrnlt4HC08nE5XhmxJ -ae8vs4fTqXOa19wLaOHhdHLFutJ9vMweTidLc175TLTwcDq5T7/ycOAEmT0c -5v+5A3FZaOHh8D402BY8dqLMHg5/3yKqcx5aeDidwi7vsAoOkNnDYd6fOpb3 -Ay08nE4X3e5fWDBJZg+H73eu2LbSk2X2cPg8yzrMWI0WHg7vb5GlAywDZfZw -OM8sfNYyGi08nE5HJnWsXD9IZg+H81294z/2oYWHw5//1fqFwxSZPZxOWwan -Xz6BFh5Op21bJia5BMvs4XRaWbN29CW08HA6De/4KrxniMweDuvd2OSZd9HC -w+nU/t3WCUOmyuzh8D7arh/5Ei08nE4vw6MHjJsms4fD+uKX8t8XtPBwOvWt -n9tl2nSZPRzmUQ3bzn/QwsPp9PPovE6LZ8js4TAPur3rVG6mzB5Op/gOvu7r -0MLD6VRF/96t6iyZPRyel4s7eu9ACw+H80GVEYMbzZbZw2G992w+JhEtPBz2 -i1erBbWaI7OH06l0brUFZ9DCw+nUulyLtW5zZfZwOB9t8o5LRwsPh+ubt+dk -n3kyezjsZwNKZj5CCw+nU7s5cz6MnC+zh9PpxqzypXLRwsPpdPr68fqTFsjs -4XC+zZzhVogWHg77py8Dxs4JldnD6TQ27L/w4gtl9nC4/39GHlqOFh5Op8Kj -qx4qi2T2cJhPpodFo9DCw+E8GtTevs5imT0czn+u54bFo4WHw/vzfNgq+yUy -ezidSiZWST2OFh4O16OyUdB+qcweTqems943uYgWHg7rn8u/MT3CZPZwmIdX -HHfcQQsPp1PjWWHPBy+T2cNhv3z6Z42XaOHhcL7JXzViXLjMHk6n/fM67vyC -Fh4O68t1y3fTlsvs4XQ6066cw1+08HB4P53qzVqyQmYPh/1qnaGXy6+U2cNh -3o1MrrQBLTwcntehLcdWXyWzh8Pnm3X/xE608HCYT3W3lG+8WmYPh/1IysLR -h9HCw+Hz7VlzxnmNzB4O60+fNKtUtPBwOvWrV2VqlwiZPRz2R/M33MtACw+H -9+OWk5PXWpk9HPYns/9FPUcLD4f14+Pnf2PWyezhdLIKKzkuDy08nE7OyW53 -gtfL7OF0WvZyn8svtPBwOkUGOR9cuEFmD4f99a0vNctGyuzh8PNG3Y5YixYe -TqceG5+UrLpRZg+H8+Ed09wdaOHh8PUFAV8bbZLZw2F/YvEt6BBaeDidij1O -+OK0WWYPp9OggSsnn0MLD4fz8pfNunuUzB5OJ9+yd6beRAsPh/ejovPv/ltk -9nDY3069vvgZWng4nQZuXSmN2Sqzh9PJ8cvs6I9o4eF0WvUsqklwtMweDue7 -jLdnfqKFh8N5u/no3gu3yezhsD+eLL21iJHZw+HzFX6cuxYtPJxOhv0Pq6rb -ZfZw2N8va31sB1p4OJzfJsX3tdkhs4fD+atnN/MhtPBweF8jam5sHSuzh9Np -3h7rdoQWHk6n979GvPLYKbOHw/P458aKDLTwcLgeVSe39tols4fTSTvrnvMc -LTwczqNefSLHxsns4fB891nX5RNaeDh8vtoWv0N2y+zh0HbJR36jhYfD/jQ3 -asLiPTJ7OJ3G0bGG5eNl9nD4fvVKv1mPFh4O58nQtbuq75XZw+k0olv/sbvQ -wsPpdOGDp22TfTJ7OJ3+3lv85QhaeDidMqebT7TdL7OHw/xwi154Hi08nE4t -4ud7dk+Q2cPpZF8pptYdtPBw2K/+/PZl8AGZPRzm4/VVF16ihYfD9fo6Msr/ -oMweTqegz5OCdLTwcDr51D/dY2aizB4O+5PS7jZFD8ns4bD+lqtQOhwtPJxO -dWMrvpOTZPZwOg1rN/j6ZrTwcDq96pGVVOewzB4O53v3XZv3ooWH02lm1N6F -Dkdk9nA6PTz9eVIKWng4vI+1pw3Xjsrs4fC8OLn2uooWHg7r0dTuHXsfk9nD -YT/ba7PTI7TwcFjvnGztvY/L7OHwfsX+afQOLTycTpWLVbYOTJbZw+mUf3NK -ve9o4eHw9ZmV6i84IbOHw/Wf8atBmRSZPRzWO82mSQRaeDisL+5bW1Q5KbOH -0ynvb//2O9DCw+k0K3tAV5tTMns47D+9Y7yS0MLD6dSowN7P+bTMHk4nG7XM -rFS08HA6LXVovKbrGZk9HPY7lyL33EYLD6dTr649UgedldnDYT1o1uNZNlp4 -OJ289cif487J7OF0qlHKrrqOFh4O+8s3FVxmksweDj+/ZPvRRVNl9nA4n2Ul -Lw9HCw+H8+GHeceUNJk9HNbb7Ruyo9DCw2H+T/tuqndeZg+H9+ndftf9aOHh -8PxOOjClxQWZPRzW3wV/4k+hhYfDehUV86LTRZk9HN7n5hFVr6OFh8P9PH9n -QL9LMns4PI+XfTc8RQsPh/P8iZ73R1+W2cNh/y0tqZKHFh5Opz7d5REhV2T2 -cHhfX37e/RstPBzOk5518xdfldnD4fsre9tXuCazh9Op545FKyLRwsNhvZhx -7FnNdJk9HP5+5XYOe9DCw+F6f7Vaan9dZg+H/ei6HlnJaOHh8Hn9Hzi73pDZ -w+n0rDAl8gpaeDidHh83F3jelNnD4XzfMMzrEVp4OMyXC8GnvDNk9nA6jSp/ -qs57tPBwOkXNHRIedEtmD4ffP3JAwQ+08HCYz5kJPgtvy+zhdKKk4ZllM2X2 -cDoNPjzBbT1aeDhcj74PTlS/I7OHw/1QY+zj0MLD4XwceCHe7q7MHk4nzzyP -+sfRwsNh/1LSNrbDPZk9HJ6n9uPrXkYLD6dTBblcXK/7Mns4nSYVLW/7EC08 -nE4vIgKSRj6Q2cPpVNXVoe07tPBwWM9W9b8c+FBmD4f3+9eT/j/QwsPhfF7h -Yk7oI5k9nE5tO8kzyz6W2cPpVL1mqmk9Wng4vB+17+2p/kRmD4f180bPjnFo -4eEwH/Y0zrJ7KrOHw/dvNmnucbTwcDjffLCq7fJMZg+H6z/U5sJltPBwOjUv -ucPf87nMHk6n8xPmq4/QwsNhnqpXznpnyezhdEqaN33Ce7TwcJh/jmurT3kh -s4fD+eN4pYyfaOHhMP+XF1u0KFtmD4fP13po2/IvZfZwOjXQahdsQAsPp1NA -E8+kmq9k9nD4vPM+TtqDFh4O5wMqaNbstcweDuf/qRPMJ9DCw+nUtUHvk9ob -mT0cznNeu0KvoYWHw/MbMbZn3xyZPZxOnwZtqvoULTycTiuc27wf/VZmD4fz -WHKP03lo4eF0ujnk1pqpuTJ7OFzfgxd9/6KFh9PprJOdFvZOZg+n05D5pWrI -72X2cNgvyv1/bkYLD4f9/BTLp3U/yOzhdAqx73FuP1p4OMy/jMJdjh9l9nD4 -vM8tV55BCw+H+fw6YZp7nsweDn9+QsroDLTwcPi8Rdv3G/hJZg+nU2LT1u7Z -aOHhcH/m7G/j/1lmD4fz38yNDgZaeDidsg/9sp39RWYPp1PNhCcNSuTL7OHw -+b85Wq9CCw+nU9m6ZRpU1mX2cDotODbQZjtaeDisjy717W0MmT0cnv+eE5wO -o4WHwzwZ79CxrVlmD/f/f68K7nUBLTycTqlyyxE9C2T2cDr9OxoceB8tPBye -z+0tlowolNnD4fqPCorORQsPp9OV5Q7JgV9l9nA6Fd0beOcHWng4nA+6tdAX -fpPZw2H/+XeqWv67zB4O5wOv9k6RaOHhdDrwasmwWj9k9nA4H7fotyQeLTwc -zpMP4pIcfsrs4XCe856ddRItPBz2y94PTW6/ZPZwOuX4nex4Ay08HPZ7ZepN -H/BbZg+H89x95VAWWng4vP8jw9/7/ZHZw+n0xjm8oY4WHg77hULFd9ZfmT0c -9rMNrfcW/yezh8N5wCc1byVaeDicF5xzHSsXUdjD6bTdJWrudrTwcPh9LR5e -symqsIfDfs0utsoRtPBwOI+1++7frpjCHg7z4MazsxfRwsOhQzwr9SqusIfT -qdy13gEP0cLDYb6OeHnVu4TCHg7nixtFG31ACw+H9Tr+SFhwSYU9HPabYQUf -fqOFh8PzXPRq76WlFPZw2D8nNj0plVbYw+nU17qhdRRaeDidqvw6vLZeGYU9 -HOZFembRA2jh4bD+NV4a0spCYQ+nk9exW+/PoYWHw37oX6JP17IKezjMy93W -zzLRwsNhvdKaDx5aTmEPh/OL3/1Hb9DCw+HrJ5Shk8or7OEwf6+8ffENLTwc -5nPLAX6hFRT2cDpV2jRcL2tS2MPh/q0oMm8DWni4////jkuFWpLCHg7n+8gq -2+PRwsPpVPzVasfmssIeDuuL/c70U2jh4XB+tOo3prOisIfD/qDtziIZaOHh -8Pu2iNg+UFXYw+k0YFvNji/RwsNhPa3aI2d8RYU9nE7b3KquLEALD6dTzKll -reZVUtjD6bSrxpaXpS0V9nDYD5XpFbEWLTycThk1dnesXllhD6dT+x/bC+PQ -wsPh/NPB9YC9lcIeTqfjyxaNTUELD4fzzeyAup2qKOzhMJ9O/HlxHS08HPab -T1vGDqiqsIfDeS684tgXaOHhcH9HbWniX01hD6dTE4v0AgMtPJxO+2rtSp1T -XWEPp1Owe8M1pWoo7OHw/tXp7x2BFh4O+6E+TVtWq6mwh8N+Y+6RsnFo4eEw -z9zfvG5aS2EPp5Nr7wt0Ai08HPb3rr1jOtZW2MPh/HtyxfzraOHhdNroM230 -gDoKezicj57L3V+ghYfTyfrOUEf/ugp7OJ225AysbUYLD6fTybMlTXPrKezh -dLpVxPdfqfoKezjspwfMNEeghYfTKXaU9qGatcIeTqfwW6dfx6GFh9PpnFve -C/sGCns4ner73clKQQsPh/X85aTsTg0V9nA6RQw7/+YGWng4zIv5Nz96NVLY -w+nUujCiMBstPBzOb2MrFJtgo7CHw/M1200pRAsPh3mU7Vh/vq3CHg7Xy/VF -a4vGCns4zOdOHp7r0cLD6bQ50t+/ZhOFPRzOi6+7LY1HCw+H9e9R7u7mdgp7 -OPz52p2vnkYLD4f1w3fkJ/emCns4zLfBbS1vo4WH0+l23H3XIfYKezg8j9+a -B7xBCw+H5+eP57ZJzRT2cNi/+zrc/o4WHk6niS/ullzkoLCHw36vSjuXCs0V -9nA6uZPvzE1o4eGwX1g88ETdFgp7OJ3eVTZ9T0ALD6eTc6MV7ZwcFfZwOu0Z -eys0FS08nE4FC5+md2+psIfDecshqfJ9tPBw+HqtXmNHtlLYw2H+FUlOfo8W -Hg7vy7J3ZUOcFPZwOnXxe+vzFy08HK5Pn6TTy1or7OEw3797VKnorLCHwzxW -EqZvQwsPp9Mxz+ePG7VR2MPh8/pluRxBCw+HeVb+0J72bRX2cPj8hZ7KFbTw -cAbl3U+b16edwh7OoGFjSn55ihYezqD1XtVH+bZX2MMZlDOqxKN8tPBwBhXX -0nrP7qCwhzPoa1zfGyVdFPZwBtl0O9k9Ai08nEGD3xRer+aqsIczaJ+9Re/d -aOHh8POyzQ+aaQp7OIM+H0n2PoUWHs6gLyP6furcUWEPZ1CjmCtzbqGFhzNo -SWMraUgnhT2cQQPOdYx7gxYeziCtUtf2k90U9nAG9c5t/PAHWng4gz4aH0IW -d1bYwxl031hmKbkr7OEMarGr5MkotPBw+HmpPiOtPRT2cAYllY4pcwgtPJxB -Ba1OH2vTRWEPhy5zavRFtPBw+PMuWy09uyrs4QxaHjky/TFaeDiD3G+UXjim -m8IezqASOze0/4IWHs6gHg9K/ZzZXWEPZ1BR25GnSvRQ2MMZNHFIzNw1aOHh -DGrV5JJbtZ4KeziD/IfdK78bLTycQVMP3XjU7D+FPZxBtm8T40+hhYcz6OT5 -2TPdeyns4Qw6U7plr9to4eEMajLjUYOhngp7OIN8H/oXeYsWHs6gpu/fPQ/s -rbCHMyg+yOvcL7TwcAaNbHU0dmkfhT0cPv+Pf2FKX4U9nEGJCzsERaOFhzOo -36aJwxv1U9jDGdT/z6qeR9DCwxnkPHunS4f+Cns43N+H+x2vooWHM4iexDfp -N0BhD2dQQI8tDbPQwsMZVNlYaO3vpbCHM6jTplENCtDCwxmUXbyt7fyBCns4 -g7oULetQdpDCHs6g1oPvtolECw9n0OP7Gz3qDFbYw+H6dRzglYAWHs6gJ36S -v9MQhT2cQZsrXp6XhhYezqA0edamnkMV9nAGrWpid/QhWng4g6IdnmeOGqaw -hzPoV94q8ye08HAG1bJ3rTJzuMIezqB3abpriREKeziD9kzbM34NWng4g+Tq -IzZXG6mwh8PzNq/qtd1o4eEMyp38+LeDt8IezqBXFON4Bi08HL6fy/iALj4K -eziDyp1tv/8OWng4g7zKW30YPkphD2dQm78/7N6jhYfD+zfm7ZSQ0Qp7OINO -q89P/0MLD4ef/ySr9IoxCns4g65E5HlVHquwh8P9L1N6XyxaeDi8r5bN/tr5 -KuzhDJqwaqxXClp4ONxv94TDbn4KeziD6qtF5Vto4eEM2pHuFzRknMIezqDy -7tn3c9DCwxk0cNCEDkH+Cns4zMunFnt/o4WHw/sdf8Zy2XiFPZxBK9cvXlpx -gsIeDu/DjFE/Y9DCwxlU2mFgUOOJCns4g3quH/3xOFp4ONz/OcvGdQxQ2MNh -nl2+/O4GWng4zNMetScOmqSwhzPoWu464zVaeDiDHk6rN2fyZIU9nEHV7meU -+YUWHs6gsS82b14aqLCHM6hIcGgTNUhhD2fQ/oDwtG1o4eEM2nTw8BDbKQp7 -OMwT5dfXY2jh4TBvZvhu1IIV9nAGVThf4HwDLTycQdMy9mQNDFHYwxnUfN7c -sNdo4eEMcjsy03HyVIU9HOZNz+hXP9HCw+F9bPp2w9JpCns4gx60GdRdna6w -hzPoW19zsRi08HAGJQxLOWc7Q2EPZ9C9tnvnHkcLD2dQRuYF144zFfZwBgWX -Kl/yJlp4ODwv50JvDpqlsIfD/c1qEPUGLTwcrmevH36BsxX2cLgf5t9tfqOF -hzOoQ3JzadkchT2cQc/mbs6tOFdhD2fQiRYtLmxHCw9n0Oqz/2KbzFPYwxkU -V7rY4hNo4eEwn3+28Xebr7CHw/UN3dvnFlp4OINGLenVYegChT0crodhZ5eL -Fh7OoDXHO9cKDlXYw+H9OLmx4j+08HAGeX6sX37FQoU9nEELnT+VtlqksIcz -6MZqc6ldaOHhDJr+rLVFs8UKeziD+sinTafRwsPh+ledZ9VlicIezqAh70Lr -30ULD4fPP/FKi5FLFfZwBm3f5On+ES08HJ6XIdWHTg9T2MMZVC++SUjxZQp7 -OIOUwNCINWjh4TCP99ZMqh6usIczyORW9G48Wng4PL8tm/5wXK6wh8PvE7Sz -XipaeDiDxn328ey5QmEPh/c9duL8R2jh4QzqtuDCkTErFfZwmE+hfu/z0cLD -GTRm2+D6c1cp7OEMmpO+xdtitcIeDu9LUbvYSLTwcPg87Uw5ddco7OEMeh7Y -yS4RLTwcnofoa9PaRijs4QzamxJ38TJaeDi8H6n3LPutVdjDGRSbOGT8C7Tw -cAY1WND6/IR1Cns47P+aT6z5HS08nEGz6cfsxesV9nB4/2xePpc3KOzhDCo1 -vr7bNrTwcAbtXpSWYBupsIfD7xdyxioZLTycQRfaW4Z12qiwhzPozrMbPzLQ -wsPh8w14M3noJoU9HO7HvmHvc9HCw+HnP3HyDdmssIczKOVD8NsiUQp7OOwf -siqNX4UWHs4gx5PV9KpbFPZw+Pnzl87egxYezqCWrQdbOG5V2MPhfcxZv4XQ -wsMZ1DiidbOe0Qp7OLyfLu5XHqGFhzPo5vezo8ZuU9jDGWRxeWdRAy08HPZb -hwp2zYtR2MNh3p891q3cdoU9nEEvf742NqGFh8PzHbRou/UOhT2cQel2GzwP -o4WHM+hfa6viLrEKeziDpmyvcCodLTycQYWTZ4QM3KmwhzNITx7U4g1aeDi8 -zwsPmQN3KezhsH9/OTflD1p4OPz9lxcXLI9T2MNhfkUu6Wm1W2EPh+e5bFr1 -OLTwcAZN6j/js8MehT0crv+iAxfPooWHw/q1f0RM93iFPRz240/XzH6IFh7O -oLuNOw4bs1dhD2fQwQPBmo4WHs6gQ1NtbObtU9jDGeSx1btiuf0KeziD5jvW -LLYZLTycQWUGjCywTlDYw+H6W9l8OIwWHg7zbu2M1y4HFPZw+DzP+2RfRwsP -Z5BLpePZgw4q7OHwPPba9SYHLTwc9qN7a+ZNSVTYw+F9atfg2z+08HAGnbM+ -XXLVIYU9nEHqgmyrakkKeziDksdvbBqPFh7OoMnFsjxaHlbYw2G/NDZldBpa -eDhc36MNF/c6orCHw7wt13jvU7TwcAZ1XX3h1rijCns4rFfDCn4WooWHwzze -fNZ20TGFPRzmw5g6w+TjCns4g4Je11y3DS08HPZvzieuN05W2MNhXoV/KpOC -Fh4O+52fad3cTyjs4QzaltRq9R208HAGLbvb7cHIFIU9nEGfwv/V/YQWHs6g -rb8GBs06qbCHw/Xp0/9i6VMKeziDQo8WVotECw+H/UrPDlPrnVbYw2FedrG7 -ewgtPJxBWRkXWnY4o7CHM+itqeSWdLTwcAa5ttSLDTqrsIfD/ZsYPjkHLTwc -1secjBdTzins4QwafvN8vyKksIcz6Eh/3+ur0MLD4fslnPKonqqwh8N5tGja -pb1o4eEM8lk+q6tTmsIezqD8ae8yLqCFh8Pz/bPc4D7nFfZwWI+7vX2bhRYe -zqANm2bMmHhBYQ+H61HtYoWfaOHhsB8tk74n7KLCHs4g+00r3CwvKezhDKr0 -z+LNTrTwcAYVm+GxzOGywh7OoNdtOzmcQwsPZ5B36N+nPa4o7OGwf1oyZ8Vj -tPBw2M8sOuvid1VhD2dQ5+TzhQVo4eEMOjZkVdLCawp7OHw9sdZkOV1hD2dQ -9S/Tm8eghYfDejNsy7cm1xX2cFifW4WlnUQLD2dQ31Oua7rcUNjDGVSj6SXv -+2jh4XCevVXFafRNhT0czrtv2kk6Wng4g0YnNsubl6Gwh8PzMaXwRvlbCns4 -PJ/TVh/eghYeDvP+368om9sKeziDdvVzXZqMFh4O59/Tg6d1zlTYw2G+zOs5 -/g5aeDh83pwqo7zvKOzhsJ9pmzr8M1p4OJznrroNn3NXYQ+H/cfTOO+y9xT2 -cAb9OPvGbzNaeDjc33MlghveV9jDGbTUttTCY2jh4Qy61OvDhk4PFPZw2E/N -PZJwGy08HM6vJb0vj3iosIfDedu54E0eWng47Mf8AkvPfqSwhzOo5Nv7TS0e -K+zhsN8v3XDQJrTwcAZ9rT1qaYMnCns4PM+LV6QcRQsPZ9CIlbs+d3yqsIcz -yHpVgs1ttPBwBv3MivMd8UxhD2dQyJOIvXlo4eHw9UeBn2c9V9jDYT/Q0sPZ -IkthD2dQlW7q0k1o4eEMuhz04GGDFwp7OKwP5TY0PYYWHg7nu5CeYZ2yFfZw -OD+WLZpzGy08HM6f9kc9Rr5U2MNh/rmMOfAJLTycQc22WVae80phD4fz1IGr -i8q+VtjDYb5nzCvcjBYeDvd/XJsJjd4o7OEMWvf8x5vjaOHhcL83pI3qnKOw -h8PzYax9cwctPBzWM++J433eKuzhMM/d+xZ8QQsPh/NZWfeF83IV9nBY/6t5 -VKrwTmEPh/Uk22v/VrTwcPjzV2Z0bvxeYQ+H/V+Hg69S0MLDYd4kFi7u8kFh -D2eQ1fJ+TR6ghYfD9Xe9en/MR4U9HJ53bcBiM1p4OLzPv385LcxT2MNhf/z2 -7Cf5k8IeDvvBKdF7t6OFh8N5tWKUn/1nhT2cQf+5Hm98Fi08HL7fREPv8UVh -D4fzi9z37BO08HAG1dp9f6V/vsIeziCnnfO8v6OFh8N6FdrLOUxX2MNhfT/n -UamyobCHM6jT/XEFcWjh4QwK65j8yNGssIczaFGoQ9p5tPBwWK8qPj7Yp0Bh -D4fndcbhmGy08HDYPzY7vX5yocIeDuvx6W8r/6KFhzOof8y45au+KuzhDHo6 -VFpZ45vCHg73a8antQlo4eHwfs8qEd32u8IezqAPuQP2X0MLD2fQ4q6vzwz6 -obCHw/7MOvFuLlp4OKx3pVI+T/upsIfD+XxmMVOpXwp7OIOMUmuaR6KFh8N+ -scPIwda/FfZwBrXbH7LkKFp4OMzjZ7ePd/qjsIczKHXU1A+ZaOHhcD9uja7v -81dhD2dQ2aPbvPPRwsPh+8da75z/T2EPh/tv/zPXVERlD2dQzOfaLWLQwsMZ -NHfGpgVNi6rs4fD9Ro+6ewYtPBzOHx4LG/csprKHM6jime9LnqKFh8P+fMSV -nPHFVfZwmNdXv3T7iRYeDufZjcFHwkuo7OGwXvv2r121pMoezqCCh+sj9qKF -h8M8C3cs5VxKZQ+H57lGqwVX0MLDGfSi+Za/XqVV9nCYjwFjQt+ihYfD5w+J -LDOtjMoezqDNH+w3lLRQ2cNhPzOthXUkWng43P/U2BTrsip7OMzvwHl9jqGF -h8N+1CH9s1s5lT0c1pP9SyPuooWHw/xYedxpdHmVPZxB+0IHvTTQwsMZ1KtN -UMTCCip7OHx9VNHOqkllD4f5llj2dyxaeDh83ozVKc0llT0c1veQNTPT0MLD -Yf31Mrn2kVX2cNi/2lSweIkWHs4gm9hVDwMVlT0c9icz1u4voqrs4XA+9q22 -MAItPJxBgQ0aj6hTUWUPh+sTeN4lCS08HOZ7iZz6WiWVPRz2TzHrK9xGCw+H -9+PPrV8jLVX2cHgeXm79/AUtPBzOT2V/5MyvrLKHw/dv//6lZKWyhzPofNtJ -r7ajhYczaNW18NxmVVT2cAa1PdRBJ7TwcJiXR1f886yqsoczKGnbVDUbLTwc -9t/Of2wDq6ns4Qya5WntUaS6yh4O72dinm8EWng4g/LqDlpZp4bKHs4g06xx -yUlo4eGw311aO0erqbKHMyiiyvwqmWjh4Qz6WCy8t08tlT2cQTOruq7S0cLD -4fzQfFdGaG2VPRyel7onKql1VPZwBt1KnTNyJ1p4OINqvy9MbFFXZQ+H/X2I -dfELaOHh8Pu7lh3Wr57KHg7PT4N9J1+jhYfDeapk8Roh9VX2cHgfUqsuKmGt -sof7//+HfPm8AS08nEFXuy4Z2aCByh7OoOhnz+8dRwsPh/nw4Gcvj4Yqezic -t2tk3XyAFh7OoB6bwvv4NVLZwxlUudm/x9/QwsPhvJOh+S2zUdnD4f3x6f2j -iq3KHs6g9/ebRexDCw9nUHDVl03aNlbZw+F61vC9kY4WHg77+9upQUObqOzh -sH9rZK6ehxYezqBpVv/S59ip7OEMmrrh7bwKTVX2cLh+GxNax6CFhzNoZaXe -hfb2Kns47IcsHpwgtPBwuB5BrvN7N1PZwxnUsuvqHi/RwsNhPVhxscYUB5U9 -HPY/7d8YxZqr7OHw84Z+ubkeLTwc1sf3uQetW6js4fB5P91adxwtPBzm2fh9 -czwcVfZweP78p014iBYezqB5uvPIcS1V9nBYX8qbB/5ACw+H9f3KngHLW6ns -4fD7NPUaVN1JZQ+H9aVXce8DaOHhsN46J03s0FplD2dQ1L8R8zLQwsNh/39c -iRzprLKHw3yalJ6UjxYeDvNHW5EZ2kZlD2fQHzevb2pblT0c5vXapvXi0MLD -GfSgc8W+rdqp7OEMcpxpEXYZLTwcvt5TTRvYXmUPZ1CrF82KvEcLD2fQoD5j -Os/qoLKHw/7j0uFV5VxU9nAGWfhUexaNFh7OoB1ddzSzd1XZw+H5jHNfRmjh -4bC/iC7/tremsofD/nvYt66v0MLDGdRCLnM4uKPKHg7vS45Wq2QnlT2cQSfK -x0VsRAsPh+cj2bGMjZvKHg77K0tj8Um08HAGmYe/LNWjs8oeDvPncvHVz9DC -w+F8GDa02iR3lT0c9otPPiT8QwsPZ9DuH0c7rfVQ2cMZtKneyax6XVT2cAY9 -Xv97/jG08HDYP6xa0NCjq8oezqDBfbvceYgWHs4gvwb9Fvl3U9nDGTSh5542 -v9DCwxkUa3IrXNldZQ9nUPHjTZJr9VDZw2F/sXzUnCS08HB4vp+879Kpp8oe -DvOu8EKVe2jh4bCe2po/j/1PZQ9n0IGrM9O/oYWHw/rVaEhCeC+VPRzO01s2 -rq3uqbKHM2j7jOZzD6KFh8P9qN50kmtvlT3c///9ccWYTLTwcHhea/b2Ht1H -ZQ+H84DTHJ9CtPBwBrkuV8eF9VXZw+H3nW0ZUrWfyh4O63dA2JIEtPBwBrW5 -PD66Q3+VPRz2o5/SUm6hhYfDPPlvzROfASp7OKxXfe4XLUALD2fQ9bEb7Zd6 -qezhMD9+3vOuMlBlD4f1IHT95v1o4eEw/wLu3W8/SGUPZ1AJxy1VbqGFh8P6 -0i3X22ewyh4O89b2XKIZLTwcno9edYstHaKyh8P+rVXFoVWGquzhsB8buvHk -frTwcPh93A7W7DBMZQ+H+TB5SNgttPBwmIdjdnz1Ga6yh8N6vjd0QgFaeDic -5y8Vebt0hMoezqCLnev4Vh2psofD+3zs1ccEtPBw2G/t7D7dxVtlD2fQgB1D -ymSihYczKEez3D7aR2UPh/Nt1bntvqKFh8P5OnXD82WjVPZw6FsjllQfrbKH -M2j8y+ctEtHCwxnktqHSW22Myh4O73dYye130cLD4foPPTrcd6zKHs6gu8fr -1PuBFh7OoGrteuet8FXZwxm0a33nM7X8VPZwBm30L7LuMFp4ODxP/ksDOo9T -2cMZ5NDxca+HaOHhsD7s/NVqvL/KHg5/3yW/3h+08HAGFbtx0jJivMoeDvuj -okNN9Seo7OFwntn8oHwyWng4nCf+a6Z0m6iyhzNIfe5X/RlaeDiDppdZ1GRy -gMoeDueXtYs6FpuksoczqI7LxOGRaOHhcB6/5zrfZrLKHs4g35pF40+jhYcz -aFzOkXu9AlX2cAY1+DmwzCu08HAGZTcxd5wapLKHM6j5f2GhZaao7OEwrx2t -rmxFCw9n0NFju9VmwSp7OIPuJ7Qacx4tPJxBMwqunR4QorKHM6hkwNiq79HC -w2EefS07d85UlT0c9qfBZ99K01T2cAZ5ps/32oUWHs6gs6/633CarrKHw/se -79o1HS08HOZZCbf04TNU9nC4Ph99+upo4eGwH+6xPXvxTJU9nEG/q/0NqTJL -ZQ+H/aFnqHQALTwc5vPrJkmus1X2cDgP3Cky8C5aeDiDrlQrXsJvjsoeDuep -s61O/EQLD2dQn7NbA1fPVdnD4X2p6+xQb57KHg7nXb3C1+No4eEMqtu2zvlu -81X2cAb9LT1lw3O08HAGvZtQKiBogcoeDvuJGdk9Soaq7OHw/Hcu3jwKLTwc -9pe5QTWaLlTZwxnkNLeZKQ0tPJxBQfVcSw1YpLKHM+jXt10l3qOFh8Pfr+Nj -MXexyh7OoKIXZlZSlqjs4bC/LKU32I0WHs6gcKv0Dm2WquzhML/rlxl2Ey08 -HPYrQxIX+ISp7OEMctYpoRAtPBzWt5ra8/BlKns4g9yrtLCsFa6yh8N8qhnV -7whaeDiD4ifO2OyxXGUPh5/vcff1E7TwcHievxxoNXmFyh4O729SmdXFV6rs -4XA+z3yftwktPJxBXjv69bVbpbKHMyghoNu5VLTwcAaVX5nhMGC1yh7u//ub -t/veo4WHw/pfeYPtvDUqezjsH5yeHlIjVPZwBt1WqH08Wng4g06Vcbvdbq3K -Hg774QXjx99GCw+H+ZbtXG7sOpU9HObNhsNHfqCFh8N6bPHIe/V6lT0c5s/h -Q5b1N6js4bD+/m2XeQItPBz2V/4L1veMVNnDGaRNXDDsJVp4ODzvi1ztpm1U -2cPhfXBIK1Zuk8oeDvvLb2Wyt6OFhzOTy/BqF1tuVtnDmWnAp++J19DCw5lp -U8u9O0ZEqezhzBR8oUmUGS08nJnWNg3bvGyLyh7OTFkW52JqblXZw5kpzLib -cAQtPJyZii25QV2iVfZwZqo4KuHJM7TwcGa60TTkd9A2lT2cmTauadygdIzK -Hs5Mji3u9I9GCw9nJrowaUXz7Sp7ODOdKix+7TJaeDgzlZsbWWHYDpU9nJmK -qI0G62jh4czkFXgmYWmsyh7OTNrAwSVr7FTZw5lpdHhR38No4eHMlHMj5abH -LpU9nJnGfVzY/hlaeDgzWR4ZfSQoTmUPZ6YYfUSz0rtV9nBm6jhvxtFotPBw -ZrrV7JhLiz0qezgzHXlpmXkFLTycmT5NiR0/PF5lD2cm68tDypnRwsOZaUea -+9Fle1X2cLh/nr6jau1T2cOZ6fWw1CrH0MLDmWn704EPuu1X2cOZKTLVYesL -tPBwZlpTwtNvaoLKHs5MVeOS25Y7oLKHM9OS7RMsY9HCw5npy8+gb04HVfZw -Zup67mb2DbTwcGbqV7gwc1Siyh7OTEmHIq99RwsPZ6ZnRcpeW31IZQ+H57HI -m1vWSSp7ODPVvdYo6xRaeDgzXZr12Nz7sMoezkzDnP/IuWjh4cwk225qNfeI -yh7OTKYZCT4Vj6rs4cy0tL9L5D608HD4ffR+t12PqezhzHR/ab76AC08nJn6 -e1YZPvG4yh7OTDuX30oslqxq/wNlsVsM - "]]}, - {RGBColor[1, 0, 0], PointSize[0.003666666666666667], AbsoluteThickness[ - 1.6], LineBox[CompressedData[" -1:eJwsmnk0VW37gA2Fs6dSGkQUjcpQNCj0VN5KkjRKJBqkCUmERmlUIfNY5ohE -pQw9IUMzMpWQqUx77yMVJfW7v/Xbf33rWm/fcc7ez77va691TbV32rhXSkJC -Yg4pIfG//73sJzn1pxSLdQ9oB2U+E6GDHRPry6RZvMcGf0ktFCEzI50b4SNY -7KKnvjSxSIS0Q1evOjiSxTYKOwJii0VIXrxz2ECGxfUzHLrCn4tQ/5rjDxhZ -Fq8JWr0yqESEam5fO/gZeLbvn5jrpSKU8ztBLUuOxUNTL/y5VCZCEZvyP/iI -4N/fbN/hUy5C3nff+28hWHxLcmLByRcitHNkz+qZJIsjgqdM8XgpQmin1L9B -4Cr3v+ePvhIhtRzFRy8pFrMV93sOvRahEaPnHY6iWRz8Yslmhzci9GX/mmlH -GBZ7Xwl/avdWhMoLbRuWjWLxDoO3GtbvRCh1knug/GgWi2U/hG2tECE/1+sm -bcDS43PlLCpFyOl1osRDeRbvvOHiaVolQhbTC3IujGFxXdQ/9r/3IqR7qvqI -5Vj4/g57dqNqERpX1zNdQ4HFARNufVxSI0ID2tKNQ8CRtVmbFtSK0IdLk4Le -jGPx1jdRb7XrRCivZZ5p7HgWP5q201SjXoSil5hIuUxg8ZORAy+mfRCh0zd3 -PVkxkcX24Q5rVT+KkF2vu7OCIovz5DJfKzaIkPF/N2Z+Aa71rtig8EmEZsQk -NeVMYvFrlRe1TKMIyQ0UBF9WYnHuhIidoiYR6javWbdDmcVl/is7pZtF6HVK -r7TmZBZPyS5x/QucITki7y/wr+Qpkr8+i5C/ldLRChUW+4Vt9u9vEaGj2fNn -x6myWCnDbirXKkKbqbWfXaewmJNf86CzTYQW7rUL/W8qi7ewsiZt7SKk+NRj -/QQ1Fic53m5u7BChofH+I7uAl2UqeNR/EaFGp+T8XHUWn++2G/P+qwjh8qeu -ftNY/Hn55Yw3nSJ0e2qtxs7pLC5tvrKuvEuEfDzZFu0ZLM5g9/UWdYvQ3vcj -wiVnsnhi8OTrBT0itHqu8ob3wNf+Zcx73CtCs311ZRNnwfnZqlSXxYoQ2bT2 -6fHZLH5fbH8qnRMhbqG92xoNuJ/OvjNTeBGquHFi7qQ5LF510acqTixCWZ3+ -bT3Ab3RsTkf3iVDQ8pSIgrnw+0PHaIV9E6HjEdjihiaLu9vimwL7Rciyv1bO -TovFA0g+4Np3EVqyjsPztVlM1lv9d+mHCCknjnQfocPivqozQ+d+itDfYWWt -WuDTO3yyTw6I0Oeteh3J81jsH2l/2GNQhIrvmUadmM9i2QKV2a6/RChRbvcm -U134Pl05Xw7/FqGLdp7EZD14Hky1kvYPiZBjbkAhBzyaPuew+48ImY694/Fs -AVzfbdkaO4dFSPPQM+3AhSzW21LEW/4VoVEldV92L2Kxls69R5v+iVDfZD56 -wWIWXxjjeXq9BIHeH5fZIqvPYssZ6mtNJAn08N1k6gNwSXDqeGMpAoXNWlCc -ugR+75XRHUbSBPI8u87TeymcJ50tD/VHEMj64+556w1Y7H7P46LeSAIZ6Xp1 -qhqyeKmu1w5tGQJN8QuM7QPO6rCepyFLIKmOO1uLjVg8p2+yaLocgdoNC+ng -ZSwePP20VVVEoNKQ+uf7EHxevtHTSQSBUnjea/FyFhOVMZHjSAJdWSOrS6yA -+9PZ5DmaItDh2yrdDcDKc//tIGkCmf9ecDt9JYt9y/4ZyTAEmrfJzPK0MTw/ -7Z/VJUcRaOzdPaMs/mNxSEYc8Qf4xwjvUrVVLN5k/l//z9EEqrO5efI7cHxf -eWOfPIGePErVK13NYrVnmi97xxAoclRRT+gaFrt1uD7+OpZAJ/d/iHM0YfFq -v8iUVgUC2RaKty9dy2KT5oSIxnEEWj5JTp42ZfEikf/1+vEEmuaqWt4EXKFn -c/79BALJvF54OnMdi5v8KO+3EwnUOW39wnNmLNYxiHF7oUiglyf3spvWszj6 -8BiX55MIdLfWO2G6OcyvdY5HsBKBrmsH7RgAXiMVfzhXmUDOl9LGvNgA9zMP -H3k4mUAbW4peRFiweFYcdslUIZDeko9nDm1k8YOWuONpqgQaf7NvkdEmFg8n -OJ5MmkKgXz1y/KjNLB41QeHC7akEajCektQC/Mc+zj9KjUAF0Ytssrew+GO2 -QnSoOoFif65X8N0K51XvYFrgNAKdNd/3aus2Fv8enZR3bTqBdqecPDfLEual -a8mbSzMI9J9ksP5v4BcXX7T4zCTQTKu74lfbWdzskTVwahaBRNnFydFW8Lwf -PTPKczaBesiGnU47WFwUozvbTYNAb/d8G7fcmsXzNF4bO88hUGaB6M0YGxbj -ZWvtD84lUOD4qefbgSuIjLP7NAl0zGnx0kc7Wfz20UCcnRaBtpabf7toC+fP -aWaptTaBFk91uLN9F4vtbA17tukQaJLnqV1z7GBfZOmP2TSPQH+qgicMA+8K -Vl66fj6Bmuakv31rz+Ll87/sNdEl0LPzz31v7WaxxsOQQGM9AsU3Nhgc3QPz -2ESrcNkCAvku7O9fuZfFYUrpfUsWEsjhBpE2bh+LnbaOnbZwEYFMOqfafwUe -mG5nOW8xgeYs11d84sDi3och1+fqE4iO2FBxZT+L5ec/KJ25hED8N4eL1o4s -nl/6REJ9KYEqTU8baR1g8bSYZAMVAwJlJ4T8+Af8rOOkp6IhgYKH0+9WHmRx -V7lBroIRgTy2luyOP8Tiy67tQ6OWEcjq3qdJbodZHCjvtoxEBDKQ+1656gjc -77fceZnlBFKxIy9PdIL7VWfxWnIFgSRy1VA3sIRlzLhh4NYxSwbynOH59qre -NbiSQM8PWmRcc2HxjyPf0/uNCZT0fP9e26MwP23+/uH+I9ClyWeU57nCPjz2 -zax7FYEOHA99L3WMxZeaK251rCaQ2buMK9XAoS/Df3xeQyDtWaXLk9xYrGJv -tu6TCYHkzzYOuh9n8d6qnoS6tQTq//D9nok7i3NWu/2rMiVQzXzKQcmDxQe4 -rh1v1xEo56q6Cgv84ZtJ7gszAoW3L6l5eoLFjmeDJ5WsJ5CX4UY/f0+Yn6Wv -vZ+ZE8gmxHGlvReLYzn+c94GAi3jz/zW9Wbxghn/VuVYEEhtTdj9kSdhv4b/ -zsjaSKARt+/trwNucWudmLGJQF9+lareOcXicV8fnb+zmUDlG5tqPU/D9VT0 -+JawhUCpaT+urTvD4sVLZ9jf2kogvxH0fypnWXzUvfB95DYCHbGZ9ocHth4y -WR1qSaANj5ZmF55j8b1+nB+4nUDzR206cNMHzts1db3rVgRS2H9g6t7zcL2+ -H0u/vINAA8/O1i/0hX269cEsX2sCfVAMvyF3gcXXP7cknrEhUN7RzFUfgd89 -HZ7mvZNA0a/KhtMuwt9XkUtytyXQ6WnND05eAj+cKznbdReB7E7+PGh+Ga7H -uK70I3YEWllLq0+9wmJV2Wd6B+wJNF17+sdvwJzmhYK9uwkke8kg4PlVeH5z -lq6x20Ogrs+b1oT4sfjhu8/V1nsJ9Fr/4D+HayxuS3DbbbmPQBmB5x7pX4fz -tft3/yYHAvn3hB8mb7A4SN/pgvl+Ah01vj+tEdhrU/UkU0cCbY4ub8jwBz9q -mn1/1QECLfzZHHgmAPxLztlkxUECTTQfMNkYyOL9f5PaDA8R6HcyIzntJos9 -2Len9Q8T6JPEjMc/gGdKd05ecIRAT7cbOpUFsbjx0LcCHScC3c7aPCM8mMUy -lpztXGcC+ZCHGg+EwO/51iA9y4VAe/f4BBmEwvOyP++O+lECrS6IMGXCWMx3 -+lmouhJo9vgsqc/AL25tGJp0jECk04sn98NZnFkgkzzejUBs2WdnnwgWrzx8 -b/OY4wR6N2Vw5pZIFk9vXDuCcSfQ/ROjmmdEsVhu6YeHIg8C3ayaETIIHPzA -ynHkCQIdn2Nk9jIa9pf7OxVJTwJZnt8yIiqGxa/uL6r9A7yk8VDe4VgWSwXc -vDHoRSDlheePLrsF53Fl29rv3gT6ez1ytvxtFtf8mCEnPkmgz1+zPrcCa9ft -LOs5RaAi9DL0QRyLx4y7eunraQIlhLesvxAP7weNaaZtZwh04dvgSMsEFq/d -WTi6+SyB9puOLpidCPuy5FXdx3MEMk2YeWwI+MryV7dqfQikOWw0500SixUk -nh2sOk+gUVu3tsYks9hWO3XxW18C9WUcDndOgf0wfFn25QXwEVnfDSvuwPMa -ZltfchF8ZFeUrEIqiw9pz0krvESg0CfZTzuAL/O9pwsuE+jEmFduOWngC7KJ -W59cIdCOg61zL9+F/Z+4SfvhVQIZPv/VZpUO13NwUHTfj0Cqk+Uj52bAvNcM -/nL3GvjI8Vkb/wIPuM4qSbkOPvJ2majiHov1f2clJtwAH5m57dntTBbHcHqX -bvmDj5w54u56H3z3dMahqADwkQ++Wv9lwT5sUN0UFkigQ/OjO8Zns/jlvMtL -g24SaP3VB1GdwHRm93T/IALptL/alPsA/NPXeIxfMIHGGLYRfg/BXz6HSFwK -IdD34N+FNo9gv/AtYp9Q8BFO/oR2DuyLlultp8PAR1bP1pF8zGKHDvs6r3Dw -kVvoaxVw/+zwN+4R4CO/tsUkPGFxR2N5iWsk+MhGpy3Hc+H86nzDTlHgI2kX -qDV58Hnm4/IPRhNIfURMsWI+nIcD83MdYgg00uahZw+w4X2T3N2xBPr68PW8 -ggK4vxY78m1vEegF0955/SmLKS+HZztug484DMXuwjA/rY+UbosDH3k2Ztv8 -ZzAfpju/3RQPPqKowYwohPknfbjePAF85Ojykhpgo1l7200TwUdeWXonF8H5 -LLT8tjoJfGSas+6JYpjnw6uljJMJNOh9sXvtc7hftK4CSiHQx5qY28olMB9V -J80yuEOgfK1HlhzwgP2w4eJUAsVcfDPqWSmcP9nGLXpp4COf20sDysDXDJ44 -6dwFH9H/c3J3OYunzgu8OjcdfCRw7IIFL1j8V2H/nVkZ4CM9Gr0yL2EfTVj6 -Yto98BHjFfH1wMv2kz1TMsFHorZbpb6C95OFH5jJ9wn05oezvPdr2CdZCXqK -WQS6t/5SudkbeB8gj1iPyyZQQHLsadW34H/OCy7IPyCQq0TOwj7gSPmh+/RD -8JHtb9midyzuUcHNokfgI1kdCUEVLP5SdnaUTA74CDm8Y18lizcYrFwu9Rh8 -ZLfC2MVV8P6WJu32F7gpf85L0Xu4XquKU38/AR8Zt/JsA/BBg3OtP3MJFHfE -anF6NVz/fKTcn0eg82Uu/KkaeD8e/LuNzyfQvimXkzbUsvicSkFwTwGB1py4 -ZaNWx2JXW6+ar0/BR6pyFL4DL+7Rn9COwUfmvHtVUs/i9r5Bq8/PwEd8vpwL -/cDim/GPb30qBB/5NKzv+BH2r86Jzvoi8JEF4/qWNMD8Kl8yv6YYfOT63BTq -E8yPqOGTlc8J5P51pW0TcFlD4as3JQTajnaMz2xk8eaci0ovSwm0NPzom7NN -cP1tzQ+XlhFo8rfL5zc1w76VViwsKgcfMb29dPpnuJ417ePxC/CR+MfffgLX -jsg+kvcSfOTPuzvlLTDPi3zKc16Bj2z5uiuilcUJhtumPXgNPpLxd8KhNnhf -StE8l/kGfER2/DvDdpjP82Vb774l0LpdmhdGdcDzRrWvvPOOQFpPjA1bgA0c -nicnVhBo9Bjr71lf4Pe6ptBxlQT6dsA17fxXFt+283eLqQIfKb5iv7UT9rO9 -d3PEe/AR5TjFWV0sZpIPmYZWg4+4Pan4BVxpa/fkZg34yNuKi6+6/7cvrGb7 -14KPzOw0iu6BeddnGelXBz5y5t+PI73w/My1HnW5nkBTP4xPRyyL82P2+Pp+ -IJD0fK09YzgWD504Onz2I4E6rvyn1A48wPm6n2ogUFmbddVDnsVPtGL7PT+B -jxgcu3xRzGKLA09d3BvBR4Kvou198L5c1dbn2gQ+wsUNaHyDeRE+6phzM/jI -6tyMP8Ar+OWDhz6Dj9yq3Pu2H+btSK9Tji3gI786lW99h+eNzpPZ10qgnxYS -1S4/WLx1sVSAfRuB6lMnXF35E/ZxzobJtu0EypXWXjFuAHw2PyltRweBoqxX -/foC3O4+wsDyC/jIQ5vMx4Pwfqh04O3mr+AjjJvDlV/wvvChzt6iE3zEwU/F -+jfMjzazX2Zd4CPP4ms0h2D+OL4OWNsNPqKY5/cP+HL0prmre8BHXKpWVv4B -H73fVr6yl0CvXnb9jhtm8ec6bwfEEihdXTLr2F/YV8tV5Qw5At3wnui46h88 -v6qvUvV5ArnUaE+ZKMFh6YQz5gvF4CNaq+u6gA0k0c/5feAjF3dez5PkcPp+ -Uaz2N/CRz27/XZPisCbVaDK3H3xk8bU/O6U5HDY57+es7+AjAQnZOiM4XP82 -PnH6D/CR7rwDUiM5/MkidKvaTwLdWvl+ajVwTH2wSHWAQOeiuusTZTg8JTj2 -qdIggfb8kPR3l+Ww85MHbhN/EWjVesXVJnIcvuVeozXuN/hIss7fSSIOV0hK -dcsPgY9IrHnYCzzzypJk5g/4iKXtoacEh6tXnN5HDoOP3D+u7k9yeMzOiply -f8FHiOsf7SgOqzNaPSP+gY/sTgzQpTm82TcsU1KCRG75+WtGMhwe/DHK4y/w -tnHV/2qBjwQGLR+SJJH+kZ5HKaM4PPnaDHpQikRKZVJHPEdz2H1i6cfv0iQa -Vp00fZ08h3/sc03tG0Gizx7zPk0ew2HFNC1vbiSJiirX3OSBXSYMmvfIkChB -Y9fawrEcDmx6N71TlkQXfNwlbypweJLuo+F2ORLt/3T98Z5xHM5anVbXIiLR -2gVJTgvHc5gwv5fdRJBo7vWCGXITONzkVRTQQJKI+Vrd+AG4T6LDpZ4ikXhZ -b1DaRA7Ly0/YXEOTqCpMet1JRQ4rNFsurmJI9LBvkrT5JA5/jE5VeTeKRKFr -5+dOUeLwujOU7OvRJDoRb+LyDdj6yam+cnkS7fiza9ZzZQ7Leks2lYwhkeEW -j+bgyRy2GAp6XTSWRKoZN0IcVDi89oB+AVYgkaRsspm+Kofl/nD38seRqM32 -6QhyCocz2h4mPBlPopLHNXmfgB02X498NIFEyfLs0YypHN571iMoeyKJrhwY -oXFGjcOd0cduZCqS6FCxUouFOocXNJ7zS59EovXKumHq0+A8HY2/mqpEIh23 -teY/gL0Dav2SlUk05q2dTNl0DuseVvZPmEyi7zNOFITN4LC2vlvwbRUS1Z72 -P3ZgJoe/zmqJilEl0eP65DkGs+B6OO1KipxCooh5uJWezeGA5f33w6aSyPtK -bXgz8K3KcBysRiLbNnbDfQ0Ox2/a/C5QnUTLDUbK+czh8LIhtZYb00ikHqyM -N8/l8LQ/I3/4TSfRSE73+AxNDpNXhokrM0j0dZWp5iDwo3qR+sWZJHoRa9/+ -Qgvun9Icw/OzSJQ2eCIyUhv+/kW77Wdnk+iaRcDGwzpw3szT3U9pkMgpNUW0 -bB6HLyczYV5zSGQh/ezZ6Pkczi/2zfWYSyI96zr3VmCyfkyzmyaJxj/ktB7o -cthr4qORrlokGqRlvvjqcVjpiZOWszaJPu6bHL1tAYdluGXbD+uQKB/rbZ69 -EO5/z4wLB+aRKGbiOnIIeG3HtIcO80l0xmV30etF8O9HL/myR5dE9i89T8Qs -huczdp+ivR6JjNUDdZz14feUp663XUCiGd53vi5fwuE8LHPBeiGJRDXPYsYu -5fDVx554+yIS9WjWb+kALv8qM7R1MYneXOCpHAMOt55NW7xZn0T3mmWeXzLk -8Ka8/R4WS0gUsFjFy8qIw9HlRrnrl5LINWDB/LnLOGzepPnX1IBEW7rXdQ0D -S8xbaGxiSKJFK/fceoc4vOHHNr9VRiRSjPLadns5h7ttb9auXEaioe+BjOsK -DvskdqotRyRqMkstMV4J8+z3Nhej5SR6llToPd6Yw9lBLYVLV5Ao7l+9bidw -R7Kvgv5KEp23FHc/+Q+uj6Ox40JjEu27Lxt3dRX8Hlrlme5/JFpDqG63Wc1h -s5djFOetIpHG7oWjtdfAvHqvdkxrNYmofLMyCRMO77JdXzlnDYk4hb2nqoD/ -3g7WmW1CoorD3gsS1nK4pGIwYMZaEmWX3ux1M+VwzRSPH+qmJApWTYtfvY7D -O8rG7pi6jkTuHkVWimZwfX+9KlIxI9H2yg/yPcDxvbfmKq8n0VKNvvL89Rxm -awLDFM1JNNlH7sx1c7g/3bdlJmwg0b8G1UW7NnD4wK63xxUsSNSit4ibZwHf -79DELvmNJCq+tj5ReiOHRxmfsRm1iUSJX/Za1wCLlWWqqc0kurTs5NjkTRy+ -Mjd1HbGFRAfCgl56bIbPSzlUJruVROv60s6u3cLh2aVmxiO3kUhrbfFi5a0c -PphnVixlSaLR8R95Frih4JCxxHYSfRvqS8LbODxfOq1sGLh6s2hngCWHdQrl -zIasSPQofcq43dvh+dS8UD24g0RhMotf61lx2PKU+s6f1iTytDX3kdnB4UVd -n7v6bUhk83jfknrgGQlP3ft2kmiZ/Km+O9YwfzvyZXlbEk09EJziZQO/r7Uh -vHcXiaSL79qa7eQwU6mk1W1Hog6l5+NVbTms0en9/Ks9icqONbwRA5+0+Wfd -sZtEd958O1+0C76fQ/xA6x4SXZ1BGATZwfxf6hj0eS+JDp+e2r/XnsOnRlvo -Nu0jkXn94tRFuzkcrryjusGBRPPnbbAT7YF5HXLR/cN+EilccZjYALw6u1a5 -zpFEP1tPvbu7l8MhqWueVx8gUf3SkAun9nHYKavxcNVBEuUGpRtucIB5Lhmi -WHGIRFHs8+9T98M+f+NS9uYwiU6t+pTWD6y23sX91RES7Yrtty9xhPn1JGj2 -CycSrRgkJoUe4HDKf58aS51JNM1CrXL/QZjnGmuCnruQSDZV/9KSQxweH12/ -rugoibqkLJZRh2Gf1VyTfeZKolc79v9sBF5F7H9ecIxE6Q9Op987wmHRUQef -PDcS3aBD95x14nDuSj/jJ8dJ5LIvQ2mTM4eNH9XK5riTaBMuqZrmwuEIidVv -HniQaMHExss/gfO2NwZlnSDRBJfvqPwo7MfB8J2ZniT69YIcDHeFealwUiPD -i0Sf1NTvHTzGYY/2C4Np3iR66rVkn6Ebh2/E5pTfOUmiW9UWk0cdh99zclRk -8ikSndN0rP4MrPbgulPiaRLtuXDmapY7nA/vBaviz5BoVXPoivMeHN5DjVC9 -fZZEsxbf+7XlBPhS1O9fMedIRASUZs70hPu1W7EuyodEvV2NDr+AbcN2PYo4 -T6K3K36ovPKC++taERrmS6LMSKo2yhvmxVxHr5ALJLr5Xf3akZMcHvFbwy7o -IviI2VJjdAr+nsJ4k8BL4CNJG4fkT3N4dLaGrv9l8JF/jlltwLm0o+r1K+Aj -lmcdH57hsKp1Je13FXwkM2zKxbMcHlm7++9lPxI1izLrLM9x2Ch7St/FayQq -tC+7ruHD4eQ5cl98r5MoPq/pvz/AM90nNfrcIJGvws8/b87Dvn6zrfasP/jI -YfpBrC+H9R2eVZ4OAB8pnXbQ5QLMe6/N704Ggo+oGqitvAjzc6XCO6+b4CMe -mz4oXAKf+/mv4kQQ+EjFAf8vwE9fT6hxDwYfmX1u9ePLML//7mhwCyHRg3Ph -fy9fgfP6/HWbayiJQhoyH+64Cv6y9QDnEkYiD73yQ5p+cD579Yacwklkda1Z -/R/wfDyXOBIBPvLl58eKaxzeKLtR6VAk+MgyJjDuOofHDidoHYgCHwmbbnLs -Bsyn+unG+6PBR8QGEqv8Oez6vnrHvhjwEZPNORMCwA+mZ7ntiQUfiTt4pAu4 -UOZpgP0tEl0eOjc9L5DDVZmD93bdJtHBzRGf/G5yeIK1fcXOOBKZpd+/uTMI -5vvyoW/W8STSlnmxVicYrnd48YQdCeAjtp8lpULg90flGW1PBB/JGXj8Hjjj -fJvDtiTwkdGjnBNDOfzz8tKbW5LBRxxnzHQP4/C1juJnm1LAR4oMm9aEc/ho -hbfY4g74iNKW4EkRHL7vs09tQyqJdh47tK4X2Hbx2a3r00iE3vhIP43kcOzM -N37r7pJIbUZk7o0oDkdeWVuyNp1EI05nudhFc9gv4te/NRngI3UvZunGwH7z -/2iw+h74iE5L84hYDu9MYr3+ywQfuTwYUgvcoaBbsPI++EjrqPUptzicNi5N -YkUW+MjSmSM9b8P5a92yCmWDjwQZ5ZvGwfnM1r1u9IBEuuwW18nxHJZ6blxv -8JBE41Yd1uCBfdddnrb0EYkGYs63PEvgcPMFSVf9HBJ9GIgMC0wEP7qbXrzo -MfjIhmzzPUnw/A9cG7/wCfjInZcyC5M5nHo77qBeLviIVGuBbAqHTdt7iubn -gY/s+HXsA7DzL0flefngIw9Gz027A34/abKHdgH4CD2rzTuVw6/PjazVfEoi -uX3LItancfjzAfWFczGJup9utZhyl8N6tHuYxjMSvZ5wRO4bcFW8xPCsQhJl -OPvi4nQ4//uf7Z5ZBD7yIup4cAb4cMij19OLwUfUHmg63OPwmX1ti6Y9Bx/x -etW+OBPm9djViWol4CPVrZHEfQ7X1bUoTC0FH9H8vfET8A02+4JqGfiIrzyR -kQX76EbB78nlJGpsmlV4Oht8/+dfZ+UXJMKLkIfFAw6b2Hp0TXpJotv+27TV -H3L4g5TGHsVXJPLpOvLlO/B4ZYWWCa/BR1ZciC59BPOxY+Gu8W/ARyKjN4fl -gF+HB7YovAUf+f6APPCYw2WuM/eOfQc+Yva6aOkTDrek/+iWrwAfSWw7QefC -9Ts3fHR0JfjI3986zcB1msuGmSoSZW0b05mZx+EtXbmX6fckCsqcHXsun8Nb -xc4TqWoSHRct37q5AHzopN0dooZElvaW9IynHE567WcgqgUfyXN6PgDcP6G/ -UrYOfEThotcLzOGiiCBHmXrwkUMx8yOfwT71d5Ee+QF8pORh16FCDu/WvRIr -/RF8ROXNLaMiDvvjJkOpBvAR9/Zto4s5PODk1CTxiUQXK4aYVuCW0wZn/wE7 -zh5bmv0c/r7Gqhl/G0lkek7jpG8JzMM0vzd/mkik2bBcb1sp+KbRaPehZvAR -ve09s8pgP6hUqv3+DD7i5xz3GzjiwruKwRbwkY6L21+Xw/nLJs8OtIKPGMWO -jnnB4ffNvro/28BHQh+VOb0En1xt1Pm9HXxE/ObU8lfgFzMXxPZ3kMjapGPB -2NfgJ08OWH77QiKjuD+97cDKS5rH9n0l0ZShsQmP3nA4qCOwku8kkdTmOTsu -vYV5O+AbwHWBj9xdMcbqHYdr0x5vYrvBR0ZavZhTAe8HRnMm9vaAj+x0OTMM -HNfT2NTdCz6Sc2nRu0qYn3xFchcLPjL6FnerisPbfEe4dnLgI445iUffc3hp -twf6ypNoXtFba+Nq2G9WWqO/iEk0VunL2PE1cD3kp7e295Hoh+vwy6/A2aY2 -j9q+kajutcK5J7Ucnrqqzq+1H3xk+lz9q3XwvBjc3NvyHXzk1EqxdT2H5x0K -QJ9/gI/UWSVrfYB5O7pqcvNP8BGdozslPoIvOGwZbhwAH7l8eVwVcHSaUvOn -QfCR1luv4xs4PEVpenHDLxLJLH3s4/YJ9vE3lzsff5Oo8+a7JasbOXz3sFTg -hyESvez90jexCd4HX9Z61/8h0d3//qZ0A8cb8451wyS6HjNuV34z3B/Fddtr -/4KPDMydcP0zvE9dYNfW/AMf2WD81rYF/KT8vVG1BIUW3NnhO68VfEvpn957 -SQpNkHI1kG6D/VXgpFklRaFfVlf6q4G/d0+fVSlNoYbs26lJ7TBvW6ZOrxhB -oQLqiZ1HB4f/a9o97d1ICsXurZi49guHbVR6p7+VodDZp1/fKX2FedqcO/uN -LIV2T/h3gQVutqnQfi1HoVXO441wJ4cl6+cufiWi0KwXmj/8uzj87UrFipcE -hQi1/+7ad3NY80Ge+QuSQr2e1rv1emB/B3A7yykKvX3vOkmmF/zO1tG5jKZQ -5tyrlXXASjZzz5cyFAr0jbt0h4XrWbYoomQUhY41PVnmxXH4ecPVrOejKbR1 -UeXPdTyHj9fPelMsT6HF/p3pKmIOqw8x3UVjKKTU9W+PGDjlrJGoaCyFhpdP -UC7q4zB3J0ejUIFCzRFa729+43DPfc/1z8ZRqLD/vyt7+2Ff1V08hsdTKH6d -zfJF32H+bW+OejqBQr6JxwblfnDY7djZsoKJFHL4e/XeR+APDke+5ytSyGRb -/L67P+H92CVJPX8SheZk5k4+NQDz7t3sLXlKFKJFVdXmg7A/nw1eylWmkNiu -6+rUX7D/z43FTyZTqCpXYmU/8K9NngOPVSj0YOzE389/w+e5as5/rEqhkEPa -90OGOOyoqumUM4VCHiWr9u//A+9bIScyHk2lkJXKTtUlw7Afx48VP1SjkIG7 -Wy35l8N3mn/pPlSnkEqF37VG4O3aWp4PplFIYnaC8b1/sL+NM4uyp1Oo9Wze -0BkJHnvuPENnz6BQyceqrI2SPL6aF2OVNZNCybrdjtOkeFwWQaben0Wh/+/h -eDx5adlQ5mxK6OF4fKmh0jxTgxJ6OB6PyZuTdG8OJfRwPK6YWPM3Yy4l9HA8 -btN9uz1DkxJ6OB57mU7ISdeihB6Ox+9jMsena1NCD8dj3cMRHnd1KKGH47H4 -R/WntHmU0MPx+KDHrpVp8ymhh+Oxgp7h3VRdSujheLxn/8EJqXqU0MPxuGNz -z/k7Cyihh+PxHP2C7ykLKaGH43H95pZ9KYsooYfjcfjXbQ3Jiymhh4N/P2/q -xmR9SujheLzVxfBV0hJK6OF4zH+9uyppKSX0cDyuq3Z6nmhACT0cj/+6XzBO -NKSEHo7Hu+T7ShOMKKGH4/HIlnumCcsooYfjsfTM4qp4RAk9HI9Pqs+xjl9O -CT0cj+PGd36NW0EJPRyPM9Fvt7iVlNDDwfdpsZOJM6aEHo7Hj5eoht/+jxJ6 -OB4fvjpf6/YqSujheHxaIark1mpK6OHgv4+3tr21hhJ6OB4XVh4dijWhhB4O -rvfFz+Gxaymhh+Mx6Z64JNaUEno4Hlu2FjbGrKOEHo7HeaKFPjFmlNDD8VhS -S2pOzHpK6OHg9/rPqIk2p4Qejsd3nOPPRm+ghB6Ox19Gn9CJtqCEHo7HBfeT -W6I2UkIPx2PDIO3gqE2U0MPx+Myv0aZRmymhh+Nxlto66agtlNDD8VjWoqUg -cisl9HA8Limp8IzcRgk9HI8NiiYtibSkhB6Ox68u4qGI7ZTQw/G4aRvGEVaU -0MPxmHOddCFiByX0cDw+N7lyfYQ1JfRwPNYKaVOMsKGEHo7Hgaobv4bvpIQe -jse2Q8o54baU0MPxeMNB48vhuyihh+Oxzv1ym3A7SujheFzNpOqF21NCD8dj -9dJOOnw3JfRwPGYUfbvC9lBCD8fjYJPTZWF7KaGH43FpeF1y2D5K6OHg/phe -vxLmQAk9HI+jbsc7he2nhB6Ox7GNE7aFOVJCD8dj0YoeFHaAEno4HmvIq2iG -HaSEHg7O97VMpbBDlNDD8VjxVxwVdpgSejgevwv58S/0CCX0cDyWu5PyI9SJ -Eno4HlsfzWdDnSmhh+PxoQXzOkNdKKGH43GXnmxH6FFK6OF4fDPbqD3UlRJ6 -OB4v+F7XEXqMEno4Hqfqv+8KdaOEHo7HH0t1xKHHKaGH43Fuk3gw1J0Sejge -mz0fOyLMgxJ6OB4n5YbLh52ghB6Ox60jfKaGeVJCD8fjeV/e6YZ5UUIPB+fl -9pk1Yd6U0MPx+LdnsG3YSUro4Xg87iFzIuwUJfRwPD57szco7DQl9HDwPG/X -zg47Qwk9HI/VTOveh52lhB6Ox/vS2n+GnaOEHg6u79NtyuE+lNDD8bi9VMc4 -/Dwl9HBwHkXOR8J9KaGHg3nwYlxk+AVK6OF4bGWq9jL8IiX0cDxeVxU8FH6J -Eno4mA9xztoRlymhh+Nx37+svRFXKKGH4/E9LZuYiKuU0MPB+Tzq9CHCjxJ6 -ONgvo7vHR16jhB4Ozs+6N1sjr1NCD8fjEEfF8MgblNDD8fh8+tvGSH9K6OF4 -vNCanRYVQAk9HI+Vc92ORAVSQg/H40fk/tyom5TQw/H4evBzueggSujheLwi -6ur26GBK6OFg/+0puBsdQgk9HI/Z+VZSMaGU0MPxePc6e6uYMEro4eD3/ah5 -EBNOCT0cjx+6PpSPjaCEHo7He0lJl9hISujheIwln1TFRlFCD8fjysjGhbei -KaGHg+uv4hR9K4YSejgex7w7LHM7lhJ6OJhv/R9cbt+ihB6OxzYV2c23b1NC -DwfXN3XIPC6OEno4Hl8sv18UF08JPRw8H0dqF8UnUEIPB/ujzfFefCIl9HA8 -rjp4aHZCEiX0cDy+bNaUmJBMCT0cj9e/xdMSUyihh+Nxs658UuIdSujhYF89 -r5qdlEoJPRzMi+cjMpPSKKGHg/vtlbw4+S4l9HA8fqGVV5ycTgk9HDzf05BF -SgYl9HCwL+IWtqTco4QejsctXIzrnUxK6OF4PHvDCbnU+5TQw/HYiSqNTc2i -hB4Ovs8BX/20bEro4Xi85l5OTdoDSujh4PlVszl29yEl9HA8jiCOj0t/RAk9 -HNzfdKkn6TmU0MPB/tgobZvxmBJ6OB4/WOYhe+8JJfRwPB6Rb5d1L5cSejg4 -n8wz28w8SujheKx68uao+/mU0MPxONH8U+H9Akro4Xj85HXE8aynlNDDwbxc -+k4zG1NCDwfzqvXE1+xnlNDDwXkRRcY/KKSEHg7+/wPz7R8WUUIPx+Pe/uXq -j4opoYeDef1f+ZdHzymhh+Oxtl7p3ZwSSujhYP/JGrg9LqWEHo7HCSM10JMy -Sujh4Plx96dzyymhh4Pvl+DUmPuCEno4Hhe/L7uX95ISejgeHzELP5//ihJ6 -OB7P2t6+o+A1JfRwPC43urPg6RtK6OF4rLeqUx6/pYQeDj4/57YYv6OEHo7H -Fh9rKp9VUEIPx+NJA+cfFlZSQg8H53HLvciiKkro4eA8G205X/yeEno4+Pzf -x52eV1NCD8fjbRUKNiU1lNDD8ThSpG1WWksJPRyPnbmSZWV1lNDDgd+VfdAr -r6eEHo7HDZ8OzH3xgRJ6OHhe3U/MePmREno42BefR6q/aqCEHg7ut+1otdef -KKGH4/Exo3D1N42U0MPBPi65NfNtEyX0cDz+aThT610zJfRwPA4Qz11U8ZkS -ejgeu87IWlHZQgk9HMy7WTkbqlopoYeDeYkM7N63UUIPB9crZ/mx6nZK6OFg -HtWUXa7poIQeDvZvx9vbtV8ooYfjcbbOtvy6r5TQw4Gv/9v1ob6TEno4HndH -9Q5+6KKEHg7ul8XvSQ3dlNDDwfzcd2XZpx5K6OF4bDozel9jLyX0cDxe/mm+ -fxNLCT0cj5PfmcJrMCX0cDx2MO3s/sxTQg/HY49wOeVWMSX0cDAPpNPN2/oo -oYcDX6mu8W3/Rgk9HPjVVp+nHf2U0MPx2KXq4a8v3ymhh+Px65uHF3X+oIQe -jseh7anuXT8poYfj8dJRR3K7Byihh4PP25nzr2eQEno42N9KF1ezvyihh4Pn -/WZjAPebEno4Hl9h8pr4IUro4WB/16tq9f2hhB4O5pUBdebbMCX0cDy+df5M -df9fSujheDz3j/ecH/8ooYfjMc1J+v6UoIUeDq5n4qiWAUla6OHAnw8nLfsl -RQs9HI+3+5fe+i1NCz0cj/2snEf8GUELPRy8/4xPODA8khZ6ODgPo+3f/5Wh -hR6OxxduphpJyNFCDwfzrvvkXUkRLfRw8D5j90lZmqCFHg5+r3HpjREkLfRw -sE+blo6UoWihh4N96GV4UpamhR6OxxMdXg3IMbTQw8H9YTuOEaNooYfjsZ3V -te/kaFro4eD7/io8TsvTQg8H12Pi+SFmDC30cDwekqg+N3osLfRwMA8ksqgx -CrTQw8F/360SPnYcLfRwPL59XHHWuPG00MPBfPCNfzJ+Ai30cLBfPuWbTZxI -Cz0cj6XK97YrKtJCDwfzIDjmpNIkWujhYJ/5OyhOVqKFHg7eh2QLc1SUaaGH -4/Ef4zTLKZNpoYcDvwqd8WeqCi30cDyeYKobp65KCz0cnLf0yrXTp9BCDwfP -ByH1c8ZUWujh4LxkPo+fpUYLPRyPjXsVN2uo00IPB35BSMnOnUYLPRzMl+0n -8zWn00IPB+/P0/2Oac+ghR4O5muBlva8mbTQw/F4yeG9vfNn0UIPx2MjH527 -erNpoYfj8b91/kcWatBCDwe+O9FXd/EcWujhYP/PHzWkP5cWeji4Ht1aJUs1 -aaGHA//0aw8w1KKFHg7ul4OO3TJtWujhwNdfjtFdrkMLPRzsL0k/2ZXzaKGH -47GJdVST8Xxa6OHg/MwyfrxKlxZ6OPDhBz5Ba/RooYcDn1m/w3XtAlro4eD5 -+e/V5nULaaGHg3349f3i9YtooYeD/XTOTXXDYlro4Xj8dF+m3EZ9Wujh4P2w -5fz3TUtooYfjccqK/tYtS2mhh+Mxqh96v82AFno4Hrv3xZRtN6SFHg58uvFT -wQ4jWujh4P2g++Ejm2W00MPB+4CjRpYtooUejsc7kpdk2i2nhR6Ox5+/f8nc -vYIWejgeD97SfrB3JS30cODTQwq5Dsa00MOBf20MLXL8jxZ6ONj3A4/eHFxF -Cz0cvC8sPtZweDUt9HDwfrX3XY/TGlro4WA+vC3/62JCCz0c7IPiXQrH1tJC -Dwc+EhQ697gpLfRw8DwFHF7tsY4Wejg476K2PZ5mtNDD8Xil2eB57/W00MPB -+U9PTz5lTgs9HI/HnJB+c2YDLfRw4F+Sv7+fs6CFHo7Hz0ICVH030kIPB593 -+eW6i5tooYeD3zs70fvyZlro4XisWT713tUttNDD8bjiIWq/tpUWejiYtyul -lP230UIPx2OqcN/WQEta6OFgn585cjNoOy30cDxurFR8H2JFCz0cXB8Zx3Hh -O2ihh+OxtLO1VaQ1LfRw8Dzu/H472oYWejjYl9Pm9cbuhPnbPWE0JSHGg/Jj -9eNsaaQRGt9zDPinR8SlhF0wj1dqlzUCv0gt+5hkRyNKnBu3SlKM+cEI7Tv2 -MJ+jVp26Bzz8QOFi2m4acWuqtk+UEuMwnQUt6XtgXv+wWXAW+HjBH8PMvTSq -uN01uhvYLv1gdNY+mN/r3Xo3Sovxgo3n/j1woFHWb4nyPODWYbQnZz/M82S/ -+GkjxNhBKuXVE0caBW2aePoa8If7OQvyD8B8l0iw+glsvdM57ulBGh2/q73Q -dqQYz7Gqki88BPPeMk++HPjGQKNP8WEaWY5czerIiHHHpZuDJUdo9PJ+VXk4 -cOyufqdyJ3g+d+5MkJIV42WVw10vnWEfEN2nDwJv18ze98YFntcctx3VwCFl -Y7+8Owr7YbfkIkM5Mb7/TXV/lSuN/o26NiYJ+LhkfW/1MdgX+RM5RiTGpasM -jtW50ahlf8ILd+CgcWZ/PxyH/TFOJ/EzcPsz4uondxoVF+adMSFAPSPdJjV7 -wD45sto6C/jMr2t3W07QKHHS+0VKpBgv1Ny8vN0T9kvZzrHngdGV8vovXjS6 -6NrN9QJL7+k52uUN+0b1+MstlBj/oAtG9Z6kkeNryaSnwLENhve4U7B/PK6d -nUmLccyUIxZ9p2lkOl3Rxh84Tmf9z/4zsI8qExb/Aj5k2xT98yyNNE/pKNgz -Ylwlobrm1znYTxr5/Evggv2jfwz5wPyoW/1Kd5QYz+7JSvh7HvaVz/ukKGD1 -TzLbJC/APNG2PTdytBi/uUFRIy7C/vrUbXME2MbqebHMJRpVXzquXwes5K91 -SnQZ9tkCqXFIXoztfU2XUldo9KjlmjgF+NZ1pSHmKuy364qv5ceI8TaJ2AJ5 -PxqFLUlM9gRW1q49p3AN9t1XHZ824DCnwrUTrtPI82b+znVjxdh3vN24STdg -/y1bs+Qh8AOPh63K/jSy7n0/TkVBjEf2PM1SDYB9GGbbdwHYueikr1ogjYz+ -63nNAw9v+mY1/Sbsx77jKZbj4Pn4rqw7K4hGU2KkzhcCS/8epucEw75ce91W -Y7wYix8H92iG0EhqQHHpTeAvF9pf6YTC/oxPHP8H2PxJd4ZuGMw383nf9kwQ -47TElKCF4bBP/+S/eQN89bbKSf0ImHcpa+4snCjGN6XM9htEwn7dUn0+FviU -it7WZVE0uiO5a5ecohj2efWqFdGwbzN6lroAL2vSXPJfDI2uWrlP+Ajco7lc -Z00s7F8Z6f4Vk8R4qj8z2/QWjQ5nX3+bBvzXKmTa+tuwj20npSooiXFme72a -RRyNzKkk35PA3j616pvjYT8/nmf3BfjxtRsztyXQaN7eAgNzZTF+u32EllUi -7Gt5k4mPgY2MFiyySaLR2KfV/VMmi3FEgNrKXcmwvw/sencZ+Erqa4vdKTT6 -Mb439RtwabPu7n13YJ8Xu1/YoSLGqpcs3R1TwR+dpO2fA7v/XnL9UBrsd+Ub -hpqqYvz8WkOy012Yv+WTFEOAx4QveX40Hfa9W9L3v8CnXC3b3DJgHk+dX+Ew -RYwNjy0YeeIe7P+3BWkVwEHfKmd7Z9LolKfJRf2pYvz5Py2L0/fBB2bU2McB -+5es9TqXRaNd73cZkWpiPPPFzBTfbPCD072Kx4CNUkrqLj2g0Yq5Hj8+AZ/N -nQYeDL5QL135nzrMh23/LbvxiEbTfG/czQC+XTfTPTAH/GGe0qUJ0+D7BL6+ -H/yYRjJNSbvPAJ9p1uXDnoBPXJm/rAv41ISd2lG5NOpc+HTSxunwvASaHo3N -A79oM/mZC7wt5U9OXD7Msxs1leoz4Hm87yKRVADzzMAu3Q+4TybN9M5TmGed -vZd+AB+RuBN+F8M8C/bYs3OmGOOfB7vvPYP9sHwEKgO+uOK7YXYh+Ah3Q0ln -lhh7GawIelREI5cIpYEw4P2GW9knxeAnq5KrJGeL8dwYHZOC5zTa1D8/4wBw -Xk5l8rMS8JXYp5ffA09p0xc9L6XRgnVr9xpowP074Xi4rAz8ZbAGJQL3v99V -/bKcRhMS7ZSZOWL8yXSK0dsX4DMW7MBx4BtLUlIrX9Lo17DH+2Zg71+DijWv -wG9SR9xbM1eMZ7WO8at/TaOGrf5X7gPPW/9T4tMb8B1p5X2TNMXYODTeo/kt -jQruJS/3AZ41Qam/9R34j7Xu5F7ghzNtnL9U0ChWDg9u1hLjBrUD4q5KmGcP -11YXAMfar3Blq2Ce2dXem6EtxhOXfxkUv4d5RttfvQF8fOLWs9+rabQnl903 -CDy0IpAarAFf2ndihZ2OGEsoRUYM1dJo1diRKi+B+387z/lXB/6E/X/Nnwf3 -z1ABS32g0axDyjWRwM8NfLfIfASfmpiSOWK+GH/d9JwTNdCIKNH1Owzs01h5 -hf4EfuWCHWqBXfQyZss30qh3sunKZbpiHJ29/ZVCE/jWy1qVFGDyUbXTxGYa -vT1u/3u0nhi/D1GdoPwZ/EudqzkBrH5/SaFqC40y35243wpsuGvGEfVW8DHv -kddMF8D9/9c6eWYbjQJnBex/AGza7Vyh0Q5+VqNsPHkhXG/vCl+tDhodO5ui -egG4dLSU0fwvMM809YY44CfSMr8WfIV59hHXblsE+y3z0yP9TphnF0yzngG3 -ul5wN+yikb5u3bXZi4FTpJcu7wafa7Z3DASuTdss+V8PjZT8OOMh4Pr6Ey/X -9ILfLfacskdfjCf5uYasY2k03D7yz2vgtqkr9m7gwPcCAuoWLIHn92fHws08 -jZoNJ2fHAAfvsyUtxeB/3SnXZZeK8Ym3Ga07+mhUGKJ3wBn405XqfNtv4IMr -n/33AfjoYEX47n4axfOmU1cYiLHMsYQTDt/BD6Pq/qQCn7Aytz74g0a+a3bX -jzUU4yK5quVOP8EXv3PZ3sC9DXM1XAdo5HDb80YHsPK8XePcB8EfzWQOrjeC -+b73kLTXLxqZ/A5YlQN8sdbi+6nfMM+SJqtNWQbnq2V057khmGeb7gxfAq5u -u9N04Q/Ms396H/qA5y+dXH9lGObZ3WcPrJAYL/nvYPX1v+Cbluv8i4Hv2Aa9 -D/xHI/GI+oNzl4vxls6wmhAJBtnd3706GHiW4YmPEZIMqrLh1f4CT89f0Boj -xaCVhNfffSvEeHfx2944aQY9eCTz8R2w/H3j30kjGDR9d+DDxSvF+FhbKJE2 -kkEho1QCbgN3PS6ffE+GQbL5dw4RxjBPb9TpZssyyGP/gjWuwK2lz9flyDGo -S6FQ/ROwf77//jwRg6wK1/0z/g+et3dLL2KCQa8O139MB27YWZpSTDLIYNKe -R+NXgV+80HlTRjEovZQPOA187rDX91c0g1RcvQ53Ag+kJqlUMAy6oSprYrFa -jO99zjKtHsUgideB03KBuw/f8qofzSAXDxUJ9TWwz+87ZXySZ1DrtNSGq8Cb -qKntn8cwaHPlgpzvwM6fHih3jGVQycnCQBsT8N+zcyy7FBi0UMPsSCnwGWvf -EHYcg5Jr602018L9flVU1zeeQRN99kwPA5bXaVf6OYFBl7XFEpKmsP87u+x/ -T2TQ7wavT47AHlur7/5VZNDBS7KPq4B76+N/SSkx6JPezZtL14nxyQpLE1ll -Bpm1qDglAJ+K7o8kJzPo6bXUtbSZGFvdOtY3SoVB2ksWzjgOHKD/yURBlUG3 -vhRKNgMXvNBInDiFQfI3zRpXrxdjv/u7pCdPZdC5ZR8eZwKXWZzaM1WNQf09 -e4IUzcV4HXm+fLo6g/aEiZ3OAY9ZdlRbYxqDaoy9TXuAp683CdeazqBVfbIz -N28AXwkXyejOYFBO9E2pAuCWc9lui2YyaPZa1abpFvB8HlzduXQWgyJ+pj65 -DlyUXWKDZjOIjF8YPABs81Sr1liDQd7mRc67NoKf9Z6zMJnDIHbIbN0LYDa2 -6J3ZXAbtTPkwc/4mMd61hNuwUZNB7zbvlY4EfjpZpmarFoOQZF+T9Gbwr7uE -9Q5tBt1P9849BIxW/+mw1WGQmpVcSA1wtEXD0T3zGHRTJsjFaAv8fc0UKcf5 -DBqRrWqWDPzBYHfwYV0GudmmzRq9Fc5XKzXnqB6DvpCLRpwA/nwmsfj4AgZt -e1zU3AIc7Klp67WQQeV71uet3Qb3Y37i8OlFDNKX/xiSDSxJ0rHnFzMotWDv -UWVLmCcOe1de1meQ0oE+M1/ge6kZ3deWMMhv/MnZHHDloq6gwKUM+lskN3Lb -djEmjo5bEWrAICenoM8YODFf91ukIYM+K03Jn2UlxlJexom3jBhkUZ4WGgAc -M3rNjsRlDCo6tsj1NzDRYqSQihikO7V4/e4dYvzNfHZFxnIGJbxZr/EaeFKh -7I3sFQwa5/lx5AJruB5hHzc8XsmgCzP2tUQDZ+rHjSswZtBAVV++jA34mMi2 -sfA/Bu0/fTLMCbjygHxK6SoGfZgjOlYPLF38xO3VagatrQ8yX75TjOnjlqsq -1jAo7/yUOanA1p2sYo0Jg+bOuysz1laMPU95ij+sZVB046JWL2CZ6L8vmkwZ -xFwpLmgHzk3xTGpbx6DTC83DzXaBf8jwvp1mDBK3fjz2CHhokvV+dj3Msxv7 -NqjagV+YFpl9M2fQ+6Xf5lwCHvwzdeHABgYZd56U7QN+EOQ19Y8Fgx4Gidq2 -24vxL/d3oyQ3MWjG8uCnRcBalIqkzGYGhbJTIubshnn7yOEHsYVBchF33YJ2 -/2//3u0dtZVBJ1YtthgGFnewXxS2Maj7W/HcfXvgfW7BnHZFSwbtiDWXewe8 -Sndvu8p2Br02bWhbtBeeJ+Oor+pWDDIc3IdvAdu+rWBn7WBQRsK3CNE+4MXS -A5rWDFK1OHX8KLBVp660rg2D/IdFGxuAs413j1m8k0GSqcGaxg7gB9kB0wxt -GXR061RROrBfFNZfsYtBbVLp7eP2w/vFXtZitR3Ms3uLn50CHjqmdHidPcyz -Hc8jvwI7z1971WI3zDO5De4bHMU4dYTn3a17GJTyoGHjE2C8Ma1ix14GKdo5 -aIEa4U8+TQO79jHoCt0vugps+m+s2j4HBg09OdXRD3xo7jrzg/sZdGgfUWh9 -UIzzj1487ezIoMYxIVElwBX6pVluBxi0Hk/10DoE/tMl1+V5kEH4YPqmUOBJ -XeZqZw4xSGeivrbEYfi+tyJ3+h5m0O3nzwlH4EGP3ugrRxg0xmXDl0rgmR9W -fL7hxCCfyZ8KlxyB95HZsdODnRn0/YVDdDzwQJXkkQgXBu093u9BOcH9MDz4 -JPYog2rVTm92A55e2SCb6Mqg1e8InSZgzYFN21OPMeixVwi52lmMR0+sTr/n -BvNsltrXe8BRUdYjHx6HeVadXjTRRYwfsaxtrjvMs7P6MWeB7Y9dKsAeMM80 -S050A+eGz1UpOcEg7sOGLZuOivH30o9nX3oyyPbCJ518YLu9NzvfeTGoYv5+ -arqrGB9s2rqxxptBy5v7v14DDoucjj+eZFDW1dPFP4Evykhofz7FIPXFZKzt -MXieL3253XGaQUHtIZ7lwBl+Hyb0nGHQyAC1rfPc4H56ffAXn2XQccOMeRHA -sIGon+cY9LVLn5Y+LsbxxZJ+Qz4Msgwp6TwIPKJPg5H0ZdCLFRbPq4EPZdnf -lLnAoCX8p1hDdzHecPSOEnWRQWmR+72SgJdF/kuSv8Qg5TXft47yAP8K3btg -wmUGXft+er4HsNT7hlLlKzDPbpFMC7BXjv0Otaswz8xCu0xOiLFJ5u9vM/1g -nv1SK8kC9pmVcE3zGsyzpIxbSp5ibHnVdo7udQYVb1zifR54vLHm68U3GKT3 -r2QbC6z+lHY28mdQYpqF7lYv+Ht7JScYBzBovGUjg4E3JMgVmgQy6OIIx+6Z -3mJc8lX9iPlNBg1mfi/xB14QuUllSxCDHG3O3P4F7K8RVmkVzKCPIuqk/UmY -95LfLu4KYZDpo1DLV8Cm1+yW7wtlUL69up7eKXie9b8OHwxjkOaoe6OigQNd -fApcwhkUk7ekZ+RpMV4Zv/CMewSDRu0vLT0C/GSJ5KqTkQw6o7Axrg44PqGN -8YliUN+zxpPojBi/Mmv6eCmaQfaHHbffAVaM77tzPQbmmeIPvTFnxfi6vIp3 -UCzMs9Izo72ATWT2WETcgnl2lOptA/bhimffug3zTDWsbN058IFFRiOT4hgU -9ko9/iFwx/r3bWnxDBJ53Dul4iPGVJxPyf0EBnlOW2p1ETgjxjw1J5FBPRWl -C8TAgVmLAguSGGR9cqP89vNwHk0NThYnM+jN7KbeQuD8OpuDL1IYZFTrWK7h -C9+3JMr6/1q077gcvz+O4/bMfQ17JyUZ4YuE5JJdssksO6tkk+zMrLLLKHuv -iKxPQsguIyuRkd11QhH5vX+P8/nz9fhS932Ncz7n63l3v8U4uuDHzrXo9Pm/ -uj88YDGsG8yf+wc9LmhKp2cHLUboM6uBIxfjfFjT0vbVIYtRYOkmpzvokDcJ -xvvDFmNyE1u92ZJM6tlge5svRyzGm7SjX7ajnSdtbJ911GL0WdnyRrGlmVS5 -yrEuv45ZjITm13ZNRP+M+ND333GL0exdz3lP0a+GtBtROBrrWVjqwLbL8D4/ -jZtS8iTWs9Zjmx1CJ0/zWaqdwnr26Ydedjm+3x7r7eVjsJ5tnP91NjojpVBs -1dMWw69dqcR36LGzrR7VPGMxUjM37e4Wgp9f0PmnQ6zF6LbVdv4ZdL3nSyo2 -PGsx4jofG1RjRSZ1mvantdM5i9HoZ0vn5eiubhvGuJy3GDt2XCudhZ56rM8G -twsWo3S3Xt8GrswkpVHLhE4XLUZwbmriFfSKJh1/dSWL8WPv2D31V2G/rBvY -oE+cxRjV++f8Dej5ix+MHnjJYjzOt2DwP/TYmL67h8ZbjE6HSzUfvRr3o17+ -t76XLUZs/81l7qNX9Xtg73/FYtQpYpfZfA32j0P3/KZctRgRJ47d3IEeGv4z -JjDBYlj5uOwtGYrzQliHgvOvWYzZJa8vmIJ2LnKp55LrWM9O9/J+gd7mO2r3 -yhtYz0a8bN4hDP+9drPctYlYz9RxZY+iH8Y27h1+02K4XfiZWX4t5rdVg45F -3rIY0WMW3JqHfl3+hLL3tsWwLWfZ9wG94HqTSYfvWIz18ZsX9lyHeVB/+zj6 -rsUoMsHO5xzac/Rl4+w9izG98vEWtuuxP7rcOxR332JkXHMptxLdOb9VlWtJ -FqP/lOvmD/Qjh+mrbidbjETr3re9N2C+q60XevDAYrS8/XLfNbT7xBdBTx9a -jEMzxwU33Ijz77QnOWmPLEbVWtk+m9HvDhSZ8f6xxViVtKBlgU2Y9xaM/P0l -xWL8m2MpPw4dG/Bz7vcnFiOgbrhIRqdlxBbLfWoxXj22u+OyGfu197F1+Z9b -jJ7Bx/fvRv9p/7RmsRdYzxq2WmQJx/uvO8dYUrGevbg+ZDr6sHOiR9mXWM+W -9XZJQ7vWC31TOc1ilHdKK985IpM2D105z+aVxVj6elzWcXRVT6ru8Npi/FqV -fafSFszvw+3jG6RbjLEtFx5YiL5UIcHX6Y3FePbesvgz2vl1hNrqrcXosi58 -aJ+teB6a7D/f9p3FuGDUanURfTb46zj39xbD8cvxCvbbMP90HF+tR4bF2L65 -1ffV6DJZNg+8PlgMtcONuznou7n6Su+PFmO+6H1w6HacZx67dB75yWKIbWmL -E9E7cyOLjf9sMYZ7jB/WOBLzcGrrm5O+WIwH2dmttqBHfK8YOvOrxWi/a2HF -wlH4/ScbDJj3zWLEdFd++KFLr59fa0mmxbD/G37vEXpLSfXnShPr2f5ah1rv -yKQdq55dXyewnvU9sWQfOnTdm20RWVjPCrgO13Zm0o+1dWfs+I717MgN10D0 -9ILHe+//YTEGD+xTKR39uv/UJsd+Wow7RV/98NiVSdUsM8ufzrYYrU+Ov38S -3XTj+b8XcizGsSE5h6ruxvM33nh/5ZfFqFEqeOli9JFChR/c/G0xwmKVEd/Q -9LjElaRci1FwVETrfnswzw7uefrJH4sxRbevfAntYPX8cNpfi/H24omfDnsz -6eugfXvf51mMvuNck8LQLa+d3vX1n8W4Vj7xcC669OUSu3/kUwznK32WjdiH -88v1qH1/8ivG/oBXI26jq3affbRgQcWoVNXPcNqPeef+1tgShRQj5EZO5e3o -YwkFr2mFFePP1ODsogdwvtxz9HGFIorhZ6MmB6Anfor6VL2oYqTeiTjyBG1T -6lkB+2KK0W2W/XK3g5gHFg2s6lhcMeLso0ceRLe6VbNl0xKK8d8D1zZlDuF8 -O6jJIJeSirFzXmKV2eh3h1fOa2ulGGXq9815i27TsuE+91KKsejJq+SuhzPp -xuwqyT0sivFzkd/R0+h233rm768ohu9/v5ZbH8HzXfzBf0NUxUhJDR61DH2v -Q6Svr6YYnUNUN4FWapzZ7q8rxtlmW6oOPJpJUXqVZ1NLK0bdN/a/LqO/rr9V -cXYZxdiyJvpBvWM4n9slDgwuqxilWrU+th5d0KVsVEg5xZjzITEkD230PvIh -rLxifFvf19f3OObBD+ubhldQjCFur93uoWnqreCoiopx/6tfteYn8P2n9ny8 -r5JiuEX8+hWF/jysdv1jlRUjuuOihyWiMQ+d7br4dBXFsP2uHp+Mvvrz6uuL -VRVjfeSWFc/RjdatckuophhFPWuPbn8S869l/+7b1RVjxq/otkfQ9V6VtXpo -rRgfdreuXv4U/v7Sh1Of11CMAT1v/p6LHjQ/43W6jWLczOv7KAPdsWO3Xp9q -KobLwdfHe8Rg/vEqcU3YKsZhL/+VZ9EO9Su5/rZTjGqFfo+ueRrvZ4e5Z/Lb -K8bqY4varUDfrd7CqXhtxcg3WLP+gW7g5HFadVCMicW35g4+g/WtSHTLCnUU -4/Wp2o8T0Ccsky5Xr6sYvYadPNEgNpPm3lza1b6eYmBLWbUJve9s9nPH+orR -9NzNMfnPZtLj/uTv5KgYe3y92o/9f9dKK+jaQDHKl0m3TkZv2D5gS/uGirE0 -zv9Py3N4/twaOHs2Uoxf438/3oU+usL7ce//FGNsxcXRpc5n0uq/GTMHNVaM -51e11dPQzqXvVh/RRDE8J20d+xLdtot2Y1xTxbhYzaFDpwuYN+yPTZ3spBgN -bp6scRy9ouYhu1nNFCNyuvG34kWcp6nwkwXOiqHZ3kpZgE4JvLB6eXPFWHDP -6+Qn9JgHyZ3DWihGVlD66t6YnuM8OhcNb6kYIxwmjLuAHjWk2vUoF8V4+PB3 -h1pxeN/Deq3Y30oxOixYbLMaHTDkQ6/jropx2lHPy0av90irFttaMWo/2/pk -yKVMWp7s/CXOUIzNSxxO3UAvnpF18XobxSjR5NSa/+IxP51V191zU4xZacb4 -CHS1yqHjU9oqxucVtzoWuozPW3Fqp7R2ijG4eb+afugHzS7YZ7RXjDtv0/Me -onN+jCmR2UExWodNeOp6BefBh7MyszsqxnHX3FN70YW9sp7866QYNp8Wh6pX -MT/8uZdQ1F0x1m7U/WaizQ5lTyseilGo3bZOr9GNz8QdKN9FMaZmOth6JGTS -h/vJUdU9FePdllP/otGibLct9l0Vw6tzm2dVruH3FWkc3qCbYlz/cStmEdrW -bl5Es+6K0XxHv7Cv6PPfnSJb91CMA13f+Hldx/6f7bWvY0/FqJw7oXMcutix -9OhuvRRjxd5cW4cbmZQv6mm8V2/F+NtrSb4wdDvPVg99+iiGf77Sz3+j7zWz -+uTbVzFeHtp2enhiJl1O71gowEsxuvevs/YWetiDTOsZ/RTjUuEY/6Y3M6ns -yqJt5vXHenaijfs29OjQFSOWDsB65n3bruitTCo1cl7ImoFYz0r2zx+ATglJ -P7VpkGIsPv3meQr68rKY9MjBipE9POBMm9uZ9On1rzL7vRVjtPpn7QF0WOUj -nY/7KMaT80smlL6DefdM8vzYIYrhPqa0RxC6eqtxFy4NVYxzZbfXeotu3WDq -nxvDFKNefJ0CXe9i/iotXJOGK8ZW/5gXMeiB/q+Dn45QDEtlt9jq9zLJ72qH -O69HKsbca7fXLUXf21q98qdRipE5uX+Aif4U5Dcuy1cxhlq/9RhwH+eXjIaU -O1oxkm4F2F9G7xg5tlyhsYrRduafAvWSsN4EVppoNU4xTtotTV2Hjj7c5m6Z -8Yphl1T67F90SHBaw6p+irFhzvb1o5LxPC/KXm/nj/Wsbt2Jd9Etmy3Jqz8B -69njmC7ODzBvW68Z6xSA9WyhW+0odGKC/tR1omIMbHinYImHuH8nFM+OkxTj -1vP+Lyeh541ZcrnbZMVotezt2WfoPZMDW/WbohhHmk7c0O4R1rd+H84OmaoY -1V//mXgYXXTbg5ZjpinGmlVLPcs9xv582yVu4nTFyN+yjMNc9Fo/m06BMxRj -0vvthTLQ54osTl4wUzHS19ZN656SSfetRw8LCVSM3sbpc7HoQu1ufF87SzGu -fnbbaPME5+ec/cu3BCmG0+Y7k0LQra+VsN09WzH2th/Q9Tt6lPPnuMNzFKOC -eOsw+Cnm6Qddh8bMVYxl2yYWTkAft2tcmOYpxm/3v2mOzzB/Rm8+dG2+YozL -Xnp+I3pLsYVe9xZgPdtZZlO+55hnD38r/GQh1rPukZPHoLfWTTv9Khjr2Z+6 -3ZLQZUv18fu4COvZ/tN1Wr7A+vmsa62sxYoR1adtkV3oajXuvM5dohh6gbuv -rFKxvvsm7yi0TDEWHhlwYSr6R3OfUaWWK8b3Ae82paLz20yqXy5EMUYWnTSl -48v//3usVU61FYrxKPpvt2Po7t4NEuxXKkbHIcvqVkzLpObXn25suEoxzliV -LboA3Wuy4td8tWI4xEa+/ohO+ni7vdsaxQgfWe9ir1eYD86Ws/EIVYyS+pnN -59Hrx3/M3ztMMYIutp1q9xr3b1Xnt4PWKsaXsXe7r0K3PNDk1sh1iuFdfmC9 -bHRtz0Mx/usV4+7ld0WHpGN9KXB81/QNimEETEq/jn7p1XbDvI1Yz6rkXWz0 -Bu93yvCQZZuwnt1YFh6O1rTSwWGbsZ5NLTut4FvMa6t6zIsIx3pmE9VjPNo6 -tfq8XRGKMe1OvfoP0dNXzFl4eItivA88U8z1Hd6fWv7LY7YqRj/7dm/2oF+0 -+LaOtinGjeS7pLzH+bFPgZ3XtytGi3kDI2ag5xbed/J+pGIcrPd+2iv02cdp -N55GKUaVJ5N6umdkUvCAw6/TdyjGykV59aPRRatY/n3eqRh5jZYXr/Ihk9Yd -KFj95y7FmJBa9m0wei/mhX+7FSNteVTcF/Sr0UfHFNurGD2a1d/S9yPmoZhR -67R9ihGffmY6oe1KnoqvtF8xGq9p16v2J8w32eHfax5QjF0u9xxD0buLV6xT -/6BilP0wsMRv9NX7DYY7HcJ6tv7922GfM6nZy5fbWx/GetZm8qWb6HOXHNI6 -HcF69jVvS5MvmeRS3GLb8yjWs/DlM7aib7dfOW7gMcXw6Fiud5GveF+K7osZ -cVwxzmdFNZiAbv7Su7D/CcWoH1m/ZAq6+OATXtOjFWNbl9h3xjdcP23n4Xkn -FUP51S5+P3rmqkZFl59SjHm7723VM7GfjvAesTZGMcweg2bOQh+tWefqltOK -MSzvfe836Afe6x32nFGM5AOTG3qamZS3JzL0aKxitPP6VzIGnbqyy98zZxXj -VMGQ99VEJvXYvXl8/DnFqHWs3OUlaO+5S1/ePK8YGwft2JaJbnqkYt+HFxSj -WHHHwP5ZmNeueN5LvagYM0/F9olHP+pl1zWDFOPj0PaN6n7H5/8WedeMw3pm -uW+1Dl2g7qXeuZewnp0dlPEHPWrT4heFLmM98824PPJHJjneNMdYrmA9Kz1l -+x308b4Ff5e/qhjWcf8Cm/3MpMl3zq2skaAYoeND+kaiayZUtqt7TTEKVCz/ -X/HsTNp4v2Zck+uKMfnqjlKT0A+DH3i73lCMNxMdPzxFF5/imL9TomL0qXb2 -StucTFrZ+L+9PW4qRkJi+8hD6Ldead0H3lKMZtPvzyr7C/PM1OZ5I24rxr6a -g73moHNquB71v6MYFe9l/Pcevc78OnzGXcVYHjTF0v039ruZXaosuKcYubXz -fTyDftXNKyXkvmKMfxhytUYu3p/i6qb1SYrxYn75qOVoJ5fpA7cnK0ZXx51B -Wei82Stt9j9QDHrq2G/QH6yHI3p9OfFQMRouOdv4KvrP4hvnzj/Ceta4g+L4 -N5OuB2auTHiM9Szt/scN6FuPrg+/l4L1bMXghH/oS416tXr6RDF+OH+IGp2H -+c5mTaU3TxVj1Nsps++jWxnzcr88U4zHofn6t/iH85SNbVr2c8Xo5LqiyU70 -DJ+g6/lTFfZwJuVbs+xkyZcKeziT/D267CqbprCHM+m4w9WN1V8p7OFMehP/ -fZXDa4U9nEndjj5b1jhdYQ9n0sglgUtbvVHYw5l0Lf3+8o5vFfZwJrUb/HpN -j3cKeziTHq44FD7wvcIezqSdJRvtG5mhsIczKf/kgNgJHxT2cCZNHDTuzsyP -Cns4k3YMtXm/8JPCHs6kCVU2FFz1WWEPZ9KrWtdqbvqisIczqWHlmI47virs -4Uy6uHb4hEPfFPZwJlWrey88JlNhD2dSqaA/N+JMhT2cSTNrfPiTKBT2cCYF -xWxo/DBLYQ9nkvejwv4vvyvs4UxaX6XZoQ8/FPZwJl21rfs166fCHs4kWv66 -cV62wh7OpEUfB88u9kthD4frlbY1Uf+tsIczqV+xnZWr5irs4Uzq8s8vwP6P -wh7OpGdD/11v9FdhD2fSx1tedi55Cns4k0JfTF/U4Z/CHs6k5RWOTamdT2UP -Z9KUE0v3HUZLD2eSV8kOqf/lV9nDmVRlUkbZM2jp4Uy63DSgW6sCKns4k4bv -frE8Hi09nEl11UbXOxZU2cOZNOLq2KK30dLDmTS2TEinnoVU9nAmRXcJC3mM -lh7OpLsX5t0bVFhlD2fS0NN9y79GSw9nkv2yMkN8i6js4UzKXnD2wGe09HC4 -v987ZU8sqrKHM2muY1z7bLT0cLie86w3BBVT2cOZNKfF2Iz8xVX2cCa5Ht7q -sgQtPZxJf2qfC7MqobKHM6lpXvzHULT0cPj5s0+1K19SZQ9n0pLssMgtaOnh -TNIuDMyrYaWyhzPpbzXVey9aejiTDP9jVK+Uyh7OpIxcl5on0NLDmVS1YszS -ZhaVPRy6fJXM82jp4fD3O07o76ao7OFwPX4fvXINLT2cSYkLUxt5qip7OJMG -NM6NTEJLD2fSsIFF9H6ayh4O98Mz36IXaOnhTGo56MOvYbrKHs6k3JRLARlo -6eFM0sst/+BXWmUPZ9KpkW4jstDSw5nUuNKntBllVPZwJmUtCvbJQ0sPZ9K0 -XEvawrIqeziT+txePqx4OZU9nEltu+a8W4WWHs4kq/tefmXKq+zhTFq7b/+P -zWjp4UxKsf86t3oFlT2cSZlRdla70dLDmWQZ2z28TkWVPZxJgcn+dY6hpYcz -aWOj+eebVlLZw5n0+vGS7ufQ0sOZZFZc+M6orLKHM2mSx+Q5CWjp4bDexPWr -0KWKyh4On/dW45P30dLDmVTgcqGeXlVV9nAmOfy6aT5HSw9n0pH4ZWuHVVPZ -w5n0fLJrswy09HAmlR368blfdZU9nEmPUlcGZ6Glh8P1b+PgONNaZQ+H9y/1 -/JM8tPRwJh0s3nlJcA2VPZxJARXuOJWwUdnDYf336ZKxGi09HJ7nJlciytZU -2cOZZPu5aY8ItPRwWM+fRRWrYauyh8P63b9Y/B609HD4frFjZtezU9nDmfSh -R0KLE2jp4fC8zqj2u1ktlT2cSTWDJ527gJYeDu/rjfg5be1V9nAmRWxT2t1A -Sw+H6zugf8lutVX2cCa96xH54AFaejiTZj9/s32Ag8oezqQ23e390tDSw5l0 -vuBol1F1VPZwJq3z3FvqM1p6OJOWBr9Nm1hXZQ+H9fKfTUw2Wno4kzzLDlk5 -u57KHg6fv+rWUQXrq+zh0P5P2yxDSw+H59WnQnXFUWUPZ9KDTl5569DSw+F+ -zd/4slIDlT2cSU+HPImPREsPZ9In16r7ajVU2cNh/Z08fPUhtPRwJjn2PTTj -v0YqeziTerTOGX4GLT2cSZ8Xd+zh+p/KHg7v96Jw4wpaejiTNoSZjdwbq+zh -8HwU9bS7h5YeDvfD5XClvk1U9nAm5SzT9edo6eFMKtd5dslhTVX2cNgPk74U -yUBLD4f9fO6IQv5OKns47I/7XhX8jpYezqSEvSMLBzZT2cOZdOVhZrF8zip7 -OHy/FQsti9HSw5m0wrZaOavmKns47Kc5cdXD0NLDmfRk6Pi6FVqo7OFM8j1r -3XwbWno4k2oPSu1k21JlD4f1Kmr3gANo6eFMik2f5t/QRWUPZ1LJOT2DY9DS -w+F5SXTe4tJKZQ+H/bhmnZh4tPRwJgW/qZXUyVVlD2dST98GmXfQ0sOZVKNo -O7VPa5U9HO5vtZH/PUNLD2fS9eJr+w41VPZwuH82d4Leo6WHM2nP1XK7/dqo -7OEwj3n63c1CSw+H9bxsUu5MN5U9nEnNAtvXyddWZQ9nUsyjawMWo6WHw361 -pP9Kq3YqeziTOr7/fSkMLT0cnv9eh3IqtFfZw5l0396/0Xa09HD4fXHGeLsO -Kns4k9YE2u4/iJYeDu/78YoZjTqq7OFM2nTZ2uEMWno47L9WLca7dlLZw5mU -nDHy+BW09HCYt2J25bh3VtnDmVQo6Web+2jp4UwqtnnQSi93lT2cSTdGP3r6 -Ai09HJ6vbSPqjPBQ2cNhPdlUNOgjWno4rF8n6W5AF5U9HL5f15V22Wjp4TA/ -XwuYPdtTZQ+HeTRw7OOCXVX2cFjfrgU2Xo6WHs4ku1I7QtVuKnu4/z/vaeYG -tPRwJvX95dS7aneVPZxJCwbvPrMTLT2cSWWa1Ktep4fKHs4k8ezmkmNo6eGw -vpwMFk49VfZwJt2u7OVzAS09HJ7nSW3vtO2lsofD/S7n3joRLT0c3o/R4050 -762yh8N6cH2v/WO09HAmha/7t21wH5U9HNar+pMrvEFLD2fS26wC68b2VdnD -4ft3OKqZaOnhTKq0ZEbodC+VPZxJSWV8SuehpYczaVn34RuD+6ns4Ux6HL6o -asn+Kns4PG+9E3aHoqWHM8kp1a5hhQEqeziTSm/edX4bWno4k6zfuXnYDVTZ -w5kUViv/84No6eEwH5576f/fIJU9nEkVir4sGIuWHg7Xa+y/8NaDVfZwmHc7 -tGmSgJYeDtcjb9fdLt4qeziTDmfV8UtGSw+H+WJpstUAH5U9HOaPqtuPpKGl -hzNpd/lVPX2HqOzh8Pw8jsz5gpYezqQZcY8jpwxV2cNh/2/S2CMXLT2cSZEb -o7PnD1PZw2F98ui/p9hwlT0c7t9JW6/VaOnhTBrYpFyJciNU9nAm+TVwpC1o -6eEwbxbzm1ZzpMoeDvO2bXKDA2jp4fD+vh3yqeEolT2cSR7Hyu0/jZYezqSV -Gd9Hu/qq7OHw80Vunato6eFMqvVfvW8eo1X2cLhfVotPJaGlhzPp2Jvic/qP -UdnD4X20PtMpDS09HM4vtqFlfceq7OEwb/VZ/+YLWno4k1pXuHpqyjiVPRye -tyTrZblo6eGwfmXs814wXmUPZ1KduMFOxf1U9nAmdabW6hq09HDYzz26fS7n -r7KHw/ueuCJxK1p6OJy/onIO2E5Q2cNhvWq2duVBtPRwJhURgyb9F6CyhzPp -e7O+/WPR0sOZNGvCXDdjosoezqQG/1LqX0NLD4fzs+fwyl0nqezhTKocX63k -Q7T0cDj/XC75d+BklT0c1rszdczXaOnhTMorGvR+zBSVPRzOW5XypWWipYcz -6V7f00+nT1XZw2Fett3xOA8tPRzu7+e4R4umqezhsP6ULZNiNV1lD4d5vOiW -Z2vR0sOZVLxu/1eVZqjs4Uw6l+HxIQotPZxJd/ZNyao9U2UPZ1JqcvK/o2jp -4UzalzTG0ixQZQ+H/dXSrPpFtPRwmO++tfyv/SyVPRw+f+qMjrfQ0sOZFNXh -q3evIJU9nElfVm+Z8RQtPRzO07bB64bOVtnD4fzjt/tEBlp6ONyvh/mSJ8xR -2cPhz1/d8OMnWno4k/7b51tpzlyVPRyuR8bkNoXnqezhTOr27dzYFWjp4fD8 -1zY2lJ6vsofDeS0v35VwtPRwOB88y8uqsUBlD2fStjotau1HSw+H86RX9ICG -C1X2cPh9t8eGnkZLD4f16+eQRNdglT0c9j9jQ+EEtPRwmC/LlGzruUhlD2dS -izRa8AAtPZxJXy2nrgxcrLKHw3xh9alYOlp6OJMKdxjWbewSlT0c7q995U0m -Wno4XL8q5dJnLFXZw2E9C+vVMN8ylT0c5umfyXOXoKWHw3x0auN9y3KVPRze -N5ftdhvQ0sOZVCXn46yqISp7OMyHfWc92IWWHg7niyvdG9RbobKHw/xwbNyK -aLT0cDgvLb31qcVKlT0c3rfYyZ7xaOnhMC+dGHq88yqVPRzms1cbyt9HSw9n -Uv1Tpef1W62yh8N5NCLl40u09HC4nwXfefmuUdnDYT0f6HLtK1p6OJznyjx3 -nhaqsocz6W741UN/0dLDYT7z+WWzKExlD4f38/70CKu1Kns4kyYPbVNuHVp6 -OJzXZ/ddW3mdyh7OpI8bTus70dLDYb+tMnJdnfUqezicR+f6VDiBlh7OpHEN -925rvkFlD4f3e5uL/SW09HAmpbe0PtFpo8oezqTBM3q2voeWHg7r6dXkO16b -VPZwJh1Yu2vIS7T0cPj7va9+H7VZZQ+H5yHQKeQrWno4k3ZOzak5LVxlD4f1 -7kxJ+ouWHg7P28mAQYsiVPZweF6f2/+x2qKyhzNJ3dFo2zq09HDYD6avcquy -VWUPh/nlruuHnWjp4bBeVG0bVnebyh7OpPFJEa2i0dLDYV4Z1vlTi+0qezjM -x226RMSjpYczacyrXZ7ukSp7OMznMb0KJKGlh8Pz3Lh/bP8olT0c1tPbpya9 -QksPZ1KH7DGOY3ao7OHw8+2mfc5ESw+H9/PW00Mzdqrs4XCe9Nk0Id8ulT2c -SXN6H22yFC09nElbq1v/UXar7OEwLzb9dHUjWno4k/6V1MKq71HZw+H6lg8b -shctPRzOb7f9GzXYq7KHw7y1c2+h02jp4Ux6obR66rpPZQ+H/XtJgxMJaOnh -cH/nzV3Rdb/KHs6kopPqj3mElh4O++P95p28D6js4TAvld3t8A4tPRyep5MT -SvkfVNnD4fvYhWf9QEsPh/Xnpe3z2YdU9nDYzweo1wofVtnDmTTTavDJlWjp -4UwK8iu5q+wRlT0c1tMflTdsRUsPZ5JD5dXL7Y6q7OFwnvAaOf8wWno4k0Js -tgc2Paayh8N5+LvLtAto6eFM8mnfekr74yp7OJP6r9oz5TZaejiTSnSaPL3P -CZU9HJ6vT7uDXqClh8M8ZroGj4xW2cNh/Ul2XfUFLT2cSa9L7gmfelJlD2eS -Unrq/r9o6eGwHg4/dHbRKZU9HM6z07rcKRWjsofDepHQ5816tPRwmP/vXP9T -9bTKHs6kd8X2lN+Dlh7OpIXfvjRxPKOyhzNp+9/I3jFo6eEwHx6haa6xKns4 -nJeD3CMS0NLDYf5ObxPf9azKHg7vm8+eT4/Q0sNhPR43o7zPOZU9nEl68Jn2 -79HSw5k03dZ32oTzKns4k1rdCN6fjZYeDu//V+3l3Asqezjs/5pSvthFlT2c -SVuOBPVYg5YezqRVbgNXVSCVPZxJ690P3o5ESw9n0s+2fopDnMoeziTbQ1G9 -jqOlhzPpQr7O4c0vqezh8PNoePoltPRwmG9HCUf3eJU9HOaHyT+CktDSw2G/ -Gel3e8BllT0cnvdzA6zT0dLD4XkSF6aOu6Kyh8P+vnzj7Sy09HCY3/Nn2Add -VdnDmbQ65WhwoQSVPZxJJ1d+S1+Blh7OpLTIve3LXlPZw+F8Fpqyfytaejjs -p2kL1FrXVfZw+Dyl9s48gpYeDvPMpvZvnW6o7OHwffP79CK09HB4vxKzLndM -VNnDYf+eXKjZPbT0cDg/zQk91O+myh4O58txEbav0NLDYR49b7N9zC2VPRzm -L2FfRaClhzNp3pqDEYG3VfZwJh0vf6RqwTsqezicR0o03BGClh4O3+9jI4cy -d1X2cPjzLU+e2IKWHg7rx9zTrnb3VPZwJgU3d7l9GC09HNbPz27eTvdV9nAm -JVe+ZV5ESw9n0l/3lCUdk1T2cPi+f32t76Glh8N+dXrWuX7JKns47Od/S/d/ -hZYezqQ/PRr9GvNAZQ+Hn9fiQYRASw+H7+/y15j1UGUPh/fl2aGMgo9U9nAm -Nd+aHrYCLT0c9ssiO1qXfayyh8P6vSXj61a09HAm1Xt7OrJWisoeDvPnKLXP -UbT0cCbN3y1KOj9R2cOZ1MbB52ocWno4zA/T+87v/FRlD4f5o/yT1klo6eGw -365+n2/gM5U9nEmzRy24ko6WHs6kxTUPLB//XGUPZ1L+rj69fqClh8O84rej -2pwXKns4PI/Fp3wukqqyh8P+cODB+dVo6eHw/j2+srrCS5U93P//PaD9yCi0 -9HD4fr/7tKqTprKHM2lCvpzy0Wjp4bB/n6r7o+UrlT0c9oOd5oMraOnhcL17 -up/2fK2yh8Pz7+m05RFaejiTbKrELPRJV9nDYX3unOiXgZYezqTNQyYPmPhG -ZQ9n0oiPxzv/RksPh+dz4uKWC9+q7OFMOjHfbGD1TmUPh/10V1at9Wjp4fD5 -Rq20rvZeZQ/3//8fTVX2oqWHw/MnllVumKGyh8P7+OBLlVi09HAm9V34ydrt -g8oeDvNEyEL7m2jp4TCfz4xp2Pujyh4O62lKsMsLtPRwWH+bfXMf9UllD2eS -xebnwG9o6eFMircLnzDjs8oezqTfd58tyv9FZQ9nUu8j57YtR0sPh8/btcXZ -0l9V9nC4fq79UragpYfDflOq3C+7byp7OJMSOk2pchQtPRzmq7mT3ZwzVfZw -JnU1yoy7hJYeDvNHJa8N7qbKHs6kqVtdriSjpYfDftjv6vdBQmUPh/XsmWn/ -Di09nEn+MfGDJ2Sp7OFM6jPTeUMOWno4fP6Tve7P/66yh8N6nlNGKflDZQ9n -UsXHQd3WoaWHM6l0WkhY1Z8qezisf7vdUvagpYczKe50lHXDbJU9nEkb9+8f -F4uWHg6fz2pgrFuOyh7OpCuTYorfQksPh/Xf//ygPr9U9nB4XsL8TqSipYfD -/DkxscTo3yp7OJO0bckjTbT0cDh/HFx2OTBXZQ9n0hDHLzUL/VHZw2Feic1b -vBItPZxJ919e/Fzur8oeDucTJ8c+kWjp4bAe9fSMc8hT2cP9//9vVnGMRksP -Z9ISh63bXP6p7OFw3rDc1RLQ0sP9f16LXtItn8YeDuvL6c75nqClh8N+unvt -rGH5NfZwON/5hf76hJYeziRjV9vAqQU09nAmxaYd+JuHlh4O82HstYVLC2rs -4TBPXA230gtp7OFMqh1huykCLT2cSYFJI2vZFdbYw5l0qoDP6SNo6eFwvy6r -Hs5FNPZwuP+HZ726hJYeDuuPV2SgR1GNPRzOvwPnlnuIlh7OpNDuFU95F9PY -w5n07Z5/3wy09HA4D0yd93ticY09nElZj7pG5aKlhzMpbPVT90UlNPZwJuU6 -22ZbSmrs4XD+8qu3ZxNaejiTjiVkedlYaezhsB69DLQ6hJYeDu9fr/jLTUtp -7OGwHp5PnE1o6eEwX8Ssa9HZorGHw/713Pp3Elp6OLyPNyecH6Ro7OGwH1Zd -MP8dWno4k5oG9OscoGrs4Uya4ZNV+jdaejisr0t7vVqoaezhMH8tmXm8lK6x -h8P5/NfI4I1o6eFMcpxdcUCN0hp7OMxPR9f9dxAtPRz2X8eUUk3LaOzhcN7a -+ObTRbT0cJh/l5291amsxh4O+9+uAceS0NLD4flYdHXDoHIae7j///tr7tx3 -aOnhMK9WyBsXUF5jD2fSj2K3B/xGSw+H58N1TJfgChp7OJOq9E82LBU19nAm -vc8p6bwJLT0c5tWLpf+zqaSxhzOpuscnx0No6eHwPrRe6+hUWWMPZ9KgVlaN -4tDSw+HPv+vr5F5FYw+H9fnZZNcHaOnhcJ6PG97Zu6rGHg77v5O9VwZaejiT -Dqdd9J1UTWMP9/9/76o76w9aejiTGgz2C11cXWMPh+8zbdEB1VpjD2dSt+5T -EsLR0sPh/Vvd4q1tDY09nEnLU1KKHEVLD4d55m63us1tNPZwmF8qRPa8jJYe -ziT3/olBnjU19nAmvXK6u/8xWno4k6jv0SdDbTX2cJhPvMdZfUZLD4f9+HtB -t2l2Gns4zMMXZwbmq6Wxh8N5dMTtU8vR0sOZ9Gz5v6wy9hp7OLy/T9Wm29HS -w5lU4Xf+mQ61NfZwWH9CkykaLT0c9ttOi4u7Omjs4Uz6mFCp73W09HD///9X -obt71tHYw+E8dv5T9nO09HD4+YsdPH3rauzhTCp/1mO3iZYeDvNSgV75gupp -7OFM+lzM8C5SX2MPh3lremlag5YeDtc757ZNZUeNPRzWX6eAZbvR0sPhfXn+ -WzRooLGHM6nQen+fs2jp4TCvWd26066hxh4O5+3PpdvcRUsPZ9LZ9A4x/Rtp -7OHwvG8Z6fgGLT0c/v6VgP3+/2ns4fDni4yx/4WWHg7zpdZt38LGGns4PI9h -NetZmmjs4Uya5vT+xCa09HAmLT0Y7lKzqcYezqTU7a43DqOlhzPp7aXkfs5O -Gns47N9xAz7Fo6WHwzzs/mCeZzONPRzWQ0ubiilo6eFMCrgQdXKYs8YeDutv -keyeX9DSw+F6bW7zfXpzjT0c1ttaCzYVaKGxhxOU4xPbeiVaejhB7X68/1C+ -pcYeTpDtJsvGHWjp4QTV/l2/Y30XjT2cIIfbHX6fRksPJ8jjcv9jbq009nCC -fq/yHXMbLT2coG+vA+z6uWrs4QTVmzTtzWu09HCC/n2bscevtcYeTtBfZea4 -HLT0cIKsFk9vvNDQ2MMJ6ldkal6pNhp7OEED2k+6tQktPZygJ9kTttZ009jD -CXqT5D/xCFp6OEEpIRM6NW+rsYcTNDhlks0VtPRwgsb4z/zXtZ3GHk6QS6Hg -l0/Q0sMJGtF9bfyI9hp7OEHni+/b9w0tPZygce/jQwM7aOzhBL2LfDO7cEeN -PZygr19K+a1BSw8naO3i1j6VO2ns4QT9VzOw9x609HCCpgZd7NKos8YeTlBf -j1KdzqOlhxNUpbNvh47uGns4XI8GtzsmoaWHE5R9unWXwR4aezjc363UKwMt -PZygYZs9vSd30djDCVro+3FcHlp6OEF74zcELfPU2MMJajmq15oyXTX2cILy -W2rs3Y6WHk5QkWn5LtXpprGHE3RggHhxCi09nKCIGdl/je4aezhBT1eoNW6h -pYcTdKq3a0evHhp7OEF3V84PeI2WHk5QhZ9Pt/j11NjDCUr28LiVg5YeTtAG -z+S8hb009nCC2p+d3ETprbGHE1SxR32/cLT0cPg89/Ptt+ujsYcTtDv36/tj -aOnhBPmuznFw6auxhxM0xLPahGto6eEEDf855HRPL409nKCNHpcKpKKlhxM0 -2WL0GNNPYw8n6Gq+1B3f0dLDCTr0JiJ7bn+NPZygLUGB3UoO0NjDCRoYEnhg -A1p6OEGFHmwpZjNQYw8nqFil12MOo6WHE1Snhscd50Eaezjcz8MpTa+gpYcT -NG9hSGS3wRp7OEHpviMsz9DSw+HzVR8xd5S3xh4Oz2dASJaJlh5OkGL9bOxs -H409nKCe33u9LTZEYw8n6Gb0z2Hr0NLDCWptdyW9+lCNPZygROWC70G09HCC -XrR4/dVpmMYeTpCbf5OZ8Wjp4XC/Jp0s0nW4xh4Oz4tl+MYnaOnh8PtLtak7 -coTGHg7Pm1O3+Ey09HC4X71XDQoaqbGHE+Te8N+voqM09nCCNq3bEb4WLT2c -oPseM12r+2rs4QQ9tA5+ewAtPZygl6mXVzuN1tjDCZrfo3mreLT0cIL2tHv7 -xXOMxh5O0Prg61FP0NLDCfr8Ir3fyLEaezi8X1WdS5to6eEEldcS7gWN09jD -4c8vWhVabLzGHk5QA/ewPuvQ0sMJalsvqYq1n8YeTlDG767vD6KlhxPUfFHx -U838NfZwuD7hBRZfRksPJ8hSsuWAbhM09nCC1u2JbvQMLT2coCut/Kx8AzT2 -cIIWR43+KNDSwwmqunPPzTkTNfZw2B/K1D5WYpLGHk7QvoQvGzegpYcT9H1F -zgKbyRp7OEEJzTtPPIKWHk5Q48i0YS2maOzhBB1fS14JaOnhBGkZ77r1nKqx -hxO0aKKXRypaeji8P4V097HTNPZweP+nle/yEy09nKA7EaN7LJiusYcT9Na9 -yADLDI09HN7f/ubIcLT0cIK6basztdZMjT0cntdvJ5acQEsPJ8jPLmSra6DG -Hk7QynInYxLR0sNhv4twTO47S2MPJyg8JFe8RksPJ2hZXOVyE4I09nDY70qv -cclFSw+HzzOo/6glszX2cILyTZ+xtvQcjT2coAUuXy9vR0sPJ+jMknPZdedq -7OEEbW/8yvEMWno4/P7KQ8a0m6exh8Pnr9x87z209HB4XxW/jEHzNfZwgrYl -/6n3AS09nKCZ7T9MmbpAYw8nqKZb47j8CzX2cNif9j6zrEJLDyfIsVf6kErB -Gns4PL+2HjF70NLD4ff9Kq00XqSxhxN08LjrOEJLDyfIvv6tRI/FGns4rC8e -F+qnoKWHE1Tuq75uxBKNPZygL99v/81ESw+Hz9/m29jZSzX2cFg/Tk1/VnyZ -xh5O0IXGo7ttQEsPJ+j61ksJNss19nDYvx8FtzmKlh5O0Oj4E9QyRGMPh/W3 -XZc219HSwwn60LpnQu8VGns43L/wq11foaWHE1S0+d6nfis19nBYH/LljPmN -lh5OUMnHZ/4sXqWxh8P6tONjWOnVGns4fP7Oq+pFoqWHw/oUvetGvTUaezhB -udccx8aipYfD9fOvb+kQqrGHExS5KupUElp6OOyn+oohPmEaezhBR159sXxG -Sw8n6NO7a3Ez1mrs4fC+lqw8rfA6jT2coB0tMhzD0NLD4X3xbvip2nqNPZyg -4sO+HziIlh5O0KxGTf2dN2js4XD9z2Q1uYqWHk5QnzcN//XYqLGHE+QU9eVW -Klp6OOxPz+tsG7dJYw+H9Tr4w6QctPRweP+X1PFYtFljDyfoUfLXWnq4xh5O -0NZ+zYpsR0sPh/encOEPdSM09nCCghP73T2Dlh5OUJlNjWPbb9HYw2H98dmw -JwktPRzWE3XBRp+tGns47I/bs0M+o6WHw/PxJ3vhzG0aezhBQysFzy2yXWMP -J6jRly1z1qKlh8P8NLrtfOtIjT2coOdzZiw5jJYeDvezVqvQFlEaezjspz3D -tl1DSw8nqPrvGUd779DYwwkSmrj8Ci09HOaBNXnP/Hdq7OGw/ozfnp2Llh5O -UP2tD8st26WxhxOkVtrRvNxujT2coA7PCg/ZiZYeTlD/J/mXN9yjsYcT1KXU -xtMX0NLD4fkOSMhw36uxhxNk83dN1RS09HD4+4ez+4zcp7GHE1Q2KDdUoKWH -w341Yuv9ufs19nBYr4ellil1QGMPJ6jEZBoYjpYeDu9DaJs99gc19nCCgs6P -/H4SLT2coMOZ9Tq4HdLYwwkKq7cp4i5aejisL/77vg86rLGHEzQtxrvHR7T0 -cDgPFTx/fPoRjT0c9rPel8oWPqqxhxM06tCE2WFo6eGwX5a4nlH9mMYeDs9j -wE2vw2jp4XCeeRWY2OK4xh5O0FLvx8Z1tPRwOJ98enW2zwmNPZygriERzulo -6eHQboXPBkRr7OHwPJaxbp2Hlh4O71fRL9dCTmrs4QQtsfbrXfGUxh5OUKWR -UW/2oKWHw3zzbPmMJjEaezhB8SF11Hi09HCC6gbNP9jttMYeDs/rqTXuL9DS -wwk659r/y9gzGns4Qc1snq/NQUsPh/s3upLr4liNPZygE1XKfi59VmMPh/en -0+2tUWjp4bD+/Gjbq8E5jT2coLONAq0uoKWHw3xddOIN9/MaezhBqxc6LE9B -Sw+H9TR6V9dRFzT2cJjnd6aX+46WHg7zybD3r+df1NjDCfL6d+yEQhp7OLwv -S9ss3oqWHk7QxVLhg+vGaezh8DxvP+cci5YeDs+/597yHS9p7OFwvnLw/v0A -LT0c3qf2r9KGxWvs4XA/TjS6mYmWHg7rUXD32DmXNfZwgtrccT1odUVjDydo -zc6/keFo6eEEUYVV4bWvauzhBO13MzfGoKWHExTtVHtzuwSNPZygGyWabEtC -Sw+H8+zDsnuHXNPYw/3/vHAn+itaejicxw74XAm6rrGHw/15eyOlxA2NPZyg -plMt5ia09HCCek9xLGWfqLGHw3r1p079U2jp4QT9qFW4R9ubGns4vH/KxRn3 -0dLDCfK533eXzy2NPRzm9aX3kr+gpYcTdK97vaJBtzX2cIL+dBznWuKOxh4O -+3PIqpmb0NLDCWrVfOOZWnc19nCYLwMW555ESw+HebfbYLe29zT2cIKcs6us -vI+WHg7v45KEZz73NfZwOD/VGOj4FS09HOaVd8+Cg5I09nCYf391flkiWWMP -h+dr1p5Wm9HSw2HeChXb7R9o7OEEeXo3KBKDlh4O96uId0C7hxp7OOxXR+em -JqGlh8N5dc767kMfaezhsJ5sjkz4hpYeDvtN7R3GnMcaezjcz+4RF61SNPZw -mL9arjQi0NLDYf1XZyY4PNHYw+H88sun+xm09HDYn6zbpnZ4qrGHw+c/UjPg -IVp6OOzPdwsUGfFMYw8n6N/hV9sFWno4rMfTLrWa/1xjD4f1rO/Ol8oLjT2c -oMczly7ahpYeTlAVZVKD+qkaezhBUe2GvjiHlh5O0JTOXqvdX2rs4TA/evZp -/wQtPZygzvMG/fNN09jDCZpa2v/CT7T0cHifnEPmLnqlsYfD/ml9qn2Z1xp7 -OLwf/z4rO9HSwwnaUKxJaqN0jT0cnucJIcfi0NLDCdJHf1/c7Y3GHg7Xs3bA -0FS09HCChr/719rvrcYeDn8+ZZfNH7T0cHh+mgwpHvJOYw+H9bFx4+8V32vs -4XAetFRP34eWHk5Qiz+2j5plaOzhBH1s1uF2Alp6OOw/uQuv9/mgsYfDejj/ -5bU3aOnhcJ4sPODm5I8aezjc7yvfkwp80tjD4fzy+1hqKFp6OEGl367+av1Z -Yw+H9zs+rMAxtPRwgkrdP1up9ReNPRzOs92LN7uDlh4O55/5c70Gf9XYwwnq -tbNa0Ge09HCCZuS83zXrm8YeDvNp/PP7JTI19nA4b7TLKxCOlh5O0IBLns4O -psYeTlC72bcmnkFLDyfozflZRzsKjT0cvv/VQeYjtPRwmF9eBDQblaWxhxMU -6x47/wdaejg8T32a3Qv+rrGHE5TW7rNNmR8aezjMt8OezNyJlh4O542f/x78 -91NjD4f5ocvQJvFo6eFwPY7kbeyRrbGHw5/3ffIvDS09HPbnK2JcQI7GHk7Q -a63L839o6eEEPdvyrvvqXxp7OLwv0ZdvVPutsYfDeW/3mw5H0NLDYT6Nd7/e -KldjD4d5pX+252209HCCfp779HjQH409HNYrF4dRn9HSwwmqUe94zqy/Gns4 -zDepwatL5mns4QRN2Le7TgRaejg8zy/KJNb5p7GHw7yclOx3Fi09nKCRL9LL -uufT2cNhf+rTIf4JWno4Qa5hfyaNya+zhxPU8lPR2r/Q0sMJ8o8d82ppAZ09 -nKAhfWtur1BQZw+H56mK09B9aOnhcN4ftdPeuZDOHk7Q19XjxTW09HCC4n6E -XfIqrLOH+/95sfz692jp4TCff/ozfnoRnT0czjvN2nYuWlRnD4f1tPlnh41o -6eGw/3b/ZbEvprOHw/6VOi4nBi09HM577dq/61BcZw8nqPKbxSmP0NLDCbpU -47+7o0ro7OEEpQ7plPgTLT0c5rMiN28sLqmzh8PzOO3c7XJWOns4QYMqVn60 -By09nKC5vT+9diqls4fD/ri6/vcEtPRwmE+apBf3sujs4TAPHyhl+x4tPRzm -mcFH3KYrOns4rLcxCSOLqjp7OOz3Nv1WbkRLDyfovNWIWHtNZw+H5yn904fT -aOnhsP6VNqt10nX2cIKqWk3tl4KWHk5QksvMDaNL6+zhcL3L/kvJQUsPh/m+ -WDHrZWV09nA4Py5aP65iWZ09HO5nvv3n9qOlh8M8/KWt2qKczh4O6yH5jklE -Sw+H8+7nstcGlNfZw2H9+NDN4RNaejicV+qXXzOrgs4eTtDyhn65JSvq7OEE -BYztNW4LWno4PN+9b76sV0lnD4fzzOhH/S6gpYcTZKkx7ZFnZZ09HO7n74P9 -UtHSw2G9mjDzpX8VnT0c3o9/L8f+Q0sPJyjQ/tXv1VV19nCYZ0bNWW1dTWcP -J+hoy9jax9HSw2HerL02oU11nT0cnq/DpcYkoaWHE2TVtY463FpnD4fndcXH -c1lo6eHwPHzuNj64hs4eTtDlrIE1ytro7OEEdbJXn+1GSw+H61/Kf7NTTZ09 -HOYlx+mDrqGlh8P6pdW37Wers4fDed0IzcxASw+H399+96WZdjp7OFy/A2M3 -lqils4cTNDH39cQItPRw2P+uKj3q2evs4bAfrxdNLqClhxMU8mtF1a61dfZw -mJfmp5V4iZYeDuvXha9/Jjjo7OEEFXCNzcpXR2cPJ+i/9W2+haKlh8P5p8+y -bzZ1dfZw+Hylw75Ho6WHw/lu2OC8dvV09nB4H1I+Wz1CSw8nqK+Vm7VvfZ09 -nKCN67ydc9DSw2H/L96uzzJHnT0c9otC36dVaqCzh8M8UT1g60G09HD4/j9i -r7s01NnDYX+rkZxzGy09HO5Pmwv1fRrp7OGwHouZvplo6eEErUgqsWf+fzp7 -OPy8BRM/6I119nCYd6KONtqFlh4O61dSwpymTXT2cJhPTp25l4CWHg7PZ+Zi -+35NdfZwmP/sGi/8gJYeTtDO3IvpgU46ezhBi8rX62zVTGcPh/OidVD0VrT0 -cHh/6ZhNA2edPRye9y03N8ShpYcTNHvoHaVnc509nKBfsWdXpqOlh8Pn7xGm -Tm2hs4cT1PBh301FWurs4TBPi+J2m9DSwwk61O9wjIOLzh4Oz9NpN89zaOnh -BD24eDOjSyudPRzmyRruy1LR0sNhvw8lxwBXnT0czmeX6z/J31pnD4fz3LgN -S9eipYcTNLB1roudobOHw37+Y/DPGLT0cIKOd4g72amNzh4Oz8PHmjOeoqWH -w/oXsdwY76azh8P+VvpnqTy09HD4PMrotNVtdfZwgp57vD5do53OHg7nr0Wj -1kWjpYfD3w/6ObV9e509HM4rmWsHPUZLD4fz8w6j05gOOns4QcV75TnnoqWH -E7Ql4Y7jyo46ezj8/rhoh+qddPZwmC+LHHU4jpYeDuc573jHtp119nCYd1Z9 -c36Ilh4Of36Icydfd509HD7/lm2DfqGlh0OXsp0W4qGzh8Pnm5u4rmoXnT0c -ft75tWeOoqWHE/Rp3fxXbTx19nB4nx+uVx6gpYfDftAtyW1UV509HM5f951n -5aClh8N5p8HNM8u76ezhBDVrGZJbpbvOHk5QxRuBbY+ipYfD+fJIxJo2PXT2 -cJgP4r69TkZLD4f9IGVGi1E9dfZweF5uOW/MQUsPJ+j29Ea/lvfS2cMJGnNy -xJCqvXX2cNhfvR/cOoqWHg7zS48Frm59dPZwgk76BZx6gJYeTtD2NZGNfPvq -7OFwv8PLRP9CSw8nqJD/neYrvHT2cIJ8P9+9Wq2fzh5O0MVflbyOo6WHw3kz -8PiXtv119nCCdvVZv+wRWno4zHMTr9cZM0BnDyfo3aku93PR0sNhvS1lO3vV -QJ09nKBaQ7s71hiks4fDfr8t+U00Wno4rJfHjkZ2GKyzhxP0YvG7oU/Q0sNh -P1UDa4/31tnDYZ52Gf89Dy09nCDvvItXQ3109nA473WcssV2iM4eTlCu1aoZ -p9HSwwmq1tYywH2ozh5OUGjaT+MFWno4QRnPO9cPGKazhxP0oWHx6gWH6+zh -cD8fOZXbgJYeDvP4jQe6wwidPRzWk0Jvy5xHSw8nKGjJmCrdRurs4bC+dhvh -8BotPZygmt6PW04dpbOHw/4afaVXMV+dPRzOSz0dJ0agpYfDebx1uXWOo3X2 -cIJ6zplz/hJaejjM1+XGfOw9RmcPh/XbklI1Ay09HNajCbf7zhqrs4fDfNnO -Y71lnM4eDuevjb2eRKGlh8O8PDXDpul4nT0c3u/PJSddR0sPJ6hJybiEgX46 -ezish6+L1PiGlh5OUPrC1/MW+Ovs4XC+KtDnXdkJOns4QUUmDemxHy09HNb3 -jCLxLgE6ezh83iB353to6eEEiQ71Tw6fqLOHw+cdcrxpNlp6OPz59JTzyyfp -7OFw/b/s7lxtss4eDu9/eKXnx9HSw2HeLdF8SvspOns43M9BBfUnaOnh/v/v -VbNOjZ+qs4fDflZ/l3e+aTp7OEE9vs8ptQ4tPZyglDqWePvpOns4QWpOr6Bz -aOnhBJUL7unSbYbOHg7zXE7JAulo6eEEXZ+74Pa0mTp7OOwHHc5sKxGos4fD -+xN4dOo2tPRwgkZ3Htvzv1k6ezjMm28+NklASw+H+zOncdUBQTp7OJy3OnUo -+RUtPRzWqxl2/+bP1tnDYf9wS84pO0dnD4f1Ka1Hzn609HA43yzb+bfVXJ09 -HM4T0xOLJaGlhxOU7931iqPm6ezhBO3Qoxr+RksPh+/bso/nqvk6ezhBxSLf -T7BZoLOHw/efP2BTDFp6OEEdax5LcF+os4fD/n794+9UtPRwuP6nrZpODtbZ -w2HedKowteginT0c1vNVyrkItPRwWK+L/SjScLHOHk5QbzOx3xW09HCCbqxb -e6zfEp09HPaHNj0sX9DSw+Hzdiwyaf5SnT2coLs/Tz4ru0xnDycofKePxwG0 -9HDYP6OKXXJdrrOHExTW4YRLMlp6ODxPH7wv+obo7OEEPUxXO/xBSw+H9WF1 -YvKaFTp7OEFjO67ytVups4fDeX+Md/6zaOnhBG3u0yqq6yqdPRzOG2Prd0xH -Sw+H/bRko6zpq3X2cILqhbvvtlqjs4fD+j07aHAUWno4nP8r3ajsFKqzh8Pz -8+S/tES09HCCztieP+ATprOHwzzm6zvrO1p6OEHTSzXruWytzh5O0O9F9RtU -W6ezh8P6Znjq0Wjp4bCfH9mU23G9zh5OUGZb/dNztPRwWO+nnE+buEFnD4d5 -MWbz8yIbdfZwghosOJQagZYeLouyume/a7hJZw+XRW+2Bv24ipYeLovOvXUt -MXCzzh4ui5L3GHaZaOnhsshuaHCHReE6e7gs6r7Byr9ShM4eLovW3ngWcRQt -PRz+/tzvd9tt0dnDZdG21v1LPEVLD5dFtUKtPCZs1dnDZVHHPDWs0DadPVwW -LdHHvNyMlh4uiyoNLN+4wXadPVwWvRhVbeUVtPRwWeSdMO9L/0idPVwWPS3Z -pvc3tPRwWdTq5tBLwVE6e7gscgh/26TSDp09XBZ9U+4eOYqWHi6LNl+1btB+ -p84eLove9Xlx6ilaergsch9ctG3ALp09XBb9nBn1qPBunT1cFj3wOBIQgZYe -Dt9nSkOt0R6dPVwWTdlY50wCWno4XP/+20cO2quzh8PnHRZaUaClh8uiiP4F -HyzZp7OHy6KWH7PXVd2vs4fLonZxEwdFo6WHy6I9yybX6XxAZw+XRWV+/stL -RUsPl0VWpys+nXJQZw+Hzzv38rkSh3T2cFk0Gxc+Ei09HL5/3v0wp8M6e7gs -OmvrsvQWWno4fJ9GbYKHHdHZw2WRz6v0xTlo6eGyaJOotWbVUZ09XBapdqUi -bY/p7OGyaGWLzTFn0dLDZdGhn7eTux/X2cNlUWq1I9nv0NLDZdHkQBeb2Sd0 -9nBZtCZpVq/S0Tp7uCyqLPxD9qOlh8uicXsqJLY+qbOHy6Ls87OUR+j/AXLk -Dis= - "]]}}, {{}, {}}}, + GraphicsBox[{{}, + InterpretationBox[{ + TagBox[{{}, {}, + TagBox[ + {RGBColor[1, 0, 0], PointSize[0.003666666666666667], + AbsoluteThickness[2], LineBox[CompressedData[" +1:eJw0mnk0Vd3/xzXJPZOUqaQMJco8D8U2hExFETJFxpQQImSIpIxJkkikWaMk +alfSIFI9mlWaU+45V5Hmfp/vWuf317NeK8+99+yz9/vz3mu9lINjPELHiomJ +aZNiYv/779btY5S/jRXirScnV5+8LED/z7k8r34n//jGOCE2TW/6cuSKALla +6hbtHi/EJ7cELDpwVYB0djnYr54gxHMG6f017QIkJQr4M19ciPs623/vviZA +Xx0TzzIThXiybYZPWYcAPagtWN0PLLne9nzhdQFq/lmvclpCiGek0VO33hCg +yqVtT7IFQlyc9mJj9k0BSj32X7EnIcTny871p90SoIAJnx3mkELMvtrpsKFT +gFDA2H/fgYs2p56Muy1AKs1Tz3VSQvx7T5TCmi4BGj9Jb00VLcSVDkF54d0C +9D7CcdZaRojvN/iPrrwjQDevBD6zkhRi6SfBEX49AnRkWlKp1CQhvvcn5pnX +XQHaHl+46A1whmHOEvd7AhTTdUCsSUqI91btv+F8X4DcZ19szp0sxA2eN5H9 +fwJkkN671nuKECtt+taKegVI5tHn2XOlhbjMVMvM4oEAjeqMe/4LuLA2+rzR +QwF6kjetrFtGiGuenDXTfSRAra/0nGtkhdhfTPzi3McCtNd80dhYOSEONgqy +mf1EgDbtCGqxkRdi14r2WzOfCtDKwaR10lOF+LKj7tJpzwTIbmHRnPfAq8Ia +Xkj3CZBadcOL5mlC/GaSWrTkcwGSGL24c6uCEHPhJ34JXgjQp8UPXFZMF2KL +bTYF418KUNehwXFaikKcWflS6R9w45jxrX+BM5pyz/3oF6BiX4W4uzOE+Psv +E7fhVwIUd0ZfY/9MIT5d8PUD+1qAllFO/fFKQpyU35I98EaAjENX7lqoLMTy +k/OV374VoKmXNrjJqQhxpF3olRfvBOiXbPGEAWAba+eQJ+8F6HnMwbYLqvD9 +6vMn9n4QIHzzUvz2WUI8cZrp8TsfBahW+eHcgNlC3Gpk5XlrQICyU4SvdNSE +OG+P+7/2TwIU+t/43WPmCLHf6pijlz4LkIPm9CX/AWt1VPi0DAqQRo7BxAPq +Qjx0s1twVihA5AunS4ka8P7KmbZGVoBY4+AEx7lC7Oa2Yt1hToDuFiVrTpsH +6yV5Vq1eJECnPxa/+Qy845f8y+ohASqzPlR5UVOInfXyd+/+IkCJldi9SEuI +1e9N9Cr7KkDeXx9KrNQW4oW/SqSLhgXI3IXF+jpCfLFH/cHWEQGafmBC0nhd +IT67tmvX5m8C9PfPdO2HwG7fU/02jQpQv5fhu4N6sP+3m6umfBeg9hPOVcn6 +QjzTZcLg+h8CdEAiZKmzgRC3eD0/F/NTgLasTCEUDYUYd13NjvolQJEXSq6w +wGvuNHmE/hYg5ymHN1w2EmLx1HOqQX8ESCv6sk6psRDfHbn2zfevAEl2PHof +YiLEcn6vbnv+E6AhRW6vkakQz+sh65aIEei/RHHPiWZCHBRjl+o8hkBNPYrU +E2Ap/23L7ccSqELdqP2IOZy38/2G1uMIlJLpkpJqIcSB++2k548nkN/TED23 ++UJ8w7x5xHgCgSwNNn6cuUCILfebPtETJ5DS9tKaIWDjHzcvaU4k0Nh3h73a +LYVYNSiiYY4Egd4uuELvtIL9MSpbrCIg0PXyx9fCkBB3v+zdqEgQ6BDHbTS1 +FuLtZvsj5UkC5TtONCBshNh+9iafKRSB1tTO+PQMeGv7ameGJtDin0a1x22F ++INhhJWAIZDeUlfvTXZC/G33eqPxkgSacmyVpPtCIXafUqL1D3hkfOp1FXsh +3n3l4pyfkwj0yH9H2jDw+o6fqiNSBGo5d8TwuoMQ51s5qogmE2iP5NXPuxyF +eNuSBpXPUwiUFvFkf+QiIZ47VWb2e2kCBV4R+Vg4wfu8UKbxSoZA1tMkpGhn +yNNls3X7ZAk0K37mzRfAEyRumD6SI5B4l/Gmky5CnP4t2fa+PIE+znIzznIV +4gBkuaR7KoE600KFS92EOFFcOvDmNAIde5haP3sxvI/IXzHtCgQq1ClbMQp8 +IH8469J0Aq3LOzr51hL4+/x/u1oUCeTx6uqtSnchFm2dfuLsDAIZmj/NiPaA +PD3sfPPETALJ7hgysVwqxFvIgjdHlAj047MEJ7lMiDf39Is1KBPomZ1Swyvg +T7IOSrUqBLq418T/jCfs77GXratUCVTzzU06x0uI351xDt01i0CZi8Nuey0X +4iznT/mlswkUcigtS91biHd+2nO6QI1AC8fsNPsJrHM6qC9vDoHm+B4T3faB +fGs3ldisTiDBmfaDe30hD61mGW/SINBn8llAzAohJhxUw1LmEujOqi8y1n5C +fOmXUUXCPAKdvCjonuwvxCXpfl3rNAlUKqu8+S3wSVH52GgtAq2PMbU4FwDn +L/6debg2gbxuLv6yJVCI6+Y4JgTrEMhUOfywT5AQD2jjU/66BJqWkh40byX8 +niNOIm89Av2+v1PuD7B36yfdZfoEejHv+J07wTD/smviFxsQ6PLmazn7QoT4 +lUrkeSdDAtU9fzY/bpUQK7Q5/FtoRKAc469fbUOFeNo6C0drYwKFFxFHZcKE +eEGQ3Y75JgRa9FE5+APwwPHgfhNTAs2zNpvaEg7vI6Vcx8CMQHTlkrv5ETC/ +P7zM0DYnEPclfItfJPweWateDQsC3XPeZKkdBe9b/azG7PkEOlNfPvIPONDK +KlNpAYF2/jl+7N5qmFep/U8VLAm0wasjpC4a5t2YCmM5KwL5nuiblrBGiCVE +oWWTEYHmSwzfs18rxKGRTsO0NYFmrCS3ysfA+yha6CWwIZDYBRX0Cdg3e/mF +8bYEej3ZfLR1HbzP8AwlMTsCXVvt3lgQK8RxfpfzfgE3XIsIDYyD87xZ7uu3 +hQTKU8yYrhcvxF/G5AZ+sSdQVOKu/8auF+LyEfKO0IFArj2N+b3ACZmHLQcc +CaSjft26IQG+/0bAqbeLCCSV+fx7UiLst4/z1PqdCPT1yfCJRUmQfxJSe585 +E+iBPhWusAHyxJ6RfeRCoOZtqjOEwBrXVUvuuxJo91vzB5eShfjxIXfmjhuB +Ni7w2F6cIsQxkyoKbi0mkH95pG3wRsjPGaNMxxICWXEZPw1Shfj9yNrSy+4E +UnGsODUhTYirm//JtXkQaHztiYhHwGzO4ZrmpQR6/+P6zMPpQnwnK1rjzDIC +3fR48TBlE8zju45NjZ4EOnJ0pMAlA7jY0vaIF4G2j6cXzsgU4odvXf87sJxA +a/1n/eaAp4oSQ2u9CbTknMWZK1nAvS0/qnwIpC+5NGpHthALT8sWV/gSSDoi +Sjl0M5zHxgL1shUEGr2c+dg4B87DwIz2Ij8CPZm6u0giV4iP5XQGbvMnUGvc +SfunwIYHiv/mBhBo7+0bf45ugfcZFVuTFUigTbNenk3LE2Kf0Wib9CACrUz7 +tnrxViGOXpv9IXklgWwf0qrK+UL8aOhsYUIwgWbrzH76Bfht3RjT2BACTcyb +X3JtmxC/aIh8E72KQAP9Sx3Lt8P+VxQWR4QSqMts9b/wAsgj9e1oVRiBGkuz +zpkVwvwctP8SGE6g4s+715BF8H7zFRtWRBAozu7UrOfAd2dP8lseSaBle28+ +aywW4sGXM6SXRhHI+NvL0owSeL5ni+64rSaQ/OLRRR6lMA8sSvKdogn08yAz +ZtYOIR6rMuJov4ZAfWJq50eAd59KENisJdAlnwUxN8rgeagpXQtiCFR7epna +7p0w/726i83WESibjH4eVf6/Pl2/3CiWQKGrssvm74L9ZLlbSS+OQA4XK52Z +CiG+rXz0s2Y8gTRkT4/tB56S/vS8+noCkTG3Wk7tFuIjCXPyZiUQSHijf112 +JczHeaU+SokE6lH6PsdzD5zvK9O0picR6FSy5Eu1KiE2X3FlrPwGAu24r1b+ +HVhBIffplGQCJc6zdO3cC+9fNfKsZAqBvDd7jq+qFuKUiqhiciOBzJ9Ht66p +gfO+J3/txFQCTTfeHGe1T4iZJbfcxqUR6G/hHg2pWiG+/lhN7x9w/4fT/a+B +K73rZH6lE+gq6tx1dr8Qx36x/P1tE4Hqd79yy62D+8KtH2+/ZBAo98v3Cd71 +Qvzy3389bCaBIpwnXdQ4AP2spbvtUxaBnOvnrP8FfFz+w9H32QTS+mM5r7tB +iHuR0t7Xmwkk6eX1uvognL9lycUvcgg01Lhm97pD8HuSvuQ8zYU+MjFnic1h +IT7VtS3t4RboI0FVE6WPQB9NXJh0P49Au1rOXHoH/L5iZvydrQRKnnw7ofko +5I3XtNjOfAKtWP1ac+sxmKf3jWKvbyPQgms/3vgeF2Iz3bj4q9sJNFNRao9m +I3x/aU/SpQLoI4nqHn+ByxXd0i8UQh+5YyW4ewK+76sw91wR9JE5yy/XnoT1 +MD1RcroY+kjG2qT4U3AfkCytbiyBPvIkR3vhabj/7Ck/fqSUQNH6e9/JnoH1 +/9d2qWEHgdy2na36CEwFTbi/v4xAum9vL71wVoifvIz5UL2TQJMXvCG2N8G8 +2f/7b2U5gYZ3/rzifw769e2j8rt2QR9hpZJ1moV4dVqa4Y4K6CMOGrpjzsN6 +9sV6FO2GPrIPfbgPfJ/aGretEvrIj+XV9S1C/Fm3vWzLHugjHjGeiReE+GC0 +Ukt2FfSRo7mUY6sQV7zZ93LTXgKpjq9un9omxEtb7CRSqwk0wb8p5TPweinK +YEMNgT40deldvCjEVZKjgev3EegW8/Zj4SVY70cTitbVQh8J/1UThIW4frvp +5ej90EcuT16ufxnOr0fR14g66CNT5zLjr8B5dSU0Quuhj8RZdzwATq49HLTy +APSR296pB68K8ZLYNZX+DdBHZq0zSG6HfHzl+dDnIIG+p2755HQN5r9MiLTX +IQI9fVBdO70D1ld35zKPwwRq0z7nzQKfXT5Y7naEQNVbuiUvX4fz2xjxzOko +9JH+t9dLbgixrTeh4nAM+ojZ77SQmzBfk+9F2R6HPlI6xcjoFrwf40tNVo3Q +Rz7PHRTvFOLOU3fHzj8BfcTOpu4x8NVJAg/Tk9BHqnx8j9wW4h+J4XWGpwjU +PbJOKrVLiDdIDH7TPU2gE255N127YT0Hyl20zhCo5GDNppl34D64IKJe4yyB +4sWajYeAUzSC/s5ugj7ic0d4tQfuQ/fSfVXOQR85/a6+7C7MI+9r52c0Qx8h +/6wIuwf94o3uVIXz0EdCpKeY3od833Vto1wL9JG2eZ2C/+D+tGtT/5QL0Edk +bDOfAe+TCHaY1Eqg/Wt9TY/3wvMy0SepNgJtvhHLpT+AvO3eqyC4SKAwpa0N +Sx5CP4sazZtwiUCOyfv8VR4JcYQg+fsYDH3kfrP0MHD5HZWov8D0vJ7bHY+F +OPvF8POfl6GPZL/P2vUE9oc/t3T0CvSRvj9mkU+hX6+b3PX1KvQRI5kh82eQ +/xYB9qJ26COFmoeoPpjvr+9fHbxGoKQPtoEvgN/nr7Me6CCQD1ohe/I59HVv +k6vvrhPIYndcd+YLITaKnbPw9Q0CKX7ZunnpS8jH76jzxU3oI861FrP74Xkn +b3Z/dgv6SN35L9+AyXeip486oY/87jl885UQq5RtCeu9DX3E80NQ5Wt4XjvH +4btd0Eca/8pFv4HzpmCwubsb+shE2Z4Fb4U4bL6jbOcdArkEaeVKvoP7bPeW +I9d7CKTdYrfg1bv/5eMQar9LoEmT/YZPvxfi9ru5T/A9An2Jij+6+QPs1wL7 +9W33oY+05wd7fRRiZRc9qZb/oI9M3z9VfUCIP+o7nGzqhT6S0HL3B7BDWJ77 +6QfQR+7c3XL7E8wXcmS48SH0kTkfLfd+hj5tX1B59BH0kYx/I2sHhdhlgbvN +occEUn4iexwJhThH2nqw/gmBxulrr5rMwnoN+VXUPiXQu/yFCm+Bw7/X21c/ +I9CNN373mzi4Py+eOlrZB31k/vqtW0RCXDvtwuFdz6GP7NyGfIaE+PWmLQFl +L6CPsPtH534RYtn6TJmSl9BHHC40/gaOOHboTkE/9JF990LvfIXnvfR7a/4r +6CM/Pk7fNwzf/zfNYctrAn1zF+uNHYH7c6nmxM1vCPT4iNw222/ANRK3Mt4S +6MI4HRuZUXg+p8kFae8IVOVn/+M9cG7LoqUp76GPNPmfPP9diAulTigkfYA+ +wiSE5/8Q4u9JNu/jP0IfCd8+w+8n3L8mjz+zbgD6yOW6B1q/hLh4wnDmmk/Q +R6a2bv8HXJk0eWnUZ+gjsfdt7/0W4msFgWrhgwS63Tnwc/8fuN+tefYrREig +46pjTq//K8Tx83P/C2IJVJQqH2n/D/aTUtBxf45AsQ90lOTFWPxuQeRWXxH0 +EW2HRwPAFa214cuHoI9sCShsHcPijksCx2VfoI/0JywsGMviyWtq57p/hT5i +WvA7YByL5w1GSLoNQx8pqT+jO57F11YGfXMagT7yqTVq7AQW7/y65aXDNwLt +s/1PuRc48MbLTrtRAmVVfXp8QJzFH8dGnLf+TqBVI2OKkyay+O9tpUOWPwhk +7zbVYZEEi9c7EpUWP6GPHNT9O03A4tnVswpNf0EfEXNsGgT+8iVms9Fv6CPe +gdGXCBa3Rw+m6v+BPnIqUbWYZPFmvcoknb/QR4jCpyspFmuGJ6/X/Ad9JORA +iQHN4nMG+fEaYiRKaGtznMCwWPVi13q1MSRaLtP77yFwqob1BtWxJDJb+/nc +IUkW36v+kKY0jkQKN8auTZnEYhPbyzmK40n0Z+a02S5SLO6af7to2gQS9W/Q +61OczOIzpySq5MRJdPWe4w4OWObmhiPSE0lUPzfI6coU+L4GhVYpCRLlZieN +2SEN/x431M0ISBTRV3h+lQyLNTx+viYJEjkZNcQYy7K4Ptr4pwRJIs3Ci2oS +cvB7PhyYIk6RiPnQ+/wJ8LhPjjrjaBKJrAbLjsqzeMfuma5iDInuV4xzSZvK +4v7pc9f8AW4amjZu8TQWe1RGFP2UJNEuJ/0LSgos9jJ9dmZ0EomS6xbFfgEO +Usx6OixFohW/g9SvTWfx5xj/cV8mk2iB54aXOxVZnOy1RpubQqKZjUXl4TPg ++0ZPrRiUJtGYiQddzWay+GbyvG0DMiR6E3hpPKnE4sZxT9vey5Ko4/yD1j7g +t60XRW/kSHRQShjXqMxi8tZDtVfyJMqPGj83QwWez3124IupJIpuV3jlrsri +96mHdz+bRiK36QYVqrPgeWNDHj5WIJFugtPiEeCPy5bKPJxOosl3VorfmM3i +FYuTvf5TJNGwWvLFCjVYzy0Pdt+dQaKHm4rXR81hsbpqxMvumSQ6//jgvPnq +LNZ30ptzW4lElXr4Na0Bf6+qF3tTmUSp+Q93vwQe7Q2/2KFCosA3wiWn5rJ4 +W+4Dsl2VRNbzJ0hkz2Pxi4AUv8uzSKS6czpepsniwc1eJy7OJtEE1iBRTYvF +bTOjxreqkeiDvbPWd2Afr6YV5+eQ6FZN8Ntb2ix+uNi0qUmdREe/J+/Zo8Ni +V4Ovk85okKjAvcRjjS68vznv156cS6KYI4cEVnosbl4m1XN8Honcx12+PEmf +xWNerdc7qkkiQ79HSa+BR75OKj+kRSLZJlb7rAGLe86++31Am0TfafH3OYYs +fuD0NbROh0RPwxT3Ljdisftzs3v7dEnUhg2XaRizeNeOFstqPRJVy7uQv4DH +7Ixr3KNPoozYkKtdJizmJEKVdhuQKLgzJbnalMW3ZEvKyg1JZKdaqrvOjMX/ +3n0jyoxIpJZ6+IO1OYsnVpdnlxiTSPDgcvUUCxYHhK37W2hCos9ajz3fAeeH +bd643ZRE3bkc1TwfzsP1+z+3mpHoxEvxa3kLWFx2bEXqFnMSlZjO2OhryeJ9 +1jPFcixIFF9ipK9pxeJnxxRzs+aTyPOTy8AfYFZmOZOxgEQmtqv29SAW59Xf +rkizJNHUqo3La61hf2dsnL3RikS/hkuZeBsWG98LO7sBkeiF65EOO1tYr0sF +CxOtSXS54UqqrB2Lv68TPY63IdH+f48NPgJvlixaG2tLos3eok8tC2H9rkaK +x9iRKOzUxP3b7FmMTmXsi15IIkdipo+/A4stxvfOj7In0dwQ40k6jiw2ehPy +LNyBRFSb6w2xRSxWS9RNDXUkESsdmn4fmHlnNjNkEYnurkk1qneC97k841qQ +E4nOXN8xmODM4k/fxkUHOJNo58yjdQ4uLB776ZqMnwuJkjZc9Z3qymJ/n/Yr +Pq4k8rn3ROoz8Iw1/2KWu5HIYu7QzTY3Fse5Jit5LiaRYrZERuFiFheq6P3n +sYRE/57NNAlawuJj0nPylriT6JWhCavnzmJJlxVWbh4kai9wOzDOA75vqPu7 +81ISHXgf6vcA+OG8TWcXLSNRnlXalINL4XzPiolz8CRRVEVZ54ZlLC4YX62/ +0ItELkNHM508WWz+lRyxWU4ibad20+lecB6mtrQgbxJNqnvKCYGDaw5kWPqQ +6MuvoQa8nMX/Hb+3aL4viXqXCQJKvFlsuGaBjPkKEp07riQT4sNiKfGB1yZ+ +JKoQN+0y9GWxWG3vaSN/EqUELs4WX8HivWFiOQYBJPI/H2b+GLhwU7SPXiCJ +rKTShw77sThdeqquThCJlKN2Htroz2JlN3GB1koSjWs/FugaAPngafh2bjCJ +3ilck50ZyOJ4j7or6iEkurH+WbcI+NTapbVqq0h0uPvL5qtBcN7v22XPCiXR +NjViftlKFsccTApXCSPRmk3KX0ODWXxEZtBVKZxEix+bHjEJYbG3/X7jGREk +0tdbslKwisURwZXK0yNJJJ0fLv8MuLe0l5kWRaJvr9N7joWyeI+Y+1+51SR6 +bFGemx7G4uu9kiKZaBJdKDu+YEk4i0NNpd5OWUOiKuG1YeUIeN5lnk+l1pIo +3b7v6FfgII+++5IxJAqq+RrcEcni8Ssauul1JLL5TkzbFcXig7vOdJKxJJrl +rnIvYjWLM/TGdwriSDTxiFmeeTSLu90rbk+MJ9HAWHcrag38PuV1PRPWk+j2 +iohvz4Hfdm57MC6BRMfPbjp+Yi2cj8TPz8ckkqiI3rUqM4bFKa5FH/8Bx4Y1 +KixdB/0hOWnkTxKJluKO+7NiWVw1q3787w0kMpJ/vvUbcFKSjOzPZBLJxQ6j +m3GwH/bc1vieQqIft8jvu+NhP7Ret/q2kUR9KqonVq9n8Zx/4t7DqSS6tNE8 +bEECi61Ltsd9SSPRvl53RclEFs/cs7xIlE6iLK3I3n7gUPuIRnYTiVblZmw7 +ncTiy22XegYzSGT/cpfN5g3wPHNWfP2USSJ10xM/PJNhP59aMHUgi0REyfWT +c1JYnJkdZv0hm0SDA8/DfwBvv/Fg9bvNJLpjMzLj9kYWZx3cVvEmh0Qn91AP +q1IhH1zzbrzKJdGOYdWCtWmwH17f/v5yC/QRVws7lM7i4yVemi/yoI80ePyS +2sRiy83qwX1boY/8izz9BtjnrXXl03zoI96ZkU0ZMA/79vc+3gZ95GSF0pZM +Fi/a6Sz1aDuJXgpOPvLOgu8zn7/kQQGJrgTfKJybzeIJI+tL/iskUV3ri4W/ +gS9yI733ikiUI/3td/dmFpcvw9PuFkMfWUOfrcmB9+N6L/hOCfSR67NWx+ay +eMsEzeNdpdBHZs5Xsd3CYpWGnh+dO6CPbFj6RDoP+qVPm+OtMugjd6OK3wOr +OX/ZfWMn9BGNLIfzW1lsWx8r7Cgn0dms3X+35rN4f5G57bVdJCp/drJpxTbo +Tyaue65WkGiD4c1ore0wT68fHrm8m0S+BS9V/wF3hy/xwJXQR95/e3q3gMXP +7axPXdwDfcSKKd1fCPmVlzq5rQr6SMXsReuLYL/4j028sBf6iGi+mH0x9Ef2 +/rPz1dBHFi1rliuB8xvG2jbXQB/Zv3rtAPBVbllj0z4Sbf2VNbu1FD7/rLjC +2VoSrV5W2bd9B5y3/nH5p/eTyPX4qR0BZSxeWO3y62QdiXTEbznp7mSxlvyr +tSfqoY8E9o8ZW85i3+Qrb48fgD7SPHr+P+DGQaHfsQboI5Mk1x3YBfNub9ij +Iwehj0SqzUmqYHF207xlhw9BH7m64IXjbsj/oAX/HTwMfUTBc+e0SsiTzj3L +Go6QKGB9tMsg8L/pDo/rj5IIdWePu7SHxReyrQLqjpFIRW3PhaIq2M8aOe9r +j5No/KbTsSv3Qn81l4/d1wh95NEtdYNqFrv1f/9TfQL6iO6rl+NrWDzfYU7B +3pPQR7Z+L38IbFtZN6PqFPSR15Juh/bB+o5fe7ryNPQRizkTUmpZfONUruPu +M9BHyizbnPdDP+gS9u86SyIDoWe8Yh2LczPrNpY3kUjGfs1cDvj7z4PyO8+R +aLR686vL9dBnVv1q3tFMoiejeypKD7DY5tNen9Lz0EeWnFm8qoHF05uL/hS3 +QB853ClufBDe57e7dUUXoI+MfX1x4iG4P/SudClshT6y4sf6J8AWaTaj29ug +j5ydpHn0MIsNFOLrt12EPkKrv0k9AveTp1+X5l8ikUSYVaXbURZP+3BtwlZM +ok+XvNyVjsF8j37fsuUyibrk1kp8Aa4u916Xe4VEjetycPtx+PwSBY2cq9BH +blUl7myE/pCn8za7HfqIylmt8BMsTjhYVpt1DfrIxttvTU/CeZjmvDKzA/pI +7+s9xCkWb2SWqmZchz6i9dOjD9i08diH9BvQR3KkiMbTcD9Q825Mu0mi5y/U +r2w6w2KX/Z5JqbdIhE3QBvezsP/dDths7CRRbfFyHdUm6F/LHSal3CZR9sDa +98PAqwcs+jd0QR+xyd17/Rz0Z53s00nd0Ef27F1W0Qz9fbnilsQ70EeGz5JR +52HebCcCEnqgj7h2XbVogfn+y8lk/V3oIwfeJNMXoG/1vJgcfw/6yN+fui+B +9xtfF8XeJ9Hp5ZM/nmxlcazfmHvr/iNR2UmNmqw2yMM1xWdiekmUKLD2WnYR +fn95fMXaByTyDvam1S6xePm4o5vWPIQ+0hpzbRRY95VxZPQj6CPSWzbewixe +4yfnufox9JHoav09l1l8otbFNuoJ9JGOpoHoKzDPnz80iHwKfWRG9z7Lqywe +mH9OLeIZ9JGkt8sntcN+HvykEN5Hoi13fzGvgYvlE6aEPSdRpMaU62euwf2O +XUaHviCRc9bctJwOuD9U5gtWvSSR1jNrw+XXIX+cZCVC+qGPGPp8Vr8B9+G5 +3yWCX0Ef2b5u/0/gSRG61MrX0EfebfHpugnzVQdLBb2BPmJZM6n6FovtGuun +Br6FPrLr3I2YTshvqVeqAe+gj4i6061vs7g0Z72u/3sS+S16ZzSlC+axaaCV +3wcSWe7/PfgW+K5X7ZIVH0mk9GtK/bluFkszFqt8B0g0dtm8FXl34H1t00zx ++QR95JjNZN8e6Ge/E0q9P0MfmeB7a95dWN8CuePLB6GPBMRm/AGuiJbq9BJC +H2nOM+m5x2K9GyEDniz0kUn72H33YZ51MqQnB30ksvlA3H8sVqyfrLNMRCK9 +q3f87Hqhr2fGeC4dItEUhfdTZB9A3yuane7xhUQj8X86PwBL/zE87P6VRI+6 +pLNaHrL45Le9D5cMQx+ZrWm27RHcZ44EiS8ZgT6Sbivyewx9fmGa6eJv0Ece ++R7UfgLn6dvXaLdR6CO6cQFiTyHPBq7VuX6HPrJ1q8x94MNuoj6XH9BHXu/r +qnvGYsIjUd7lJ4nELc5nJ/Sx+Jfyci/nXyT6uKPH3OE5i1cOlpY7/SZR5+D7 +IfkXcJ97qfFk0R8SHVv499AnYCf16TMW/SVRYbVMUNtLeL8jkaGO/6CPjGrK +FfbDfTthygkHMQotXWJ3J/AVi38+kP9lP4ZCRodX5Oi9hvNsl7LIfiyF5MbG +zx/3Bu6DIpPKheMo9MM3/2sv8IyJHkK78RR6dqb2SMNbWK9zN23sJlDoItWy +csM7Fv/Qqqq0FadQTehdeaf3LL5f2TVsM5FCmZc+9Ch8YHHLPF93GwkKhcj9 +yxUCS0ouPGktoJD9OllL/JHFVvEFUtYEhdRvaY0UD7C4NdcgAZEUIlQWHgv+ +BH1gg8kzK4pCgyl+IYaf4bwk7La1oil057/4aeKDLFZo9Gm0ZCh0UnPbvUfA +8ks2KFhKUqg0Z3/eYSHkUf5I/oJJFFr/osVqI8viedvu/Z4vRSEvk3vfXDh4 +H5lU7PzJFDIt/nh8hgj6cFXDB4spFFIY+LdKBLyc2BdkIU2hP9Zy068Owfr/ +/P7MXIZCLyu1/9vxhcWdlad8zGUpdOXrwvzQryyepdD5xEyOQnUu/tYmw/D3 +R2z8zOQplHNg/XeJERY/TlR4ZTqVQuF/t514Cmx6clmE6TQKLVpeF3bsG/Sh +HHbIRIFC805eUEwfhT49eyDNZDqFaMH93sXfYf5iO8pEkUKilQPblH/Afs8a +s9d4BoXuXxCz/Qo8r3qmrvFMCp2dIv/z2k/om9Y1HUZKFCqP1jlV/ovFl/Zk ++BspU2hDh31ExG/4/L72UUMVCvnOCJhp/ofFDfqRZYaqFJqflPCQ/MviyXfW +GRjOotCMu9sLngNLv3jaazCbQmIa9XYn/sHzlR7cYKBGodeZrb8yxDjcN/XR +DIM5FOp4ev+0xxgOX6mPvKGvTqGDBp8iZ43lcGJwYJy+BsX7cByuzTo/U38u +xftwHB41TOjRm0fxPhyH9Q/vzNTTpHgfjsMKktOM9bQo3ofjcEb5WKGuNsX7 +cBy2ilncoKtD8T4chy1uiQXr6lK8D8fh0F5ZJV09ivfhOHzuckm/jj7F+3Dw +fZdi63QMKN6H4/DH3+cidAwp3ofj8NCxlbo6RhTvw3E45m/sT21jivfhOFyk +/PG6tgnF+3Ac3mZ/bae2KcX7cByu2yserm1G8T4ch2cvP2GubU7xPhyHw+su +TdK2oHgfjsO3TxsOaM2neB+Ow21NUte0FlC8D8fhU32etVqWFO/DcXie95hM +LSuK9+E4fNZbOkQLUbwPx+HXTJGDljXF+3Ac9joaq61lQ/E+HIdbA9rktGwp +3ofjcIdPzDgtO4r34Ths2J0/pLmQ4n04DvcOMK817Sneh+Nwcv+vXk0Hivfh +ODz2tXunpiPF+3Ac9p0pdVVzEcX7cLAfbpm1aTpRvA8Hv0eq67ymM8X7cBwe +p36zWdOF4n04Du+w1G7RdKV4Hw7WL/dvm6YbxftwHH6jY9auuZjifTj4/9e8 +uK25hOJ9OA5fW8s+1HSneB+Ow7FhkW81PSjeh+NwUM7iYc2lFO/DcVj0e5+4 +1jKK9+E4XPDXX0HLk+J9OA4fbc3V1/KieB+Ow92hCi5ayyneh+Nwmvb0CC1v +ivfhOOzhsDVXy4fifTgOC18FH9TypXgfjsM/dQ53aq2geB+Ow3ohfiItP4r3 +4Ti89HiqvLY/xftwHNZChK12AMX7cByucJ2wTjuQ4n04WN+Ja2u0gyjeh+Nw +U43dPe2VFO/DcdjNcesEnWCK9+E4bG8wf75OCMX7cPB8pf4JOqso3oeDz68Q +ntQJpXgfDn5f0iCrE0bxPhyHDwb56uiGU7wPx2HjLSZxuhEU78NxeJbi5mbd +SIr34Tjcvgz9042ieB8OzlNQ9CK91RTvw3G4JE6iXC+a4n04Du+9KP1Obw3F ++3AcllxfYqy/luJ9OA6vv5merx9D8T4chwOEff366yjeh4PPlzlmZhBL8T4c +vI/4wTKDOIr34Th8yaz6i0E8xftwsH57rngYrqd4H47DC297NRkmULwPx2Hx +3/7TjBIp3oeD3xf0KNMoieJ9OA7/mNf+2WgDxftwHJYpUfY2TqZ4H47D369+ +uW6cQvE+HIfPDBubmmykeB+Ow6t82KMmqRTvw8H5mzVVxTSN4n04OC95zZWm +6RTvw3GYaLklY7aJ4n04+D0Di3eYZVC8D8fhEFenKeaZFO/DQT7Ltew0z6J4 +Hw7eT2rVNItsivfhODyhcbjWYjPF+3AcHui/Mm9+DsX7cBxe6Tj+/Pxcivfh +OEzKt9kv2ELxPhyHt2cPPFqQR/E+HIcrL21fbbmV4n04Dg//PjDGKp/ifTh4 +3jijSqttFO/Dcfiqh4UR2k7xPhycx3vN91EBxftwHH6uejTOupDifTgOZ6XK +yNgUUbwPx+H3kqMtNsUU78NxeNrkJSttSyjeh+Pw/GYl0q6U4n04DicYRDbb +7aB4H47Dvy5ohC0so3gfjsNMVrCc/U6K9+Hg39um3LYvp3gfjsOK+xZkOuyi +eB+Oww8D+80cKyjeh4Pn1/097Lib4n04yCv7ktOLKineh4N59agmzmkPxftw +HDaRVTdyrqJ4H47DG0zn/nTeS/E+HIePxDVccammeB+Ow7k/qra51lC8D8fh ++2MYb7d9FO/DcTjw8g+1xbUU78PBfokK+r54P8X7cJD/BjZdS+oo3ofjcJRH +zX73eor34Tgs+ytpo8cBivfhONwT3OW1tIHifTgON16qMlx2kOJ9OA4/tR2Q +9jxE8T4ch3PmnB71PEzxPhyH2erRPq8jFO/DQf6+bLm2/CjF+3AcNpjxo9H7 +GMX7cBzuLG7a43Oc4n04DpdFc/m+jRTvw0EefGhIXXGC4n04yHujvnV+Jyne +h4N8KywK9z9F8T4ch83UrgQFnKZ4H47Diwxi/QLPULwPB33gc41v0FmK9+E4 +fCHbdcXKJor34WC+6qcEBJ+jeB+Ow2LaaqtCmineh4P9f9Q9etV5ivfhIG9f +/U4MbaF4Hw54gvrmsAsU78NxuMr1vx3hrRTvw3HYR/T3QEQbxftwsL6qRy9E +XqR4Hw7mr+Lje1GXKN6Hg+eXyf28GlO8D8fhk1ZNE9dcpngfDt5fb6ja2isU +78NBvktUOMRcpXgfjsPvprisXtdO8T4ch500s0pir1G8Dwf7Ic/8QlwHxftw +0CcCEt7FX6d4Hw7y9r3+lIQbFO/Dwbz2jLdNvEnxPhyHHd4YJybdongfDj7/ +etrRDZ0U78NBfzByfJN8m+J9OMin8HLFjV0U78NxWK4swje1m+J9OA5nDl3a +nXaH4n04Dks1736W3kPxPhycL4UfMzPuUrwPx2G15c/DMu9RvA8H67XP/mTW +fYr34SAvtIx+Z/9H8T4ch3+bHHbK6aV4H47Dp0f278l9QPE+HMybahVuy0OK +9+Hg/ESqL9z6iOJ9OPj8orN78x9TvA8HeWp/4/u2JxTvw0H+XA/yKnhK8T4c +5J9D5rnCZxTvw8H+HKMxtbiP4n04DmfP804veU7xPhyH5f8y70tfULwPB/37 +pOuSspcU78Nx+PJG6Ys7+yneh4M8LQ/R3PWK4n04Dg+aWVRXvKZ4Hw722949 +kyvfULwPB/3ix+ate95SvA8H8yRndOzedxTvw8E8SPmaXv2e4n04OL9SyX9q +PlC8D8dh/8y89NqPFO/DwfsepzK2boDifTj4vJ6FefWfKN6Hg7yYMTyp4TPF ++3BwvjW0qg4OUrwPB/tPfUjjsJDifTgOC5ahC0dYivfhODz33TTXYxzF+3Ac +3iWd+fq4iOJ9OOiLCvEpJ4Yo3ofjcLPekPSpLxTvw8H9oeTXqdNfKd6Hg/cZ +V+p+dpjifTgOm0qe+9o0QvE+HIfv1oRVNH+jeB8OzpN/vVXLKMX7cBz+lxkz +cOE7xftwsB/sb+5s+0HxPhys75tGu0s/Kd6H4/D5UtVv+BfF+3AwTwrVjlz5 +TfE+HIcfMeeD2v9QvA8Hfcbt8dSOvxTvw3E4NXfLg+v/KN6H4/B+UceOm2I0 +78NxeOf1Hcs6x9C8D8dhaZshua6xNO/DcZirevG8exzN+3DQn6X8GnrG07wP +x2Hzx5Gx9ybQvA8HfVhTwuo/cZr34eDvHU0kH0ykeR8Ozsuq0VcPJWjeh4P1 +bHNsfiygeR8O+lf+vKKnBM37cNBPJ+6L7CNp3oeD/I1psH9B0bwPB+f3l6Va +P03zPhz05XeREq8Zmvfh4N+j5gjfSNK8Dwfz42pS77tJNO/DQV6rr7j0QYrm +fTjI38f3jgxMpnkfDn4v2bf78xSa9+E4vO9P8jahNM37cJAPH49u4mRo3oeD +PiURlzgkS/M+HIfH7OqK+SpH8z4czIue1tUj8jTvw3G4XGgVNTqV5n04Dh+f +57P6xzSa9+E4rNoxMeaXAs37cBzWHnFO+DOd5n046B+js9P/KdK8Dwd5Ll6+ +dcxMmvfhOHzAv2rXOCWa9+HgPJiZHZqgTPM+HIeVe6NbJ6rQvA8HeRplck+g +SvM+HPz/xrsHyFk078NB/00oHc/MpnkfjsMoWFVlkhrN+3BwPzV1sZk8h+Z9 +OMgTQzpUWp3mfTgObykNy5fVoHkfjsPFBd6n5efSvA/HYYngN33T5tG8Dwfr +5zKRUNSkeR8O+tKWa2YztWjeh4P7pLtCtLI2zftw8PvekrWqOjTvw3HYLr38 +8WxdmvfhOHzDt3Wyuh7N+3CQH+dSFs/Vp3kfDu4r3b2FmgY078NBn39+6662 +Ic37cByeqewro2dE8z4ch3c/zPEzMKZ5Hw7u2+auDUYmNO/Dwb/nnfxiYkrz +PhyHW/6esDY3o3kfjsNrnzjvmG9O8z4c9DfPzR8sLWjeh4P76EkfS+v5NO/D +wXxX69plu4DmfTgOfx159nWhJc37cBz+67vVw9GK5n04uG/t6D3jhGjeh+Pw +x2cX5Vytad6Hg3kVtzB9sQ3N+3DQh8pWf3C3pXkfDuZHuu7SZXY078PBvIgp +u+K1kOZ9OMiP+l36PvY078NB31lh3rDCgeZ9OA5fvJs6PcCR5n04WA/bwJ1B +i2jeh+Nw9NCrSSFONO/DcVhFfkJRqDPN+3D/m683JSNcaN6H4/Ccm1o7olxp +3oeDz+s2mLrGjeZ9OMgHu2e1MYtp3ofjsHrULK24JTTvw0H/S5NsXe9O8z4c +9JOLVc5JHjTvw8F8Cu96kbyU5n04yLcL1etTl9G8D8fhlOEp9CZPmvfhOHxu +meahTC+a9+FgPk39uHDzcpr34eA8ZDq8z/WmeR8Onq/LaetWH5r34eD9mnzR +3u5L8z4czL+xlo8KV9C8D8fh6mjtrBI/mvfhOLzxbLtOmT/N+3Acdp7692V5 +AM37cBw2evq8dHcg5O8nuUmUmAjHGIc4VgXRaO6uus/rgW3XFIrVrIQ8ttW5 +8RxY/FJga20wjSjRhf32Y0RYOeLRhvoQyOcq+/QTwNTREdODq2jEOt73kR8r +wouvX/h1OBTyesTfKBM4+4falWNhNLpbOzDpE/CSzeZbT4RDfrslDHqMg+9v +GFl6OoJGp3+K3WwFPlzjrdwUCXl+cHvdrPEi/PREyFBzFI3KlspvKgD+JCt3 +7cJqyHexet9vwA+k43dfjKZR4jEd48AJInxgICn28hrIe+9WqZvASQ9mu7Sv +pZH3BAehrrgIJ8inaVyPoVHnqfs3dwO/+JImuLUOzmdAQP3YiSL8p1598HYs +zAPi06bVwLoxaffuxMF5bU5Y0Qv8oSS15V48zIeQMSYLJES4xlWtvnc9jf5J +FkxuAP74OqXkUQLMizZ5lhGIcEhpSubTRBq9iqi/lQR8pWr2+udJMD9kdA/0 +A1+1SY3s30Cj9iutGYsIEbboSF/5JhnmyVoHv9PAdKiW3/sUGh2Y9p+JAinC +WiF5PgMbYb7cCJiyGbhGrNhnMJVGW+I/sYPAG9Pt/Lg0mDczEzs9KRF+p9iw +8ks6jSK7xjRcApZRaooc2QTzZ0NB5hxahD2vx67/nkEj59lT/YuB/7j3Zf7K +hHl0r970B/CG8SMlf7NopJWuKx3MiLD1zLb6MZthPs1t4zqB377RuzA+B/Lj +kcNtA0kRFivzuj8xF+ZV9n8NVcC2sXOFxBbIE53ArAmTRDj64nGCyYP51ffJ +fy2w64X+uVJbadSbl2j2CPjG4XZX6XyYZ0ZjZZCUCN/p9oqX20ajc68KRIeA +wyIq90zbDvOtcGqX1GR4362F1xULaFRhfuBgCvBpgeGwUiHMuw+62W+Ar5fl +z5pVRKOUHW0BLlNEWKOmdPmcYph/Vo7mTcCmq10K5pbQyG/wP5kZ0iIcaHG+ +Q6sU5mFF4FAusILHQzG9HTSyXPi5iwP+NdRgaVgG83Eo8ZC3jAjX+s/dZLKT +RkrVYzdfAcaPgq6al8O8dCoMnCsrwvJ1ThKWu2g0dnSqxQ7grLEfl1hXwPys +OyD7G/itqVmV3W7It8V6X1bJibDxVstPDpUwT3+3dXcDZxt/N3feA3l3yPGw +sbwIv88OK3Srgvnq2bu5Brjg7Na37ntpdHhMUJDEVBFuJoMXeFbDvG38bBEL +3NTFVXjX0Gibb5LcU+BP5gajK/bB/BUf99Vmmgjf3q3pHVhLozVnCu8cBX6u +2dcavB/mceC0I9IKItxiYqMSVkejxVRDThqw4G/Atsh6mM/n9Va+B85v1x+N +PkAjvdCL8xdPF2GJrouh6xpgXkstkj8PHLpC7FH8QRpNudT7VUlRhEubfjol +HYL5HRXUs/V/POP4lZTDNBqRHTzyBTjtqZxF+hGY5+1JuStmiHCKlkVz5lHo +jzHjgq8BX1shZ5xzDOb79KIFWjNFuOHwsea845C/N6dNLQfetOKXxfZGmPcJ +DcN/ga80jWsvOgF5rKx/N1xJhIW/O1x2nIT5f+fi0bvAuxNsnpSfolF6yqIt +ZsoivGZVckTlaegDag+C9wOrMqt/7j1Do6D/gixJFRHOaZYrqj0L/WDT4NT1 +wOsPbFI70EQjG80NI33AL6fXXT50DvrC43H3FqrC+gZn+R9rptGsnKJjjcAu +bYp/TpyH/qCnkCc3S4S3r02oOdNCI/EXDSEZwB2Xtto1X4A+ka9vNQBs+8V3 +8EIrjT4aX5rmMVuEI9wGyi+1Qb94s+jbBeAD8kZ2Vy9CnhU9uKeqBs9fbjnc +cQnybP7K49uBi2jxg7cw5NnHwbyR//17+za/7suQZzs3rAqYI8Lk3x7pe1dg +PliPRzeAJ4z7r6f3KvQRtkhBVx3Op/zOgsftNIqtVBitAH6WLevWdw36if3B ++2M0YH9tWy7V30GjpV/1G6OAvyd4P3pzHfpKzaWt/wHfy5u678MNGhm5OIXO +nwv7n9iz+vNN6C/fH6ADwAHWz824WzSSO7ByOjNPhLvi+4mvndBn3IWjicAO +H+tffLtNox9/Nvz3Etj33dymn13Qb46MP+GoKcIHT8UV/u2m0TOv4vxTwC93 +pEaN7YG+M2562DQtWP/7jovE79Lo4omD1tnANk2P5hL3oP/4GSgOAutnaUgy +92lUI4G/L9MW4coMy29S/0GeNTn1XgQuHJXtl+mFPFv58ISajggbap/pmvoA +8owO3lYEfH31lDbFhzRadUEY9h24eNSkUfkR9KWwZJuVuiI8LK9cN/sxjeyn +TJjRCbxKrqdS4wn0J1z8Q19PhCPNbXZqPaWRevT0B3uA995KKdV7Bn1K/tDJ +8foi3Egllxr10YjoMNi+BjjAecFOs+fQr2Jx+EPgrO4blQte0GhQ0dnWykCE +xz+Tr7N+CX2r8+GMQ8AvW3UbF/bT6E5i8M9JhiI867xk26JX0L9U2QfJwKNy +57pcX9PoZE/yqdfAxaqz+t3fQB9LnVDgbCTCZqq+3zzf0qhUvSTiLLBHoLek +7zvoZw+m2ykai7Cb0sx5Ae9ptD7z0Mxc4DONjYuCP0CeaRn+YoEVl05cHfYR +8uwpfrjcBD7PS6MoagDyLNf59GXgTjGZc2s/0cjM4FGBhqkIH9rT+TLuM/S5 +l8GRpcCtMU5U0iCNFLazdr+Ax10vs9gohH5nmqK0ygzy48PhNZtYGv15O+F3 +F3ChYv7+bA76XknJIyNzeF+njJ5uEdHo5QLFM9XAdz8fk94+BP3v06HCiRYw +b2RF7sVfaHSl3DBqHXDxxn8lZV+hD9peXvgE+FzIs96KYRrVcc7KNvOhj8hv +nrZ3BPph1aPfR4BPvP0RXPuNRjmOIY+nLBDhv5MWNB4Yhb44zJ5JBa7/4Pr7 +8HcahdemFL0DLj2t6dr4A/qjq/hqN0sR7ml9su/0Txot+lli3wwc7+o5eu4X +5FmDooqSFXz+qZolrb8hz5Ye/pMH3KHfcgz/gTz7Z/hkCJhR2E9e+wt5duzy +WV8kwiNnfdfc/Ad909uluB34j9Obe11iDBKNf7xa01qEL8yZb3ZvDINWngpx +2An8aGdo3YOxDLrvz6n8BZbpD5z0dByDbImNf8NsRNjefV7Gi/EMOntO/GkP +8DLDm19eT2DQ7JDSJlNbEZ7faxzxQZxB5ZIzSmqBpVKT+j9PZNDEtsPRhB3M +y8T8FSIJBm2IMHKMBzaetO7JsIBBA9JXVPuAp+xQ9/1BMMj3iss/u4Ui/MPp +7PM/JINur3n89Djwquwpq8bSDJo/bdU5WXsRfrPFXijOMOj4da5kE/CMetdk +UpJBM+I3rvkI3K2qITFpEoOKZk5c5O4A/crjyW5pKQaJdZXOugD8OjVAe+pk +BsVumCGm6ijCc9jzHYpTGPR61pFn24Brv3wMVJFm0LJ7Rs3DwAkP2d9qMgzq +SLtS6r8I5uO7zqp5sgwynuu69jrw3DVpVrpyDDr48PEiHScRvnxe/J2hPIPk +s1fNrgCeJhNeYDaVQVt1RGJjnEX41p1qU8tpDPr5bGNfJHCsfuN7GwUGrc6b +eP4+cM/2nbscpjOoz3DHDgsXOE9zPZ1dFBnk+mpGTD3wOB/hGPcZDLpUcMSJ +dhXhtlUBrZ4zGaRjbqyWCMxVHkryVWLQvvdXxrwE7nDsMg5UZpDUDtfnDm4w +T1tvfQ9RYVCW1ZPzJ4FXotqLEaoM+vp5VdnUxbC+s5bmrJnFoFUVopgs4Ixz +LxfHzWbQA7tU58/At20cFJPUGGQ/NHHOsiXw++XyhRvnMKh5746xF4F1sw5d +zlBnkIbTzBez3UW4/+7+8hwNBlV+O9JSCLzWPTkmfy6DyDrjnaPAfe6azkXz +GJS6+Oq6IA+Yd0ptGmWaDBL+cnW5BfwfoUHu1mJQwKEnc/SXwvkNjOf2ajOo +Z1nouD3Au9bsebhfh0FozNCLcctEuCKr9vJBXQadOp56IRrYT5hz/Jgeg1R8 +JcofAGf+dtx7Sp9BO8TLYi09Yf3+fio6Z8Cg8Wdmuh4EfmQTndNqyKCEwKPq +k7zg/Mt1p102YtB70mR8MvDiLjq5w5hBy89fffkK+PQJ7aROEwbdXOXW6rRc +hMdK6W7oMWWQmdTT8jPAaVaTU3vNGHTkYmjcdG/YT/kPsp6YM0ghasg1B9jd +OmX7CwsGbZdN02CBd5wR2/1mPoP+XpWYsNwH5qdu5KGPCxgUE1PWj4GbJc5e +EFoyqF9BqU3dV4SJba96vlgxyP3m0V0lwAcmfvkwihh0db1J/E/g5Dvvxv6x +ZpCBcrtbyAroAzoXlcbaMqi+221uF/DEjRusJ9oxSCbl6QQjPxGWGyMfSi1k +UK5a2Ku9wPTkqm1S9gwavT/UJu4PfeDv+CZZBwZFbEqriAFeJrv0lYIjg57M +E6x/DHz2SO4k5UUMcnpcttg6APovtc9GzYlBrZuV5h0Btt5WkzTPmUGaesfE +pwTC9yfnnNB1YdDe5yavNwKvUPP4ZOTKICa//eJbYNu3E9Qt3Bi0yXjxbtcg +mGfjaiPQYsiz10/XnwMOeah6bOESyLOisCUzV4rwzOOFX5zcGfSfxZd5ecCJ +T15ZLPFgkN3HtIlDwO+PKeZ5LmVQU5ngjU+wCI9Jtnnku4xBatY7L10FTiha +ohHkyaBdQqXKeSEwT20c00O9GCRReSyhDDjvtcbDqOUMSrY3df8D/O3qiO46 +bwZ9+tKuGbZKhJcbHStM8GHQiprFEj3A3dtcuRRfBnU5P3tjEgr5L//UI2MF +gxZ8D8P7gH9oebTk+DGosf5LpSBMhFfPOKeyzZ9BM93TE+OAo+aLFxUHMKj4 +j8DjGXDxU5u/OwMZNObITi27cJh3ztHr9gQxKM5LWXAcWPt11rt9Kxn0Zuzx +tzIRIrx0KNe/IRjy7ITp5XTg0dsbHh8NgTxbcW3PB+B5Hd5ep1ZBnkksSVoS +CXmlO+fRuVAGHTr7zKMFeGLQW9+2MAZNXRmurRIF8/5Q8asr4QzKp78KtgGv +9NNcfSOCQb9a0t99Be7qaR7timRQdBhxxW81zF8vgy33oxj0fHJ5VQewp23N +1MerGeSGlTdoR0Pf/Pyr8Xk0g/Dq40t3AevVLnJ4s4ZBuvJmOmJr4H235L3+ +uJZBtdeuEZHAOltbMtgYBk2OXfL+HrBPSJ/y8DoGZSv2XTFfK8JHq4Y6fsQy +aPhW+N464OKtP6L/xTEoNPHrBioG7ivpw7IT1jPoocqmZQnABndftxMJDHLo +IXRfAFv+dy1+UiKDzm8sJx3Wwfnpq1STTYI8U1f5cAL4JgrtU9gAedZ7/Kp8 +LOS/56ydysmQZ5lm1ZnA59Y+XjInBfJMqyP5E3D160xJrY0MYp8s8VwaB38v +rnxPP5VBgbl9um3AF7XP7TRNY9Bd/QhqdrwI956y9rdMZ5D1y68fCoDHvWuf +Y7eJQae3bWr/BvxDccHIogwGqZqSNYHrRTj99PGOxZkMKntbnnITOP67zG7P +LAZNKFHx0kuA/FyYGLMim0GJCxr1KoFPie44rtzMoA8DZvS4RJjfi5Vmh+cw +yLu84+Nq4AvNUePX5DLolo37tV7g7RmN7+O2MMic66tZkCTCmmKDtzfkMejo +noiNDcA6Bapn07cyaLrjsJfkBjjf6Z41m/MZVDC8SX8D8Ny5WQX52yDP9pHM +K+CuD4fTi7dDnrnuGliULMLKTHdceQHk2Q+VjtPAlsOfI6sKIc8aGvcppEDf +HBJftb+IQe0e5qmbgRv8Zqw8VMwgw38dy4XAewv0VzaWMOjAUXcDr42Qx89s +V50tZZCs93MGA6dXe0Rd2MGgLeMjP81JFeGTWoHxl8sY9P3kcEcxsMyryE3X +dzIo0j+j9gdw6aT4wq5yBj0VUGnBaSKsJr1x3/1dDHI+t8v7NvBn26ymxxUM +agtWNTRMh///e173i90M0pI8IbkXeDiv6OPbSgZVt5p/nrBJhIfcysU/72GQ +ZMT162uBC/fvnTNUxaAMaY/9j4BX9x1wHt3LoKHLz9NQhghbeZ6I+1PNoOA1 +kT6HgV+GXqgatw/ybOqI4eRMETYNv3FLUAt5dj1j0kbgpacf/pDcD3kWRw2+ ++R/v+6gpWwd5NrPihksW5F/+n+Dp9QyquK1a1wQs2ylTpXKAQYINJ9JnZMP9 +6bzeY/UGBqXMsvDdAtx8wl1O5yCDPt+9biQCHpJI9DE6xCC/NA8pn82Q5zNq +qi0OM6hb48XgFWB6Ufd76yMMsnwYeXNuDrzfN2L6jkcZdCJrpG4HcKTj/7Vo +33E5vX8cx20y7jNI9gxFEpGsOqTMr8xsyighStmzUDIzQiQrM5KVzE/ZQmTP +ZCQjdM5d9vi9f4/r8+frEdV9xue6Lp5tF/Q6YNLqNAud/xttlTkzs/9Bk7b6 +afmhY8Pzqd4jajA00aQVW7LRKQNtnCg/1+eQSQtuaa22jsD6dt37oV+SSXuT +fejTVrTFxDMtJx02aQNWtLtWZkk+7SusHR1yxKRdbnMlPghN55d9n3XUpLV+ +23fBE3QH+yIjQ49hnq3JGuoWifm4du7ViOOYZ67jWx9An+lZotXKZMyzj4Wq +5dJ8sk1eF7/uBObZhtDPc9EPGjez2pxi0gI6V0h/i3YpdW/59pMmLSt/4y7P +ZZinOxeW3HvKpHlusQ5NQR8f6RKaeNqkpXZLGlZ3OfbP0SWKHD9j0pp/bee8 +FL1+24PQ02dN2o4dVyqa0QnPj5U6f86kVfTs92XoCtyPvVtXXiWTtuhXVvpF +dPrwDVVvpZq0wj3jdzddmU+TB8fuuZ9m0nz7fw1djy7/PdH52XmT9rBI2PB/ +6Fbrb914dcGkdT1Yoc24VfkUE/V39PuLJu3k4JhKmeiSndv//XLJpDUu1SC/ +TVQ+eVeI3Pz1sknbfCTp+g50Urecdn+umLTyI9vvKbcaz4tXnxfFr5m0ueWu +hoWgM1bdXFw2HfPsRL8Rz9Et+w9pplzHPBvzoo3Hmnw6V+T7U6sbmGfyBMtD +6H/G7mW1bpq0Tme/5lutxfluiZ9LgwyTdtQ/7MYCdHjttgVNbpk068qmve/R +YZa1D7a4bdKiz8cs7Lsun3ZcrezfJtOklZrcYORpdLkNdW20OyZtevXDba2j +8+l3lusHj7sm7d2V9pVXoO/+DTr03z2TNjjkql6IthiQMr3/fZOWXqf/zRHr +8f5oFd2GPjBp7W6+2HsFXbH2QmXUQ5N2YOaERQ4b8D72LvN63COTVrPht5Ex +6OaOO09MfmzSVt4Ja1dsYz4FNuy/atoTk/ZvnslqArpPZPXxc5+atMAmm4y7 +6Jqnf3RZ9MykvXzYIKN9DM471b/YLHtu0vouOrxvF7qOxe/ya7Iwzxw6LDZt +wn78TZ2CjS8wz55f9Z6OLlphRNbWbMyzyP7ts9GrXh29vvulSbNyyrbqtjmf +alyqd+bgK5O25NUE82F0aqWEQ8dem7QfK79lVIvNp4PN/9t9+o1JG99u4f6F +aKc5FtvO55i0p7mm8Dz0tf7ZsdfemrSe6zb5DNiC/Uv1O7G3c03aWa1hh3No +3xYvtj58Z9LsPx2u0igun958L707671J2xrToWAVetDtnodyPpg02ePare/o +y1UTT+d9NGmhRv8En63YDzrZXTfnmTQjLjs8He0WceX5z08mbXSPiaMct2E+ +BiwwF/1i0u59+9YhFp3TY2B5i3yT5h6/sGrJ7Xh/wnrayLpJS+4tFQaga4V4 +d7EyTFqjP5tuP0D/Cl3rX8uMebav4QHXHXi/TG9XNijAPPM6ErEXXWn2oBN2 +hZhnxVxGKzvzKbbxx1eOXzHPEq+5zEK3nB+ntPtm0oYPHVDtNfq/p1PcOn03 +aRmlXxb2iM+nOdvHzej2w6S5HpuYeQwd1HJBUu+fJi3J+/uBmrvwvH09+XHg +L5NWt8KiJeHo8j0rNx7526StOSmN+YJOWh89wfePSSvuu9l10G78Pm2dkwL+ +mrQQtVH1NHR4zN9vIf9MWs65I19t9+B5qvK+05wikuY1weXOGvTwat+jFhaV +tCtW6Qd/ob8Xs3u1tJikOV8cEDlmL+ah02KnNcUlbV/gyzE30XOsSqyMKSFp +1WoGaE77sL8qt+/dtpKStuza9+pb0TQ1xGNvKUn7PXXRt9L786nIad89h0pL +WkA9+W4gep7rgnInykhaVsbmxMfo835pU85ZSJrn7EZLOyXg829s/PxSWUlL +bXR0bAK6r9OZ7jfLSVqLey4dKx3Ip+67p52+V17Sdi5IrzEX7f3fkGbPKkha +paZe33PQKVF+u1+bJG3x45d3ex3Mp0334+p8lCTt6+KAQyfQE0KLxBmypPm1 ++LG0TiL2Jz+W1/qpSNqjrEW+keiK8Z13FK0oad2WyZ0MtNfbRrYWlSTtVOvY +mkMPYf/ZsPVR2VLSmrxp9OMCun36FK1KZUmLjTp6zy4pn0zOT2/XtpK0Ch1c +k6LRtR4EjmlURdLmvU9f9hf9oGzLX/ZVJe1LtJef32HMr/YNop2qSZp3p1ed +bqPn3+ncwqW6pGV+DqjV5gjehxZr77jXkLROm3/82I5+fFGe9l9NSTvaZfH9 +skfzye/L2RoDakmadYF8OBh9turmy8NqS1r0ttjlz9A2CXuDx9SRtNL/2Yxz +P4b5Wi63/sS6kjbjx1G3xP/3dq+HwfUk7f0u19pWx/H9731bMbu+pA3pe/3n +fHRz0/UuC60l7fpfrwfv0Mrx2yWWNZC09gmvDvdJzqcVzS0urWkoaQcHTlpx +Cv34Y8iSTY0krVaJn+Pqn8Dz3rOS5w4bSVuVtLjzcrTP6dwq+20lrchwpU4h ++tD2LzmHG0takMWWX8NTsB772SWfbCJpr47bPLyMLjU9LjLNTtL6jTp2pNnJ +fPrQ2sP7WlNJwxKzciP6XEXrtpn2ktbq9HX/oqfy6WKws9XjZpK222+g+3i0 +d9rCb9kOkmZV6XWdu2gr31JP3zWXtCWpk363O439+vXUtPwWkvZj4s+H8ej1 +Q48mfHeUtPFVw49WOIP3aUHWxiKtJO3ZJWXVtDP///+VTpFlnCTtvylbxr9A +Z9pnz5FbS9q5WrYeXc9iP7fiRHAVZ0lrdv1Y3cPoW7bXAuq0kbRt07U/Vc9h +Hk+ynGDTVtIU6xuPwtDtL8VOcGgnaWG3Bx77iC6yaeRk5/aSZp7zelV/wvM6 +cMQ0rYOkjbGdPOEsOnZczIKuLpJ2//5Pj4ap+fTIWVnZ21XSPMLC661CL3a8 +HDdIk7QT9urfb2i7iylHvDtKms3TLY+90/Jphtf7a+M6SVpMhO3xa2n///fR +QW8C3SStbMvjUS3O4/40K1VsZmdJm52tTdyMLt7LXC/UXdLylt/oUuIC5nGt +Ol0iPSRteJtB9QPQp8uvmLS6i6Rl5Lz+ex+9f3HbmJiukua6ZvITl4v5dKXQ +5sr2bpJ22OXX8T3oh9cGfd/XXdLqfQxfLV/Kp7RJ6XZHekja2g1qwEz0mj7z +xpzqKWklOsd1fYWe8SJk6/n/JG1qvq11j8v4fosTn6f3krS3scf/HUUXHG5S ++66npA3s1vFpjSv5tDkzb/TT3pJ2tfBG8mL0zL5Gwus+ktZmx6A1n9GBO9p/ ++9hX0vb3ehMw8CrmY7Mb7gX9JK36r8ndUtFv/LZv+N1f0pbv+WVtew3X9+SZ +vBJekvanX0SRNei7a2u5VxgoaZOKVHz2Ez1xzJVtloMk7cWBuBOj0zFPN534 +V3OwpPUe3HjtDfTi+C8+DYdIWlrJ5EmtrmP90SdfsR+KeXakY/c49G7d2aH1 +MMyzETcblL6RT2rFHrGuwzHPyg0uGojefGl3ua4jJC38xJtnj9D3Z/Sc13uk +pH0bHZjS8SY+X2z7gkHekjZO/r12P9p32/QAHx9Je3wmYnLFjHzaWvDnvf8o +SevuX7HHHHQPi9v+U0ZL2mnLrQ1z0Cm9PufNGiNpducbF+t16///njA4eOFY +SdsyKfl5MpqaKn+W+UqaqXqnk7Vv59OBvZWXrvOTtPlXbq5bgi5iNb7alnGS +lh88OFBHp74vl7jLX9J86uT0GJKJ8/2a350Tx0vanRuBjS6gh4e2f5E8QdLc +Zv4uZncHf9/2xhyaKGnHGizJWofe/jOh5tUASWtwp+KpP+h/fs/Sbk+StPXz +tkb73s2nEq+H+z+ejHnWpEnQLfSZ5w6VXgVinj1M7ul8D+fz9AHnPwRhni3s +ZLP93v/3QzeDzVMkbahDRvGy9/PpdqcNNr+DJe3Gs8EvpqBvHD2WXWKqpHWI +zDn1FF2Y3SC2wjRJS2wVtL7zA7yfHT4OqTxd0mq/+h10EH2yXcmatWdIWtTK +Jf9Vfoj9pWfIq0YzJa1ou0q289EBec4JDrMkbUru1hLv0GsW95veZrakvV7b +JLv3I+yHVl706DRH0vprJ06fRL+bvbxqj7mSdimv04Z6j/PpU2rCl37zJM0p +JmPKMvTvzHrXhs2XtD3uQ3oVoH3qG7vGLpC0KkaO7fAnON/ZVgufFCppkXFB +JS+jqw/e7D89TNJ+dv+Tbf8UP6/htN4LFkrahG9LzmxAzyud0DZyEebZzkob +izzLp26BbW3WLMY8670t2B894oF11c3hmGe/m3jeQVc7NL5CfATm2b4Tjds9 +z6digeVLHlwiadsHuJWKR9PKskWSIyVNLXbrZfmsfLIOHfv33FJJW5g45OxU +tN3ZmkWuLpO0giFvN2ahv6c4lsxcLmljS08J6fIin+582FPhyQpJe3D0j2cS +uvG1WVVfr5S0Lt6RTapm4/lPP2CTt0rSUspblg5Dvx7r0q4wStJsT2579QGd +pzj0+bta0jaNtTvX72U+XXUPG196raSVU1NizqATZ7SKkNdJ2pxzblMbvMLz +Vq/7nqrRkvZp/K3eK9EeJ1PT662XtBFWQ+2+oVsmx+hNNkjarQtvS3u/xnkg +6n71VhslTQuc8voqek1qSDeXGMyzGn/PNX+D9fHc9FldNmGeXYvctAl9p+iL +xN6bMc+mWk4rnpNP+cUT3g6OxTyrt73PRLRDh2d1R2+RtGkZdk3vo0c1DPae +GCdpubNSyri8zafZzoE7pm6VtEGNOr/Zjd6Udzd33jZJu3b3Fkm52I+diXNY +sl3S2i4YunkGul2dzDmrd0hagl3utJfoa2sm3Ni0U9JqPJ7St/s7zM8Vk2rH +x0vaisV/mx5FOy19MvXgLkn723ypRY33uL/Fk24l75a0yVmWOYvQY6d8sUvd +I2nZS7enfkKH9o1ZcW2vpPVp3TTW6wPOC7US9Tv7JO3865TphI73tB/8bL+k +OUZ17mfzMZ96j6p2MSdB0uLb37Zf/fH/5+8pLb4ckDTL90PL/kS3tHKO/34Q +8yw6N2dUHs7zSeOrFjuEedYxOO06urlVuTXlkjDPPv+NbfkJ7/PVqibLw5hn +m5bO2IIe77phRa0jktajS+X+pT5jnn9fKNsclbQz5u3NJqN3T85e3/yYpDXd +1rTcI/SkugfrtDsuaXE9T77VvmC/uvTDwc7Jkib96Hx+H9qyUYxLrxOStmDX +7S1qPs4/ISfvDEyRNL3PsJmz0Xe+9Bjvc1LSRv3N7f8GbVW5T8kJpyTt7v5g +h/90rF9jru0MOS1pnQf+K5eMHjn4uPu8M5J2vPiy3FoGzttBlh8jzkpaw6TK +FyLQkU0+rF19TtI2DNsRl48uV7KltpkkrYyF/azBZqwnc8xf4lMlbebxkwPO +o1XZdmdimqR98HFv3qQgn1a73B+cch7zzJRZfh16T/j3iucvYJ6dGvbuN9rF +b23m9YuYZ37vLowtxP5h5O419y9hnlUM2ZqBDvzcfOCLy5JWJ/XfrNZf8+nU +Wqfa769I2uqJy7y2oV9nHPtgXJW0YlWtWlh8w/649sGTv69JWvClHRWmoCuV +qrO81HVJexNk//4J2q6YaZR8Q9IG1Dp10e17PnVcN71dtZuSdjndfdsB9I32 +w6tYZ0ha6+mZsy1/4H7Moe9Nb0na3vrDB85Df78b96z1bUmrevtdi1y038Vf +FzpmStrSOSGm3j/z6VXGg8QedyTtl02RDynotBCHLQPuStrE+8su1f2F9bu1 +xaqR9yTteajV9qXo7I0+i/zvS1ov+51zzOjEam3nBj+QNHpiP2jYb/w8l7Uz +5z6UNIeIU46X0F8jAmZGPMI8c/SQ7P/geZxxbc7qx5hn2Zkf1qMzVu9ZuPkJ +5tny4Zf/oX8OLLNy11NJK3R+v33c33ya+1/e5kPPJM03J2RuJnpKYb+DJ59L +2sPVRQa3/ZdPde+6nr+QJWldXZa33IkuNj7pyc0XEns4nU502P31YbbEHk6n +infqVn71UmIPp5NVQv02ea8k9nA66T0PjPz6WmIPp9Pfdmcii+RI7OF0uvq7 +34mybyX2cDqVrRTyrlKuxB5Op4RSNWvWfiexh9Pp/GDPAbbvJfZwOq1bU261 +4weJPZxO0U59b3f4KLGH02nc9foVu+ZJ7OF0yn6wYHDfTxJ7OJ1+Z/nvHPZZ +Yg+n02f/F/m+XyT2cDpV+vuyY1C+xB5Opz91p6yfrUvs4XTyHRb1ebEhsYfT +yaVlh+5RZok9nE47HGbu21QgsYfT6fmjzuV3FUrs4XQKOLZtyqGvEns4XJ/u +K56d/Caxh9NpgnW57he/S+zhdEq7Wu10xg+JPZxOHVLPNHv8U2IPp1OPtfqe +178k9nA6LUk/Wf/zb4k9nE6pny13fv8jsYfTyVhSqmHxfxJ7OJ0aKh4PShWR +2cPpVHlHv+rL0cLD6aS0txulFJXZw+n0vf7t/evRwsPh/sS5FlYvJrOH0ylM +n9VxO1p4OJ18fMJWNSwus4fTabuj14sEtPBwOsUd/OrQvITMHk6n9EpjFiWj +hYfT6Whi3ON2JWX2cLheqbsd0tDCw+nkv3pOpEcpmT0c/v7YOm+uo4WH00ld +tEbrU1pmD6dT9xZ34x6ghYfTaW3yq79Dy8js4XSyHZjm/RItPJxOI4dMvuhr +IbOHw/0t9ck2Dy08nE6zdrRdHVRWZg+nU3jIoJ9f0cLD6TT/gsfYOeVk9nA6 +OT8oeadoeZk9nE6x5mgtAi08nE5Jo74lla8gs4fD7ze+cf01aOHh8P36Nttg +ZZLZw+l0wadUhS1o4eF0ynhxaFE9SWYPp1O9SnZ/96CFh9PJ22P2zKayzB5O +J+3clq9H0MLD6bT+wtqpzorMHk6n/+KGfz2LFh5Op4nrf81wU2X2cHhfCgL+ +XEULD6fTgn/HFvaqKLOH06l40cxy99DCw+lUoeP56MGVZPZwOjUqE1n3BVp4 +OJ0K4hocGmMps4fTqXmvjS4f0MLDYR6NeHlrcmWZPZxOM6oWHV2IFh5Op9Ar +377NspLZw+F5PXxpZZEqMns4nerUm9AoHC08nE4DfN6llasqs4fTyXSyw4jV +aOHh8P5OmfC7cjWZPZxOkx4FxcaihYfTKdKxj0u96jJ7OJ3kDItXe9DCw+lU +xNgc0bSGzB5Op6D3pZsdRQsPh+v9979HzjVl9nA6nZs9aeE5tPBwOvVJ8Hfo +XEtmD6fTvYeuL66hhYfT6W0PfZVnbZk9nE5ufed1uo8WHg7zo1Xu1yF1ZPZw ++H4d7Q5mo4WHw++X+t9Y37oyezhcr989auehhYfTaY5bo6dB9WT2cDp9zHqx +8RtaeDi8/9WmDZpbX2YPp9OGTh+qFreW2cPpVHNH++dL0MLD6VR/ccAOUwOZ +PRye79Zz/dehhYfTKaTkxBbVGsrs4XTKbdv2z1a08HA62Vm/u9agkcweDu9P +hWkbE9DCw+l0yO3NuOY2Mns4nb6pju1OoIWH08n66iipg63MHg6fPyk45zxa +eDj8fCvfs10by+zhdFrYo+2GDLTwcDr1ismf0r+JzB4O86VXZO8naOHhMD9O +lHDwtpPZw+lkUW+08hYtPJxOHs/iCyY0ldnD6bTSMf2xjhYeDu//1Lup0+1l +9nC4Pvmp+/6ghYfDemOsWbewmcweTqcHV7uFWjjI7OF0ijmfM3kVWng4PB9N +/bwtm8vs4XRyGpzRdzNaeDjcj7XVu9RtIbOHw3xo7NlhD1p4ODw/Af6tmjrK +7OF0anVgfLOjaOHhdLrWsl+TNi1l9nA67fWqb0to4eF0qubz1Ma9lcweTqdF +O2c3vo4WHg7rXUAJ+z5OMns4nQJN0xwfooWHw/P64Hbb4a1l9nB4/ipZdn6N +Fh5OpzbV3Dz9nWX2cHifnYYO+4IWHk6n4JPDJ0xtI7OH0+mDufucX2jh4fA8 +OdVfFdpWZg+H9eP2252l28ns4XSaWmn9qRVo4eHwfvRpfrdie5k9nE4dn6R8 +ikELD6fTkL92Zet0kNnD4fNJK212o4WHw/s94llXOxeZPdz/91dVJhxBCw+n +09M/HVc5u8rs4XS6lT/4+Dm08HA69R/n/byzJrOHw3xOHlD6Olp4OJ1S7No6 +9ukos4fTKbmmyechWng4XP+nmVHDO8ns4TCvUxaff40WHg77gdKNv/q7yezh +sN+rRk3y0cLD6VS0s/voaZ1l9nC4Xg/PxP5GCw+H9dim4aMwd5k9HN6/JaGW +Fh4yezidDva81X8VWng4nV5fltZbdpHZw2G/0cPt8Wa08HD4/Hbja9XrKrOH +w/NOi8buRQsPp9OvgWsT7bvJ7OHwPHRb/+MYWng4neJzV3i06y6zh9NpWsSs +6DS08HA6lZo77G2XHjJ7OJ2u12jZJgMtPBzW/9NFV/bvKbOHw3pz8NKbJ2jh +4bDf7bKgg89/Mns4zMMXzTfmooWHw/dPf1IQ0EtmD6fT16Gz+xWghYfD/vND +xWOzPGX2cLh+N+IrF+0ts4fTqYSX/ewItPBwOs19nvSyQh+ZPZxOh1Psuq9D +Cw+n07YOO45V6yuzh9Np/Hml7na08HA69d4ye1WjfjJ7ODwf1tn/DqKFh9Op +1iHXKS37y+zhdGq2dtPbU2jh4XRKbKQP6zhAZg+H5+mC2/0raOHhdDp1do1n +Ly+ZPZxOswOyrt9DCw+H/ULjRt2HDpTZw+lk7h6Q/hItPJxO+xod7jlukMwe +Tqd3Vubbn9HCw+HnBTsOnDpYZg+n05Q1U178QgsPh/t3I8k/bIjMHg7zadbn +wjJDZfZw6IImi1ahhYfTqdta/0qVh8ns4TAfEvfsjkULD4d5tO1t2/rDZfZw +mIepDTP3oYWH0yln3Dh/hxEyezidyhgJxU+ghYfTafpxfWuHkTJ7OJ12lm/r +chEtPBz2I30WZ3X3ltnD4evP7i7IRAsPh+fHomGDQT4yezisHw5zrmehhYfD +fvvAg+Cxo2T2cDivPGxVKw8tPBzW3wqb0qeMltnDYR7tLj7zB1p4OJyn/k6x +XTBGZg+H5y/w3dNSY2X2cNj/eI2JWoEWHg6fr0KuRyVfmT0c1tPPgf82oYWH +02nNgGKn6vrJ7OF0uhu3edpetPBwOq1q0KFVs3EyezidVrvnFh5HCw+n05Uh +m1La+8vs4XTqnOY19wJaeDidXLGudB8vs4fTydKcVz4TLTycTu7TrzwcOEFm +D4f5f+5AfBZaeDi8Dw22BI+dKLOHw9+3iOmchxYeTqeIy9usggNk9nCY96eO +5f1ACw+n00W3+xcWTJLZw+H7nSu2pfRkmT0cPs+SDjNWooWHw/tbJHyAZaDM +Hg7nmbBnLWPRwsPpdGRSx8r1g2T2cDjf1Tv+Yx9aeDj8+V+tXzhMkdnD6bRp +cPrlE2jh4XTasmlikkuwzB5Op+U1a8deQgsPp9Pwjq8ie4bI7OGw3o1NnnkX +LTycTu3fbZ4wZKrMHg7vo+3akS/RwsPp9DIydsC4aTJ7OKwvfin/fUELD6dT +3/q5XaZNl9nDYR7VsO38By08nE4/j87rtGiGzB4O86Dbu07lZsrs4XTa08HX +fQ1aeDidqujfu1WdJbOHw/NycVvvbWjh4XA+qDJicKPZMns4rPeezcckooWH +w37xarWgVnNk9nA6lc6ttuAMWng4nVqXa7Haba7MHg7now3e8elo4eFwffN2 +n+wzT2YPh/1sQMnMR2jh4XRqN2fOh5HzZfZwOt2YVb5ULlp4OJ1OXz9ef9IC +mT0czreZM9wK0cLDYf/0ZcDYOaEyezidxkb8F1k8TGYPh/v/Z+ShpWjh4XQq +PLriobJQZg+H+WR6WDQGLTwczqNB7e3rLJLZw+H853pu2B608HB4f54PW2G/ +WGYPp1PJxCqpx9HCw+F6VDYK2ofL7OF0ajrrfZOLaOHhsP65/BvTI0JmD4d5 +eMVx2x208HA6NZ4V8XzwEpk9HPbLp3/WeIkWHg7nm/wVI8ZFyuzhdNo/r+OO +L2jh4bC+XLd8N22pzB5OpzPtyjn8RQsPh/fTqd6sxctk9nDYr9YZern8cpk9 +HObdyORK69DCw+F5HdpybPUVMns4fL5Z90/sQAsPh/lUd1P5xitl9nDYj6SE +jT6MFh4On2/3qjPOq2T2cFh/+qRZpaKFh9OpX70qU7tEyezhsD+av+5eBlp4 +OLwft5ycvFbL7OGwP5n9L+Y5Wng4rB8fP/8bs0ZmD6eTVUTJcXlo4eF0ck52 +uxO8VmYPp9OSl/tcfqGFh9MpOsj5YNg6mT0c9te3vtQsGy2zh8PPG3U7ajVa +eDideqx/UrLqepk9HM6Hd0xzt6GFh8PXFwR8bbRBZg+H/YnFt6BDaOHhdCr2 +OOGL00aZPZxOgwYun3wOLTwczstfNuruMTJ7OJ18y96ZehMtPBzej4rOv/tv +ktnDYX879fqiZ2jh4XQauHm5NGazzB5OJ8cvs2M/ooWH02nFs5gmwbEyezic +7zLenvmJFh4O5+3mo3uHbZHZw2F/PFl6axEns4fD5yv8OHc1Wng4nQz7H1ZV +t8rs4bC/X9L62Da08HA4v03a09dmm8weDuevnt3Mh9DCw+F9jaq5vvV2mT2c +TvN2W7cjtPBwOr3/NeKVxw6ZPRyexz83lmWghYfD9ag6ubXXTpk9nE7aWfec +52jh4XAe9eoTPTZeZg+H57vPmi6f0MLD4fPVtvgdsktmD4e2Sz7yGy08HPan +uTETFu2W2cPpNI6ONSy/R2YPh+9Xr/SbtWjh4XCeDF29s/pemT2cTiO69R+7 +Ey08nE4XPnjaNtkns4fT6e+9RV+OoIWH0ylzuvlE2/0yezjMD7fYsPNo4eF0 +arFnvmf3BJk9nE72leJq3UELD4f96s9vXwYfkNnDYT5eX3HhJVp4OFyvryNj +/A/K7OF0Cvo8KUhHCw+nk0/90z1mJsrs4bA/Ke1uU/SQzB4O62+5CqUj0cLD +6VR3e8V3cpLMHk6nYe0GX9+IFh5Op1c9spLqHJbZw+F8775z41608HA6zYzZ +G+ZwRGYPp9PD058npaCFh8P7WHvacO2ozB4Oz4uTa6+raOHhsB5N7d6x9zGZ +PRz2s702Oj1CCw+H9c7J1t77uMweDu/X9j+N3qGFh9OpcrHK1oHJMns4nfJv +Tqn3HS08HL4+s1L9BSdk9nC4/jN+NSiTIrOHw3qn2TSJQgsPh/XFfXOLKidl +9nA65f3t334bWng4nWZlD+hqc0pmD4f9p3ecVxJaeDidGhXY+zmfltnD6WSj +lpmVihYeTqdwh8arup6R2cNhv3MpevdttPBwOvXq2iN10FmZPRzWg2Y9nmWj +hYfTyVuP/jnunMweTqcapeyq62jh4bC/fFPBZSbJ7OHw80u2H100VWYPh/NZ +VvLSSLTwcDgffph3TEmT2cNhvd26LjsGLTwc5v+076Z652X2cHif3u133Y8W +Hg7P76QDU1pckNnDYf1d8GfPKbTwcFivYuJedLoos4fD+9w8qup1tPBwuJ/n +7wzod0lmD4fn8bLvuqdo4eFwnj/R8/7oyzJ7OOy/pcVV8tDCw+nUp7s8IuSK +zB4O7+vLz7t+o4WHw3nSs27+oqsyezh8f2Vv+wrXZPZwOvXctnBZNFp4OKwX +M449q5kus4fD36/czmE3Wng4XO+vVuH212X2cNiPrumRlYwWHg6f1/+Bs+sN +mT2cTs8KU6KvoIWH0+nxcXOB502ZPRzO9w0jvB6hhYfDfLkQfMo7Q2YPp9Oo +8qfqvEcLD6dTzNwhkUG3ZPZw+P2jBxT8QAsPh/mcmeATdltmD6cTJQ3PLJsp +s4fTafDhCW5r0cLD4Xr0fXCi+h2ZPRzuhxpnH48WHg7n48ALe+zuyuzhdPLM +86h/HC08HPYvJW23d7gns4fD89R+fN3LaOHhdKogl4vvdV9mD6fTpKLlbR+i +hYfT6UVUQNLIBzJ7OJ2qujq0fYcWHg7r2Yr+lwMfyuzh8H7/etL/B1p4OJzP +K1zMCX0ks4fTqW0neWbZxzJ7OJ2q10w1rUULD4f3o/a93dWfyOzhsH7e6Nkx +Hi08HObD7sZZdk9l9nD4/s0mzT2OFh4O55sPVrVdnsns4XD9h9pcuIwWHk6n +5iW3+Xs+l9nD6XR+wnz1EVp4OMxT9cpZ7yyZPZxOSfOmT3iPFh4O889xdfUp +L2T2cDh/HK+U8RMtPBzm/9JiCxdmy+zh8PlaD21b/qXMHk6nBlrtgnVo4eF0 +CmjimVTzlcweDp933sdJu9HCw+F8QAXNmr2W2cPh/D91gvkEWng4nbo26H1S +eyOzh8N5zmtn6DW08HB4fqPG9uybI7OH0+nToA1Vn6KFh9NpmXOb96Pfyuzh +cB5L7nE6Dy08nE43h9xaNTVXZg+H63vwou9ftPBwOp11stMi3sns4XQaMr9U +Dfm9zB4O+0W5/8+NaOHhsJ+fYvm07geZPZxOIfY9zu1HCw+H+ZdRuNPxo8we +Dp/3ueXyM2jh4TCfXydMc8+T2cPhz09IGZ2BFh4On7do+34DP8ns4XRKbNra +PRstPBzuz5z9bfw/y+zhcP6bud7BQAsPp1P2oV+2s7/I7OF0qpnwpEGJfJk9 +HD7/N0frFWjh4XQqW7dMg8q6zB5OpwXHBtpsRQsPh/XRpb69jSGzh8Pz33OC +02G08HCYJ+MdOrY1y+zh/v/vVcG9LqCFh9MpVW45omeBzB5Op39HgwPvo4WH +w/O5tcXiEYUyezhc/1FBsblo4eF0urLUITnwq8weTqeiewPv/EALD4fzQbcW +etg3mT0c9p9/p6rlv8vs4XA+8GrvFI0WHk6nA68WD6v1Q2YPh/Nxi36L96CF +h8N58kF8ksNPmT0cznPes7NOooWHw37Z+6HJ7ZfMHk6nHL+THW+ghYfDfq9M +vekDfsvs4XCeu68cykILD4f3f2Tke78/Mns4nd44RzbU0cLDYb9QqPjO+iuz +h8N+tqH13uL/ZPZwOA/4pOYtRwsPh/OCc65j5SIKezidtrrEzN2KFh4Ov6/F +w2s2RRX2cNiv2W2vcgQtPBzOY+2++7crprCHwzy48ezsRbTwcOgQz0q9iivs +4XQqd613wEO08HCYryNeXvUuobCHw/niRtFGH9DCw2G93nMkIrikwh4O+82I +gg+/0cLD4XkuerV3eCmFPRz2z4lNT0qlFfZwOvW1bmgdgxYeTqcqvw6vrldG +YQ+HeZGeWfQAWng4rH+Nw0NaWSjs4XTyOnbr/Tm08HDYD/1L9OlaVmEPh3m5 +y/pZJlp4OKxXWvPBQ8sp7OFwfvG7/+gNWng4fP2EMnRSeYU9HObvlbcvvqGF +h8N8bjnAL7SCwh5Op0obhutlTQp7ONy/ZUXmrUMLD/f//99xqVBLUtjD4Xwf +XWXrHrTwcDoVf7XSsbmssIfD+mK/I/0UWng4nB+t+o3prCjs4bA/aLujSAZa +eDj8vi2itg5UFfZwOg3YUrPjS7TwcFhPq/bIGV9RYQ+n0xa3qssL0MLD6RR3 +akmreZUU9nA67ayx6WVpS4U9HPZDZXpFrUYLD6dTRo1dHatXVtjD6dT+x9bC +eLTwcDj/dHA9YG+lsIfT6fiShWNT0MLD4XwzO6BupyoKezjMpxN/XlxHCw+H +/ebTltsHVFXYw+E8F1lx7Au08HC4v6M2NfGvprCH06mJRXqBgRYeTqd9tXam +zqmusIfTKdi94apSNRT2cHj/6vT3jkILD4f9UJ+mLavVVNjDYb8x90jZeLTw +cJhn7m9eN62lsIfTybX3BTqBFh4O+3vX3nEdayvs4XD+Pbls/nW08HA6rfeZ +NnpAHYU9HM5Hz+XuL9DCw+lkfWeoo39dhT2cTptyBtY2o4WH0+nk2ZKmufUU +9nA63Sri+69UfYU9HPbTA2aao9DCw+m0fZT2oZq1wh5Op8hbp1/Ho4WH0+mc +W94L+wYKezid6vvdyUpBCw+H9fzlpOxODRX2cDpFDTv/5gZaeDjMi/k3P3o1 +UtjD6dS6MKowGy08HM5vYysUm2CjsIfD8zXbTSlECw+HeZTtWH++rcIeDtfL +9UVri8YKezjM504enmvRwsPptDHa379mE4U9HM6Lr7uF70ELD4f171HuruZ2 +Cns4/Pnana+eRgsPh/XDd+Qn96YKezjMt8FtLW+jhYfT6Xb8fdch9gp7ODyP +35oHvEELD4fn54/nlknNFPZw2L/7Otz+jhYeTqeJL+6WXOigsIfDfq9KO5cK +zRX2cDq5k+/MDWjh4bBfWDTwRN0WCns4nd5VNn1PQAsPp5Nzo2XtnBwV9nA6 +7R57KzQVLTycTgVhT9O7t1TYw+G85ZBU+T5aeDh8vVavsSNbKezhMP+KJCe/ +RwsPh/dlybuyIU4Keziduvi99fmLFh4O16dP0uklrRX2cJjv3z2qVHRW2MNh +HisJ07eghYfT6Zjn88eN2ijs4fB5/bJcjqCFh8M8K39od/u2Cns4fP5CT+UK +Wng4g/Lup83r005hD2fQsDElvzxFCw9n0Fqv6qN82yvs4QzKGVXiUT5aeDiD +imtpvWd3UNjDGfQ1vu+Nki4KeziDbLqd7B6FFh7OoMFvCq9Xc1XYwxm0z96i +9y608HD4ednmB800hT2cQZ+PJHufQgsPZ9CXEX0/de6osIczqFHclTm30MLD +GbS4sZU0pJPCHs6gAec6xr9BCw9nkFapa/vJbgp7OIN65zZ++AMtPJxBH40P +IYs6K+zhDLpvLLGU3BX2cAa12FnyZAxaeDj8vFSfkdYeCns4g5JKx5U5hBYe +zqCCVqePtemisIdDlzk1+iJaeDj8eZfNlp5dFfZwBi2NHpn+GC08nEHuN0qH +jemmsIczqMSOde2/oIWHM6jHg1I/Z3ZX2MMZVNR25KkSPRT2cAZNHBI3dxVa +eDiDWjW55Fatp8IeziD/YffK70ILD2fQ1EM3HjX7T2EPZ5Dt28Q9p9DCwxl0 +8vzsme69FPZwBp0p3bLXbbTwcAY1mfGowVBPhT2cQb4P/Yu8RQsPZ1DT9++e +B/ZW2MMZtCfI69wvtPBwBo1sdXR7eB+FPRw+/49/EUpfhT2cQYlhHYJi0cLD +GdRvw8Thjfop7OEM6v9nRc8jaOHhDHKevcOlQ3+FPRzu78P9jlfRwsMZRE/2 +NOk3QGEPZ1BAj00Ns9DCwxlU2Qiz9vdS2MMZ1GnDqAYFaOHhDMou3tZ2/kCF +PZxBXYqWdSg7SGEPZ1DrwXfbRKOFhzPo8f31HnUGK+zhcP06DvBKQAsPZ9AT +P8nfaYjCHs6gjRUvz0tDCw9nUJo8a0PPoQp7OINWNLE7+hAtPJxBsQ7PM0cN +U9jDGfQrb4X5E1p4OINq2btWmTlcYQ9n0Ls03bXECIU9nEG7p+0evwotPJxB +cvURG6uNVNjD4XmbV/XaLrTwcAblTn7828FbYQ9n0CuKczyDFh4O389lfEAX +H4U9nEHlzrbffwctPJxBXuWtPgwfpbCHM6jN3x9279HCw+H9G/N2SshohT2c +QafV56f/oYWHw89/klV62RiFPZxBV6LyvCqPVdjD4f6XKb1vO1p4OLyvls3+ +2vkq7OEMmrBirFcKWng43G/3hMNufgp7OIPqq0XlW2jh4Qzalu4XNGScwh7O +oPLu2fdz0MLDGTRw0IQOQf4KezjMy6cWe3+jhYfD+73njOWS8Qp7OIOWr10U +XnGCwh4O78OMUT/j0MLDGVTaYWBQ44kKeziDeq4d/fE4Wng43P85S8Z1DFDY +w2GeXb787gZaeDjM0x61Jw6apLCHM+ha7hrjNVp4OIMeTqs3Z/JkhT2cQdXu +Z5T5hRYezqCxLzZuDA9U2MMZVCQ4tIkapLCHM2h/QGTaFrTwcAZtOHh4iO0U +hT0c5ony6+sxtPBwmDczfNdrwQp7OIMqnC9wvoEWHs6gaRm7swaGKOzhDGo+ +b27Ea7TwcAa5HZnpOHmqwh4O86Zn7KufaOHh8D42fbsufJrCHs6gB20GdVen +K+zhDPrW11wsDi08nEEJw1LO2c5Q2MMZdK/t3rnH0cLDGZSRecG140yFPZxB +waXKl7yJFh4Oz8u50JuDZins4XB/sxrEvEELD4fr2euHX+BshT0c7of5d5vf +aOHhDOqQ3FxaMkdhD2fQs7kbcyvOVdjDGXSiRYsLW9HCwxm08uy/7U3mKezh +DIovXWzRCbTwcJjPP9v4u81X2MPh+obu7XMLLTycQaMW9+owdIHCHg7Xw7Cz +y0ULD2fQquOdawWHKuzh8H6cXF/xH1p4OIM8P9YvvyxMYQ9nUJjzp9JWCxX2 +cAbdWGkutRMtPJxB05+1tmi2SGEPZ1Af+bTpNFp4OFz/qvOsuixW2MMZNORd +aP27aOHh8PknXmkxMlxhD2fQ1g2e7h/RwsPheRlSfej0CIU9nEH19jQJKb5E +YQ9nkBIYGrUKLTwc5vHemknVIxX2cAaZ3Ire3YMWHg7Pb8umPxyXKuzh8PsE +7aiXihYezqBxn308ey5T2MPhfd8+cf4jtPBwBnVbcOHImOUKezjMp1C/9/lo +4eEMGrNlcP25KxT2cAbNSd/kbbFSYQ+H96Wo3fZotPBw+DztTDl1Vyns4Qx6 +HtjJLhEtPByeh9hr09pGKezhDNqbEn/xMlp4OLwfqfcs+61W2MMZtD1xyPgX +aOHhDGqwoPX5CWsU9nDY/zWfWPM7Wng4g2bTj9mL1irs4fD+2bx8Lq9T2MMZ +VGp8fbctaOHhDNq1MC3BNlphD4ffL+SMVTJaeDiDLrS3jOi0XmEPZ9CdZzd+ +ZKCFh8PnG/Bm8tANCns43I99w97nooWHw89/4uQbslFhD2dQyofgt0ViFPZw +2D9kVRq/Ai08nEGOJ6vpVTcp7OHw8+eHz96NFh7OoJatB1s4blbYw+F9zFm7 +idDCwxnUOKp1s56xCns4vJ8u7lceoYWHM+jm97Ojxm5R2MMZZHF5R1EDLTwc +9luHCnbOi1PYw2Henz3WrdxWhT2cQS9/vjY2oIWHw/MdtHCr9TaFPZxB6Xbr +PA+jhYcz6F9rq+Iu2xX2cAZN2VrhVDpaeDiDCifPCBm4Q2EPZ5CePKjFG7Tw +cHifww6ZA3cq7OGwf385N+UPWng4/P2XFxcsjVfYw2F+RS/uabVLYQ+H57ls +WvV4tPBwBk3qP+Ozw26FPRyu/8IDF8+ihYfD+rV/RFz3PQp7OOzHn66a/RAt +PJxBdxt3HDZmr8IezqCDB4I1HS08nEGHptrYzNunsIczyGOzd8Vy+xX2cAbN +d6xZbCNaeDiDygwYWWCdoLCHw/W3svlwGC08HObd6hmvXQ4o7OHweZ73yb6O +Fh7OIJdKx7MHHVTYw+F57LXzTQ5aeDjsR/fWzJuSqLCHw/vUrsG3f2jh4Qw6 +Z3265IpDCns4g9QF2VbVkhT2cAYlj1/fdA9aeDiDJhfL8mh5WGEPh/3S2JTR +aWjh4XB9jzZc1OuIwh4O87Zc471P0cLDGdR15YVb444q7OGwXg0r+FmIFh4O +83jjWduFxxT2cJgPY+oMk48r7OEMCnpdc80WtPBw2L85n7jeOFlhD4d5Ffmp +TApaeDjsd36mdXM/obCHM2hLUquVd9DCwxm05G63ByNTFPZwBn2K/Ff3E1p4 +OIM2/xoYNOukwh4O16dP/4ulTyns4QwKPVpYLRotPBz2Kz07TK13WmEPh3nZ +xe7uIbTwcAZlZVxo2eGMwh7OoLemkpvS0cLDGeTaUi826KzCHg73b2Lk5By0 +8HBYH3MyXkw5p7CHM2j4zfP9ipDCHs6gI/19r69ACw+H75dwyqN6qsIeDufR +ommX9qKFhzPIZ+msrk5pCns4g/Knvcu4gBYeDs/3z3KD+5xX2MNhPe729m0W +Wng4g9ZtmDFj4gWFPRyuR7WLFX6ihYfDfrRM+u6Iiwp7OIPsNyxzs7yksIcz +qNI/izc70MLDGVRshscSh8sKeziDXrft5HAOLTycQd6hf5/2uKKwh8P+afGc +ZY/RwsNhP7PwrIvfVYU9nEGdk88XFqCFhzPo2JAVSWHXFPZw+HpirclyusIe +zqDqX6Y3j0MLD4f1Ztimb02uK+zhsD63ikg7iRYezqC+p1xXdbmhsIczqEbT +S9730cLD4Tx7q4rT6JsKezicd9+0k3S08HAGjU5sljcvQ2EPh+djSuGN8rcU +9nB4PqetPLwJLTwc5v2/XzE2txX2cAbt7OcanowWHg7n39ODp3XOVNjDYb7M +6zn+Dlp4OHzenCqjvO8o7OGwn2mbOvwzWng4nOeuug2fc1dhD4f9x9N477L3 +FPZwBv04+8ZvI1p4ONzfcyWCG95X2MMZFG5bKuwYWng4gy71+rCu0wOFPRz2 +U3OPJNxGCw+H82tJ78sjHirs4XDedi54k4cWHg77Mb/A0rMfKezhDCr59n5T +i8cKezjs90s3HLQBLTycQV9rjwpv8ERhD4fnedGylKNo4eEMGrF85+eOTxX2 +cAZZr0iwuY0WHs6gn1nxviOeKezhDAp5ErU3Dy08HL7+KPDzrOcKezjsB1p6 +OFtkKezhDKrSTQ3fgBYezqDLQQ8eNnihsIfD+lBuXdNjaOHhcL4L6RnRKVth +D4fzY9miObfRwsPh/Gl/1GPkS4U9HOafy5gDn9DCwxnUbItl5TmvFPZwOE8d +uLqw7GuFPRzme8a8wo1o4eFw/8e1mdDojcIezqA1z3+8OY4WHg73e13aqM45 +Cns4PB/G6jd30MLDYT3znjje563CHg7z3L1vwRe08HA4n5V1D5uXq7CHw/pf +zaNShXcKezisJ9le+zejhYfDn78yo3Pj9wp7OOz/Ohx8lYIWHg7zJrFwUZcP +Cns4g6yW9mvyAC08HK6/69X7Yz4q7OHwvGsDFpnRwsPhff79yyksT2EPh/3x +27Of5E8KezjsB6fE7t2KFh4O59WKMX72nxX2cAb953q88Vm08HD4fhMNvccX +hT0czi9y37NP0MLDGVRr1/3l/vkKeziDnHbM8/6OFh4O61VoL+cIXWEPh/X9 +nEelyobCHs6gTvfHFcSjhYczKKJj8iNHs8IezqCFoQ5p59HCw2G9qvj4YJ8C +hT0cntcZh+Oy0cLDYf/Y7PTayYUKezisx6e/Lf+LFh7OoP5x45au+KqwhzPo +6VBpeY1vCns43K8Zn1YnoIWHw/s9q0Rs2+8KeziDPuQO2H8NLTycQYu6vj4z +6IfCHg77M+vEu7lo4eGw3pVK+Tztp8IeDufzmcVMpX4p7OEMMkqtah6NFh4O ++8UOIwdb/1bYwxnUbn/I4qNo4eEwj5/dPt7pj8IezqDUUVM/ZKKFh8P9uDW6 +vs9fhT2cQWWPbvHORwsPh++/3XrH/H8Kezjcf/ufuaYiKns4g+I+124RhxYe +zqC5MzYsaFpUZQ+H7zd61N0zaOHhcP7wCGvcs5jKHs6gime+L36KFh4O+/MR +V3LGF1fZw2FeX/3S7SdaeDicZ9cHH4ksobKHw3rt27921ZIqeziDCh6ujdqL +Fh4O8yzSsZRzKZU9HJ7nGq0WXEELD2fQi+ab/nqVVtnDYT4GjAl9ixYeDp8/ +JLrMtDIqeziDNn6wX1fSQmUPh/3MtBbW0Wjh4XD/U7enWJdV2cNhfgfO63MM +LTwc9qMO6Z/dyqns4bCe7A+PuosWHg7zY/lxp9HlVfZwBu0LHfTSQAsPZ1Cv +NkFRYRVU9nD4+qiinVWTyh4O8y2x7O/taOHh8HkzVqY0l1T2cFjfQ1bNTEML +D4f118vk2kdW2cNh/2pTweIlWng4g2y2r3gYqKjs4bA/mbF6fxFVZQ+H87Fv +tbAotPBwBgU2aDyiTkWVPRyuT+B5lyS08HCY7yVy6muVVPZw2D/Fra1wGy08 +HN6PP7d+jbRU2cPheXi5+fMXtPBwOD+V/ZEzv7LKHg7fv/37l5KVyh7OoPNt +J73aihYezqAV1yJzm1VR2cMZ1PZQB53QwsNhXh5d9s+zqsoezqCkLVPVbLTw +cNh/O/+xDaymsoczaJantUeR6ip7OLyfiXm+UWjh4QzKqztoeZ0aKns4g0yz +xiUnoYWHw343vHaOVlNlD2dQVJX5VTLRwsMZ9LFYZG+fWip7OINmVnVdoaOF +h8P5ofnOjNDaKns4PC91T1RS66js4Qy6lTpn5A608HAG1X5fmNiirsoeDvv7 +EOviF9DCw+H3dy07rF89lT0cnp8G+06+RgsPh/NUyeI1Quqr7OHwPqRWXVjC +WmUP9///D/nyeR1aeDiDrnZdPLJBA5U9nEGxz57fO44WHg7z4cHPXh4NVfZw +OG/XyLr5AC08nEE9NkT28WuksoczqHKzf4+/oYWHw3knQ/NbYqOyh8P749P7 +RxVblT2cQe/vN4vahxYezqDgqi+btG2ssofD9azheyMdLTwc9ve3U4OGNlHZ +w2H/1shcPQ8tPJxB06z+pc+xU9nDGTR13dt5FZqq7OFw/dYntI5DCw9n0PJK +vQvt7VX2cNgPWTw4QWjh4XA9glzn926msoczqGXXlT1eooWHw3qw7GKNKQ4q +ezjsf9q/MYo1V9nD4ecN/XJzLVp4OKyP73MPWrdQ2cPh8366teY4Wng4zLPx +++Z4OKrs4fD8+U+b8BAtPJxB83TnkeNaquzhsL6UNw/8gRYeDuv7ld0DlrZS +2cPh92nqNai6k8oeDutLr+LeB9DCw2G9dU6a2KG1yh7OoJh/I+ZloIWHw/7/ +uBI90lllD4f5NCk9KR8tPBzmj7YsM7SNyh7OoD9uXt/Utip7OMzr1U3rxaOF +hzPoQeeKfVu1U9nDGeQ40yLiMlp4OHy9p5o2sL3KHs6gVi+aFXmPFh7OoEF9 +xnSe1UFlD4f9x6XDK8q5qOzhDLLwqfYsFi08nEHbum5rZu+qsofD8xnvvoTQ +wsNhfxFb/m1vTWUPh/33sG9dX6GFhzOohVzmcHBHlT0c3pccrVbJTip7OINO +lI+PWo8WHg7PR7JjGRs3lT0c9leWxqKTaOHhDDIPf1mqR2eVPRzmz+XiK5+h +hYfD+TBiaLVJ7ip7OOwXn3xI+IcWHs6gXT+OdlrtobKHM2hDvZNZ9bqo7OEM +erz29/xjaOHhsH9YsaChR1eVPZxBg/t2ufMQLTycQX4N+i3076ayhzNoQs/d +bX6hhYczaLvJrXB5d5U9nEHFjzdJrtVDZQ+H/cXSUXOS0MLD4fl+8r5Lp54q +ezjMu8ILVe6hhYfDempr/jz2P5U9nEEHrs5M/4YWHg7rV6MhCZG9VPZwOE9v +Wr+6uqfKHs6grTOazz2IFh4O96N600muvVX2cP//98dlYzLRwsPhea3Z23t0 +H5U9HM4DTnN8CtHCwxnkulQdF9FXZQ+H33e2ZUjVfip7OKzfARGLE9DCwxnU +5vL42A79VfZw2I9+Sku5hRYeDvPkv1VPfAao7OGwXvW5X7QALTycQdfHrrcP +91LZw2F+/LznXWWgyh4O60Ho2o370cLDYf4F3LvffpDKHs6gEo6bqtxCCw+H +9aVbrrfPYJU9HOat7blEM1p4ODwfveoWCx+isofD/q1VxaFVhqrs4bAfG7r+ +5H608HD4fdwO1uwwTGUPh/kweUjELbTwcJiHY7Z99RmusofDer43dEIBWng4 +nOcvFXkbPkJlD2fQxc51fKuOVNnD4X0+9upjAlp4OOy3dnSf7uKtsoczaMC2 +IWUy0cLDGZSjWW4d7aOyh8P5turcdl/RwsPhfJ267vmSUSp7OPStEYurj1bZ +wxk0/uXzFolo4eEMcltX6a02RmUPh/c7ouTWu2jh4XD9hx4d7jtWZQ9n0N3j +der9QAsPZ1C1dr3zlvmq7OEM2rm285lafip7OIPW+xdZcxgtPByeJ//wgM7j +VPZwBjl0fNzrIVp4OKwPO361Gu+vsofD33fJr/cHLTycQcVunLSMGq+yh8P+ +qOhQU/0JKns4nGc2PiifjBYeDueJ/5op3Saq7OEMUp/7VX+GFh7OoOllFjaZ +HKCyh8P5ZfXCjsUmqezhDKrjMnF4NFp4OJzH77nOt5mssoczyLdm0T2n0cLD +GTQu58i9XoEqeziDGvwcWOYVWng4g7KbmDtODVLZwxnU/L+I0DJTVPZwmNeO +Vlc2o4WHM+josV1qs2CVPZxB9xNajTmPFh7OoBkF104PCFHZwxlUMmBs1fdo +4eEwj76WnTtnqsoeDvvT4LNvpWkqeziDPNPne+1ECw9n0NlX/W84TVfZw+F9 +3+PaNR0tPBzmWQm39OEzVPZwuD4fffrqaOHhsB/usTV70UyVPZxBv6v9Daky +S2UPh/2hZ6h0AC08HObz6yZJrrNV9nA4D9wpMvAuWng4g65UK17Cb47KHg7n +qbOtTvxECw9nUJ+zmwNXzlXZw+F9qevsUG+eyh4O5129wtfjaOHhDKrbts75 +bvNV9nAG/S09Zd1ztPBwBr2bUCogaIHKHg77iRnZPUqGquzh8Px3Lt48Bi08 +HPaXuUE1moap7OEMcprbzJSGFh7OoKB6rqUGLFTZwxn069vOEu/RwsPh79fx +sZi7SGUPZ1DRCzMrKYtV9nDYX5bSG+xCCw9nUKRVeoc24Sp7OMzv+mWG3UQL +D4f9ypDEBT4RKns4g5x1SihECw+H9a2m9jxyicoeziD3Ki0sa0Wq7OEwn2rG +9DuCFh7OoD0TZ2z0WKqyh8PP97j7+glaeDg8z18OtJq8TGUPh/c3qczK4stV +9nA4n2e+z9uAFh7OIK9t/frarVDZwxmUENDtXCpaeDiDyi/PcBiwUmUP9//9 +zdt979HCw2H9r7zOdt4qlT0c9g9OTw+pUSp7OINuK9R+D1p4OINOlXG73W61 +yh4O++EF48ffRgsPh/mW7Vxu7BqVPRzmzbrDR36ghYfDemzxyHvlWpU9HObP +4UOW9dep7OGw/v5tl3kCLTwc9lf+C9b2jFbZwxmkTVww7CVaeDg87wtd7aat +V9nD4X1wSCtWboPKHg77y29lsreihYczk8vwahdbblTZw5lpwKfvidfQwsOZ +aUPLvdtGxKjs4cwUfKFJjBktPJyZVjeN2Lhkk8oezkxZFufiam5W2cOZKcK4 +m3AELTycmYotvkFdYlX2cGaqOCrhyTO08HBmutE05HfQFpU9nJnWr2rcoHSc +yh7OTI4t7vSPRQsPZya6MGlZ860qezgznSosfu0yWng4M5WbG11h2DaVPZyZ +iqiNButo4eHM5BV4JiF8u8oezkzawMEla+xQ2cOZaXRkUd/DaOHhzJRzI+Wm +x06VPZyZxn0Ma/8MLTycmSyPjD4SFK+yhzNTnD6iWeldKns4M3WcN+NoLFp4 +ODPdanbMpcVulT2cmY68tMy8ghYezkyfpmwfP3yPyh7OTNaXh5Qzo4WHM9O2 +NPejS/aq7OFw/zx9R9Xap7KHM9PrYalVjqGFhzPT1qcDH3Tbr7KHM1N0qsPm +F2jh4cy0qoSn39QElT2cmarGJ7ctd0BlD2emxVsnWG5HCw9npi8/g745HVTZ +w5mp67mb2TfQwsOZqV9hWOaoRJU9nJmSDkVf+44WHs5Mz4qUvbbykMoeDs9j +kTe3rJNU9nBmqnutUdYptPBwZro067G592GVPZyZhjn/kXPRwsOZSbbd0Gru +EZU9nJlMMxJ8Kh5V2cOZKby/S/Q+tPBw+H30frddj6ns4cx0PzxffYAWHs5M +/T2rDJ94XGUPZ6YdS28lFktWtf8BsspbCw== + "]]}, + Annotation[#, "Charting`Private`Tag#1"]& ], + TagBox[ + {RGBColor[1, 0, 0], PointSize[0.003666666666666667], + AbsoluteThickness[2], LineBox[CompressedData[" +1:eJwsmnlUTt/bhytJz5mIDJFCSSGlASm1lVLKUImkaEBShDRoljKnJM2DNClK +MobsUlEkiQZDhL5InXOeZIjIe//We/6yrlV5nrPP3p/7s9e6prv72m2VkpCQ +0CQlJP7379ETktN/SLF49UytxLIqEfL+b1LHgxEs3iuFPxZXi9BKY+24VGkW +U5UzDPPviZBW8nIL75EsfhDqdCq7RoTkxJv+GsmwuNBrW09qrQgNWAZcZUax +uOKChVlinQi15sR6dwFv8x7KOnlfhG78zptRLsviyc3Rf448EKE0+zsvDopY +vFLqw8aD9SIUevFZvAPB4lmzJ1SGNYjQppG9y2eRLG71VZoW9FCE0Capf4PA +sUND0XsfidCMGwrXH1IsPv69tNenUYSkx8zfmUGzeOyhhWs9H4vQx+2WqrsY +Fvu0nrnr1iRC9dWbX5mMZnEP+XC28xMRKp4cmCA3hsUfbJ+nrGsWoRN+J60+ +AF9/clXW9qkI+TbmS1yTY3F9iXewdYsI2c6svHFoLIsPy/9izZ+JkG74812O +41i8Za6LB3ouQuPbe2fOlmfx66kpLxe3itBPrRGdQ8C75Irt9dtE6MWRyYmP +x7M4T+t0k1a7CN1+N986ewKsb4699ewOEcpcbCW1ZyKLjU72Nqi+EKGI064V +ppNY3KK8cYXySxFy6wvcLa/A4j3h5xoVXonQMvO4WR+B77dUr5F/LUJqWQVv +bkxm8QHzijamU4Rkf1aeOTqFxRv/HdkkeiNCX1a32mxUZPEEjfmfR7wVocbz +fSM0p7I4sPey3zBwqaT07WHgVb6k5K8uEYp3mrK3WYnFvp1L4gfeidDeKzoa +55RhfTZZTefei9BaakWX3zQWyyjOu/r5gwgt2OqWbD6dxSuM+yw/dIuQwt2g +VRNnwH7iDr7t/E+EhibEj+wBDnL4HtjxUYQ6fQvv3FKB75eBxj77JEK4/q7f +CVUWT+vbWvr4swjlTG+bvWkmi9t2brWp7xGhg8HsOy01Fp9aYdJ374sIbX0m +nSo5i8U7LwzEVvaK0PK5imueAcdWRM2/2SdCGjG6o/LVYb9l9bWVsyJEvllx +N0CDxRZR88NLOBHiFrj7W85msWWc7azzvAg1x+2fO3kOizdzNi3nxCJU/jn+ +Qy/w83qViMx+EUpcej6tci6L+5e3aaZ8FaGANGwbp8li+syWNwkDIuQ40Cbr +No/F0S+a4mO/idBiGw7raLH4hvF48yPfRUgxf2SgtDaL5biFQ1E/RGj4r+K8 +NmBpuYVXwn6KUNc6vf8K57NY9unYnUGDIlRzyTpjvw6LM9Y/Uvf7JUL5sh72 +1rosXt7k+nHnbxE67BZMTNVjccqmZ/nbh0TI69apag5YRUfF0+OPCFmPKwqq +0mfxob1rZm/6K0KaPlVaCQtY7G61kXccFqHRde0fPRayeGuX2XX7fyLUP5XP +1F/EYhM3ImKVBIGeBcg4jDJgcfH3UisrSQJdezKVegG87cH8CcukCJSirl9T +vJjFhhKnu41HECj4gE1wqCGLfzW0XDWQJpDzS4/5q4xYHLCq/5DeSAIZ64Z8 +Vl7C4rgbnJOWDIGmnUjI7geerfVIe/YoAkn9V7SuxpjF898ekp0pS6DuJdX0 +GRMWp/VPf68sItD9pI7abYjFm+IzKicTBDrP8yGLlrI48r8faeNJAh2zHKVL +mLJYNGV+8BiKQDtzlL68AiY2Wm8kaQKt/q2fU2LG4vQn5sYyDIHm2690jFjG +4lfnpqlIjibQuItbRtuaw/sdfiX6A/xdOvT+DAsWk0zQ1x9jCNTucjrsG/Cm +399e98sRqOJ6sd795SxW5+wa+sYSKH30vd5kSxZfHJdw49M4AoVtf3HOy4rF ++mfKC9/LE2hztXiD4QoWT8y8mto5nkBLJ8vK0dbw+w4psR0TCKTqp1z/Bnhd +j8vBZxMJJNO4IKLMhsVhUSNDmiYR6LPqqgVRK1l82jpuX4MCgR6GbWXtV7F4 +tO+Qb+1kAl1sC82buZrFuyeu2ImnEOikVuLGn8BLfEN8bikSaPeRC2Mb1sB+ +y4nbeW0qgeze3WtIs2Wxc/uh3WVKBNJb/DLSx47F74zc/C8oE2jC6f6FxvYs +XvhHKbRgGoF+9cryo9fCeqCq6JzpBHq1bFrBO+AxuuZxGTMIVJm50OWKA4sl +JUrTk1UIlP1jlXzMOhbnPP1dlKBKoAOrtz1atx7mQ8ucW7EzCeRxPixK3ZHF +U+YtbTyiRiBzyTMGv4HPMoZdB2cRaJbTRfGjDbC+2Qo/wtUJJLpSU5jpBOeB +6KSDNQjUS77a5LuRxb0hMbP8ZxOoacvX8UudIU+VxpntnkOgskrR47EuLB6e +csjVey6BEiZMj+4Gvn/2TcQ2TQLt811keH0Ti/3aFXPc5hFoXf3qr4c3s1ih +F9U6axFo0XTPog2ukD8y1j3rtQk0OTjcdY4bi584GI6xn0+gPy1nJv4Fnjdi +rMEqHQK9mVPS1OTOYo3FTzysdAlYpdqYsx5wHmb6xS/TI1Bu5yujvVtY/L7/ +710TfQLFLBgYMNvKYq0GX37xAgJ5xhEXxm+D/d9eP33BQgJZfZ7u/gnYdLlo +3fxFBJqz1EChwpPFtcvmn5hrQCA6bU3zse0sVh5CtbMWE4j/6nnY2Qt+fmbR +8AxDAj21jjCet4PFc9GkxUpGBLqSl/T9H3DbzK5AhSUEOvO35OJTb8jrvfE3 +5I0JFLSuziPXh8WTbDV+jTYhkNOl15P9d8Lvs8VGJCKQkey3pxa7IF/2jY+S +WUogJTfy6CRfmP+jvRokTQkkcWsG+gJM9+WP/Qv8fuzin7d3s5jRb3QZNCNQ +rbdtaeweyI8Jr4sHlhGooHb71s174fzdbv3FmRPoyNRIxfl+LDawrVjxxYJA +OwKSn0ntg/MgdTjzv+UEWvmk9NhzYGbI+GuXJYG01O8vLfBnsbnnO8vXVgSS +O9A5GBjA4s97d+W0ryDQwItvl6wCYX9YfRxqsSZQqw7lOSUI8n6SlWOTDYFu +HFdRYoFHEsnXG1YSKLV7cevd/SyeY908oW4VgUKW2J2ID2Zx06jvQVWrCeSS +5GXmHgJ54jOy8/YaApnwkb91Q1kskSdpdsOWQDMsUy6PDIPnedVTXG5HIOmc +S9vbgZtM7sqX2hPo46/7ykXhLB73JzyyaC2B6u3etAVHsLjPaC6X50Cg4gvf +Y20iWZw7777L2XUEOiFNmysdYPGxvzZP0tcTaJeL6h8e+HdrlWmyI4HWXDe8 +Uh0F69M9/WbCBgLpjLbfcfogzGOH3VonnQgkv33H9K3RkB9rL54/upFAP6sO +dCyIYbHu6DaVGGcCvVBIjZM9BPl+mT0b6UKg23vLLF4C79g+oBy6iUCZjx78 +vXAY1sf109nAzQSKUH17NewIi8fXNaj4uRLILeyH9+qj8L5q087vciOQWRut +Mv0YnOdjTlo73Ak0U2vmy6/APmaim1s9CDTqiNGp2uMs7lYsNHXbQqCeLnvL +pBPw/sz0njhvJVCjgfc/z1gWr/9Q5uK4jUClCVHXDU7C+9OYytl7Eii+N3Un +Gcfil2b7I1dvJ9DeZZdVO4FHbqqTt/Yi0NrM+lel8Sxemy95wWIHgRb8eJsQ +eYrFNy3mmZl6E2jS6p9Wdgnwfn2tO5f4EOh3ISOpeprFJdYb9hvsJNBrCbWb +34GXixwn6u8i0N0NS3wfJELetS6/oe1LoJzytWqpZyDvXqtvmLubQAdJn84d +SSwOth76M2sPgbZuOZholAz5uwafU9lLoOWVadZMCotVJ/mvUPYjkMaEcqku +4OYnit8m7yMQ6dtQcTmVxdfyrmdP8CcQ+6Br98E0FivWmq4cG0CgJ9MGZzmk +s9hxY/UfOpBAl/ePfquWweKaZJ1SURCBTreoJQ0C3y1Ichu5n0ABc4xXPsxk +8dVr7ATJYAI5RjtIZ2RB3/u6sOkP8OJOn9s7s1nsetT/8GAIgRQXRO81Octi ++aIC02+hBBo+ma4hl8PiyvBH//gwAnV9Ku96D8wt7L7bG06ge+hh8tVzkEdS +/RGfIgiUl/pu1aFcmB/kV9MPkQQ69HVwpGMe9OvIT6PeHiDQdusxlRr5MG/j +nza9jCKQdd6sfUPAWtvLktsOEkjzr/GcxwWw3/Sj3VuiCTR63br3WYUwH9VW +ajXFEKi/dGfq7vOw/tvJ4YZD0EdGxawxLYL11MBNdYehj7hmjJIvhveVvD2n ++giBkiuu3P0P2K1rVEDlUQLtH/vI/8YFFm/XybSpOEagjd7v5x69yGKn2+oz +rx0n0JLaXx+cSlhsXFT8r+wEgZSnyqXPLWXxjNkqry/GQh8JULcbBlbySbh1 +/iT0kSYTUfMlFq/JHEzLi4M+Mmt9VU4Zi7t6HcLOxkMfidwV6HeZxf9iit0y +TkEfeREzz7wc9nvqj+UpCQTy0cn8b8IVeP8Oi7UTTxNo1fGrGZ+B6c/+k+MT +CaTd/cj+1lXIg/AimRNnCDR2yQfixDW4b1m2fjucRKBvZ35Xu1yH/9/vV/fB +ZOgjnNx+rRvA8ya0R6RAH1muoS15E/rY+TmPQlKhj5xFn1qA5zGLqwPToI/8 +Wp+VVwF9O25phV869BE7X4eAW3D/czK94psBfeTCIcryNouzMo0ueWcSSEU6 +q0bhDos/HtYu8cwi0EiXa8G9wI+XK5V4ZBPo07XG+ZWV8PmkzKXNZwnUwHR/ +PnmXxXojPpdvzIE+4jmU7YrhvDnX3lx/DvpI1dj1OlUsvmCeXmWfC31EYTYj +XQ19mfN5uDoP+sjepXWtwCdjDNqs86GPPHIMLbwHv28g2b28APqI6m7d/TUw +3wxqB8wKCTQYevjLiloWP7oaNRKdJ9DL1qwcxToW335hpGBURKA78647csBJ +7V/nLSomUNbhx6Or7sN+6Myz0LsAfaSr+/6pB3AfmGzvqn0R+ojBnzCPehbb +VP4NmVsCfSRhnL5+A4uzv+elqpdCH+md3SfzEO5zXy0rVC9BH1lmmtsBLH7f +83JaGfSRjA1OxY+gX/84PKx4mUCPv++WC22E9+usoqpQTqBLq47Ur3wM58fs +jvX4KwQ6VZgdodzE4g2v7PzlrhLIT+LGgn7gq/afztLXoI9saGLvPYHz+Gp/ +k+g69JHy//ISm1n86RwxPPIG9BHy78ZtT1lc2pGqJXUT+oiH/LhFLfC8F2d5 +DAO/uTPnoegZzP9VV1N+V0AfGW924BWwDGvS/OMWgc7tclpU8pzF924+FA3c +JlD0gz18eCuLR71ba87fIdC2aUcL1rTB+znzJqq3kkCW+8+6zGhn8cDI7fc+ +3YU+0nJD/huwvHv/iG4MfWTOk0d1HSwufxG8vKsK+sjBj1HJL2D9MqRjX1dD +H3n918DrJdwfX5583nEP+oj++P7Fr1jcWaOg1FoDfeTk3PPUa9hPh/O9ntYS +KPCT2eY3wOPW69x4XEegDWjjhLJO6CduVTIP7xPIMHXv4wNv4PPbVjvef0Cg +qV+PRtu/hZ9/7Lpwrx76iHWO4cwuuE9X+0niBugjuTe//gDOS5bdcPsh9JE/ +T4rq37F4f2p2+Y1H0EccPrmmvWcx/2MRc7UR+kjp8ESfDyw263ruXfYY+sio +CU+WdEN/jfF7dLGJQDaumodG/wd9deJ4zaInBJpXsWzJO+DV9yvi85sJNGas +87fyjyxWu+f2I+cpgb7u8LsQ/Qnup4b0pqwW6CM1x9zXfWbxD/c7D9KeQR9R +PKeg3gPrsd1XN/k59BH/iuZfwLVhajmnW6GPNDUffvQF+njLuzHxbdBHZn02 +zuyFfpGUE3WiHfpI5L/vu/pYPPPP1h9HOgg0/cWEEsRC/uho+cS8INAInXlb +xnIsvuP998OBlwT675j5lG7gre3NLuGvCPTgg3PLNR76R0HRi+DX0EeM9h09 +LGYxIo6sD+yEPnLmONrQD/dXXZ92vzfQR7hzP2d/hf1gu27D7rfQR5bfKv0D +/DjZotOnC/rI2adbmwbgefWXeHi9gz7y67Pi2W8s1nRe3Lv1PYF+2Eo83/Md +5q+Rsb/7BwJ1FE88bvYD3p+sleTmbgLdGqFlOv4niw/yTnEb/yNQhrPFr4/A +ItV9yo4foY9ccym7OQh52pZ4ee0n6COMv+exX3B/Nqg0t/0MfcTzhJLzb+iD +IeyrlT3QR6pyWzWHWHy5eea+FV+gjyjcPvEPuHT7NmZ5L/SRPS1mT/+wWMf/ +UrFZH4EePez5fe4v3D9nSVohlkAlKpLl+4ZZbH15Y48RR6C40EleFv/gvJrh +4wY8gfa0ak2bJMFhmzFztReIoY/MW97eA5xsea5Npx/6yOFNJ29Lcniq0owI +ra/QR7r8zWOlOLz33gWNuQPQRxbF/tk0gsO6niZt6t+gj5zKu6ItzWEZ487o +md+hj3y5vUNqJIfTg2L0Z/wg0FmzZ9OfAxdZLvqs9JNAURlfOvJlODzw9nvG +lEECbfkuGR84isNmu+/aT/pFIItVCsutZDm8a04CNf439JFC7eHJIg7bme15 +IDcEfUTC8lof8POejdHMH+gjjpt97hIc/rjGzoz8C33kcoBKPMnhyOK10rLD +0EeIky/dKA4XqHs8kP4HfcQj/5QuzWGPv2EnJCVI5H/njuVIhsOPnfLth4HX +j3/+rw14hN8LxSFJEhns6r1+fjSH5wZN/vxTikRTHkjtCh7D4eCM7de+jSDR +X+XJM23kOKw+tja6X5pEXUHzX08dCz8nNddxI0l076nlaR747q1cjV4ZEuXN +dl1RPY7DK+zU/30aRaJDBwMlT8tzOEL6dlu3LIm2vz55c8t4Dj8Y4Vz2TkSi +FfoFvgsmcDgxijzxhiDR3JOVarITObz1SoPXK5JEzKfnnS+A79YnWXVQJBKb +9CVemMRhre9757TSJGpJGWETpgDr571pdAtDomv9k0esnszhBpcN35tGkyh5 +hc6taVM4jP55dD4aQ6L9uVZ7vgJ7R4U/qJcj0cY/ruq1ihymJhddqRtLoiUO +QW/PTOVwz+CHnHvjSKRcGpfkqcThVlutBCxPIslRhSsNlDl8Yf3x6DvjSfRh +811pchqHbZcMBlVMIFHdzdbbr4Gv6wf4Xp9IokI5dm/pdA43+43cfmUSiY7t +kJ4dOYPDubML3MsUSORTM+WdrQqHF8c6bi6ZTKJViropKqqwn5oUNxVPIZG2 +/4rV34HHzBjYVKhIorFNbjIPZnI46dYrt7ypJPqmtr8yRY3DOx+1bctRIlFb +RPy+HbM4/PRw984sZRLd7CicY6QO+1dlZGD6NBKlzcfvaQ0OOzcvikqZTqLQ +Y22pb4Hn1ETEnZlBos0f2DWXZ8PzznuZlaBCoqVGI2UPzuHwfQvLsjhVEqmc +UcRr53LYz/hhzYmZJBrJ6QaoaXJ4o5Xri6NqJPpkYa05CDwqneg/NItEDdnu +3Q3zOEx4NhDR6iS6MLg/PV2Lw5LvMtQOaJAo1vaU3U5tDscbxSwLn00i3+Lz +IpP5HN5eErUlZA6JbEdUVY3RgfPjeeZQ0FwS6Tm3B74H7s6sLPbXJNGEa9y8 +q7ocnhQz1Lx3HokGaZmPMXocvrZm9S9fLRK93DY1c70+h7P0KlR2apPoDtZb +q7GAw7e3GKzZMZ9EWZNsyCFgqfHN4Z46JIrc43GvcSGHd+8Pu7RFl0TuD4P3 +Zy3i8Oj7S9+76ZFomUqC9m4DDvPzpk7crE8itdCiT0sXw/n5PHqV8wISiVqr +ssYZcrhv5uTDGxaSqFezw+E/4E2KRvfWLSLR40M8dcOIw5X//P/ZG5Do0luZ +2iNL4LxI1xvbLibRqUVKIU7GHF67SSdylSGJ/E7p68w14bCO6bUaayMSOXyx +6fkLbNa9RmS1hEQLzbacfYI4/D1opK2FMYkUMkLW5yyF59N7lmZmQqKhbwmM +nymH5W1ufUSIRG9WFtctM+PwsYFbesZLSVRVUB06YRmHL21ujTY0JdG5fx26 +n4Gn1YnaF5mRKNpR/KXCnMPRjo5zFiwj0bbLo84dt+DwiVXVB3TNSWRJKG9w +WQ5592rZS20LEs32WDBGy5LD4fof9OYtJxF1Z+UDCSsO/4nLODXHkkSc/Nbw +FmDzaXvE6lYkat4Zqp+3gsPGU9xs1VaQ6Mr9033+1hx+ds3nqoo1ic4oX8hd +bgN/r5qoMN2GRIFB95wUVnL4VmZ7pNJKEm14+kKuF1jBYeGXKatIZDi7v/7O +Kg7Pi7zioLCaRFMPykaeXA0/X2tTM2ENif69Ul7ouobD0jJSuvK2JHqnt5Cb +b8thlUfP8+TsSFQTuyp/hB2Hr3bUThptT6L8j1udW4Elt7ScpNaS6IhJ2LhC +ew47nfsrQziQaEdK4sOgtRxWarA4MGodiWz6LxxY4QB/T5f+lV5PonkrahYp +ruPw8XzdUClHEo3JfcmzwNPvt//5B/x1qL8Ar+fw77y0iL8bSPR8rWjTKUcO +FwaGSg85keh6ybTxHhs4XO0XdnxwI4lSZBY16jlxmGvKlP/hTKLgzasPymzk +8Ku7ndkDLiRyubltcQew2f4lmv2bSGQiF95f5Mzhz7qVd7jNJJq+48z5EBcO +p6i6rOpzJdGImoubV26C839g6vseNxL9N6V2gvJmeN8Rw4Gf3En0YN+rx2Lg +kw4SY/7zIFHR46/R91w5bGqgUvx+C4mOqxFGiW4cPuvqadG1lUQ7I6YPbHWH +55d83N25jUSrOxYVL/Tg8Bm7tTGvPEmkM3+Nm2gLh92P/p31YjuJ5I95TnoF +bPm6vrHNi0Q/3oc/ubiVwyEHrvs930GiDsOkQ+HbIB8v3Vds8SbRrcSSJWs8 +OdyS/uvBEx8SZbC136Zvh/8/bLX/450kCrd4fWEAuPtYveqjXSRyzR5wr/OC ++fV7S1u9L4lMB4nJyTsg3yRnHru/m0SqtjOebvfmsFeHLKrdQ6JRxQZHFvtw +2PfqmMHqvSTqkbI1oXZyeH+jUTn2I9Gjjdt/dALPWh+7q3IfiUquRpRc2gXn +5biU5m1/EsXRyVsO+EIeZWewNwNItGdb6RT73RxubNhYdj2QRPa4rkV1D+Sx +KfK/GkQi/UmdR38ApxqvMCrfT6KJe76h+r0cdvuxf2RZMIl+NZCDqX6wPmef +NJeEkOj1DJVL3vtgnvlZZ10IJdHdkMXblvhz2KKA3VkURqKzz22njg7g8Jbd +100Kw0kUpen1vAv4gmzBuPwIEm05FHm8PJDDBgV3es5FksjibbJpdBCHF0T+ +rj57gETqiy79ctgP6/N4c0ZWFImIU/fLZgVDHt3vC8o4SKK+nk7PX8BDZ3PW +p0WTqMn0u9KjEOhTZ8IXpcSQqCydassIhed/eXBK0iESnf6mErsrjMP2hZcl +Eg9DH1lpuAyFw/7Uk/186gj0kQK7IbkImPc3Dz+NOwp95J9X+QdgU2/tythj +0EccD3hdi4T5Fi114fhx6CNlKdMOH+DwIcPhtKMnSPRWVNbuGMVhvXqV2MOx +JKp2f3By9kEOa7r7HYg5SaLc22/M/wBrLWUDD8aRKEb+x5/H0dB/Mk/tPhAP +fWQnfTU7BvKqxNU74hT0kfuq3nsO/a9fOW0PS4A+omw0w+ww5NujCM+Q09BH +guxfyB+B92nVvH1/IvSR5h3xH4FJZxufwDPQRzSilt88Cvm7+Nse/yQSXY1K +HT56jMNfp9bv90smUdKrsmsbj8P+XVJ/cE8KiYL06n00T3A4puVbnG8qiZxi +36r8AzaTX5W1Mw36yMcfL5tjObzS8Hmpdzr0ERMm4dxJDosjDld7ZUAfSZlp +tS8O/n7y9jbPTOgjYiMJi3hYL7MAdmsW9BGrtTcmnuLwBN1ymS3Z0EfOee/q +AR6arjjD/SyJjg5FzbydwOFMy6smrjkk8l6b9vrEaQ7Hvg3evOkciVaWXD69 +KRH6pPLuA865JNKSaVihfQb6G0rMd8qDPrK5S1IqicPbQj89csyHPnLj581n +wMPEjm/rCqCPjBm9Oz8Z9s9MRWWHQugjXmqzAlNg/0kPW9ufhz5yb8kby1TI +x67RIbZF0EemOJyZnMbh+QO2F1cXk2jTPh+bPmBl/9q3Ky+QCD0+OOJuOvS9 +bM/xNhdJNEMt/VZcBvTlYsOVK0pIJB1RvsctE+ZDMzpsWQp9pL1BXTeLwzNW +BNZYXII+ov3urXQ2fP6at5LmZdBHjg4mtQHrK+43NbsMfeT96FXnz3J43adl +MUvLoY8YzhoZnMPhL19MH5pcgT6SaHzH+hyHrVz95IyvkkiXdfCbmsvhtsg2 +J6NrJBpvsXM2DyxxyKdg8XUS/cyKfleVB+e1SP/bohskevEzPSUhH86Lqs6y +hTehj6y5snpLAYdnarsn6VdAHyl6KLOgkMM/ZO5/0b0FfUTqfeWo8xxe+N5l +qc5t6CMbf+17AZw+pJGmfQf6yNUxcy8UcfjNidnf51VCH6HVP4QWw/58stlO +8y6JZLeZpK26wOFFww2X52ASfbm7znbaRdj/dl7jZleRqHHiLtmvwAojjQPV +q0lUujsG15RweI+tZafaPegjDRkBZ0o5TO85Yj6zBvrIjKuanpdg/2QOX1Kp +hT4S8qh7URms19gLijPqoI88f59OXObwUtmTx6fdhz6i+dvuNTBfUfhH6QH0 +kRg5orScw2lug75T60nU+Ua9OuIK9BGDyP+mNJAIL0RBtlc5PC7UxGXyQxLl +xK/XUrnG4dMeC9onPSLRwZ5dH78B+8/eaj+xEfqI6aHM+9dh3pONT8c/hj6S +nrk25QaHTZC3nXwT9JFvV8kdN2H9pM1axz6BPrKy8Z5hBYdLTtk7yTVDH8n/ +sJ++Bfmpkvlu9FPoI8O/td8CXx5Q9mZaSFS+fuznstuQn0te/6CekSixTCM7 +6g48v2FLNPmcRAGipevWVkIf1pAaT7SSyNHdkVa7C3mBfApl26CP3Pat/Qm8 +pXKM0ah26CPyh0MaMPS/F3zLyA7oIz5ZOulVsF+einykX0AfqbvW41MN+/PN +plEjXkIfUXp81vgezGMjPk/yFfSRwO71Y2rg/c26vUziNYkONw8x74G/dNz7 +OAzspTHu/pVa+D6xMsf/dpLIOmp2WEwdzP+IQ/P/vCGR5quleuvvw3nvNX35 ++y30Eb0NveoPIJ9Io5hfXdBHTuw+9xvYXnGvzuA76CP/Hd7QWA/7x/G/dz/e +Qx8xzh6T1QD7dejM6e8foI8kX3/g+xDuKyh6+bdu6CPix+FLH3HYdUvZ36// +kcjZ6j/9cY0c7s+ZfL3/I4mMz/3p6wYeo1+zW/yJRNOGxuVdf8zh0A3Fmvxn +EkmtnbPxSBOsh3VzH9sDfeSi6VinJ/A85vqlfV+gj4x0apjTzOGK6Bd7enuh +j2zaE/kXuHpZ5cIvfdBHbhxZ+OQpzIfqrn+fWegjY85yZ1ugvy9c9vATB33E +60b+3mcw3999SvrIk2j+vSbnZc/hfvPn0db/xCQaN+XjuAmtsH/qxAu6+0n0 +3e/vw0/AYwPXER++kqi9UT6qoo3DUav+vn03AH1k5lyD4+1w/g7/d6PrG/SR +cDOxcweHD24enfD2O/SRdqfCeS843CEXsuvND+gj2ns3SbyE++Ir9ZWdP6GP +HD06vgV41+Ckea8HoY+8P9uY+4rDd/Kt5V79IpGM4c2D/q+hDytX/3jxm0Sf +Tz9ZvLyTw465+990DJHoYd/H/klvYD/6+Ne3/yHRRfPh81+A91dcvdr2l0Qn +s8a73nkL77tuYW7rMPSRn3MnnuzisGHT8Onn/6CPrFnWtPkd5N8Y4vAzCQrp +F22Mmf8e7vf1G0JbJCk0UcrPaMQH2G96nN9TKQr9cjo28By4I+7BzuYRFHp1 +Jae4oBvuzwofvZ5IU6iSqnAL+g++P7Vie9NICmVvbZ604iOHJ54f2P5YhkIH +7n56MuUT5Lf6B+/GURTymPjvEAt85eGkPY9kKWSxe4Ix/gzzoDEx6KGIQuoN +mt/je6CfeK+PaiAoRMwwv+j+Bfp2t+vJepJCfcHOHnq9sB/2Xc54QFGo6Znf +ZJk+yBMnq5L7NIXK5h5/2g6s/Ey9qo6hUELMuSNFLNyHFNe01o6m0L43FSYh +HOxHP9xXM4ZC6xY+/WHDc7h+fKBMjRyFFsV/LlESw/1jaeCMe2MpNKXn3xYx +cLB+FaoeR6G/Sycq3uvn8JR5dm5V8hR6mzbv2emvsJ+8NKPxeApVD5gf2zoA ++03NrujuBArl2rgsXfgN8u1cVXPlRArF5O8blP3O4arJ+3/fmUQhz+Hjl14C +xzWFqN1RoJDV+txtF39wOG+ofu3tyRSaU3ZravhPmI9drjG3plCIFrU8Xz0I +eXjL7GaFIoXEbj3Hp/+C9a/fw96cSqGWWxJmA8DOVr2qN5UodHXcpN+1v+Hn +wWWbbihTKMlH63LSEIet06vTrk+jUFCdxfbtfzh8pEfpxbXpFHJS2qS8+C+H +/+XWK1ybQSGjQP82chjubyLsfFWFQkrNJ2I7gSUcpc5dUaWQhEbeskv/OPyu +KaGnfCaF3h+4PRQpweP8i7t1y9UoVPeypdxOkscTtTMiLs+iUKHuFy9VKR4z +KeObytQp9P8+HI99VTqVyjQowYfj8Wy5gT2XZlOCD8dj47PrH5TOoQQfjsfX +RpPKpXMpwYfjcWEWvb9EkxJ8OB4bnNjcenEeJfhwPM6YKKl3UYsSfDj4/Miv +iRe0KcGH4/Erab3B4vmU4MPx2Pm/OpdiHUrw4Xj83fV8XZEuJfhwPJ5045VW +kR4l+HA8tpjlmnFenxJ8OB4T/frk+QWU4MPxeNjeJbRwISX4cDyuj2vjCxZR +gg/H4yndOVsLDCjBh+NxRTzuzF9MCT4cj3e1ajnmG1KCD8fj8V+/Ps8zogQf +jsdJaqK1eUsowYfj8afyva25xpTgw/FYtl1rQ64JJfhw8P2eobfnECX4cDwW +fcr3PLeUEnw4Hs+z3/w1x5QSfDgeX16/MyLHjBJ8OB4/12tmcpZRgg/H497Z +R86eNacEH47HdsFn9M5aUIIPx+NAj8GH2cspwYfj8VO1yx7ZlpTgw/H4s3TV +cJYVJfhwPF5tPDMjawUl+HDwfse9M8yypgQfjsfZdQOdmTaU4MPx+GuiS1Tm +Skrw4XjsdU9JI3MVJfhw8H5PLmzJWE0JPhyP5xieD8tYQwk+HI9tZPfMybCl +BB+Ox4YLE16l21GCD8djaRF1Mt2eEnw4HtfcfWOavpYSfDjYX6nE7zQHSvDh +eHz/ZdyVtHWU4MPx+GDNLt+09ZTgw/F4+plCzTRHSvDhYH/EL+ZSN1CCD8fj +mMFZl1OdKMGH47EmvTsgdSMl+HA8Dh8zzjjVmRJ8OB6Ty+RkU10owYfjsV/P +jucpmyjBh+Oxp+m03JTNlODD8Tg3fv6+FFdK8OF4LB6fvTzFjRJ8OB7Pkvea +muJOCT4cj+c+jvue7EEJPhyPB6LGNSdvoQQfjsdhgd8uJm+lBB+Oxy/6dE4k +b6MEHw6ed+aTncmelODD8TjKvcE2eTsl+HA81vk0fVGyFyX4cLBfvndMS95B +CT4c5MkTjkz2pgQfjsdTb3r8SvKhBB8O9kv/wp6knZTgw/H4RqX3q6RdlODD +8bhr878nSb6U4MPx2GPywP2k3ZTgw8H+01pelbSHEnw4Hs/4MHQ7aS8l+HCw +3wPkbiX5UYIPx+MmzSO3kvZRgg/H4/4VrpVJ/pTgw8H6yaXdSwqgBB+Ox941 ++g+TAinBh+Pxgzzd50lBlODD8XjN4OmupP2U4MPBekuu55OCKcGH43GsVJhE +cggl+HA8vmIiI58cSgk+HI/5oa8ayWGU4MPxODPYzDQ5nBJ8OB6r//vpnBxB +CT4cnPfHY4KTIynBh+Nxw6yTqckHKMGH4/Ffu923k6MowYfjsWXCjbfJBynB +h+Oxi8YWmZRoSvDh4Pc3BmilxFCCDwd5uZNzSjlECT4cj1VyG4+kHKYEHw72 +p4lcRcoRSvDheNx56E5vylFK8OHg/FY2TUs9Rgk+HOz/WZaOqccpwYeD9y2r +kZB6ghJ8OB5L5O9pSo2lBB8OztvSGXTaSUrw4XisNsNwVVocJfhw8DzH75xK +i6cEH47H66oL2tNOUYIPB+sh+1s5PYESfDh4HwXXd6SfpgQfDubZm9c30hMp +wYfjsdZ3n1EZZyjBh4N80fbckJFECT4cjxufPSrJSKYEH47HH1XTpTNTKMGH +4/GG7a0umamU4MPxWP5DcEVmGiX4cJD3L49PzEqnBB8OznOCbFBWBiX4cLDf +bPtfZmVSgg8H+bDRAmVnUYIPB+f9x6jz2dmU4MPx+K3ngrFnz1KCD8fjw9+e +hp/NoQQfjsf/3r1kz56jBB+Ox7f9bTfn5FKCDwf5+F3/WU4eJfhwPB5XcMTq +XD4l+HDw/z+2uneugBJ8ONjf5fuX5BZSgg8HeZA66XbueUrw4Xg8rV7NMK+I +Enw4eJ6YvMq8Ykrw4XisNCHBNP8CJfhwPJas5hvyL1KCDwfn81GlfUEJJfhw +PC4L/fO2oJQSfDiYpwoXdxVeogQfjseqPY3/CssowYfjsd78zafPX6YEH47H +Ros8NIrKKcGHg3xf9qK66Aol+HA8rsuodi6+Sgk+HI8XHlb8XXyNEnw4Hkeu ++JJ64Tol+HA8XqI5x+jiDUrw4WDeB7ztuniTEnw4Hrd7jzpSUkEJPhyPFzvk +zi+9RQk+HKzP/iudpbcpwYfj8W6lRScu3aEEH47HM0/MX1JWSQk+HA997ay4 +7C4l+HDQB14fLLiMKcGH4/H8VZ2byqsowYeD/Zd3UeFKNSX4cDzOU/vaduUe +JfhwPN6qWJp0tYYSfDg4b4+7HK/VUoIPB/9/xLGp1+sowYeD9Qq90H39PiX4 +cNAfiGWlNx5Qgg8H+bdnbfDNekrw4SDffr22rGigBB8O5mtPp8Kth5Tgw/F4 +0bH17K1HlODD8fjRjBU1txspwYeDvBi6ln7nMSX4cDyWc04PqGyiBB8O8vrE +b/u7TyjBh+Px3dZnuriZEnw4HlMRsyZUPaUEHw7yq/n776oWSvDh4PuMM3lf +/YwSfDjoL3EjGu89pwQfjsfHYs1u1rRSgg8H59PhX0FtGyX4cDAvtRam1LVT +gg8H/W9r7/H7HZTgw8HzmyhGPXhBCT4cj/+MfBhc/5ISfDjIR8nv/g2vKMGH +g/4Wmun38DUl+HA83lFZ4/eokxJ8OB5/Y7YHNL6hBB+Ox+UVx0Iev6UEHw7O +g8zcg01dlODD8VjXxCb2yTtK8OF47HCxN7X5PSX4cDzenkwUPf1ACT4cj6ut +im61dFOCD8fjh1R907P/KMGH4/FPDc//nn+kBB+Ox81fDg23fqIEH47HbMqs +ye2fKcGHg/UJs1rU0UMJPhyP0z/0Ob74Qgk+HI+Lx40NfdlLCT4cjwfXV+S8 +6qMEH47HPr/fN7xmKcGHg3m0LO5bJ0cJPhzMywN3p7/lKcGHgz7xe7dtl5gS +fDge//5VcPBdPyX4cDz+0uB68/1XSvDhYL9czeI/DFCCD8fjx9JuGv99owQf +Ds679PmtH79Tgg8HeTfsl/fpByX4cJAXRnX/ff5JCT4cj/cTGRpfBinBh4N8 +Lx/07f1FCT4cj+3DX93s+00JPhw8T8UyaW6IEnw4mPeX9Oz4P5Tgw/F47IUL +58R/KcGHg37zo+h7/zAl+HA87nutZT3wjxJ8ODg/RUtyv0nQgg8H8+38s7/f +JWnBh+PxSpWvTj+laMGH43HwttRbgyNowYeDz6tsUPwtTQs+HPTVvQcPDI2k +BR+Ox7Yt9z//kaEFH47HMuqJdsOjaMGHg3zCvXf/ydKCD8dj/OGxpiRBCz4c +jxe8W5wtRdKCDwf9coTuOGmKFnw4HvsnXT86kqYFHw7W72Od1CiGFnw4Hm82 +dguXHU0LPhzkQ++RP6IxtODD8djJYEkYKUcLPhz8fF+4BD2WFnw4Hr/+bHOI +GUcLPhyP6Q/nmTHytODDQR5eSkiVG08LPhx8Xhoxa9wEWvDheBwtHnNDfiIt ++HA83jucbzVhEi34cDxuG/PwzUQFWvDheLxzX1iAwmRa8OFgvQKqxkyZQgs+ +3P/68ukSRUVa8OHgPB36YaM0lRZ8ODjvWl84ZSVa8OFgfhfvOj1dmRZ8OOgr +5tGLVabRgg8H923rOd2q02nBh4M+RW6JV5tBCz4cj0e3zDNRV6EFHw7Wlz0u +1lClBR8O8qgoJG/OTFrw4aD/Ogw7aarRgg8H59tYXl5rFi34cDyOKK98oq1O +Cz4crN/w71gdDVrw4WCe7W5cpTebFnw4OE/rdMYumEMLPhyPjw7P7Vg4lxZ8 +OMjL63fOGmjSgg8H/abxnbfhPFrw4eD7nMwwWKJFCz4cjy9ZsyITbVrw4aAP +2j5/jebTgg8H+cjalpvq0IIPB/vRd9uxZbq04MPBeZk5dquFHi34cDzetsnB +1FKfFnw4Hmtv156xYgEt+HBw3zyVK22zkBZ8OOivsy/1rFxECz4c5E2E/dPV +BrTgw0FffB9/23YxLfhwcH/J9jxvb0gLPhyPL4zqSHYwogUfDvqT1/uj65fQ +gg/HY6txh8M3GNOCD8djN4f7/htNaMGH4/Gd8LO+LogWfDgeV74Z77N5KS34 +cDzuqFXzdjOlBR+Ox0mRz3w8zGjBh+PxSTfFPVuX0YIPB/eZG5JBnua04MNB +Xj49eMDLghZ8OLj/SeTGei+nBR+Ox5MSN2fstKQFH47Haa8qSnytaMGHg7ya +VV69ZwUt+HAwTx9YdvhZ04IPB3lAhff729CCD8fjc+Z2dNBKWvDheDy5tm5O +8Cpa8OEgL9s7bEJX04IPB8//9Pju8DW04MPB80q+TYq0pQUfDvrLzRYcZUcL +PhyP45d79kbb04IPx+MTwxkKh9fSgg/H48J5+1YcdaAFHw7mgzobdnwdLfhw +MB+XyF6NXU8LPhzMn7t1fXGOtODDwd//mamesIEWfDiYD5Ya2xKdaMGH47HF +tycFSRtpwYfjccCayV9SnGnBh4P9Wiqrne5CCz4cvA/X9KDMTZC/XyaOoSTE +WPVGS032ZhrNTs7t3Qe8V/qS3DlXyGMzrQedwH9Ttd3z3GhEiW+ds5AU44iG +9dcK3CGfMyzCLwHXdauSRR404ixbNkySEuNLS1I8LmyBvP7uon8AWEut/G7J +Vho15/SM+QJsJd6rWLYN8nuVf5/dCDGW516GlnvSqPy3RP1t4Ft7vry9uh3y +vPBErqq0GO9/nGN+w4tGifaTImKBs+wlSyt2QL5L5Dn9AI5dJ1K4402jgIta +CzaPFOMK9VuH7vpA3jvelqsHvqAo/7NqJ40cRy5ntWXgeaIn7qjZRaOHl1vq +U4E3Xq19W+cL53PTpjypUWJ86O8Ux/rdMA+ILxHewGuuTH32cA+c1xv+G58D +n1V/uObxXpgPHpILl8iK8a6y6U+f+NHo3+jYsQXAdZmqa1v2wby4M4ljRGJc +Y/bsxXN/Gr3bntcQCJzxXdO9PQDmx3jt/C7gUzL67ItAGtVU3460IuD7NXwO +fh0E82TXcudyYMvTVuTb/TTKn/xs4RRSjMXX1me9C4b58mDTuGjgwzHj9LpD +aHTY7wvXB1zmENb4MRTmjXLAQwdKjGcEn/HsCaORV6NkwV3gQgvnkX3hMH+C +Yg/MosV46uiWfC6CRtYzFVzigf1n/bTsj4R59DRv0S/gyx8ecQMHaKQZri3v +zohxT/Ka5B9RMJ9m3+EfAlumxJj+Ogj50b78ke5oMaYMvMVD0TCvDj4ryACO +aZLMGY6BPNHaHDVyjBhPLLFaK3kY5tfrLy67gA01zAjpIzR6fiTAoB04NJm7 +J3MU5pm+1HgkJ8ZBNjbhomM0uv4uVnwe+H2GsxF1HObbSYVGubGwHzuV/zIn +aJSyOL8wGFjdI6lKLhbm3Sftgx+AH0VVHZI/SaPg03c22YwT422nslZPjIP5 +Z2K5+Brw6G7tKZPjaeTc92y8krwY9z0J7FE8BfMwZXP/IeBFxX63lBNoZGze +28gDm9arnpxxGuZjf8B5x/Hw/iOOb5mZSKNpWVLR1cBaM88vUT8D83LFyc2z +J4jx2NEhCnOSaCT1U8HwNHB5gvSgZjLMz9z8CX+A7400f6GdAvm2ev7XLRPF +eNRdo0rdVJinf+48fgzsJNeXuyAN8u68ZdGCSfD+HOxjDdJhvjo8j84G5rv2 +7jfKoFGRpKurrAK8D5H1dpNMmLelvYZ7gF+ovt5gmkWj406BE18Cdx1VW2We +DfNXZsSA6WQxLjk529zyLI12XjnZdAE47+hnY+scmMebJxfLTxFj46euhqvO +0Wg1VRATBix75/Ri21yYzzfnu30Ezj17wGhtHo3mb600Wq0I+7119tL1+TCv +5awm3QSeXx5n6VRAo3F3nw9Mmwrv40SZnUshzO8drk+OAkfdid3sep5G3yf0 +FX8Fdk6Z5etRBPO8JvDQRiUx9gsMP7CtGPqj7wj3WuBvZQlJXhdgvivGLdFU +hv2dsaXU5yLkb/1khSTgtfHf6n1LYN77F3wbBv7Wbfpxbynk8XSdZs9pYnyw +f7VMwCWY/02VF5qBE2lFjf1lNAoPtjpsMF2Mn8QXrgq9DH1ArdX9HHB/y9eA +iHIauT5zNSZniHHjnOGcqCvQDyL6FPYBmw80PIm5SiPTuUHfXwM7HFgncfQa +9IWOEU/NVcSYnpene+I6jVRj4i6WAsfZXvGKuwH9Yf6UIxNVxXjcsuhzCTdp +JPOmwCMS+KPLxDdnKqBPHNMx6QHe8dNHMfUWjT4vuDvZbib8vleMS8Zt6Bcf +rH7cAt5JuuVk34E8i2t9qqIG52uhxOdzlZBnRm4lJ4DvL/OYX3AX8uxz35Hv +wKaxx8KKMOTZmaAtm2aJsZdXQOPFKpgPS6XRA2BNnVlKZdXQR7i4KdrqYpyM +MvdeuUejPWlTfqYA32M7Gq7XQD+xKGyR1ID9lPBS5VYtjewHdEp3AC86ei6y +sg76Svbdo8+AVWfP76q6TyN9mxVbjWZD3j85ZFr7APrLYCvKB457mlv4oJ5G +E/PdFJk5Yjzv+JHRjxqgz9iyPwOAcxz0g5se0ujX36Bnb4EvHiv+9PQR9Jti +6UuWc8V4dvTn9a2NNHq1Lv7YZWDZDP5hx2PoOyMUt03WFGObuVXodRONKi8V +Lj0IzMQ5Vbx9Av3HWXdqH3C4Etb70EyjbFk8uHaeGL/R48o/PoU8u7bieSXw +kbmfdb+0QJ65tV1S0xLj3s0lN9hnkGe0+/E44GMaxsb9z2m05Ra7bRBYojvt +wbdW6Evb9pu6aYvxr54a+8E2GlmMG6n0ENgwqeL9UDv0Jxz/S2e+GGebhe77 +10EjdR/F1nRg+ZWUaMRL6FOTzpdJ68DP6R1nZV7RiKjTPbET2KnrzGLiNfSr +PdizDdh/Wnw73UmjvqnWZia6YqyvsSFQ7g30rYdtSueBvzv0K4x/S6OmAPff +Y/TEWE1qA57UBf1LhWvdD7z6aLyn4jsalT3Zf/k9MLk8Zey099DHQkfGWutD +nifvrlL5QKME9VPbrwJPblXYM6sb+lmr4rKpC2A/uiSqzvmPRvsOnFc+BPzl +WNfLeR8hzzT1hjjgwlvDp3U+QZ69xG3rF4qxtyW/esFnyLND1uVVwDviy5nF +PTQy0G2P1VgEecZZNi/5An3urbtXAvDGqvLEpb00mnKCWzYEPNNNvNG8D/rd +ouBpWwzE+KWmlJoVS6O/3SP/NAJPPfj5qw0Hfe/UqXb9xfD5lbn31vA0ertk +6pUsYKvFemfWiqH/fTl/cpQhnDeHtB2O/TSqTtLbsRs4ZGebqfNX6INmVeYv +gBXefJ7qOkCjXN56uqkRzD/pliGPb9APM9r/FANrmCS89vxOoxhLj45xS2D/ +ftGo8v4BffEbdyUU2MMjtcD3J408c4Lj/gO2kn0X5zcI/XGljPcqYzEOXCAZ +GviLRla/T1ncAM60/r0j5DfkWcHUGdNMYH/mNzpHDEGe2Rf9PQL8MyfI9uAf +yLN/ei/6gQezhi0P/4U8u1h11QmJ8dHfm8yOD0PfdLSJrwF+ODYNxf2jkVi6 +w3vuUlhf8zJ0WoJBbpc9lp8BNv+aY5YsyaAWF37GMPC8AF+rdCkGmREhw9tM +xVhFW8EuewSDrl6XefkE+Hp4tkuuNINmeiRcW2QmxserpHwKRzIoabTSqRxg +t7VmYRdkGDTqTpEPsQz2T6rbqUujGBS0Xd/SDziIdTl/RZZBPfLVKq+BC8oW +3bshYpBTtc2/ZebQH5d+fXObYNCjnR0vS4Br/h0bxiSDjCZvuT7BAtZ7xYjp +tRSDSu7zpyKATSI3WtTTDFLyC9n5GThYMnFXI8OgOOVRVrbLxXiLZklq82gG +STQmqN4CzvUofPB8DIP2BClJqFjCeow4ONghx6D3qsWvjgMr7EJzO8cyaO1T +/RvfgAmpLvd34xhUF1ad4GIF30fZPeM/eQYtmL1y131gx1kPOnrGM6iwrcNK +awWc96DRk7gJDJp0cMvMFODefYZOXycy6KiWWELSGvIixDr7xyQG/X4V8toL +2Jo1+fRbgUHeR0bdbAGumK2g828yg17rnT5taAP7I7E9YoQig1a+U/LNAzY5 +HtI8aiqD7sYWr6BXivEH35GqlBKDtBYvUAsAXpnrHzxGmUFnP1ZLvgUOPdT4 +TH4ag+ROr+xcvgo+P5jQVpjOoCiTFzfLgAu7tOKmzmDQQO+WRIXV8PyTl/RP +V2HQlhSxbxTw0iM669RUGdS6LNS6FzjpxJi7s2cyyKJ/1Ky1a+D9HWlX11Jj +0I3M01KVwJPeHE7SncUgjRXKb2bainERN33UInUGpf0orjgJrKNYEGykwSAy +d8GZn8BZ9fL9aDaDQlff2+1q97+82rXDfA6D2KGVNg3A9mpXPlrNZdCm8y9m +6dhD/03/sHWVJoOerN06Ih14ooLEZ7t5DEKS/W9GrIW+ISHauV6LQZdLQm/5 +AFdcGf62UZtBM5xkk1qBLZLeRbjOZ9BpmcQ9xg7Qn4lyeqsOg6SvKK8sBEZ+ +u7O8dBnkv/mC+ph1YmynO1Vnlx6DPpILpfcDXy65Wb9Xn0Hrb957+w54l7mZ +e+ACBtVvWXV7xXoxLnWpHA5ZyCADuZdJV4CvbJiVFbmIQcWVW/cqOopxS8YB +FGPAoCk7+lfGAIcda/zv6GIGnZgQpsEBGx2SPXnSkEHD92RHrt8AfX5A3+C0 +EYN8fRO7MHDCAodPyUsY1DVl2h11JzG+Vr4tJcOYQbb1F5JPAUs17rDJMWHQ +vX0L/X4Dh390G1GAGKQ7vWaVx0YxNttmU1m8lEF5j1fNbgQ+dGl28CVTBo0P +fjlS3xn6kNpfg6tmDDqktu1dJvAzrdo/N5cx6GdL/x0ZFzGu1oq8V2nOoO0R +YSm+wD+OzT9+z4JBL+aI9nUATy/oWPdgOYNWdCSuXroJ5slH/5mNlgy6HT1t +TjFw0iXRz2YrBs2df1Fm3GYxrvRMfNS6gkGZnQvfhwCf9puQ+9KaQcyxmspu +YItF8WFvbRgUsWB16kpX2J9TpDZ2r2SQ+P3LfdeBdx7wMexZBXkWt22Nshu8 +7+YnStxqBj0z/DrnCHDsrrkjB9YwaNnnsFH9wIlVUdxPWwZdSxR92OAO96+5 +z17+sWOQ2tIzd+8Bu05Seii5lkHJ7LS0OR7wfD0ed2QcGCSbdtE/EXjLqPzL +5DoG7bdYZPsXuLntXdGY9Qz68rVm7rYt8D7vTs4f78igjdmrZZ8A16quyZ28 +gUGN1q8+LNwqxoq7o/KUnRi0ZHAbPgs8dlT5edWNDCrN+5om2gZ9Zc3bSxrO +DFK2DQ/YC3yinLg1z4VB8X9Fdq+ALybqPdDdxCDJ4jOayzzhPuri0r5oM4P2 +rpsuKgEO2BfzZYkrgz5IlXSP3w59FJVImLlBnl1aVBUOHK7eqmDpDnm2sTb9 +E7BN4l/9lR6QZ7JrAtd4ibFur5qD3RYGnb/6yq4C+F6ibeD6rQxScPOcN2MH +9LHBsAznbQw6Rg+IjgOfCr9Y6+bJoKGK8P8GgH1PdvLbtjPIZxtR7ewtxtrx +cko+XgzqHJuUUQf8X6/l6j07GLQKTw+a5yPGN0TRBwO8GYS9S+yTgb/Y1twK +8WGQ9iQDLYmdYtw5d9T3yJ0MyqmtJbyAR41Yo3NoF4PG7lnz8Snwb6Osvcd9 +GXRw6uvqxbvg/Zh9vRa/m0HfGjwzc4HJCJs/Z/YwaGvAQBDlC/3escQ8fS+D +2mZErPUHLjEYn3DWj0HLnxDab4BvHYt+l7+PQTdDksjlu6EvXRvSveAPeaY+ +49MlYMMZIUfLAiDPnpfcm7RHjDcbjnh/LRDy7IBB1gHgMTsSl9wOgjzTrNv/ +BfjzRK2Mqv0M4l6scbDfC/O99NnfumAGbT70WvsO8N/kKPdHIQxq1tlOzfSD ++8YSo4fNoQxa+nbgUyxwBCGp3xbGoPLjETU/gOs8n557Fc4glUVk9uZ98Pe4 +ZNy7CAYldicF1wOHhiUd/hjJoJGnZqyb7w95RZwY7j3AoIAlpfPTgNVaTgb1 +RzHoU48BPSJAjA8YZ3//cZBBjkl1n73/x7cq/f9EM6jB1Lb2OfCIy18GJQ8x +aDH/OntJoBgPnVCLGHWYQRfSt4cUAAdX7JGljzBI0fLbutFBkH85jYljjzIo +9luEThBwZ9lC1UnHIM/Oksw74B12V65PPQ55tjK5x2o/5Eu/iY3KCcizXzPq +yoHLfnd2q8dCnhWUnp0SDPnWERs57ySDauwWh0YDX/m5WlkvjkF6/+rWs8Cn +a1SrDeIZlH/BVnddCNwfz1PbTE4xaIJjJ4OBtcfKMuYJDDos7fVlVijs950T +K1acZtBg2be6eOBRaoaeaxIZ5OUSmfMLuP2836R1Zxj0UkSFuYfBfHavbtyY +xCDr68mOj4AZrBrtlsygO+4qenrh8H7nZBl7pjBIc/Sl0ZnAt8bP++OTyqCs +24t7R0bAfbPn+Z29aQwavf3+/V3ALvKnI4PSGRQpb3euHThihNfy8AwG9Vd1 +hqFIMV6sul4uOpNB7ju9NhQBD31weXM0C/JM4bve2APw/cvCSuOyIc/uR44J +AX724/qBM2chz/ZSfR+AbWcSjuk5kGfKKQ9souA+XxQ4P+ccg1IeqeReA67r +/0cX5jJIFHQpXOkg3Me9c9mLeQwKVjV0Ogz8Mca9uTyfQb3N9/XFwNtKl1y/ +WcAg5zA7uQ3RYnzVXj/7biGDHmu86asGduy2Ol57nkHGbV71s2PEeKApJPhh +EYMuRX3PPQ1sEfXIp7mYQdO0DkT8AdbcaeD+fy3ad1yO7RvHcXu7r6FsEZFk +z6xctuyRyIps2bKyFZWdVZmlrEgom+fI3qWSLaGSkPu6UpHw+/5e5/Hn58Xj +vu9rnOdxPq/3sxMmLeB1hdGT12P//3t71JuTJq2YX1DbOHTU4XkuHyJN2oLW +Nmo7X+x3CZ2GZ54yaWmpUd8OonfotiOyo0za8M0d75fxw7y/sNWYH6dN2p32 +d8PnoS88dJtUcMaktcsYuvoVusTkyDlForGebU8Z3d0fv/90zZWlYrCedZnR +7iT6udWprRXOYT37kqtabjBT69ru4ep5rGeBa7JXoA3r9lerXjBps3pUfJCB +7hXY6rnVRZOWYg46PGgj7t/3Ibk2l0zaoP02ay6iy+3dWdn+skmLdTo9xnqT +mTzLFXZoccWktcjr6LAB7X7Kz73dVZN26NDdSjnoPfkdNne+ZtIqDRr2ffRm +vM8ula50/8+k+fxOeXALvbGz+tWJTFru0RlHmmzBelPToc7gWJM2xTlvzW70 +2UnrRrhcN2nPi6wd+w/9xrcgYMwNk9YnsmL7aVvNNDg/MN79pkm75BpskYAO +sRknT79l0hqVqm9uvw3v75oBznNum7S9Z08/PIQ+uWrK3oV3TFoFt05Hywfg ++gYcT19216StKH9vrSf6mW2VVmvvYT27MGzcW3ThuShvv/tYzya9a99rO/bD +yAXPtzzAeiZ7WEahY9ZMbLrroUnrdi3PXGUHzm/hq/z2PjJp0dPXPlqNJt97 +6aGPTZpNZdOxz+iOBx17HYszabtuBHsP3WmmuWM/HD8Vb9JKzanvdgXt3CZG +PvfEpC2ucaaDzS7M28ExXlcSTFrm3U6VN6MD/n78dD3RpLl63tNz0TOedRt5 +L8mkPajj/HjcbtzPnQkP456atI6P3x27i46J3NYtOdmknVzq4dM8EOfrnauv +vn5m0mo1yHcLRkdcDW3/4blJ25K4tmOxIMzPe35cynxh0v6tNFXxQP8LXdr5 ++0uTNtd+j5GEtu/d4lbuK5P2/nn9uE7BZhr4t8bAwtcmbajPmeOH0fc6dnhd +7C3Ws+ad15n2mMnSc5NH2RSsZ2/vjV+MTqpR6Z/0DuuZv3OnVPSVo3G7Kqea +tCptU6s47cW8voma1Xpv0vw+eOScQW/pkvGo3geT9mtLflz1fZhn23Sf1eij +SZvR0TvCG3334zO5RZpJe/3JtP4rOpRCL7RLN2n9d+6ZMHw/zouO4RMcM0za +Na1B5//Q0rMUU89PJq3ptzNVbQ+YKe33EOqXadIOBnf+sRX9ov7f+UM/mzS5 +1/34n//v+x/tXLNM2hrD+cSEg7i+Y4unuX0xacaB1PUP0ENHjg2d8tWkTew3 +071ViJl6NDMmzPpm0p7m53feh27peqO+Z7ZJ6xnuXa1kqJkO94/76vXdpJ0f +LOXOQvdZXfnCGrNJs/2z58kz9IcZe338dKxnxxuc7HLITKO9xw7famA9cznr +ewx9WRtrtzsH61kxx4lKGPa76nuL7P+B9ezUfUcv9Mf1VV6H5Zq0saOHV/+I +dv2beDEiz6TFlX6f2y8c7+/Px8Fn8k1al5iZCTHoA1/KrLz406SdHv/zZK3D +WC8G+0ymXybNuqKP33p08EFt8J0Ck7b9kjTpOzpzkKPj498mrfiUvV1GHkG/ +XNHsaaFJ81Rta1xHvzn3r97rPyYt/b+zeXZHzWQ75laND39NmouHY+J2dP+e +jyp//mfS7lZ5EPkbnf25iqW5iKQ53BruP+mYmRJuHK2cX1TSjs99P+kx+nmf +5TX+FpO06rVmaW2Pm6lk7q56JUtI2sb7P2scRC/XcptWKClphQt98ktHmGlt ++J7OlUpJ2qy6ctJctNee9YOql5a0lLi9p16iP+29NMm6jKQNWma7odsJvH91 +W69oWFbSYm2jJ59AvziaF9SsnKS1fOrY1eIkPu9I0Ytty0ta2OoHNVeg/+4e +/qpzBUmzaOLyMx396Yfxr0dFSVv38n3SwEhc3w6vGvY3SVreullRF9CPUssP +HyZJ2tSWvzbUOYX1e6KfzyhZ0l6k+EzxR3t2HnxhgiJpThvlbgb6UebEb9NU +Sbvcbl+t0VFmOpF6s8HcSpJmn2b76ya6RsjciYstJG3ftuinjU+byenQjLCV +lpJWsXOX07vQ3u5nM9ZVlrSVnx9s/It2GNOnyeYqkvZ9l8vUqWcw75drsnhn +VUkb3+1Dtyfo2Vnjb+2tJmkJ2bOs2p8104JFaRZh1SWt295fv0LR+Y0uTouo +IWnRvdcll4vGfODzhs7UlDSbH/KZBejvf4ZUv1RL0naF7Nv0Bm22qLYk1krS +Sg9oOK1nDN6/ni1f3q0taUt+RXc/hXapcKBzfB1J+3y4S+0q5zBPZow5/Mxa +0kYNfViwCr1z0Bw5pa6kPfzr8iwT/eF28sr0epLW6cSHM0POm6n6nW3mrzaS +Fjli9ubL6BWPQyb/qC9pViUKptW7gPnctVTK7waStvX0uh6b0As/XXct3lDS +ioxV6uSiHXKTXpSzk7R5Zff/HnsR+1uRzqPVRpL24VzD53fQ7Xz/pFazl7Rh +7jFnm13C+at05RnWjSUNW8qWIPSgf+vzGjaRtDZXHk4vetlMzb4NXt+8qaQd +mTqi5wz0mkGe1RyaSVoVi491ktCvw/WoLs0lzS92dmHHK2b64XHfqXcLSfs1 +s+B5OPpIvcKMgS0lbUa19dEVr+J69t3g69JK0t7cVrYuQmeP97Qf11rSBszf +P+MdOi3tcuLkNpL2n5Vdrz7XzNTGZdyKWW0lrdnDGOsz6Gmt3ewXtpO0kMXa +n2r/YX34d+3NcgdJU2wevViL7th2WYBPe0lb+2REzBf0btdAp00dJC1n+cet +zoT16LNacmdHSZtkN8fjGnrd5OybeztJWnJyQa8GsVi/BjZaH9ZZ0nqtXV93 +K7pu/bv9TjhK2oWm6t98dPD02xbRXSSt4ev9L8dfN9P9ffXfX9YkLdjX7tx9 +dGCXzNM3ukpaudbntrW8YaYySRV9HnSTtGWp2sy96F4vdo9K7C5pXzc96l3i +Js4TT7xbv+ohaWPbj6w3C72yc7LyoaekxaV//JuMXhGy0fjcS9K6bJ/zyvEW +5oFlR5/pvSXtjOPvc0fRCYPs/vvVR9LqflkfIN/G+3tQOV60r6TtCFRnLUX/ +/DY+sGw/SSvR40CfD+iSV6v4K/0lbaHZzqbfHZyvN7VdWW2ApGXsO/cvGl3r +w7VF1gMlbYRT19c17+I81uXUfLtBknYv99H5deh2tmXmtxgsae0Pjdyejd5S +85Fn+yGSFjEwbdaIe2byOVTg1XWopNX4PccpFu3aaaeP0zBJ23T0t43dfTPN +mxUcMMRZ0v4M8y2yHe18qdwh1+GSNrtIpTcF6KiwzHMTXCTt3ckDFyY+wDx8 +utXj6SMkbbBrox2P0M0mfv00b6SkXS95fnabh2aaoFUq5eWK9exs174H0LaP +jtmuHYX1bNzj+qUfmSl1Q2T/DaOxnpV3LToXbVG07sLtYyRt/YW0Ny/Qs6JL +he4ZK2n5E+de7PoY+0+DMU8OjZO0aXLhjgj093d1i59wk7SXV33nVIrD8zZp +dPvo8ZLWd3qlfsvR+xxKLbgyQdKuWB5skI4++6He6Zvuktb4RqNiA+NxHvwU +Y344UdL2zz7/9jy6RNyl1k8nSZqpRrdLtZ+Y6Vr1VsvfTJa0VXcf7/RDK87W +d9OmSJp5getcHV2zyDrLb1MlbUKd9H6jEsxkdXjc1Nxpkpb4aK7tTfSK+6eu +/pkuad2XFhZrnGimWzkLLUt5SFpMfb+UneiwiJh5ppmSVj+x0uU/6O+eHgmV +Z0na7pUHd01JwvP+ck/r2rOxntnbz4tHf3Trvtd2Dtaz5+f7OzzF998yuWTz +uVjPvLs1DEV3yC66wGGepI1uHle8XDLWi5LV0rT5kvbojeu7+ejM0SdGOi2Q +tM7+6Zdfoz8svfRkiKeknWozb3ePZ2Zy/NS9/6iFklb7Q+G8SLRt434P3RdJ +2rYtfgMqPzfT5yfxAzwWS1rRjhZ2q9C/xyYmLVgiafM/HSyRic4fPWzs8qWS +9nGHfergF3h/hg3L8vGSNGftwpVL6OTHiV6bl0na7a/dAuu+xDw8LNG0e7mk +tQ2Om78R7TJ76JEDKyTtaM9RA3+g7Y8O63p0paRVNdLtxr7C+df32buoVZLm +f2BeyTton+DXay6ulrSCvn9Sm77Gfjxlou31NZLmke93NRDtt2T2k/trsZ6F +WQQVeYPr7/pneaI31rPBIQumo+fvlJu89sF6Vmg/KBH96+yx1I/rsJ4dv9Co +41tcry53Ar+ul7TQ4d1LhaNzb08fmusraWqx+PcVUvA+x++Q//pJmvepUdcW +okt97pFYaoOk/RiVEZSCdti3IlDaKGmTS8/37P0O5z9Px/FVN0nas+g/g06j +N/z1bWy9WdJ6j/e3r5aK73drVKHdFkm7WMGy9Fr02GEX4ltulTS7SyEfstDd +2x440nGbpO2Z3Pi/Ye+xPvyS1vQIkLTy6sXgq+ildhXdBmyXtOX/dV9Y/wP2 +16G7NZcdkvZtRvzgLehfRU7Wd9spaeOqjG6cj46/PtA0bZekxd/MKD3+o5ke +W68qmLtb0rS58z/eQ/+M7Jy1NBDrWc2//7VIw/XN2fB2bRDWs/v+e/agT62a ++nRjMNazhZaLiqdj/khNitu5B+tZ3dAhM9FtDj15tH+vpC2Ka9wkGV04bFzc +kX2S9snrYhnHDKwv3iuSovZL2kjbHmlH0KP+a/rm4gFJu58UT9InMw3bMT/z ++kFJ67B69N4l6MhtTj8fhEjaicafFr1H/+sRU/5pqKTVfDl/aN9MM5XuEl33 +7SFJ27zub5NodGTF3p0zwiTtb4sNZWt+NlNRbe7o7+GSNifFMt0H3WlysxU/ +D0ta6obQ2G/o/yqsO1T0qKQNaddkn0uWmSrdmfew3DFJu/Hx4mJCf6uTl1/p +uKS12tZjWMMvZmoYqTasFSFp4Z2eNA1APyi4O7rBCUmz/Dy6XAG66J7KO5qd +xHq261O6+1cz1avx77FDJNazrguuP0RHm9ZW6HYK61n2332tv2E9LhkysF8U +1rM9G5bsR2dudd3pfFrS+vWu7Fwq20zjukS9HXtG0q7mhDabg34XHtpo6llJ +axLSpPwL9LUhLZbNjZa0A/0vZWjfMY88Hxe/NEbSpF89bhxHB91tZOt9TtJW +H36yXzXjeY3ZtXbTeUnTh4xZugx9qmnI+10XJM397yfnNPSZ+317HLwoaUkR +C5oP0M00ssruiGOXJK3HiH/lz6OrRKy1OHtZ0s4V3/jJysB+Y6GsvXJF0hqc +rnzT1/j//5/pmnPrqqQFjjl0wIzOumI5Le6apJUp29TLNcdMKVabU5//J2lL +z10afgN97PCxMe9J0rIm9Gxh/8NMFz9Oe5MVi/XMlFBhJ/rEzDi3H9exnl0e +k1mI7vX0ZcafG1jPpmbenJxrpmWnNs4rfQvrWSXPg3HoyVvT/sm3Ja1O7D+v +dnlm6ls+PaD6HUkLmLnRJQS97/xmW5u7klasWpWWZfOxftV/F9vknqQtuH2o +4ny0dfbLse3uS1ravKafX6Erhq/8qz2QtOFWl291/4nzwZvHh/o+lLQ7D3qG +nETfa3Kvr/MjSWu3OGGZ5S+czxrPzhv7WNKO1Rs7YiXacnbs4alxklbtSWbL +T+iXfjRyXrykbVjuaRpcgHlInSkteyJpvxsWybqI9gi9c98nQdJmJm+8bf3b +TOEP4323JEra2zVVQjegL9v6OQUlSdrApmHLc9BD+ptNh55KGr1qOnJMIeaB +J2VenEiWtOa+l1vdRk/rmxh+7hnWs1a9pKZ/zFR+6ICF9BzrWWpC1m70/JVe +TvdfYD3bNPbOP7TV1FHWSS8lLdfhc+i0v/i8sG+Fb15J2pR0zxUJ6JqPWr/J +eC1pzwOKuHb4h/ltSXMyv5G0Po6bWoeh52jvDxe8ldjD6fT1au9tJd5J7OF0 +clo/aaUpVWIPp1OHNg5zq76X2MPpdMj95uS6HyT2cDptPVrKrfFHiT2cTp33 +FxvTNk1iD6dTpbcXxmjpEns4nY4WsZ3QN0NiD6fTldDB050/SezhdHIc1Wbh +uEyJPZxO/W4l+0z7LLGH06mJV8ug+VkSezidXCv3j1r+RWIPp5Nnq3oP1n+V +2MPpNGjmxcxt3yT2cDp9Hl2h/N5siT2cTrP3125x+LvEHk4nh0fGqCizxB5O +pzjv9X6XdIk9nE4Zbq8v3TQk9nA6TSzUsx/nSOzhdBqR+8D2xQ+JPZxORT5N +mfQhV2IPp9PDWXfCv+ZJ7OF0cm6QlZmXL7GH02lSZGLzor8k9nA65Rxcvbx8 +gcQeTqeGe388tPwtsYfD53VqVrtOocQeTqdi1i0WNfojsYfT6U1GwZPWfyX2 +cDrdtt/crMs/iT0cfk+vH/dsisjs4XQKSi5VeBwtPJxOTQP+Nm9WVGYPp1Pe +vddTY9DCw+nk8uhASPtiMns4nR5k9X79H1p4OJ0yFzyv0qO4zB5Op9EXBrjc +RwsPp9OnEid2Dywhs4fTadrJ7y+S0MLD6ZTwp0Yt15Iyezh8H6fmE1PQwsPp +FJrS+MTEUjJ7OFw/k5qbiRYeTqdHDVO12aVl9nA6dVsZuCUHLTycTn3dHFKW +lJHZw+m0oubNZn/RwsPh+S7l4O1dVmYPh78/O/BFmXIyezidtoe/b7YFLTyc +ThMKKvtXKi+zh8Pnx7ZLC0ILD6fTnz49ulpVkNnD4f1K6xQShhYeTqe3H62L +2lWU2cPpNCY4Z+IptPBwOvVwOXuvlUlmD6dTx5njml1CCw+n09/GPwMdJZk9 +nE6Ts1YVu4UWHk6ncXm5s51kmT2cTsahUW/j0MLD6aR1OzXAWZHZw+nk3UCn +l2jh4XQqf6heazdVZg+nU8/SvSLS0MLD6RR9bGTdGZVk9nB4fl+M3vcdLTyc +ThezBlVdaCGzh9MpqXmb3QVo4eF0svtbvvJqS5k9nE5XDz8NLFlZZg+nU7jX +1hob0cLD6WT5pFOoXEVmD6fTqF9vGu5GCw+n0wunuWdrVJXZw+lksszvHIoW +Hg7379S8hw2qyezh8O/NSB11Ei08nE7ue7t/bVFdZg+n09jde1ddQAsPp1PR +qEyLzjVk9nA6TW1vf/IGWng4na75T+zZp6bMHk6ngT8CUh+jhYfTafnj8yuG +1ZLZw+H9nJ5Y8yVaeDidYmulXxtnJbOH06mm4/fxaWjh4XQ6XFsvOaO2zB4O +62+VLye/o4WH0+nj0rfDF9aR2cPpFLXvXpHfaOHhcP2fnoxcbS2zh9Npz+IN +Y0rVldnD6eTxakLFTWjh4fD9hraKVerJ7OF0zL//PAPRwsNh/Xh1276Wjcwe +Tqedu3zTDqGFh9OpzrUeBxvWl9nDYb0/+mf0KbTwcDpViDhbvXUDmT2cTjdq +THp9CS08nE5nh6oHutjK7OHwPh6+5n4bLTycTsqMKXb9Gsrs4XQ6ll/BeIIW +Hk6nx+FnrrrYyezhsH7ec/Z/gxYeTqd2l/JGuDeS2cPh/sQFNsxECw+nU383 +h9+z7GX2cDpl3XgRn4MWHg7P/+ClR5Y2ltnD4feOr77qH1p4OJ1u9bnquq6J +zB4Oz4O7W9vyTWX2cDr5lihhGYAWHg77g29EbuVmMns4nWK6DXuxDy08nE43 +t/y9Wre5zB5Opws3T4YdQwsPp9P79uM2NW0hs4fD/XeqtDgGLTycTsf7PZrY +oaXMHk6nBUH+Q2PRwsNh/fLr171XK5k9nE7bFqptH6GFh8P3uZDSaGhrmT0c +9utjp61foIWHw/oR4ld9XBuZPZxOR35NsUxDCw+nU9WK/dUZbWX2cFjvWjso +ZrTwcDpVvG+vLmons4fDfmVja1mIFh4O60Noo+prHWT2cDqtCm5rXaa9zB5O +p05T+jXaghYeTicr9+ltLDrI7OGw33za1m0PWng4nVYOvjGkTkeZPRzW4/w/ +7kfQwsPpVNuhx6LGnWT2cDoVeOzaeBYtPBz2l2z9kENnmT0c9rdqo67+hxYe +TqcfTnHPezjK7OGw/rwcmPsALTycTqVs3lgM6SKzh8Pz7rOo7XO08HBYn0ZY +jRqryezhdLqfl7TqI1p4ODw/FHh0eleZPZxONhVnJHxHCw+Hz+vY78/CbjJ7 +OJ3eHeloX4gWHk6n9ic6jl7bXWYPh+sd3m9zmR4yezg8r2aP61vQwsNhff+8 +L9+ip8weTqfF2SnN9qKFh9Np96iWM6x7yezhML9tDDxyFC08nE4t35rSm/SW +2cNhHowMqh+DFh4O+6Vrm2kd+sjs4XTq0yX9ZCxaeDidyl45ltPLSWYPh3mt +0epOj9HCw+nU5auH77C+Mns4XI+xM5++RAsPh/X99Zp64/vJ7OF0Krx10jMD +LTycTuqSb3dn9pfZw+mUNrRHrRy08HD4vOgznksHyOzh8Pz/ahP3Dy08HK7P +mgS79QNl9nB4vk76+FYYJLOH0+n680GftqOFh8P76d7KqdpgmT0c9rOTzSIP +ooWHw35dqWelBkNk9nA63fm5YNlJtPBweP4uX01vOVRmD4e/f6H20Eto4eHw +fgzZH9tlmMweDutVRpsWd9DCw+m08PnnsP7OMns4zKvel6omoYWHwyzQ7/BW +1+Eyezhcj62RZVLRwsPpNOB0ovcUF5k9nE6BFS2LfkMLD4f5qMzC1QtGyOzh +dJpZNKdoAVp4ODwvrlvXrR4ps4fD/Dqvb/nSrjJ7OOy/J2x2bEYLD6fTsKlW +tSxGyezhdKpR2uH4HrTwcDo1/zqvnfVomT2cTo2XPLp7FC08HN6fCn1GNR0j +s4fDelk+PTsGLTycTrOeh63rOFZmD6fTugQfqxto4eHw/Izxu9RnnMweDt/v +1SmXeLTwcDp9i/yZO9xNZg+nU0T7KYFv0MLD4Xql5neYOF5mD6fTqRKnUj+j +hYfD+l7W32/uBJk9HM5PQ/1b5qOFh8N63C0qZYW7zB5Op+edfm8qMVFmD6dT +bviszhvRwsPp5JNSyqxMktnDYV4YcDc8CC08HNYz56jRtSfL7OF0OudKFkfQ +wsPptJHy4xtPkdnD4c+zRm6ORgsPh/Wly8f+HabK7OF0OlA30HQdLTwc1oef +nom9p8ns4XB/668IikMLD6dTpHR6/PDpMns4nb5UN9m/QQsPh/nxZGC++wyZ +PRz270YD7nxGCw+H+/uraeBcD5k9HPaHlZ1n5KOFh8P7VWdpl5UzZfZweL57 +fKhccpbMHk6n34OXmjeihYfDfHHC8ZE6W2YPh3nqUsuIYLTwcDpV/jh8Q505 +Mns4nYZsPjbzKFp4OJ0a1LYf0nSuzB4O5+t/b9udQwsPp9OWndfrdJons4fD +ebzns/I30cLDYf7wqvnLab7MHk4nr+CdmU/QwsPp1LVcl1cjFsjs4fD5rWvG +paCFh8O8sqrxrcmeMns47D9D5179ihYeTqcWVTLPL1gos4fDetp6Z3QBWng4 +zAfKwrNrFsns4bA/V90YXWaxzB4O893pZ+e3ooWH0+lDt5FXKy+R2cPheraT +b+1HCw+H+/fz72ObpTJ7OJ0ssm1enUALD4f7t2ZtZksvmT0cziM1qv66hBYe +Ds9b/YzyXZfJ7OEw75X7VuceWng47BctmjgMWi6zh8N+UfrokGdo4eHw/XJG +zRq7QmYPp1PKBKeNaWjh4TD/XJl/wmOlzB4O+8GM548NtPBwOv18tdhYukpm +D6dT6UXO1YqultnD6WQ+MqubH1p4OJ32vrg5S1ojs4fD87JoxN7daOHhdArI +aviw1lqZPRzWk1CHP+Fo4eF0KlLKt0Vjb5k9nE7lfCymRaOFh8P+5vs+pIOP +zB4O7/ey7NfX0cLD6ZT+qGM1p3UyezidNn+6P/IJWng4nZ60C94zYr3MHk6n +eo0iUlLQwsOh7f/YTPGV2cP9///3bJ/1DS08HN6PCh4XPf1k9nA6jb+zvmQh +Wng43I9uGc7e/jJ7OJ08v/sfKbdBZg+H9af9/IIAtPBwOuVtPji42kaZPZxO +bqPV4yFo4eHw70sPizfcJLOH06lk1Qfjo9DCw+m0+qMU23azzB5Op4ap+6z/ +QwsPp9OS5fPW9dwis4fD82e75csjtPBw2I8H5gxz3iqzh9Nptnvof6/RwsNh +fkkIsp+4TWYPp1Pbcq/2ZKGFh9Mpc/nkCvMDZPZwOt0L6rj6F1p4OOz/j8fk +rd4us4fDfLnh/uwyO2T2cDq90tZ+3ooWHg7Ph5vvlCo7ZfZwOvUe8TL9AFp4 +OJ0mBi2Z2mCXzB4O6+uOyV8i0cLD/f88EzKvzW6ZPZxOa7waFVxFCw+nk2uf +4ut6BMrs4fD9d9irj9DCw2FeiAsLHRYks4fD/jx9ZqvXaOHhsH4+W3fXPVhm +D4fnzdc8NgstPByer+yTefP2yOzhdApZcSXgF1p4OOxfR2o2W7NXZg+nU/E3 +T+LK7JPZw+m0dtuLudvQwsPp9F/b1pZV98vs4XB9uqZdOYgWHg77V73Pk2wP +yOzhdKo7pLschRYeTqeRnXKutT0os4fD/Xf9Nes/tPBweP6qu9TpFSKzh8N5 +N7ds8mO08HA6zR1ruWl4qMweTifpzpKeb9HCw2F9CWtddPIhmT0c1mMPJ/qK +Fh4O6/u2C6s8w2T2cJh//Fd1K0QLD4fz1P3Q0j7hMns4nL/uWMWXPyyzh8N5 +IjcvaAdaeDjMU48aTa5xRGYPp9M1utwqDC08HOaNnkdL2B+V2cPhfUwyPz+L +Fh4O62lqYGSHYzJ7OFy/9/vW3UALD4fz0sAi4/sel9nD6bRv741OiWjh4XSq +0jWtxqgImT0c5p9zk/+8RwsPp9OUOb3fTz8hs4fTaWiG/10dLTwcfn9Ak9NL +T8rs4bBfZrbcWzRSZg+nk7X7Hj9/tPBwWI8WT1qinJLZw+H7HQyYEYwWHk6n +pkPrullHyezhsP6WqeJyHC08nE5JHeYOanFaZg+n0/wJTftdQgsPh/Uod4hT +1zMyezicF+a8drqPFh4O+1Gfh/2HnJXZw+n04pf10Jdo4eEwr/955zohWmYP +9//7U3LSZ7TwcDjPpe6YOy9GZg+H9Ttmw6pfaOHh8HuvZ21bc05mD6dT6JqY +8LLnZfZwOjWbm3k5AC08nE4zSvsmVbsgs4fTqdqNbdmhaOHhsL/XKFWh0UWZ +PZxOiZs/2Z9FCw+nU69dbQZ2uCSzh8P5M9I8/wZaeLj///+Manv6XpbZw+lU +qzDqZiJaeDh8f/tz30ddkdnD4fqMsrP6iBYeDte3ZMVBHldl9nA6uRwfvzYH +LTwczgc3bC4uuyazh8N5P87VXPw/mT0cnuc+Rew3oYWHw/kjquo0C5LZw2E9 +WB12dB9aeDjszw6hn21iZfZwOjm6qE0j0cLDYf9xyfdsc11mD4d588Lg/66h +hYfDfvHTqlyvGzJ7OJ0G73YfGYcWHk6nWLnmcZebMns4PC8Z/QpT0MLD4fvu +MoZMvSWzh8P9PyBHfEcLD6fT0lVHSiy5LbOHw/p2MWZCkTsyezh8/v2O1/3Q +wsPp1L1Fp3rKXZk9HOblued9g9HCw+lkW+ZEtvU9mT2cTn6Lq4+MQAsP9/95 +vOStlvdl9nBYX997tLyCFh4O68ubgWHdH8js4TBfxJyq/AgtPJxOZTI3bnJ+ +KLOHw/myIKPEW7TwcPh9M2NXTX4ks4fD8xBb9c83tPBwWA8Xm5cveiyzh9PJ +27LPv79o4eF0Wl+jjo9vnMweDtejwtLycrzMHk6nTh7DdgWhhYfT6djlKGvr +JzJ7OJ2yVmw5fRwtPByeLwdz15YJMns4nebMSk6+jBYeDn8/xHFm90SZPRzW +R5fGJR+hhYfT6YZ6MMQ5SWYPp9Mi10DHt2jh4XTqEGX5bvJTmT0c1uug6muz +0cLDYb7dc8R2cbLMHk6nfh0ux/9DCw+nU3jhcC+/ZzJ7OJ3sp3rZKs9l9nD4 +vH+2z4PRwsPpNKifm3/dFzJ7OJ1OP6njeAItPBz2J8tZua1eyuzhdPoc0iPq +Klp4OPy+n0c9er6S2cPhvBsW2CgOLTycTm3sLL66vJbZw2Gelq1Ov0MLD4f1 +6t25RdPeyOzhcJ6r/aaLjhYeDvPkiIDyXm9l9nBYj6RnL4ulyOzh8P7ER0Rs +RAsPh/mxtrzS4p3MHg7nWb9SzvvRwsPhPLxjS+MGqTJ7OOwf946VjkILD6dT +hTPD09u9l9nD6bTh2a7bsWjh4TAv3ph+zOmDzB4Oz8/fhM2JaOHhsL5ZxC8c +/VFmD6dT8uYJ49PQwsPhfJTrP2BWmsweDs/vsz6d89DCw2E/v3Kg2ap0mT0c +3r+mm2zKZMjs4XBeu6zUDEALD4d550uzytU/yezhdKo04JMahhYeDt9/hoPa +OFNmD6fT9/h6FufQwsNh3i0bUdXxs8weDvtb2MPad9HCw+H72fnbDc6S2cPh +fjb62OYlWng4naq2eNXD/YvMHg7n34ezXb6ghYfTabhv6AzPrzJ7OJ2C9Plr +/qCFh8P1C/q4Z/03mT2cTu3L/jgvZcvs4XSixPDkILTwcDq19M7Ls/4us4fT +qdHxz9VPoIWHw3yatLJra7PMHg77d8D5GdfQwsNh/5wXsLuXLrOHw/5dXL4d +jxYeDtfrecu8kYbMHk6nYisK7D6ghYfD7/WZOt4jR2YPp5PlCq/gH2jh4XRa +9rNV8oofMns4nYr67qxUOldmD6fTrkv7nLehhYfDedd6QFC1PJk9HN6HQUdS +DqGFh8P7++yYbeN8mT0c5tlewxecQwsPp1NGy5PXHX/K7OEwrzc5pd5DCw+H +8/y90VOG/JLZw+n0dPe5q6/QwsPhebO/ajmpQGYPh/N5tbnzvqGFh9NpYE5C +/KLfMns4nNcHfGhepFBmD4fzxJXQnf5o4eF0ep0g/1b/yOzhcP6t13zSPrTw +cFhvehV5Uv+vzB5Op4THaxyj0MLD6dR10Lkoh38yezisf257691ACw+H/W1n +6z39iijs4XA/vNdUSkYLD4f57/rareOKKuzhMM+lO5gy0cLD4XnyCd86r5jC +Hk6nnW3vVPqNFh4O62nAgT0+xRX2cDrdsW9qYyqhsIfD/LR/4elAtPBwuF/L +F3axLqmwh8P64d40IQItPJxOv9JCJrcupbCHw3ln/+PCa2jh4XR6V+/c7t6l +FfZweD7kka0S0MLD4TxReD5xVBmFPRzmr9WJnmlo4eFwPm1zotrssgp7OOx3 +MV2v56OFh8N8ErTbY005hT0c5h+viKrlyyvs4XC++LHi3k608HB4H0KUZVYV +FPZwmA+qT2l+DC08HObR38syW1RU2MPpNDl1WNgVtPBwmAcnZo/vaVLYw2G/ +q93fOh4tPBz++zOz0kZKCns4zG/nhkV8QAsPh/3jzt/5M2WFPZxOI9bOccxD +Cw+H857PkQqrFYU9HNZHj/C3ZVWFPRze38TpZ3aghYfTadrIfN9alRT2cDgP +7Bkw4ShaeDjcj7azOrewUNjD6XT3gUvNK2jh4fC855b728NSYQ+H7zd8w4c4 +tPBwmF82JN8fWVlhD6eTb4tv0R/QwsPpFPYlIWRmFYU9nE6/R/hsy0MLD6eT +R+WS3qurKuzhcH/uOi8pV01hD4f7V85z7k608HCYZ1dP9LCqrrCH00l/UG/6 +MbTwcLgfG85Nb1lDYQ+nU//x1WZdRQsPh/kpe9CCXjUV9nA6VX7muvwJWng4 +nb5cauc3qpbCHg7rW6es3Wlo4eFwPvg379hsK4U9nE7qkfirP9HCw2E9SCr+ +dG1thT2cTh/tpewKdRT2cLi+Q4xygWjh4XSa+jmykbW1wh5Op9Td3QacQAsP +p9OOv6fnt6mrsIfD+3f71x5CCw+H8/LWWnec6ins4XQ6XKzWjyS08HA47936 +aTPORmEPh/li6ZmRmWjh4fB+3uu9dX59hT0crt/Iq/cK0cLD6TQsTirp20Bh +D6fTkoRuPRRbhT2cTg/KuKzfixYeDvNljb4P6zdU2MPhPHOmdqXTaOHhsN7P +ez62g53CHg7zbon5J26hhYfD/VDNvwc2UtjD6TSp+9BBL9HCw+H50YIPT7RX +2MPhvB99++83tPBwOgX0fDFqSWOFPRzm4YMJl4o1UdjDYT70OFtjM1p4OKyf +zstWV2mqsIfTyal4k8xQtPBwOqXXuze0cTOFPRzuz/gBsefRwsPptGDyteZd +myvs4fB731YNf4gWHk6nQwvGV3dpobCHw/yfvHNHKlp4OMxnkeclj5YKezid +TBF3tuSihYfTqfri2/LqVgp7OMwv16N3lWutsIfTKbfd9lq70MLDYf9ZP+FY +7TYKezidgsfUbRuBFh4O79vU5Dut2yrs4XR6NnzZKEILD6fTkWcWulM7hT0c +Pi/o0IanaOHhdCrfv76tm4PCHg7P08H9dz6jhYfDeah7heme7RX2cPj+mfNM +/9DCwxl0tnP8ef8OCns4g2rl2rhbdFTYwxk0jRbIB9HCwxmUOeDqdbtOCns4 +gzoM/bcwBi08nEG9V3Zu0qWzwh7OIEefxZ/uo4WHM2hP5VPhzo4KeziDrDLf +T3qHFh7OoBcnKjWc0UVhD4c/t+yR/QMtPJxBO54vuLBKU9jDGWR9NMy7XFeF +PZxBRRyeDt2FFh7OoCjHUvXrdFPYwxlUMKdDQQRaeDiDfq6el9imu8IezqDy +ticjY9HCwxn0rsqXjf16KOzhDJpVttmsZ2jh4Qz6dWbJkAk9FfZwBlW6d8/h +K1p4OIN2F6tTb3EvhT2cQSeqrpKL9VbYwxl093pGkc1o4eEMOn5uxI8qfRT2 +cAZNOJmYdQgtPByu77iRaU2cFPZwBpXw+Zx6ES08nEGbn61P7d5XYQ9nUD2p +xcc4tPBwBo34lJnp2k9hD2dQqWpRehpaeDiDRo/z+TOnv8IezqAn86ZX+I0W +Hs6gJiY3q/UDFPZwBvlmT26lDFTYwxnUM25lv31o4eEMqjY9YortIIU9nEGl +l332OYsWHg6/L7bTkc6DFfZwBt3LDX9wDy08HD7vRV1j2BCFPZxBCTYXar5D +Cw+Hzwt27ztjqMIezqDpOfWX5aKFhzNotl40avUwhT2cQc+H5KeXd1bYwxm0 +MKlUnUC08HAGzWzTYmzd4Qp7OIOatVu8PxItPJxB64Nepjq4KOzhDFpXzdX2 +Flp4OIMCvfLmDhqhsIczaNHys9deoYWHw+9J3VxxykiFPZxBNab6j9fRwsMZ +lJN4+PxyV4U9nEHn0z9KZUYp7OEMujqhx8wdaOHhDDpV9t5Dq9EKeziD/EPm +NI1ACw9n0L7PHXe2GaOwhzNo47Emf2LRwsMZNCC05/T+YxX2cAY1DfJ58Rwt +PJxBj4Z86TtxnMIezqCaO7xis9HCwxk0xbpVey83hT0cns8bludKjlfYwxl0 +umO91gFo4eEMyho25nzNCQp7OIO2JVzveAwtPJxBu5Y532rlrrCHM0irZjmY +0MLD4X7ML/Gu70SFPZxBLgPrzXuGFh7OoH8L55dyn6Swh8P3P2Mc+IYWHs6g +mAfhHZZOVtjD4fnf4P+yxBSFPZxBUy+FLtuGFh7OoKd1s+vUnKqwhzMobNuc ++0fRwsMZtOZxg4Wtpins4QyaH25hQ2jh4QxKTG3/rO90hT2cQSMHBm58hhYe +ziD1UpMe7jMU9nAGTf725983tPBwBllcLENLPRT2cAa1zRi4puRMhT0c1tee +Cb0C0MLDYX07tE2qNUthD2fQ1sebXx9DCw9n0Kod9yNaz1bYwxl0+GaPFbFo +4eEMatOkyLD+cxT2cAZdP1ho/wItPJxBrb90KDNprsIezqDkd1c+fUcLD2dQ +r4GrHyybp7CHw/tR2v906fkKeziDvrx5FrwDLTycQc1DZqyvvUBhD2fQpHo9 +F51ACw9nUL7D1OntPBX2cAadi05wu4kWHs6gO1PWuQ5aqLCHw/NW39vlNVp4 +OFzvWw9dpi5S2MMZ9Kfy+FE5aOHhDOpiaBNWLVbYw+H5tp/jUX6Jwh7OoO+7 +vywJRAsPh/tb/oJ/vaUKeziDaFzi/ii08HB4n6Y6nuvopbCHMyj39+/4u2jh +4QzKzimfPWyZwh7OoMcN5kipaOHhDNo0s0HrmcsV9nC4X/tbjvmJFh7OoPHb +d/r6rFDYw+F62ww4L69U2MMZdLLx2Mx9aOHhDPJYd7uW3SqFPRy+bxlfl3No +4eHwvgYc2t51tcIezqCiBVUTH6OFhzNosNUXi1FrFPZw2C9fVhudgRYezqA6 +ZY+Ez1+rsIczaIjfNv0vWng4g5a0ftV1o7fCHs6gjCzvXVV8FPZwBg303/I1 +DC08HPbDbwW9mq9T2MMZFJ93P/wqWng4rPfehSWd1ivs4bC+z98xIxktPBzW +z7CtiRN8FfZwBqXl652z0cLD4fkZeOWkl5/CHg733/+rVWl/hT2cQTf81u/c +gRYeDv990w0V62xQ2MMZdGbsT/+TaOHhsL7mJ5Rtv1FhD2fQyndVNt9GCw9n +UPDPB5WGblLYw2F9a/B1fwpaeDisHwOW23tsVtjDGfRsyPKr+Wjh4QzyrPpt +sM8WhT2cQYfWx2fKWxX2cJg31tr47EcLD4d5xfy1bqNtCns4PE+X7G6fRwsP +Z1DJm69ndA9Q2MPh3/tR3OIJWng4zAttw2LHbFfYw2E+nHN57me08HC4f/59 +bRbtUNjD4Xma5fy62E6FPRzmlxIvd21FCw9n0FKHl8Nq7lLYw+H35Ay3PI4W +Hg7Pb53Br9rsVtjDGVQh4t6hG2jh4Qzqtzx29qBAhT0cnr+1Do5v0MLDGbQg +ooUyPUhhD4ff++7kp1y08HAGLZdOXF8brLCHw/ts2+SgtEdhD2fQ78qtV+9D +Cw9nkO3D2El2exX2cJh/Wz3tfx4tPBzmzS5zHLrvU9jDGVQ/ZbvtE7TwcAZd +KKJVH7tfYQ+H/ThwsZyFFh7OoP92dCi3+IDCHs6gzxn+pUscVNjD4fd7TykT +gBYezqADoxIqWIUo7OEM8h7/0OIEWng47A9+w+s4hCrs4Qyqentus9to4eGw +/5W36jb0kMIezqCUweNGvkMLD4d51r/l/JlhCns4g0wn9m79hRYeDu/jiT2n +14cr7OH+/7w1T650WGEPZ9B7m3F/QtDCwxm0faONXdMjCns47MeRPiOvoIWH +w/rps2pjn6MKezjML6Ur30hGCw+H80Vzp0L3Ywp7OIPiflXrgMGPPRzelzH+ +y1YcV9jD4f0aFhRbLkJhD4f796p32SC08HDYD74EDa9/QmEPZ1BLn02Hz6KF +hzOobki9X11OKuzhcD06uQ1+jBYeDuuXi3ZiVKTCHg5//+vdsplo4eHw/P/O +81h4SmEPh+fDJy6hWJTCHg7zjc+gjtvQwsNhni70OlbrtMIeDuetb0OqnUAL +D4f5yyV5s8MZhT2cQe3alyp1By08HNajwPQ1w84q7OEMGufpWfQ9Wng4g/om +RPvMjlbYw+H+nQkvX4gWHg7vS7U+u/1jFPZwmHcqHLSpck5hD4fz3NaI8+Fo +4eFwnjrq0b/leYU9HP5914/phBYezqCGJ1XvARcU9nDoIwX1XqOFh/v/fLbv +3rSLCns4zCsnf87NQwsPZ9DXu3Itn0sKezi8D8fTHymXFfZwBnUbvWT1QbTw +cAatTr/frskVhT0c7u/Q18ZltPBwBrlFRp7pc1VhD4f1uUg3z2do4eGwH48I +7jDpmsIeDus1xZQw0MLD4X3pvitx1X8Kezj8fb1jeEVS2MMZtPP58aV70cLD +4bxSLHWoXazCHg7P19p3zS6ghYfDPDbpuNzzusIeDn//smNuIlp4OOz/O0Le +jr+hsIfD81Tkyf1stPBwOK+ocZeW31TYw2F/eL03stwthT0czn+zOxwOQgsP +h/PFm4iQBrcV9nAGHW2ffTAGLTwc5pM9JcO63VHYw2E9UY3jT9DCw+F5uxAT +M+6uwh7OoDf7B978ihYezqC5b2KTve4p7OEM8vKv8LXMfYU9HM7PV1uWCkQL +D4fzysbWNvUfKOzhMH/LlXpFo4WHw/4zMd6j60OFPRzmzf0zdsWjhYcz6MWL +9BtjHyns4QxyaNbtxxe08HD4+1dW23k9VtjDYX0LPuReJk5hD2eQX/qxg7vR +wsMZ1OPKzlSbeIU9nEENHKbWj0YLD4d5fan17K5PFPZwOL8duHU5Hi08nEFJ +t4aUH5egsIfD96nwwO0rWng4g3x2Nr3olaiwh8O/v3K1RdkkhT2cQVZZsZ6B +aOHhDCr8ZX5R/6nCHs6gD/FK1xi08HCYn7fYRHZLVtjDGWTv2qhWAlp4OINO +DLMJcHumsIfD+32oUtlstPBweF9m/PJZ/lxhD4f3PeFZifIvFPZwmL/+nPQL +RgsPZ5DZYoXc8KXCHs6gkC599p1HCw9nUPfDUuOerxT2cAYNn/2UktDCw+F8 +dzNwhPtrhT0c5oH4UTlmtPBwOC9csdqx6o3CHg73Izqtremtwh4O3+99ZMo+ +tPBwmPfmL9tgn6KwhzNo7faBHS6jhYfD589pmN3nncIeDvNV23JHnqOFh8P6 +UDl3wpRUhT2cQZGOWda5aOHhDGqRkpXu/V5hD4d5of7PSPWDwh7OoOk9K3mF +ooWHw7w5p3Pf5h8V9nAGhSYvtiK08HCYlyJu5g1IU9jDGaRb1016gxYeDvPM +nJ3RHukKezj03RpBBWjh4bAfuF1a7Z+hsIczaMPM2bOqflLYw+F5qd9p3FG0 +8HAGrbhRb1jbTIU9nEEXlzbofxstPBzmG++eTs6fFfZwWJ+qr+37ES08nEHf +Fr4dND9LYQ9n0ISEka5Fvyjs4Qxyn5o7ZRtaeDhcjwUxS2p/VdjD4Xq3CNxy +Ci08nEFHUg4c6/xNYQ+HefPiozuP0MLDGXTtt/Xn0dkKezg8n5kHpS9o4eEM +Onatdwev7wp7OHzfK9WnlzUr7OGwn1lX3xeEFh4O60nL3km2usIeDs9vszDT +BbTwcDjPjWk2sJehsIfD5xV8DUhGCw+HeWfQ25eTchT2cDh/Hija4AdaeDiD +7jcZvcj7h8IeDufV7l8eqLkKezisl/Wj6x1CCw9nUKMaMatb5Cns4bB/zvr+ +PhYtPJxBYydO6T04X2EPh+vXu/qZd2jh4bBeT6xQe85PhT0c3o9ijgF/0cLD +GfRg9unSW34p7OFwfsudtLZWgcIeDuvxhzFFI9HCw2G98g726fRbYQ+H+cu2 +ZoVHaOHh8H1rZgSOLlTYw+H6nPlp+wUtPBzOk+2GX/X6o7CHMyjoX6Fzub8K +eziD0kfpejBaeDj03lY77P4p7OGwfljedriEFh4O+1eNox/6FFHZw2F/LPZ6 +2wu08HAGta3j3n1aUZU9HOax+50L8tHCw+HPh88551tMZQ+Hz6v+07NKcZU9 +HPaz+S8djqKFhzPoaYxF0XYlVPZwWC86nnh8By08HOaR8QcOuJRU2cNhvlz5 +fUEGWng47M/6wQGLSqns4QzaWPmMfanSKns4gz462Zh2o4WHw/v3ueBH/TIq +ezisN85tU8+hhYfDeTnreXzPsip7ODzf5d7fTEYLD4f933LY1cnlVPZwBk2Z +3exSLlp4OIN8Fy2+vK68yh7OIC2gcaxlBZU9HM5XdQc/OIwWHs6gO1s+vmhT +UWUPh/NEx8wvt9HCw2F/2upW3MWksofDemTuWzsDLTwczsN3TnRZJKns4Qwq +tsx7UilZZQ9nkNOipM270cLDGbS/7v4rDRSVPRzmnaz3386jhYfDvNohzKa3 +qrKHM+jyig9uz9HCw+F9qRt6cGollT2cQbV3pX7MRwsPh/NAz0ON/SxU9nCY +r8M+La1qqbKHw/prdfbhMbTwcNjfGhav276yyh4O82vLN8vvo4WHM2hUlOMb +1yoqezicV9TGWhZaeDjMDwmHj3lVVdnD4bw1PtKyfDWVPZxBAa17+O5FCw+H +9e22xx/76ip7OIPsNtZfchUtPBye3z/z8/vXUNnDGVR51/Blb9HCwxkU/yiu ++OyaKns4gzrVTt32Fy08HOarMhvrbq2lsofD5xVPuFjbSmUPh3lga/Sw02jh +4TAfNmqdo9VW2cP9///nOgcmoIWHw/ltvaXmXkdlD2dQntPSbwZaeDicr2qu +OehtrbKHw365pqmLRV2VPRyuV8Fa5TBaeDjcL9PqhDb1VPZwuH5dbHffQQsP +h/XW0stthI3KHg77jaVXk0y08HAGyfcaFllaX2UPh/nnnO/zsg1U9nAGVZwa +FL0HLTwc5rUpY3ba26rs4Qx61yV56VW08HA4j60sMWlAQ5U9HNb3U1+GpqCF +h8P9H7ep1xw7lT0c1uOamY5FGqns4QzavKRIpwC08HB4f4o971zXXmUPZ1DZ +/rN6RKOFh8N5IePWoB6NVfZwBs2Z8s4tGS08HOaHcf95TmmisofD/Z44cUs+ +Wng4g0ZWeHLSr6nKHg7vR3bJJ9WaqezhDNpyqNTPCLTwcAaNjk2y6dRcZQ+H +8/f72S6P0cLDoUNebhrXQmUPh/05yPLed7TwcAaVmWJTZk1LlT0cnofDpQeo +rVT2cHi/82J3h6GFhzOoT96Q9NatVfZwBr3qedXhDlp4OJzf1hUNGNFGZQ+H +9XZkvexMtPBwBn13qzfYq63KHs6gVVrxC+XbqezhDIo9cKvufrTwcAZNrDN9 +R1MHlT0cno+ZRplYtPBwBk2zc/ce0l5lD4f1qejlYh/RwsPheu/7vd6zg8oe +DvP6kYZyqY4qezjsH/e7HQhECw+H5z+6Xwu7Tip7OIMylZ73L6OFhzOozfbm +U/p3VtnD4felSWVS0MLDYf2+mHZqjqPKHg7XI/b0qKJdVPZwWF+jFpbfgRYe +DvNAuzbXbTSVPRz214r6svNo4eEMWvopomOfrip7OMy/yyYVeYUWHg7zx5ra +Dzy6qezhDKp35m3QH7TwcDg/3QqZubW7yh4O896iGT2te6js4bA+eHeqF40W +Hs6gW4erlurZU2UPh+dhX5HsZ2jh4Qyqapv3alovlT2cQa1K/H5UgBYezqDS +ufKtTb1V9nA4P11pH2vVR2UPZ9C86ouvn0YLD2fQz+QHd7s5qezhDKoe3Tbp +KVp4OIN+Lb76cUpflT0c5r8vY3/9RAsPh/nsWU2Ljf1U9nAGjSj2u1Wt/ip7 +OJwHHQpGRqGFhzMotWdN764DVPZwBuXnup9NQgsPh3mp8pOMyQNV9nAGdVw8 +uc5PtPBweP4+2bhtGKSyhzNoUDs5rOZglT2cQRU62H09hRYezqBlN+Z16DpE +ZQ9n0JfwL5uT0MLD4fecD8qYPFRlD4f9M86rx0+08HAGVbm14+iGYSp7OIOK +zk2Xajmr7OGwn52ctyIKLTwc/ty5g7nrcJU9HNaH7l2nPUULD2fQmRF+6VNc +VPZwmHfmKNN+oYWHw/2ZkfJ94wiVPRyeVzt9udVIlT0c7u+WftIZtPBwmA+W +fT/S3VVlD4ff8yyl+zO08HAG+fvUyJg2SmUPh3nS4+jm32jh4Qz6u8Cnw5bR +Kns4nGfWx3ytM0ZlD4f7ubl9eDRaeDiDvi6wnNBrrMoeDvNwg771XqKFhzPo +/I6XWR7jVPZwmKeP377wFy08HJ6XkfKGADeVPZxBK7dfmWAzXmUPZ9B4p/uO +F9DCwxnUZV5H674TVPZwmJf+VSr7Fi08HK7vZ+e8Oe4qezjMN7ZFPhebqLKH +w/c9U+X9LrTwcPi9a/enNJyksofD+r9rx/sraOHhMB9n/P48cLLKHg5/vuBF +/nu08HAGRXe0L79wisoeDu+rY169MlNV9nBYH1Z27LYXLTycQRf+FE5uOk1l +D4f5P7bT1uto4eEMKveo8JrzdJU9HM6ftl2MT2jh4bAfvizZeNkMlT0c9o+v +Qz1MHip7OIMSZjQ8HYoWHg7zsJtfQeuZKns4zPcvPPveQwsPh++X9v3g6Fkq +ezisX9t/F2SjhYfDvJQTPHrtbJU9nEFXqj2+bjlHZQ9nUHi1wCbH0cLDYT8p +XXCg01yVPRzW/9/5lk/QwsNhPS23Y/vEeSp7OMzDgx5b5KOFh8P5OO3Yvg3z +VfZwBhXPsG1ktUBlD2dQqbn9r51BCw9n0KbQqi49PVX2cFif92z58QItPBzm +ueWng2YuVNnD4fpOX92tyCKVPRz2s3VFjB1o4eEwv+a1PGq7WGUPh/P1x2ru +V9DCwxlk73mh7qAlKns4rCeJFT9/QAsPZ9AMu9rnFi1V2cPh/h7+tr6cl8oe +DudBz2XjDqCFh8PzdftBx5bLVPZweL8fvLW6gxYeDt835nzpUctV9nCYP86P +yPuGFh4O/37pm1lrVqjs4Qz6701BmuVKlT2cQaGzi6YfRwsPh/kj70VW51Uq +eziDfKK88xLQwsNhPU4uXmbKapU9HK7v0dG1C9DCw2H/nLi505Y1Kns4g172 +2+tWd63KHs6gS6G+fufRwsPhehx3vtDXW2UPZ1D/YyW+pqCFhzOoZ3pwgwU+ +Kns4fP9jlaeWXqeyhzPoWKcVkXvRwsPh/n6N/9VsvcoeDue1kkr/W2jh4Qxy +S+4WPtJXZQ+H+x85tdg3tPBwBv15u2bKGj+VPRzO61d2PLH0V9nD4bwYfFCL +QAsPZ9Dh+KPnHTeo7OGwP8acapmEFh7OoGZh52OmblTZw2G9yb3eqRAtPBy+ +X5mkB9s2qezhDDK3/zqu/maVPZxBS7KkX5fQwsNhnlumBQ/corKHw/MyaHWX +j2jh4bBePkz4sniryh4Oz6tLuwMVtqns4XAeGxHtEooWHs4gm/Z9LNsGqOzh +MB9O/vnyAVp4OMwTfe+Eu21X2cMZ9MQlZuEPtPBwBmX9utXff4fKHg7r0ZEC +O6udKns4zKvxIypGo4WHw7z9OSWv9y6VPRzWn1nbM96ghYfDfJnk+WbebpU9 +nEHNd/m9KBWosofDeaTZk1d70cLD5VCW1cCPzYNU9nA5NCSthHEbLTxcDvkW +yS81OlhlD5dDW/Ia1DWjhYfLoYb9Aruv26Oyh8uhZz59ParvVdnD5dAL++7B +UWjh4XKo7QPvxz32qezhcujyF1OZV2jh4XIor9anPnP2q+zhcqin2bStxAGV +PVwOPczxexuMFh4uh7yujGjR7KDKHi6H1DcrNt5CCw+XQ7W//vniGqKyh8uh +Ej6vhn5HCw+XQzmdK8f6hKrs4XIo91x0q+qHVPZwuD7+5yKj0MLD5dAGV6um +PcNU9nA5NP2OOeYVWni4HPqxoFm3ueEqe7gcWlb6bXLJwyp7uBxq1LBwzl60 +8HA5pKzaKrc4orKHyyGbC8EX7qCFh8uhzitqTR5zVGUPl0M1V9eqZqCFh8uh +mXP3JfkeU9nD5dCtIvt31jqusofLocPp1mOi0cLD5ZBPcpNGThEqezj8fb+b +f1PQwsPl0H+X0l96nlDZw+XQNZvAK+VOquzhcqik36uwELTwcDmUFHZ2e9tI +lT1cDrl1qOv3CC08XA6lSo193E+p7OFyyC8rcf1PtPBwObR1e7VtW6JU9nA5 +1CSxWIjNaZU9XA6FLAw4fxktPFwONe13L2nwGZU9XA41qBeRn4EWHi6HPsa3 +r7virMoeLoce1/caVilaZQ+XQ2N+z9p4HC08XA5Vt6v6oEuMyh4uh8L9l0nP +0MLD5VCV/D2jZ55T2cPheg9feqrYeVX7H8w3Fps= + "]]}, + Annotation[#, "Charting`Private`Tag#2"]& ]}, + {"WolframDynamicHighlight", <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>}], + DynamicBox[(Charting`HighlightActionBox["DynamicHighlight", {}, + Slot["HighlightElements"], + Slot["LayoutOptions"], + Slot["Meta"], + Charting`HighlightActionFunction["DynamicHighlight", {{{}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJw0mnk0Vd3/xzXJPZOUqaQMJco8D8U2hExFETJFxpQQImSIpIxJkkikWaMk +alfSIFI9mlWaU+45V5Hmfp/vWuf317NeK8+99+yz9/vz3mu9lINjPELHiomJ +aZNiYv/779btY5S/jRXirScnV5+8LED/z7k8r34n//jGOCE2TW/6cuSKALla +6hbtHi/EJ7cELDpwVYB0djnYr54gxHMG6f017QIkJQr4M19ciPs623/vviZA +Xx0TzzIThXiybYZPWYcAPagtWN0PLLne9nzhdQFq/lmvclpCiGek0VO33hCg +yqVtT7IFQlyc9mJj9k0BSj32X7EnIcTny871p90SoIAJnx3mkELMvtrpsKFT +gFDA2H/fgYs2p56Muy1AKs1Tz3VSQvx7T5TCmi4BGj9Jb00VLcSVDkF54d0C +9D7CcdZaRojvN/iPrrwjQDevBD6zkhRi6SfBEX49AnRkWlKp1CQhvvcn5pnX +XQHaHl+46A1whmHOEvd7AhTTdUCsSUqI91btv+F8X4DcZ19szp0sxA2eN5H9 +fwJkkN671nuKECtt+taKegVI5tHn2XOlhbjMVMvM4oEAjeqMe/4LuLA2+rzR +QwF6kjetrFtGiGuenDXTfSRAra/0nGtkhdhfTPzi3McCtNd80dhYOSEONgqy +mf1EgDbtCGqxkRdi14r2WzOfCtDKwaR10lOF+LKj7tJpzwTIbmHRnPfAq8Ia +Xkj3CZBadcOL5mlC/GaSWrTkcwGSGL24c6uCEHPhJ34JXgjQp8UPXFZMF2KL +bTYF418KUNehwXFaikKcWflS6R9w45jxrX+BM5pyz/3oF6BiX4W4uzOE+Psv +E7fhVwIUd0ZfY/9MIT5d8PUD+1qAllFO/fFKQpyU35I98EaAjENX7lqoLMTy +k/OV374VoKmXNrjJqQhxpF3olRfvBOiXbPGEAWAba+eQJ+8F6HnMwbYLqvD9 +6vMn9n4QIHzzUvz2WUI8cZrp8TsfBahW+eHcgNlC3Gpk5XlrQICyU4SvdNSE +OG+P+7/2TwIU+t/43WPmCLHf6pijlz4LkIPm9CX/AWt1VPi0DAqQRo7BxAPq +Qjx0s1twVihA5AunS4ka8P7KmbZGVoBY4+AEx7lC7Oa2Yt1hToDuFiVrTpsH +6yV5Vq1eJECnPxa/+Qy845f8y+ohASqzPlR5UVOInfXyd+/+IkCJldi9SEuI +1e9N9Cr7KkDeXx9KrNQW4oW/SqSLhgXI3IXF+jpCfLFH/cHWEQGafmBC0nhd +IT67tmvX5m8C9PfPdO2HwG7fU/02jQpQv5fhu4N6sP+3m6umfBeg9hPOVcn6 +QjzTZcLg+h8CdEAiZKmzgRC3eD0/F/NTgLasTCEUDYUYd13NjvolQJEXSq6w +wGvuNHmE/hYg5ymHN1w2EmLx1HOqQX8ESCv6sk6psRDfHbn2zfevAEl2PHof +YiLEcn6vbnv+E6AhRW6vkakQz+sh65aIEei/RHHPiWZCHBRjl+o8hkBNPYrU +E2Ap/23L7ccSqELdqP2IOZy38/2G1uMIlJLpkpJqIcSB++2k548nkN/TED23 ++UJ8w7x5xHgCgSwNNn6cuUCILfebPtETJ5DS9tKaIWDjHzcvaU4k0Nh3h73a +LYVYNSiiYY4Egd4uuELvtIL9MSpbrCIg0PXyx9fCkBB3v+zdqEgQ6BDHbTS1 +FuLtZvsj5UkC5TtONCBshNh+9iafKRSB1tTO+PQMeGv7ameGJtDin0a1x22F ++INhhJWAIZDeUlfvTXZC/G33eqPxkgSacmyVpPtCIXafUqL1D3hkfOp1FXsh +3n3l4pyfkwj0yH9H2jDw+o6fqiNSBGo5d8TwuoMQ51s5qogmE2iP5NXPuxyF +eNuSBpXPUwiUFvFkf+QiIZ47VWb2e2kCBV4R+Vg4wfu8UKbxSoZA1tMkpGhn +yNNls3X7ZAk0K37mzRfAEyRumD6SI5B4l/Gmky5CnP4t2fa+PIE+znIzznIV +4gBkuaR7KoE600KFS92EOFFcOvDmNAIde5haP3sxvI/IXzHtCgQq1ClbMQp8 +IH8469J0Aq3LOzr51hL4+/x/u1oUCeTx6uqtSnchFm2dfuLsDAIZmj/NiPaA +PD3sfPPETALJ7hgysVwqxFvIgjdHlAj047MEJ7lMiDf39Is1KBPomZ1Swyvg +T7IOSrUqBLq418T/jCfs77GXratUCVTzzU06x0uI351xDt01i0CZi8Nuey0X +4iznT/mlswkUcigtS91biHd+2nO6QI1AC8fsNPsJrHM6qC9vDoHm+B4T3faB +fGs3ldisTiDBmfaDe30hD61mGW/SINBn8llAzAohJhxUw1LmEujOqi8y1n5C +fOmXUUXCPAKdvCjonuwvxCXpfl3rNAlUKqu8+S3wSVH52GgtAq2PMbU4FwDn +L/6debg2gbxuLv6yJVCI6+Y4JgTrEMhUOfywT5AQD2jjU/66BJqWkh40byX8 +niNOIm89Av2+v1PuD7B36yfdZfoEejHv+J07wTD/smviFxsQ6PLmazn7QoT4 +lUrkeSdDAtU9fzY/bpUQK7Q5/FtoRKAc469fbUOFeNo6C0drYwKFFxFHZcKE +eEGQ3Y75JgRa9FE5+APwwPHgfhNTAs2zNpvaEg7vI6Vcx8CMQHTlkrv5ETC/ +P7zM0DYnEPclfItfJPweWateDQsC3XPeZKkdBe9b/azG7PkEOlNfPvIPONDK +KlNpAYF2/jl+7N5qmFep/U8VLAm0wasjpC4a5t2YCmM5KwL5nuiblrBGiCVE +oWWTEYHmSwzfs18rxKGRTsO0NYFmrCS3ysfA+yha6CWwIZDYBRX0Cdg3e/mF +8bYEej3ZfLR1HbzP8AwlMTsCXVvt3lgQK8RxfpfzfgE3XIsIDYyD87xZ7uu3 +hQTKU8yYrhcvxF/G5AZ+sSdQVOKu/8auF+LyEfKO0IFArj2N+b3ACZmHLQcc +CaSjft26IQG+/0bAqbeLCCSV+fx7UiLst4/z1PqdCPT1yfCJRUmQfxJSe585 +E+iBPhWusAHyxJ6RfeRCoOZtqjOEwBrXVUvuuxJo91vzB5eShfjxIXfmjhuB +Ni7w2F6cIsQxkyoKbi0mkH95pG3wRsjPGaNMxxICWXEZPw1Shfj9yNrSy+4E +UnGsODUhTYirm//JtXkQaHztiYhHwGzO4ZrmpQR6/+P6zMPpQnwnK1rjzDIC +3fR48TBlE8zju45NjZ4EOnJ0pMAlA7jY0vaIF4G2j6cXzsgU4odvXf87sJxA +a/1n/eaAp4oSQ2u9CbTknMWZK1nAvS0/qnwIpC+5NGpHthALT8sWV/gSSDoi +Sjl0M5zHxgL1shUEGr2c+dg4B87DwIz2Ij8CPZm6u0giV4iP5XQGbvMnUGvc +SfunwIYHiv/mBhBo7+0bf45ugfcZFVuTFUigTbNenk3LE2Kf0Wib9CACrUz7 +tnrxViGOXpv9IXklgWwf0qrK+UL8aOhsYUIwgWbrzH76Bfht3RjT2BACTcyb +X3JtmxC/aIh8E72KQAP9Sx3Lt8P+VxQWR4QSqMts9b/wAsgj9e1oVRiBGkuz +zpkVwvwctP8SGE6g4s+715BF8H7zFRtWRBAozu7UrOfAd2dP8lseSaBle28+ +aywW4sGXM6SXRhHI+NvL0owSeL5ni+64rSaQ/OLRRR6lMA8sSvKdogn08yAz +ZtYOIR6rMuJov4ZAfWJq50eAd59KENisJdAlnwUxN8rgeagpXQtiCFR7epna +7p0w/726i83WESibjH4eVf6/Pl2/3CiWQKGrssvm74L9ZLlbSS+OQA4XK52Z +CiG+rXz0s2Y8gTRkT4/tB56S/vS8+noCkTG3Wk7tFuIjCXPyZiUQSHijf112 +JczHeaU+SokE6lH6PsdzD5zvK9O0picR6FSy5Eu1KiE2X3FlrPwGAu24r1b+ +HVhBIffplGQCJc6zdO3cC+9fNfKsZAqBvDd7jq+qFuKUiqhiciOBzJ9Ht66p +gfO+J3/txFQCTTfeHGe1T4iZJbfcxqUR6G/hHg2pWiG+/lhN7x9w/4fT/a+B +K73rZH6lE+gq6tx1dr8Qx36x/P1tE4Hqd79yy62D+8KtH2+/ZBAo98v3Cd71 +Qvzy3389bCaBIpwnXdQ4AP2spbvtUxaBnOvnrP8FfFz+w9H32QTS+mM5r7tB +iHuR0t7Xmwkk6eX1uvognL9lycUvcgg01Lhm97pD8HuSvuQ8zYU+MjFnic1h +IT7VtS3t4RboI0FVE6WPQB9NXJh0P49Au1rOXHoH/L5iZvydrQRKnnw7ofko +5I3XtNjOfAKtWP1ac+sxmKf3jWKvbyPQgms/3vgeF2Iz3bj4q9sJNFNRao9m +I3x/aU/SpQLoI4nqHn+ByxXd0i8UQh+5YyW4ewK+76sw91wR9JE5yy/XnoT1 +MD1RcroY+kjG2qT4U3AfkCytbiyBPvIkR3vhabj/7Ck/fqSUQNH6e9/JnoH1 +/9d2qWEHgdy2na36CEwFTbi/v4xAum9vL71wVoifvIz5UL2TQJMXvCG2N8G8 +2f/7b2U5gYZ3/rzifw769e2j8rt2QR9hpZJ1moV4dVqa4Y4K6CMOGrpjzsN6 +9sV6FO2GPrIPfbgPfJ/aGretEvrIj+XV9S1C/Fm3vWzLHugjHjGeiReE+GC0 +Ukt2FfSRo7mUY6sQV7zZ93LTXgKpjq9un9omxEtb7CRSqwk0wb8p5TPweinK +YEMNgT40deldvCjEVZKjgev3EegW8/Zj4SVY70cTitbVQh8J/1UThIW4frvp +5ej90EcuT16ufxnOr0fR14g66CNT5zLjr8B5dSU0Quuhj8RZdzwATq49HLTy +APSR296pB68K8ZLYNZX+DdBHZq0zSG6HfHzl+dDnIIG+p2755HQN5r9MiLTX +IQI9fVBdO70D1ld35zKPwwRq0z7nzQKfXT5Y7naEQNVbuiUvX4fz2xjxzOko +9JH+t9dLbgixrTeh4nAM+ojZ77SQmzBfk+9F2R6HPlI6xcjoFrwf40tNVo3Q +Rz7PHRTvFOLOU3fHzj8BfcTOpu4x8NVJAg/Tk9BHqnx8j9wW4h+J4XWGpwjU +PbJOKrVLiDdIDH7TPU2gE255N127YT0Hyl20zhCo5GDNppl34D64IKJe4yyB +4sWajYeAUzSC/s5ugj7ic0d4tQfuQ/fSfVXOQR85/a6+7C7MI+9r52c0Qx8h +/6wIuwf94o3uVIXz0EdCpKeY3od833Vto1wL9JG2eZ2C/+D+tGtT/5QL0Edk +bDOfAe+TCHaY1Eqg/Wt9TY/3wvMy0SepNgJtvhHLpT+AvO3eqyC4SKAwpa0N +Sx5CP4sazZtwiUCOyfv8VR4JcYQg+fsYDH3kfrP0MHD5HZWov8D0vJ7bHY+F +OPvF8POfl6GPZL/P2vUE9oc/t3T0CvSRvj9mkU+hX6+b3PX1KvQRI5kh82eQ +/xYB9qJ26COFmoeoPpjvr+9fHbxGoKQPtoEvgN/nr7Me6CCQD1ohe/I59HVv +k6vvrhPIYndcd+YLITaKnbPw9Q0CKX7ZunnpS8jH76jzxU3oI861FrP74Xkn +b3Z/dgv6SN35L9+AyXeip486oY/87jl885UQq5RtCeu9DX3E80NQ5Wt4XjvH +4btd0Eca/8pFv4HzpmCwubsb+shE2Z4Fb4U4bL6jbOcdArkEaeVKvoP7bPeW +I9d7CKTdYrfg1bv/5eMQar9LoEmT/YZPvxfi9ru5T/A9An2Jij+6+QPs1wL7 +9W33oY+05wd7fRRiZRc9qZb/oI9M3z9VfUCIP+o7nGzqhT6S0HL3B7BDWJ77 +6QfQR+7c3XL7E8wXcmS48SH0kTkfLfd+hj5tX1B59BH0kYx/I2sHhdhlgbvN +occEUn4iexwJhThH2nqw/gmBxulrr5rMwnoN+VXUPiXQu/yFCm+Bw7/X21c/ +I9CNN373mzi4Py+eOlrZB31k/vqtW0RCXDvtwuFdz6GP7NyGfIaE+PWmLQFl +L6CPsPtH534RYtn6TJmSl9BHHC40/gaOOHboTkE/9JF990LvfIXnvfR7a/4r +6CM/Pk7fNwzf/zfNYctrAn1zF+uNHYH7c6nmxM1vCPT4iNw222/ANRK3Mt4S +6MI4HRuZUXg+p8kFae8IVOVn/+M9cG7LoqUp76GPNPmfPP9diAulTigkfYA+ +wiSE5/8Q4u9JNu/jP0IfCd8+w+8n3L8mjz+zbgD6yOW6B1q/hLh4wnDmmk/Q +R6a2bv8HXJk0eWnUZ+gjsfdt7/0W4msFgWrhgwS63Tnwc/8fuN+tefYrREig +46pjTq//K8Tx83P/C2IJVJQqH2n/D/aTUtBxf45AsQ90lOTFWPxuQeRWXxH0 +EW2HRwPAFa214cuHoI9sCShsHcPijksCx2VfoI/0JywsGMviyWtq57p/hT5i +WvA7YByL5w1GSLoNQx8pqT+jO57F11YGfXMagT7yqTVq7AQW7/y65aXDNwLt +s/1PuRc48MbLTrtRAmVVfXp8QJzFH8dGnLf+TqBVI2OKkyay+O9tpUOWPwhk +7zbVYZEEi9c7EpUWP6GPHNT9O03A4tnVswpNf0EfEXNsGgT+8iVms9Fv6CPe +gdGXCBa3Rw+m6v+BPnIqUbWYZPFmvcoknb/QR4jCpyspFmuGJ6/X/Ad9JORA +iQHN4nMG+fEaYiRKaGtznMCwWPVi13q1MSRaLtP77yFwqob1BtWxJDJb+/nc +IUkW36v+kKY0jkQKN8auTZnEYhPbyzmK40n0Z+a02S5SLO6af7to2gQS9W/Q +61OczOIzpySq5MRJdPWe4w4OWObmhiPSE0lUPzfI6coU+L4GhVYpCRLlZieN +2SEN/x431M0ISBTRV3h+lQyLNTx+viYJEjkZNcQYy7K4Ptr4pwRJIs3Ci2oS +cvB7PhyYIk6RiPnQ+/wJ8LhPjjrjaBKJrAbLjsqzeMfuma5iDInuV4xzSZvK +4v7pc9f8AW4amjZu8TQWe1RGFP2UJNEuJ/0LSgos9jJ9dmZ0EomS6xbFfgEO +Usx6OixFohW/g9SvTWfx5xj/cV8mk2iB54aXOxVZnOy1RpubQqKZjUXl4TPg ++0ZPrRiUJtGYiQddzWay+GbyvG0DMiR6E3hpPKnE4sZxT9vey5Ko4/yD1j7g +t60XRW/kSHRQShjXqMxi8tZDtVfyJMqPGj83QwWez3124IupJIpuV3jlrsri +96mHdz+bRiK36QYVqrPgeWNDHj5WIJFugtPiEeCPy5bKPJxOosl3VorfmM3i +FYuTvf5TJNGwWvLFCjVYzy0Pdt+dQaKHm4rXR81hsbpqxMvumSQ6//jgvPnq +LNZ30ptzW4lElXr4Na0Bf6+qF3tTmUSp+Q93vwQe7Q2/2KFCosA3wiWn5rJ4 +W+4Dsl2VRNbzJ0hkz2Pxi4AUv8uzSKS6czpepsniwc1eJy7OJtEE1iBRTYvF +bTOjxreqkeiDvbPWd2Afr6YV5+eQ6FZN8Ntb2ix+uNi0qUmdREe/J+/Zo8Ni +V4Ovk85okKjAvcRjjS68vznv156cS6KYI4cEVnosbl4m1XN8Honcx12+PEmf +xWNerdc7qkkiQ79HSa+BR75OKj+kRSLZJlb7rAGLe86++31Am0TfafH3OYYs +fuD0NbROh0RPwxT3Ljdisftzs3v7dEnUhg2XaRizeNeOFstqPRJVy7uQv4DH +7Ixr3KNPoozYkKtdJizmJEKVdhuQKLgzJbnalMW3ZEvKyg1JZKdaqrvOjMX/ +3n0jyoxIpJZ6+IO1OYsnVpdnlxiTSPDgcvUUCxYHhK37W2hCos9ajz3fAeeH +bd643ZRE3bkc1TwfzsP1+z+3mpHoxEvxa3kLWFx2bEXqFnMSlZjO2OhryeJ9 +1jPFcixIFF9ipK9pxeJnxxRzs+aTyPOTy8AfYFZmOZOxgEQmtqv29SAW59Xf +rkizJNHUqo3La61hf2dsnL3RikS/hkuZeBsWG98LO7sBkeiF65EOO1tYr0sF +CxOtSXS54UqqrB2Lv68TPY63IdH+f48NPgJvlixaG2tLos3eok8tC2H9rkaK +x9iRKOzUxP3b7FmMTmXsi15IIkdipo+/A4stxvfOj7In0dwQ40k6jiw2ehPy +LNyBRFSb6w2xRSxWS9RNDXUkESsdmn4fmHlnNjNkEYnurkk1qneC97k841qQ +E4nOXN8xmODM4k/fxkUHOJNo58yjdQ4uLB776ZqMnwuJkjZc9Z3qymJ/n/Yr +Pq4k8rn3ROoz8Iw1/2KWu5HIYu7QzTY3Fse5Jit5LiaRYrZERuFiFheq6P3n +sYRE/57NNAlawuJj0nPylriT6JWhCavnzmJJlxVWbh4kai9wOzDOA75vqPu7 +81ISHXgf6vcA+OG8TWcXLSNRnlXalINL4XzPiolz8CRRVEVZ54ZlLC4YX62/ +0ItELkNHM508WWz+lRyxWU4ibad20+lecB6mtrQgbxJNqnvKCYGDaw5kWPqQ +6MuvoQa8nMX/Hb+3aL4viXqXCQJKvFlsuGaBjPkKEp07riQT4sNiKfGB1yZ+ +JKoQN+0y9GWxWG3vaSN/EqUELs4WX8HivWFiOQYBJPI/H2b+GLhwU7SPXiCJ +rKTShw77sThdeqquThCJlKN2Htroz2JlN3GB1koSjWs/FugaAPngafh2bjCJ +3ilck50ZyOJ4j7or6iEkurH+WbcI+NTapbVqq0h0uPvL5qtBcN7v22XPCiXR +NjViftlKFsccTApXCSPRmk3KX0ODWXxEZtBVKZxEix+bHjEJYbG3/X7jGREk +0tdbslKwisURwZXK0yNJJJ0fLv8MuLe0l5kWRaJvr9N7joWyeI+Y+1+51SR6 +bFGemx7G4uu9kiKZaBJdKDu+YEk4i0NNpd5OWUOiKuG1YeUIeN5lnk+l1pIo +3b7v6FfgII+++5IxJAqq+RrcEcni8Ssauul1JLL5TkzbFcXig7vOdJKxJJrl +rnIvYjWLM/TGdwriSDTxiFmeeTSLu90rbk+MJ9HAWHcrag38PuV1PRPWk+j2 +iohvz4Hfdm57MC6BRMfPbjp+Yi2cj8TPz8ckkqiI3rUqM4bFKa5FH/8Bx4Y1 +KixdB/0hOWnkTxKJluKO+7NiWVw1q3787w0kMpJ/vvUbcFKSjOzPZBLJxQ6j +m3GwH/bc1vieQqIft8jvu+NhP7Ret/q2kUR9KqonVq9n8Zx/4t7DqSS6tNE8 +bEECi61Ltsd9SSPRvl53RclEFs/cs7xIlE6iLK3I3n7gUPuIRnYTiVblZmw7 +ncTiy22XegYzSGT/cpfN5g3wPHNWfP2USSJ10xM/PJNhP59aMHUgi0REyfWT +c1JYnJkdZv0hm0SDA8/DfwBvv/Fg9bvNJLpjMzLj9kYWZx3cVvEmh0Qn91AP +q1IhH1zzbrzKJdGOYdWCtWmwH17f/v5yC/QRVws7lM7i4yVemi/yoI80ePyS +2sRiy83qwX1boY/8izz9BtjnrXXl03zoI96ZkU0ZMA/79vc+3gZ95GSF0pZM +Fi/a6Sz1aDuJXgpOPvLOgu8zn7/kQQGJrgTfKJybzeIJI+tL/iskUV3ri4W/ +gS9yI733ikiUI/3td/dmFpcvw9PuFkMfWUOfrcmB9+N6L/hOCfSR67NWx+ay +eMsEzeNdpdBHZs5Xsd3CYpWGnh+dO6CPbFj6RDoP+qVPm+OtMugjd6OK3wOr +OX/ZfWMn9BGNLIfzW1lsWx8r7Cgn0dms3X+35rN4f5G57bVdJCp/drJpxTbo +Tyaue65WkGiD4c1ore0wT68fHrm8m0S+BS9V/wF3hy/xwJXQR95/e3q3gMXP +7axPXdwDfcSKKd1fCPmVlzq5rQr6SMXsReuLYL/4j028sBf6iGi+mH0x9Ef2 +/rPz1dBHFi1rliuB8xvG2jbXQB/Zv3rtAPBVbllj0z4Sbf2VNbu1FD7/rLjC +2VoSrV5W2bd9B5y3/nH5p/eTyPX4qR0BZSxeWO3y62QdiXTEbznp7mSxlvyr +tSfqoY8E9o8ZW85i3+Qrb48fgD7SPHr+P+DGQaHfsQboI5Mk1x3YBfNub9ij +Iwehj0SqzUmqYHF207xlhw9BH7m64IXjbsj/oAX/HTwMfUTBc+e0SsiTzj3L +Go6QKGB9tMsg8L/pDo/rj5IIdWePu7SHxReyrQLqjpFIRW3PhaIq2M8aOe9r +j5No/KbTsSv3Qn81l4/d1wh95NEtdYNqFrv1f/9TfQL6iO6rl+NrWDzfYU7B +3pPQR7Z+L38IbFtZN6PqFPSR15Juh/bB+o5fe7ryNPQRizkTUmpZfONUruPu +M9BHyizbnPdDP+gS9u86SyIDoWe8Yh2LczPrNpY3kUjGfs1cDvj7z4PyO8+R +aLR686vL9dBnVv1q3tFMoiejeypKD7DY5tNen9Lz0EeWnFm8qoHF05uL/hS3 +QB853ClufBDe57e7dUUXoI+MfX1x4iG4P/SudClshT6y4sf6J8AWaTaj29ug +j5ydpHn0MIsNFOLrt12EPkKrv0k9AveTp1+X5l8ikUSYVaXbURZP+3BtwlZM +ok+XvNyVjsF8j37fsuUyibrk1kp8Aa4u916Xe4VEjetycPtx+PwSBY2cq9BH +blUl7myE/pCn8za7HfqIylmt8BMsTjhYVpt1DfrIxttvTU/CeZjmvDKzA/pI +7+s9xCkWb2SWqmZchz6i9dOjD9i08diH9BvQR3KkiMbTcD9Q825Mu0mi5y/U +r2w6w2KX/Z5JqbdIhE3QBvezsP/dDths7CRRbfFyHdUm6F/LHSal3CZR9sDa +98PAqwcs+jd0QR+xyd17/Rz0Z53s00nd0Ef27F1W0Qz9fbnilsQ70EeGz5JR +52HebCcCEnqgj7h2XbVogfn+y8lk/V3oIwfeJNMXoG/1vJgcfw/6yN+fui+B +9xtfF8XeJ9Hp5ZM/nmxlcazfmHvr/iNR2UmNmqw2yMM1xWdiekmUKLD2WnYR +fn95fMXaByTyDvam1S6xePm4o5vWPIQ+0hpzbRRY95VxZPQj6CPSWzbewixe +4yfnufox9JHoav09l1l8otbFNuoJ9JGOpoHoKzDPnz80iHwKfWRG9z7Lqywe +mH9OLeIZ9JGkt8sntcN+HvykEN5Hoi13fzGvgYvlE6aEPSdRpMaU62euwf2O +XUaHviCRc9bctJwOuD9U5gtWvSSR1jNrw+XXIX+cZCVC+qGPGPp8Vr8B9+G5 +3yWCX0Ef2b5u/0/gSRG61MrX0EfebfHpugnzVQdLBb2BPmJZM6n6FovtGuun +Br6FPrLr3I2YTshvqVeqAe+gj4i6061vs7g0Z72u/3sS+S16ZzSlC+axaaCV +3wcSWe7/PfgW+K5X7ZIVH0mk9GtK/bluFkszFqt8B0g0dtm8FXl34H1t00zx ++QR95JjNZN8e6Ge/E0q9P0MfmeB7a95dWN8CuePLB6GPBMRm/AGuiJbq9BJC +H2nOM+m5x2K9GyEDniz0kUn72H33YZ51MqQnB30ksvlA3H8sVqyfrLNMRCK9 +q3f87Hqhr2fGeC4dItEUhfdTZB9A3yuane7xhUQj8X86PwBL/zE87P6VRI+6 +pLNaHrL45Le9D5cMQx+ZrWm27RHcZ44EiS8ZgT6Sbivyewx9fmGa6eJv0Ece ++R7UfgLn6dvXaLdR6CO6cQFiTyHPBq7VuX6HPrJ1q8x94MNuoj6XH9BHXu/r +qnvGYsIjUd7lJ4nELc5nJ/Sx+Jfyci/nXyT6uKPH3OE5i1cOlpY7/SZR5+D7 +IfkXcJ97qfFk0R8SHVv499AnYCf16TMW/SVRYbVMUNtLeL8jkaGO/6CPjGrK +FfbDfTthygkHMQotXWJ3J/AVi38+kP9lP4ZCRodX5Oi9hvNsl7LIfiyF5MbG +zx/3Bu6DIpPKheMo9MM3/2sv8IyJHkK78RR6dqb2SMNbWK9zN23sJlDoItWy +csM7Fv/Qqqq0FadQTehdeaf3LL5f2TVsM5FCmZc+9Ch8YHHLPF93GwkKhcj9 +yxUCS0ouPGktoJD9OllL/JHFVvEFUtYEhdRvaY0UD7C4NdcgAZEUIlQWHgv+ +BH1gg8kzK4pCgyl+IYaf4bwk7La1oil057/4aeKDLFZo9Gm0ZCh0UnPbvUfA +8ks2KFhKUqg0Z3/eYSHkUf5I/oJJFFr/osVqI8viedvu/Z4vRSEvk3vfXDh4 +H5lU7PzJFDIt/nh8hgj6cFXDB4spFFIY+LdKBLyc2BdkIU2hP9Zy068Owfr/ +/P7MXIZCLyu1/9vxhcWdlad8zGUpdOXrwvzQryyepdD5xEyOQnUu/tYmw/D3 +R2z8zOQplHNg/XeJERY/TlR4ZTqVQuF/t514Cmx6clmE6TQKLVpeF3bsG/Sh +HHbIRIFC805eUEwfhT49eyDNZDqFaMH93sXfYf5iO8pEkUKilQPblH/Afs8a +s9d4BoXuXxCz/Qo8r3qmrvFMCp2dIv/z2k/om9Y1HUZKFCqP1jlV/ovFl/Zk ++BspU2hDh31ExG/4/L72UUMVCvnOCJhp/ofFDfqRZYaqFJqflPCQ/MviyXfW +GRjOotCMu9sLngNLv3jaazCbQmIa9XYn/sHzlR7cYKBGodeZrb8yxDjcN/XR +DIM5FOp4ev+0xxgOX6mPvKGvTqGDBp8iZ43lcGJwYJy+BsX7cByuzTo/U38u +xftwHB41TOjRm0fxPhyH9Q/vzNTTpHgfjsMKktOM9bQo3ofjcEb5WKGuNsX7 +cBy2ilncoKtD8T4chy1uiQXr6lK8D8fh0F5ZJV09ivfhOHzuckm/jj7F+3Dw +fZdi63QMKN6H4/DH3+cidAwp3ofj8NCxlbo6RhTvw3E45m/sT21jivfhOFyk +/PG6tgnF+3Ac3mZ/bae2KcX7cByu2yserm1G8T4ch2cvP2GubU7xPhyHw+su +TdK2oHgfjsO3TxsOaM2neB+Ow21NUte0FlC8D8fhU32etVqWFO/DcXie95hM +LSuK9+E4fNZbOkQLUbwPx+HXTJGDljXF+3Ac9joaq61lQ/E+HIdbA9rktGwp +3ofjcIdPzDgtO4r34Ths2J0/pLmQ4n04DvcOMK817Sneh+Nwcv+vXk0Hivfh +ODz2tXunpiPF+3Ac9p0pdVVzEcX7cLAfbpm1aTpRvA8Hv0eq67ymM8X7cBwe +p36zWdOF4n04Du+w1G7RdKV4Hw7WL/dvm6YbxftwHH6jY9auuZjifTj4/9e8 +uK25hOJ9OA5fW8s+1HSneB+Ow7FhkW81PSjeh+NwUM7iYc2lFO/DcVj0e5+4 +1jKK9+E4XPDXX0HLk+J9OA4fbc3V1/KieB+Ow92hCi5ayyneh+Nwmvb0CC1v +ivfhOOzhsDVXy4fifTgOC18FH9TypXgfjsM/dQ53aq2geB+Ow3ohfiItP4r3 +4Ti89HiqvLY/xftwHNZChK12AMX7cByucJ2wTjuQ4n04WN+Ja2u0gyjeh+Nw +U43dPe2VFO/DcdjNcesEnWCK9+E4bG8wf75OCMX7cPB8pf4JOqso3oeDz68Q +ntQJpXgfDn5f0iCrE0bxPhyHDwb56uiGU7wPx2HjLSZxuhEU78NxeJbi5mbd +SIr34Tjcvgz9042ieB8OzlNQ9CK91RTvw3G4JE6iXC+a4n04Du+9KP1Obw3F ++3AcllxfYqy/luJ9OA6vv5merx9D8T4chwOEff366yjeh4PPlzlmZhBL8T4c +vI/4wTKDOIr34Th8yaz6i0E8xftwsH57rngYrqd4H47DC297NRkmULwPx2Hx +3/7TjBIp3oeD3xf0KNMoieJ9OA7/mNf+2WgDxftwHJYpUfY2TqZ4H47D369+ +uW6cQvE+HIfPDBubmmykeB+Ow6t82KMmqRTvw8H5mzVVxTSN4n04OC95zZWm +6RTvw3GYaLklY7aJ4n04+D0Di3eYZVC8D8fhEFenKeaZFO/DQT7Ltew0z6J4 +Hw7eT2rVNItsivfhODyhcbjWYjPF+3AcHui/Mm9+DsX7cBxe6Tj+/Pxcivfh +OEzKt9kv2ELxPhyHt2cPPFqQR/E+HIcrL21fbbmV4n04Dg//PjDGKp/ifTh4 +3jijSqttFO/Dcfiqh4UR2k7xPhycx3vN91EBxftwHH6uejTOupDifTgOZ6XK +yNgUUbwPx+H3kqMtNsUU78NxeNrkJSttSyjeh+Pw/GYl0q6U4n04DicYRDbb +7aB4H47Dvy5ohC0so3gfjsNMVrCc/U6K9+Hg39um3LYvp3gfjsOK+xZkOuyi +eB+Oww8D+80cKyjeh4Pn1/097Lib4n04yCv7ktOLKineh4N59agmzmkPxftw +HDaRVTdyrqJ4H47DG0zn/nTeS/E+HIePxDVccammeB+Ow7k/qra51lC8D8fh ++2MYb7d9FO/DcTjw8g+1xbUU78PBfokK+r54P8X7cJD/BjZdS+oo3ofjcJRH +zX73eor34Tgs+ytpo8cBivfhONwT3OW1tIHifTgON16qMlx2kOJ9OA4/tR2Q +9jxE8T4ch3PmnB71PEzxPhyH2erRPq8jFO/DQf6+bLm2/CjF+3AcNpjxo9H7 +GMX7cBzuLG7a43Oc4n04DpdFc/m+jRTvw0EefGhIXXGC4n04yHujvnV+Jyne +h4N8KywK9z9F8T4ch83UrgQFnKZ4H47Diwxi/QLPULwPB33gc41v0FmK9+E4 +fCHbdcXKJor34WC+6qcEBJ+jeB+Ow2LaaqtCmineh4P9f9Q9etV5ivfhIG9f +/U4MbaF4Hw54gvrmsAsU78NxuMr1vx3hrRTvw3HYR/T3QEQbxftwsL6qRy9E +XqR4Hw7mr+Lje1GXKN6Hg+eXyf28GlO8D8fhk1ZNE9dcpngfDt5fb6ja2isU +78NBvktUOMRcpXgfjsPvprisXtdO8T4ch500s0pir1G8Dwf7Ic/8QlwHxftw +0CcCEt7FX6d4Hw7y9r3+lIQbFO/Dwbz2jLdNvEnxPhyHHd4YJybdongfDj7/ +etrRDZ0U78NBfzByfJN8m+J9OMin8HLFjV0U78NxWK4swje1m+J9OA5nDl3a +nXaH4n04Dks1736W3kPxPhycL4UfMzPuUrwPx2G15c/DMu9RvA8H67XP/mTW +fYr34SAvtIx+Z/9H8T4ch3+bHHbK6aV4H47Dp0f278l9QPE+HMybahVuy0OK +9+Hg/ESqL9z6iOJ9OPj8orN78x9TvA8HeWp/4/u2JxTvw0H+XA/yKnhK8T4c +5J9D5rnCZxTvw8H+HKMxtbiP4n04DmfP804veU7xPhyH5f8y70tfULwPB/37 +pOuSspcU78Nx+PJG6Ys7+yneh4M8LQ/R3PWK4n04Dg+aWVRXvKZ4Hw722949 +kyvfULwPB/3ix+ate95SvA8H8yRndOzedxTvw8E8SPmaXv2e4n04OL9SyX9q +PlC8D8dh/8y89NqPFO/DwfsepzK2boDifTj4vJ6FefWfKN6Hg7yYMTyp4TPF ++3BwvjW0qg4OUrwPB/tPfUjjsJDifTgOC5ahC0dYivfhODz33TTXYxzF+3Ac +3iWd+fq4iOJ9OOiLCvEpJ4Yo3ofjcLPekPSpLxTvw8H9oeTXqdNfKd6Hg/cZ +V+p+dpjifTgOm0qe+9o0QvE+HIfv1oRVNH+jeB8OzpN/vVXLKMX7cBz+lxkz +cOE7xftwsB/sb+5s+0HxPhys75tGu0s/Kd6H4/D5UtVv+BfF+3AwTwrVjlz5 +TfE+HIcfMeeD2v9QvA8Hfcbt8dSOvxTvw3E4NXfLg+v/KN6H4/B+UceOm2I0 +78NxeOf1Hcs6x9C8D8dhaZshua6xNO/DcZirevG8exzN+3DQn6X8GnrG07wP +x2Hzx5Gx9ybQvA8HfVhTwuo/cZr34eDvHU0kH0ykeR8Ozsuq0VcPJWjeh4P1 +bHNsfiygeR8O+lf+vKKnBM37cNBPJ+6L7CNp3oeD/I1psH9B0bwPB+f3l6Va +P03zPhz05XeREq8Zmvfh4N+j5gjfSNK8Dwfz42pS77tJNO/DQV6rr7j0QYrm +fTjI38f3jgxMpnkfDn4v2bf78xSa9+E4vO9P8jahNM37cJAPH49u4mRo3oeD +PiURlzgkS/M+HIfH7OqK+SpH8z4czIue1tUj8jTvw3G4XGgVNTqV5n04Dh+f +57P6xzSa9+E4rNoxMeaXAs37cBzWHnFO+DOd5n046B+js9P/KdK8Dwd5Ll6+ +dcxMmvfhOHzAv2rXOCWa9+HgPJiZHZqgTPM+HIeVe6NbJ6rQvA8HeRplck+g +SvM+HPz/xrsHyFk078NB/00oHc/MpnkfjsMoWFVlkhrN+3BwPzV1sZk8h+Z9 +OMgTQzpUWp3mfTgObykNy5fVoHkfjsPFBd6n5efSvA/HYYngN33T5tG8Dwfr +5zKRUNSkeR8O+tKWa2YztWjeh4P7pLtCtLI2zftw8PvekrWqOjTvw3HYLr38 +8WxdmvfhOHzDt3Wyuh7N+3CQH+dSFs/Vp3kfDu4r3b2FmgY078NBn39+6662 +Ic37cByeqewro2dE8z4ch3c/zPEzMKZ5Hw7u2+auDUYmNO/Dwb/nnfxiYkrz +PhyHW/6esDY3o3kfjsNrnzjvmG9O8z4c9DfPzR8sLWjeh4P76EkfS+v5NO/D +wXxX69plu4DmfTgOfx159nWhJc37cBz+67vVw9GK5n04uG/t6D3jhGjeh+Pw +x2cX5Vytad6Hg3kVtzB9sQ3N+3DQh8pWf3C3pXkfDuZHuu7SZXY078PBvIgp +u+K1kOZ9OMiP+l36PvY078NB31lh3rDCgeZ9OA5fvJs6PcCR5n04WA/bwJ1B +i2jeh+Nw9NCrSSFONO/DcVhFfkJRqDPN+3D/m683JSNcaN6H4/Ccm1o7olxp +3oeDz+s2mLrGjeZ9OMgHu2e1MYtp3ofjsHrULK24JTTvw0H/S5NsXe9O8z4c +9JOLVc5JHjTvw8F8Cu96kbyU5n04yLcL1etTl9G8D8fhlOEp9CZPmvfhOHxu +meahTC+a9+FgPk39uHDzcpr34eA8ZDq8z/WmeR8Onq/LaetWH5r34eD9mnzR +3u5L8z4czL+xlo8KV9C8D8fh6mjtrBI/mvfhOLzxbLtOmT/N+3Acdp7692V5 +AM37cBw2evq8dHcg5O8nuUmUmAjHGIc4VgXRaO6uus/rgW3XFIrVrIQ8ttW5 +8RxY/FJga20wjSjRhf32Y0RYOeLRhvoQyOcq+/QTwNTREdODq2jEOt73kR8r +wouvX/h1OBTyesTfKBM4+4falWNhNLpbOzDpE/CSzeZbT4RDfrslDHqMg+9v +GFl6OoJGp3+K3WwFPlzjrdwUCXl+cHvdrPEi/PREyFBzFI3KlspvKgD+JCt3 +7cJqyHexet9vwA+k43dfjKZR4jEd48AJInxgICn28hrIe+9WqZvASQ9mu7Sv +pZH3BAehrrgIJ8inaVyPoVHnqfs3dwO/+JImuLUOzmdAQP3YiSL8p1598HYs +zAPi06bVwLoxaffuxMF5bU5Y0Qv8oSS15V48zIeQMSYLJES4xlWtvnc9jf5J +FkxuAP74OqXkUQLMizZ5lhGIcEhpSubTRBq9iqi/lQR8pWr2+udJMD9kdA/0 +A1+1SY3s30Cj9iutGYsIEbboSF/5JhnmyVoHv9PAdKiW3/sUGh2Y9p+JAinC +WiF5PgMbYb7cCJiyGbhGrNhnMJVGW+I/sYPAG9Pt/Lg0mDczEzs9KRF+p9iw +8ks6jSK7xjRcApZRaooc2QTzZ0NB5hxahD2vx67/nkEj59lT/YuB/7j3Zf7K +hHl0r970B/CG8SMlf7NopJWuKx3MiLD1zLb6MZthPs1t4zqB377RuzA+B/Lj +kcNtA0kRFivzuj8xF+ZV9n8NVcC2sXOFxBbIE53ArAmTRDj64nGCyYP51ffJ +fy2w64X+uVJbadSbl2j2CPjG4XZX6XyYZ0ZjZZCUCN/p9oqX20ajc68KRIeA +wyIq90zbDvOtcGqX1GR4362F1xULaFRhfuBgCvBpgeGwUiHMuw+62W+Ar5fl +z5pVRKOUHW0BLlNEWKOmdPmcYph/Vo7mTcCmq10K5pbQyG/wP5kZ0iIcaHG+ +Q6sU5mFF4FAusILHQzG9HTSyXPi5iwP+NdRgaVgG83Eo8ZC3jAjX+s/dZLKT +RkrVYzdfAcaPgq6al8O8dCoMnCsrwvJ1ThKWu2g0dnSqxQ7grLEfl1hXwPys +OyD7G/itqVmV3W7It8V6X1bJibDxVstPDpUwT3+3dXcDZxt/N3feA3l3yPGw +sbwIv88OK3Srgvnq2bu5Brjg7Na37ntpdHhMUJDEVBFuJoMXeFbDvG38bBEL +3NTFVXjX0Gibb5LcU+BP5gajK/bB/BUf99Vmmgjf3q3pHVhLozVnCu8cBX6u +2dcavB/mceC0I9IKItxiYqMSVkejxVRDThqw4G/Atsh6mM/n9Va+B85v1x+N +PkAjvdCL8xdPF2GJrouh6xpgXkstkj8PHLpC7FH8QRpNudT7VUlRhEubfjol +HYL5HRXUs/V/POP4lZTDNBqRHTzyBTjtqZxF+hGY5+1JuStmiHCKlkVz5lHo +jzHjgq8BX1shZ5xzDOb79KIFWjNFuOHwsea845C/N6dNLQfetOKXxfZGmPcJ +DcN/ga80jWsvOgF5rKx/N1xJhIW/O1x2nIT5f+fi0bvAuxNsnpSfolF6yqIt +ZsoivGZVckTlaegDag+C9wOrMqt/7j1Do6D/gixJFRHOaZYrqj0L/WDT4NT1 +wOsPbFI70EQjG80NI33AL6fXXT50DvrC43H3FqrC+gZn+R9rptGsnKJjjcAu +bYp/TpyH/qCnkCc3S4S3r02oOdNCI/EXDSEZwB2Xtto1X4A+ka9vNQBs+8V3 +8EIrjT4aX5rmMVuEI9wGyi+1Qb94s+jbBeAD8kZ2Vy9CnhU9uKeqBs9fbjnc +cQnybP7K49uBi2jxg7cw5NnHwbyR//17+za/7suQZzs3rAqYI8Lk3x7pe1dg +PliPRzeAJ4z7r6f3KvQRtkhBVx3Op/zOgsftNIqtVBitAH6WLevWdw36if3B ++2M0YH9tWy7V30GjpV/1G6OAvyd4P3pzHfpKzaWt/wHfy5u678MNGhm5OIXO +nwv7n9iz+vNN6C/fH6ADwAHWz824WzSSO7ByOjNPhLvi+4mvndBn3IWjicAO +H+tffLtNox9/Nvz3Etj33dymn13Qb46MP+GoKcIHT8UV/u2m0TOv4vxTwC93 +pEaN7YG+M2562DQtWP/7jovE79Lo4omD1tnANk2P5hL3oP/4GSgOAutnaUgy +92lUI4G/L9MW4coMy29S/0GeNTn1XgQuHJXtl+mFPFv58ISajggbap/pmvoA +8owO3lYEfH31lDbFhzRadUEY9h24eNSkUfkR9KWwZJuVuiI8LK9cN/sxjeyn +TJjRCbxKrqdS4wn0J1z8Q19PhCPNbXZqPaWRevT0B3uA995KKdV7Bn1K/tDJ +8foi3Egllxr10YjoMNi+BjjAecFOs+fQr2Jx+EPgrO4blQte0GhQ0dnWykCE +xz+Tr7N+CX2r8+GMQ8AvW3UbF/bT6E5i8M9JhiI867xk26JX0L9U2QfJwKNy +57pcX9PoZE/yqdfAxaqz+t3fQB9LnVDgbCTCZqq+3zzf0qhUvSTiLLBHoLek +7zvoZw+m2ykai7Cb0sx5Ae9ptD7z0Mxc4DONjYuCP0CeaRn+YoEVl05cHfYR +8uwpfrjcBD7PS6MoagDyLNf59GXgTjGZc2s/0cjM4FGBhqkIH9rT+TLuM/S5 +l8GRpcCtMU5U0iCNFLazdr+Ax10vs9gohH5nmqK0ygzy48PhNZtYGv15O+F3 +F3ChYv7+bA76XknJIyNzeF+njJ5uEdHo5QLFM9XAdz8fk94+BP3v06HCiRYw +b2RF7sVfaHSl3DBqHXDxxn8lZV+hD9peXvgE+FzIs96KYRrVcc7KNvOhj8hv +nrZ3BPph1aPfR4BPvP0RXPuNRjmOIY+nLBDhv5MWNB4Yhb44zJ5JBa7/4Pr7 +8HcahdemFL0DLj2t6dr4A/qjq/hqN0sR7ml9su/0Txot+lli3wwc7+o5eu4X +5FmDooqSFXz+qZolrb8hz5Ye/pMH3KHfcgz/gTz7Z/hkCJhR2E9e+wt5duzy +WV8kwiNnfdfc/Ad909uluB34j9Obe11iDBKNf7xa01qEL8yZb3ZvDINWngpx +2An8aGdo3YOxDLrvz6n8BZbpD5z0dByDbImNf8NsRNjefV7Gi/EMOntO/GkP +8DLDm19eT2DQ7JDSJlNbEZ7faxzxQZxB5ZIzSmqBpVKT+j9PZNDEtsPRhB3M +y8T8FSIJBm2IMHKMBzaetO7JsIBBA9JXVPuAp+xQ9/1BMMj3iss/u4Ui/MPp +7PM/JINur3n89Djwquwpq8bSDJo/bdU5WXsRfrPFXijOMOj4da5kE/CMetdk +UpJBM+I3rvkI3K2qITFpEoOKZk5c5O4A/crjyW5pKQaJdZXOugD8OjVAe+pk +BsVumCGm6ijCc9jzHYpTGPR61pFn24Brv3wMVJFm0LJ7Rs3DwAkP2d9qMgzq +SLtS6r8I5uO7zqp5sgwynuu69jrw3DVpVrpyDDr48PEiHScRvnxe/J2hPIPk +s1fNrgCeJhNeYDaVQVt1RGJjnEX41p1qU8tpDPr5bGNfJHCsfuN7GwUGrc6b +eP4+cM/2nbscpjOoz3DHDgsXOE9zPZ1dFBnk+mpGTD3wOB/hGPcZDLpUcMSJ +dhXhtlUBrZ4zGaRjbqyWCMxVHkryVWLQvvdXxrwE7nDsMg5UZpDUDtfnDm4w +T1tvfQ9RYVCW1ZPzJ4FXotqLEaoM+vp5VdnUxbC+s5bmrJnFoFUVopgs4Ixz +LxfHzWbQA7tU58/At20cFJPUGGQ/NHHOsiXw++XyhRvnMKh5746xF4F1sw5d +zlBnkIbTzBez3UW4/+7+8hwNBlV+O9JSCLzWPTkmfy6DyDrjnaPAfe6azkXz +GJS6+Oq6IA+Yd0ptGmWaDBL+cnW5BfwfoUHu1mJQwKEnc/SXwvkNjOf2ajOo +Z1nouD3Au9bsebhfh0FozNCLcctEuCKr9vJBXQadOp56IRrYT5hz/Jgeg1R8 +JcofAGf+dtx7Sp9BO8TLYi09Yf3+fio6Z8Cg8Wdmuh4EfmQTndNqyKCEwKPq +k7zg/Mt1p102YtB70mR8MvDiLjq5w5hBy89fffkK+PQJ7aROEwbdXOXW6rRc +hMdK6W7oMWWQmdTT8jPAaVaTU3vNGHTkYmjcdG/YT/kPsp6YM0ghasg1B9jd +OmX7CwsGbZdN02CBd5wR2/1mPoP+XpWYsNwH5qdu5KGPCxgUE1PWj4GbJc5e +EFoyqF9BqU3dV4SJba96vlgxyP3m0V0lwAcmfvkwihh0db1J/E/g5Dvvxv6x +ZpCBcrtbyAroAzoXlcbaMqi+221uF/DEjRusJ9oxSCbl6QQjPxGWGyMfSi1k +UK5a2Ku9wPTkqm1S9gwavT/UJu4PfeDv+CZZBwZFbEqriAFeJrv0lYIjg57M +E6x/DHz2SO4k5UUMcnpcttg6APovtc9GzYlBrZuV5h0Btt5WkzTPmUGaesfE +pwTC9yfnnNB1YdDe5yavNwKvUPP4ZOTKICa//eJbYNu3E9Qt3Bi0yXjxbtcg +mGfjaiPQYsiz10/XnwMOeah6bOESyLOisCUzV4rwzOOFX5zcGfSfxZd5ecCJ +T15ZLPFgkN3HtIlDwO+PKeZ5LmVQU5ngjU+wCI9Jtnnku4xBatY7L10FTiha +ohHkyaBdQqXKeSEwT20c00O9GCRReSyhDDjvtcbDqOUMSrY3df8D/O3qiO46 +bwZ9+tKuGbZKhJcbHStM8GHQiprFEj3A3dtcuRRfBnU5P3tjEgr5L//UI2MF +gxZ8D8P7gH9oebTk+DGosf5LpSBMhFfPOKeyzZ9BM93TE+OAo+aLFxUHMKj4 +j8DjGXDxU5u/OwMZNObITi27cJh3ztHr9gQxKM5LWXAcWPt11rt9Kxn0Zuzx +tzIRIrx0KNe/IRjy7ITp5XTg0dsbHh8NgTxbcW3PB+B5Hd5ep1ZBnkksSVoS +CXmlO+fRuVAGHTr7zKMFeGLQW9+2MAZNXRmurRIF8/5Q8asr4QzKp78KtgGv +9NNcfSOCQb9a0t99Be7qaR7timRQdBhxxW81zF8vgy33oxj0fHJ5VQewp23N +1MerGeSGlTdoR0Pf/Pyr8Xk0g/Dq40t3AevVLnJ4s4ZBuvJmOmJr4H235L3+ +uJZBtdeuEZHAOltbMtgYBk2OXfL+HrBPSJ/y8DoGZSv2XTFfK8JHq4Y6fsQy +aPhW+N464OKtP6L/xTEoNPHrBioG7ivpw7IT1jPoocqmZQnABndftxMJDHLo +IXRfAFv+dy1+UiKDzm8sJx3Wwfnpq1STTYI8U1f5cAL4JgrtU9gAedZ7/Kp8 +LOS/56ydysmQZ5lm1ZnA59Y+XjInBfJMqyP5E3D160xJrY0MYp8s8VwaB38v +rnxPP5VBgbl9um3AF7XP7TRNY9Bd/QhqdrwI956y9rdMZ5D1y68fCoDHvWuf +Y7eJQae3bWr/BvxDccHIogwGqZqSNYHrRTj99PGOxZkMKntbnnITOP67zG7P +LAZNKFHx0kuA/FyYGLMim0GJCxr1KoFPie44rtzMoA8DZvS4RJjfi5Vmh+cw +yLu84+Nq4AvNUePX5DLolo37tV7g7RmN7+O2MMic66tZkCTCmmKDtzfkMejo +noiNDcA6Bapn07cyaLrjsJfkBjjf6Z41m/MZVDC8SX8D8Ny5WQX52yDP9pHM +K+CuD4fTi7dDnrnuGliULMLKTHdceQHk2Q+VjtPAlsOfI6sKIc8aGvcppEDf +HBJftb+IQe0e5qmbgRv8Zqw8VMwgw38dy4XAewv0VzaWMOjAUXcDr42Qx89s +V50tZZCs93MGA6dXe0Rd2MGgLeMjP81JFeGTWoHxl8sY9P3kcEcxsMyryE3X +dzIo0j+j9gdw6aT4wq5yBj0VUGnBaSKsJr1x3/1dDHI+t8v7NvBn26ymxxUM +agtWNTRMh///e173i90M0pI8IbkXeDiv6OPbSgZVt5p/nrBJhIfcysU/72GQ +ZMT162uBC/fvnTNUxaAMaY/9j4BX9x1wHt3LoKHLz9NQhghbeZ6I+1PNoOA1 +kT6HgV+GXqgatw/ybOqI4eRMETYNv3FLUAt5dj1j0kbgpacf/pDcD3kWRw2+ ++R/v+6gpWwd5NrPihksW5F/+n+Dp9QyquK1a1wQs2ylTpXKAQYINJ9JnZMP9 +6bzeY/UGBqXMsvDdAtx8wl1O5yCDPt+9biQCHpJI9DE6xCC/NA8pn82Q5zNq +qi0OM6hb48XgFWB6Ufd76yMMsnwYeXNuDrzfN2L6jkcZdCJrpG4HcKTj/7Vo +33E5vX8cx20y7jNI9gxFEpGsOqTMr8xsyighStmzUDIzQiQrM5KVzE/ZQmTP +ZCQjdM5d9vi9f4/r8+frEdV9xue6Lp5tF/Q6YNLqNAud/xttlTkzs/9Bk7b6 +afmhY8Pzqd4jajA00aQVW7LRKQNtnCg/1+eQSQtuaa22jsD6dt37oV+SSXuT +fejTVrTFxDMtJx02aQNWtLtWZkk+7SusHR1yxKRdbnMlPghN55d9n3XUpLV+ +23fBE3QH+yIjQ49hnq3JGuoWifm4du7ViOOYZ67jWx9An+lZotXKZMyzj4Wq +5dJ8sk1eF7/uBObZhtDPc9EPGjez2pxi0gI6V0h/i3YpdW/59pMmLSt/4y7P +ZZinOxeW3HvKpHlusQ5NQR8f6RKaeNqkpXZLGlZ3OfbP0SWKHD9j0pp/bee8 +FL1+24PQ02dN2o4dVyqa0QnPj5U6f86kVfTs92XoCtyPvVtXXiWTtuhXVvpF +dPrwDVVvpZq0wj3jdzddmU+TB8fuuZ9m0nz7fw1djy7/PdH52XmT9rBI2PB/ +6Fbrb914dcGkdT1Yoc24VfkUE/V39PuLJu3k4JhKmeiSndv//XLJpDUu1SC/ +TVQ+eVeI3Pz1sknbfCTp+g50Urecdn+umLTyI9vvKbcaz4tXnxfFr5m0ueWu +hoWgM1bdXFw2HfPsRL8Rz9Et+w9pplzHPBvzoo3Hmnw6V+T7U6sbmGfyBMtD +6H/G7mW1bpq0Tme/5lutxfluiZ9LgwyTdtQ/7MYCdHjttgVNbpk068qmve/R +YZa1D7a4bdKiz8cs7Lsun3ZcrezfJtOklZrcYORpdLkNdW20OyZtevXDba2j +8+l3lusHj7sm7d2V9pVXoO/+DTr03z2TNjjkql6IthiQMr3/fZOWXqf/zRHr +8f5oFd2GPjBp7W6+2HsFXbH2QmXUQ5N2YOaERQ4b8D72LvN63COTVrPht5Ex +6OaOO09MfmzSVt4Ja1dsYz4FNuy/atoTk/ZvnslqArpPZPXxc5+atMAmm4y7 +6Jqnf3RZ9MykvXzYIKN9DM471b/YLHtu0vouOrxvF7qOxe/ya7Iwzxw6LDZt +wn78TZ2CjS8wz55f9Z6OLlphRNbWbMyzyP7ts9GrXh29vvulSbNyyrbqtjmf +alyqd+bgK5O25NUE82F0aqWEQ8dem7QfK79lVIvNp4PN/9t9+o1JG99u4f6F +aKc5FtvO55i0p7mm8Dz0tf7ZsdfemrSe6zb5DNiC/Uv1O7G3c03aWa1hh3No +3xYvtj58Z9LsPx2u0igun958L707671J2xrToWAVetDtnodyPpg02ePare/o +y1UTT+d9NGmhRv8En63YDzrZXTfnmTQjLjs8He0WceX5z08mbXSPiaMct2E+ +BiwwF/1i0u59+9YhFp3TY2B5i3yT5h6/sGrJ7Xh/wnrayLpJS+4tFQaga4V4 +d7EyTFqjP5tuP0D/Cl3rX8uMebav4QHXHXi/TG9XNijAPPM6ErEXXWn2oBN2 +hZhnxVxGKzvzKbbxx1eOXzHPEq+5zEK3nB+ntPtm0oYPHVDtNfq/p1PcOn03 +aRmlXxb2iM+nOdvHzej2w6S5HpuYeQwd1HJBUu+fJi3J+/uBmrvwvH09+XHg +L5NWt8KiJeHo8j0rNx7526StOSmN+YJOWh89wfePSSvuu9l10G78Pm2dkwL+ +mrQQtVH1NHR4zN9vIf9MWs65I19t9+B5qvK+05wikuY1weXOGvTwat+jFhaV +tCtW6Qd/ob8Xs3u1tJikOV8cEDlmL+ah02KnNcUlbV/gyzE30XOsSqyMKSFp +1WoGaE77sL8qt+/dtpKStuza9+pb0TQ1xGNvKUn7PXXRt9L786nIad89h0pL +WkA9+W4gep7rgnInykhaVsbmxMfo835pU85ZSJrn7EZLOyXg829s/PxSWUlL +bXR0bAK6r9OZ7jfLSVqLey4dKx3Ip+67p52+V17Sdi5IrzEX7f3fkGbPKkha +paZe33PQKVF+u1+bJG3x45d3ex3Mp0334+p8lCTt6+KAQyfQE0KLxBmypPm1 ++LG0TiL2Jz+W1/qpSNqjrEW+keiK8Z13FK0oad2WyZ0MtNfbRrYWlSTtVOvY +mkMPYf/ZsPVR2VLSmrxp9OMCun36FK1KZUmLjTp6zy4pn0zOT2/XtpK0Ch1c +k6LRtR4EjmlURdLmvU9f9hf9oGzLX/ZVJe1LtJef32HMr/YNop2qSZp3p1ed +bqPn3+ncwqW6pGV+DqjV5gjehxZr77jXkLROm3/82I5+fFGe9l9NSTvaZfH9 +skfzye/L2RoDakmadYF8OBh9turmy8NqS1r0ttjlz9A2CXuDx9SRtNL/2Yxz +P4b5Wi63/sS6kjbjx1G3xP/3dq+HwfUk7f0u19pWx/H9731bMbu+pA3pe/3n +fHRz0/UuC60l7fpfrwfv0Mrx2yWWNZC09gmvDvdJzqcVzS0urWkoaQcHTlpx +Cv34Y8iSTY0krVaJn+Pqn8Dz3rOS5w4bSVuVtLjzcrTP6dwq+20lrchwpU4h ++tD2LzmHG0takMWWX8NTsB772SWfbCJpr47bPLyMLjU9LjLNTtL6jTp2pNnJ +fPrQ2sP7WlNJwxKzciP6XEXrtpn2ktbq9HX/oqfy6WKws9XjZpK222+g+3i0 +d9rCb9kOkmZV6XWdu2gr31JP3zWXtCWpk363O439+vXUtPwWkvZj4s+H8ej1 +Q48mfHeUtPFVw49WOIP3aUHWxiKtJO3ZJWXVtDP///+VTpFlnCTtvylbxr9A +Z9pnz5FbS9q5WrYeXc9iP7fiRHAVZ0lrdv1Y3cPoW7bXAuq0kbRt07U/Vc9h +Hk+ynGDTVtIU6xuPwtDtL8VOcGgnaWG3Bx77iC6yaeRk5/aSZp7zelV/wvM6 +cMQ0rYOkjbGdPOEsOnZczIKuLpJ2//5Pj4ap+fTIWVnZ21XSPMLC661CL3a8 +HDdIk7QT9urfb2i7iylHvDtKms3TLY+90/Jphtf7a+M6SVpMhO3xa2n///fR +QW8C3SStbMvjUS3O4/40K1VsZmdJm52tTdyMLt7LXC/UXdLylt/oUuIC5nGt +Ol0iPSRteJtB9QPQp8uvmLS6i6Rl5Lz+ex+9f3HbmJiukua6ZvITl4v5dKXQ +5sr2bpJ22OXX8T3oh9cGfd/XXdLqfQxfLV/Kp7RJ6XZHekja2g1qwEz0mj7z +xpzqKWklOsd1fYWe8SJk6/n/JG1qvq11j8v4fosTn6f3krS3scf/HUUXHG5S ++66npA3s1vFpjSv5tDkzb/TT3pJ2tfBG8mL0zL5Gwus+ktZmx6A1n9GBO9p/ ++9hX0vb3ehMw8CrmY7Mb7gX9JK36r8ndUtFv/LZv+N1f0pbv+WVtew3X9+SZ +vBJekvanX0SRNei7a2u5VxgoaZOKVHz2Ez1xzJVtloMk7cWBuBOj0zFPN534 +V3OwpPUe3HjtDfTi+C8+DYdIWlrJ5EmtrmP90SdfsR+KeXakY/c49G7d2aH1 +MMyzETcblL6RT2rFHrGuwzHPyg0uGojefGl3ua4jJC38xJtnj9D3Z/Sc13uk +pH0bHZjS8SY+X2z7gkHekjZO/r12P9p32/QAHx9Je3wmYnLFjHzaWvDnvf8o +SevuX7HHHHQPi9v+U0ZL2mnLrQ1z0Cm9PufNGiNpducbF+t16///njA4eOFY +SdsyKfl5MpqaKn+W+UqaqXqnk7Vv59OBvZWXrvOTtPlXbq5bgi5iNb7alnGS +lh88OFBHp74vl7jLX9J86uT0GJKJ8/2a350Tx0vanRuBjS6gh4e2f5E8QdLc +Zv4uZncHf9/2xhyaKGnHGizJWofe/jOh5tUASWtwp+KpP+h/fs/Sbk+StPXz +tkb73s2nEq+H+z+ejHnWpEnQLfSZ5w6VXgVinj1M7ul8D+fz9AHnPwRhni3s +ZLP93v/3QzeDzVMkbahDRvGy9/PpdqcNNr+DJe3Gs8EvpqBvHD2WXWKqpHWI +zDn1FF2Y3SC2wjRJS2wVtL7zA7yfHT4OqTxd0mq/+h10EH2yXcmatWdIWtTK +Jf9Vfoj9pWfIq0YzJa1ou0q289EBec4JDrMkbUru1hLv0GsW95veZrakvV7b +JLv3I+yHVl706DRH0vprJ06fRL+bvbxqj7mSdimv04Z6j/PpU2rCl37zJM0p +JmPKMvTvzHrXhs2XtD3uQ3oVoH3qG7vGLpC0KkaO7fAnON/ZVgufFCppkXFB +JS+jqw/e7D89TNJ+dv+Tbf8UP6/htN4LFkrahG9LzmxAzyud0DZyEebZzkob +izzLp26BbW3WLMY8670t2B894oF11c3hmGe/m3jeQVc7NL5CfATm2b4Tjds9 +z6digeVLHlwiadsHuJWKR9PKskWSIyVNLXbrZfmsfLIOHfv33FJJW5g45OxU +tN3ZmkWuLpO0giFvN2ahv6c4lsxcLmljS08J6fIin+582FPhyQpJe3D0j2cS +uvG1WVVfr5S0Lt6RTapm4/lPP2CTt0rSUspblg5Dvx7r0q4wStJsT2579QGd +pzj0+bta0jaNtTvX72U+XXUPG196raSVU1NizqATZ7SKkNdJ2pxzblMbvMLz +Vq/7nqrRkvZp/K3eK9EeJ1PT662XtBFWQ+2+oVsmx+hNNkjarQtvS3u/xnkg +6n71VhslTQuc8voqek1qSDeXGMyzGn/PNX+D9fHc9FldNmGeXYvctAl9p+iL +xN6bMc+mWk4rnpNP+cUT3g6OxTyrt73PRLRDh2d1R2+RtGkZdk3vo0c1DPae +GCdpubNSyri8zafZzoE7pm6VtEGNOr/Zjd6Udzd33jZJu3b3Fkm52I+diXNY +sl3S2i4YunkGul2dzDmrd0hagl3utJfoa2sm3Ni0U9JqPJ7St/s7zM8Vk2rH +x0vaisV/mx5FOy19MvXgLkn723ypRY33uL/Fk24l75a0yVmWOYvQY6d8sUvd +I2nZS7enfkKH9o1ZcW2vpPVp3TTW6wPOC7US9Tv7JO3865TphI73tB/8bL+k +OUZ17mfzMZ96j6p2MSdB0uLb37Zf/fH/5+8pLb4ckDTL90PL/kS3tHKO/34Q +8yw6N2dUHs7zSeOrFjuEedYxOO06urlVuTXlkjDPPv+NbfkJ7/PVqibLw5hn +m5bO2IIe77phRa0jktajS+X+pT5jnn9fKNsclbQz5u3NJqN3T85e3/yYpDXd +1rTcI/SkugfrtDsuaXE9T77VvmC/uvTDwc7Jkib96Hx+H9qyUYxLrxOStmDX +7S1qPs4/ISfvDEyRNL3PsJmz0Xe+9Bjvc1LSRv3N7f8GbVW5T8kJpyTt7v5g +h/90rF9jru0MOS1pnQf+K5eMHjn4uPu8M5J2vPiy3FoGzttBlh8jzkpaw6TK +FyLQkU0+rF19TtI2DNsRl48uV7KltpkkrYyF/azBZqwnc8xf4lMlbebxkwPO +o1XZdmdimqR98HFv3qQgn1a73B+cch7zzJRZfh16T/j3iucvYJ6dGvbuN9rF +b23m9YuYZ37vLowtxP5h5O419y9hnlUM2ZqBDvzcfOCLy5JWJ/XfrNZf8+nU +Wqfa769I2uqJy7y2oV9nHPtgXJW0YlWtWlh8w/649sGTv69JWvClHRWmoCuV +qrO81HVJexNk//4J2q6YaZR8Q9IG1Dp10e17PnVcN71dtZuSdjndfdsB9I32 +w6tYZ0ha6+mZsy1/4H7Moe9Nb0na3vrDB85Df78b96z1bUmrevtdi1y038Vf +FzpmStrSOSGm3j/z6VXGg8QedyTtl02RDynotBCHLQPuStrE+8su1f2F9bu1 +xaqR9yTteajV9qXo7I0+i/zvS1ov+51zzOjEam3nBj+QNHpiP2jYb/w8l7Uz +5z6UNIeIU46X0F8jAmZGPMI8c/SQ7P/geZxxbc7qx5hn2Zkf1qMzVu9ZuPkJ +5tny4Zf/oX8OLLNy11NJK3R+v33c33ya+1/e5kPPJM03J2RuJnpKYb+DJ59L +2sPVRQa3/ZdPde+6nr+QJWldXZa33IkuNj7pyc0XEns4nU502P31YbbEHk6n +infqVn71UmIPp5NVQv02ea8k9nA66T0PjPz6WmIPp9Pfdmcii+RI7OF0uvq7 +34mybyX2cDqVrRTyrlKuxB5Op4RSNWvWfiexh9Pp/GDPAbbvJfZwOq1bU261 +4weJPZxO0U59b3f4KLGH02nc9foVu+ZJ7OF0yn6wYHDfTxJ7OJ1+Z/nvHPZZ +Yg+n02f/F/m+XyT2cDpV+vuyY1C+xB5Opz91p6yfrUvs4XTyHRb1ebEhsYfT +yaVlh+5RZok9nE47HGbu21QgsYfT6fmjzuV3FUrs4XQKOLZtyqGvEns4XJ/u +K56d/Caxh9NpgnW57he/S+zhdEq7Wu10xg+JPZxOHVLPNHv8U2IPp1OPtfqe +178k9nA6LUk/Wf/zb4k9nE6pny13fv8jsYfTyVhSqmHxfxJ7OJ0aKh4PShWR +2cPpVHlHv+rL0cLD6aS0txulFJXZw+n0vf7t/evRwsPh/sS5FlYvJrOH0ylM +n9VxO1p4OJ18fMJWNSwus4fTabuj14sEtPBwOsUd/OrQvITMHk6n9EpjFiWj +hYfT6Whi3ON2JWX2cLheqbsd0tDCw+nkv3pOpEcpmT0c/v7YOm+uo4WH00ld +tEbrU1pmD6dT9xZ34x6ghYfTaW3yq79Dy8js4XSyHZjm/RItPJxOI4dMvuhr +IbOHw/0t9ck2Dy08nE6zdrRdHVRWZg+nU3jIoJ9f0cLD6TT/gsfYOeVk9nA6 +OT8oeadoeZk9nE6x5mgtAi08nE5Jo74lla8gs4fD7ze+cf01aOHh8P36Nttg +ZZLZw+l0wadUhS1o4eF0ynhxaFE9SWYPp1O9SnZ/96CFh9PJ22P2zKayzB5O +J+3clq9H0MLD6bT+wtqpzorMHk6n/+KGfz2LFh5Op4nrf81wU2X2cHhfCgL+ +XEULD6fTgn/HFvaqKLOH06l40cxy99DCw+lUoeP56MGVZPZwOjUqE1n3BVp4 +OJ0K4hocGmMps4fTqXmvjS4f0MLDYR6NeHlrcmWZPZxOM6oWHV2IFh5Op9Ar +377NspLZw+F5PXxpZZEqMns4nerUm9AoHC08nE4DfN6llasqs4fTyXSyw4jV +aOHh8P5OmfC7cjWZPZxOkx4FxcaihYfTKdKxj0u96jJ7OJ3kDItXe9DCw+lU +xNgc0bSGzB5Op6D3pZsdRQsPh+v9979HzjVl9nA6nZs9aeE5tPBwOvVJ8Hfo +XEtmD6fTvYeuL66hhYfT6W0PfZVnbZk9nE5ufed1uo8WHg7zo1Xu1yF1ZPZw ++H4d7Q5mo4WHw++X+t9Y37oyezhcr989auehhYfTaY5bo6dB9WT2cDp9zHqx +8RtaeDi8/9WmDZpbX2YPp9OGTh+qFreW2cPpVHNH++dL0MLD6VR/ccAOUwOZ +PRye79Zz/dehhYfTKaTkxBbVGsrs4XTKbdv2z1a08HA62Vm/u9agkcweDu9P +hWkbE9DCw+l0yO3NuOY2Mns4nb6pju1OoIWH08n66iipg63MHg6fPyk45zxa +eDj8fCvfs10by+zhdFrYo+2GDLTwcDr1ismf0r+JzB4O86VXZO8naOHhMD9O +lHDwtpPZw+lkUW+08hYtPJxOHs/iCyY0ldnD6bTSMf2xjhYeDu//1Lup0+1l +9nC4Pvmp+/6ghYfDemOsWbewmcweTqcHV7uFWjjI7OF0ijmfM3kVWng4PB9N +/bwtm8vs4XRyGpzRdzNaeDjcj7XVu9RtIbOHw3xo7NlhD1p4ODw/Af6tmjrK +7OF0anVgfLOjaOHhdLrWsl+TNi1l9nA67fWqb0to4eF0qubz1Ma9lcweTqdF +O2c3vo4WHg7rXUAJ+z5OMns4nQJN0xwfooWHw/P64Hbb4a1l9nB4/ipZdn6N +Fh5OpzbV3Dz9nWX2cHifnYYO+4IWHk6n4JPDJ0xtI7OH0+mDufucX2jh4fA8 +OdVfFdpWZg+H9eP2252l28ns4XSaWmn9qRVo4eHwfvRpfrdie5k9nE4dn6R8 +ikELD6fTkL92Zet0kNnD4fNJK212o4WHw/s94llXOxeZPdz/91dVJhxBCw+n +09M/HVc5u8rs4XS6lT/4+Dm08HA69R/n/byzJrOHw3xOHlD6Olp4OJ1S7No6 +9ukos4fTKbmmyechWng4XP+nmVHDO8ns4TCvUxaff40WHg77gdKNv/q7yezh +sN+rRk3y0cLD6VS0s/voaZ1l9nC4Xg/PxP5GCw+H9dim4aMwd5k9HN6/JaGW +Fh4yezidDva81X8VWng4nV5fltZbdpHZw2G/0cPt8Wa08HD4/Hbja9XrKrOH +w/NOi8buRQsPp9OvgWsT7bvJ7OHwPHRb/+MYWng4neJzV3i06y6zh9NpWsSs +6DS08HA6lZo77G2XHjJ7OJ2u12jZJgMtPBzW/9NFV/bvKbOHw3pz8NKbJ2jh +4bDf7bKgg89/Mns4zMMXzTfmooWHw/dPf1IQ0EtmD6fT16Gz+xWghYfD/vND +xWOzPGX2cLh+N+IrF+0ts4fTqYSX/ewItPBwOs19nvSyQh+ZPZxOh1Psuq9D +Cw+n07YOO45V6yuzh9Np/Hml7na08HA69d4ye1WjfjJ7ODwf1tn/DqKFh9Op +1iHXKS37y+zhdGq2dtPbU2jh4XRKbKQP6zhAZg+H5+mC2/0raOHhdDp1do1n +Ly+ZPZxOswOyrt9DCw+H/ULjRt2HDpTZw+lk7h6Q/hItPJxO+xod7jlukMwe +Tqd3Vubbn9HCw+HnBTsOnDpYZg+n05Q1U178QgsPh/t3I8k/bIjMHg7zadbn +wjJDZfZw6IImi1ahhYfTqdta/0qVh8ns4TAfEvfsjkULD4d5tO1t2/rDZfZw +mIepDTP3oYWH0yln3Dh/hxEyezidyhgJxU+ghYfTafpxfWuHkTJ7OJ12lm/r +chEtPBz2I30WZ3X3ltnD4evP7i7IRAsPh+fHomGDQT4yezisHw5zrmehhYfD +fvvAg+Cxo2T2cDivPGxVKw8tPBzW3wqb0qeMltnDYR7tLj7zB1p4OJyn/k6x +XTBGZg+H5y/w3dNSY2X2cNj/eI2JWoEWHg6fr0KuRyVfmT0c1tPPgf82oYWH +02nNgGKn6vrJ7OF0uhu3edpetPBwOq1q0KFVs3EyezidVrvnFh5HCw+n05Uh +m1La+8vs4XTqnOY19wJaeDidXLGudB8vs4fTydKcVz4TLTycTu7TrzwcOEFm +D4f5f+5AfBZaeDi8Dw22BI+dKLOHw9+3iOmchxYeTqeIy9usggNk9nCY96eO +5f1ACw+n00W3+xcWTJLZw+H7nSu2pfRkmT0cPs+SDjNWooWHw/tbJHyAZaDM +Hg7nmbBnLWPRwsPpdGRSx8r1g2T2cDjf1Tv+Yx9aeDj8+V+tXzhMkdnD6bRp +cPrlE2jh4XTasmlikkuwzB5Op+U1a8deQgsPp9Pwjq8ie4bI7OGw3o1NnnkX +LTycTu3fbZ4wZKrMHg7vo+3akS/RwsPp9DIydsC4aTJ7OKwvfin/fUELD6dT +3/q5XaZNl9nDYR7VsO38By08nE4/j87rtGiGzB4O86Dbu07lZsrs4XTa08HX +fQ1aeDidqujfu1WdJbOHw/NycVvvbWjh4XA+qDJicKPZMns4rPeezcckooWH +w37xarWgVnNk9nA6lc6ttuAMWng4nVqXa7Haba7MHg7now3e8elo4eFwffN2 +n+wzT2YPh/1sQMnMR2jh4XRqN2fOh5HzZfZwOt2YVb5ULlp4OJ1OXz9ef9IC +mT0czreZM9wK0cLDYf/0ZcDYOaEyezidxkb8F1k8TGYPh/v/Z+ShpWjh4XQq +PLriobJQZg+H+WR6WDQGLTwczqNB7e3rLJLZw+H853pu2B608HB4f54PW2G/ +WGYPp1PJxCqpx9HCw+F6VDYK2ofL7OF0ajrrfZOLaOHhsP65/BvTI0JmD4d5 +eMVx2x208HA6NZ4V8XzwEpk9HPbLp3/WeIkWHg7nm/wVI8ZFyuzhdNo/r+OO +L2jh4bC+XLd8N22pzB5OpzPtyjn8RQsPh/fTqd6sxctk9nDYr9YZern8cpk9 +HObdyORK69DCw+F5HdpybPUVMns4fL5Z90/sQAsPh/lUd1P5xitl9nDYj6SE +jT6MFh4On2/3qjPOq2T2cFh/+qRZpaKFh9OpX70qU7tEyezhsD+av+5eBlp4 +OLwft5ycvFbL7OGwP5n9L+Y5Wng4rB8fP/8bs0ZmD6eTVUTJcXlo4eF0ck52 +uxO8VmYPp9OSl/tcfqGFh9MpOsj5YNg6mT0c9te3vtQsGy2zh8PPG3U7ajVa +eDideqx/UrLqepk9HM6Hd0xzt6GFh8PXFwR8bbRBZg+H/YnFt6BDaOHhdCr2 +OOGL00aZPZxOgwYun3wOLTwczstfNuruMTJ7OJ18y96ZehMtPBzej4rOv/tv +ktnDYX879fqiZ2jh4XQauHm5NGazzB5OJ8cvs2M/ooWH02nFs5gmwbEyezic +7zLenvmJFh4O5+3mo3uHbZHZw2F/PFl6axEns4fD5yv8OHc1Wng4nQz7H1ZV +t8rs4bC/X9L62Da08HA4v03a09dmm8weDuevnt3Mh9DCw+F9jaq5vvV2mT2c +TvN2W7cjtPBwOr3/NeKVxw6ZPRyexz83lmWghYfD9ag6ubXXTpk9nE7aWfec +52jh4XAe9eoTPTZeZg+H57vPmi6f0MLD4fPVtvgdsktmD4e2Sz7yGy08HPan +uTETFu2W2cPpNI6ONSy/R2YPh+9Xr/SbtWjh4XCeDF29s/pemT2cTiO69R+7 +Ey08nE4XPnjaNtkns4fT6e+9RV+OoIWH0ylzuvlE2/0yezjMD7fYsPNo4eF0 +arFnvmf3BJk9nE72leJq3UELD4f96s9vXwYfkNnDYT5eX3HhJVp4OFyvryNj +/A/K7OF0Cvo8KUhHCw+nk0/90z1mJsrs4bA/Ke1uU/SQzB4O62+5CqUj0cLD +6VR3e8V3cpLMHk6nYe0GX9+IFh5Op1c9spLqHJbZw+F8775z41608HA6zYzZ +G+ZwRGYPp9PD058npaCFh8P7WHvacO2ozB4Oz4uTa6+raOHhsB5N7d6x9zGZ +PRz2s702Oj1CCw+H9c7J1t77uMweDu/X9j+N3qGFh9OpcrHK1oHJMns4nfJv +Tqn3HS08HL4+s1L9BSdk9nC4/jN+NSiTIrOHw3qn2TSJQgsPh/XFfXOLKidl +9nA65f3t334bWng4nWZlD+hqc0pmD4f9p3ecVxJaeDidGhXY+zmfltnD6WSj +lpmVihYeTqdwh8arup6R2cNhv3MpevdttPBwOvXq2iN10FmZPRzWg2Y9nmWj +hYfTyVuP/jnunMweTqcapeyq62jh4bC/fFPBZSbJ7OHw80u2H100VWYPh/NZ +VvLSSLTwcDgffph3TEmT2cNhvd26LjsGLTwc5v+076Z652X2cHif3u133Y8W +Hg7P76QDU1pckNnDYf1d8GfPKbTwcFivYuJedLoos4fD+9w8qup1tPBwuJ/n +7wzod0lmD4fn8bLvuqdo4eFwnj/R8/7oyzJ7OOy/pcVV8tDCw+nUp7s8IuSK +zB4O7+vLz7t+o4WHw3nSs27+oqsyezh8f2Vv+wrXZPZwOvXctnBZNFp4OKwX +M449q5kus4fD36/czmE3Wng4XO+vVuH212X2cNiPrumRlYwWHg6f1/+Bs+sN +mT2cTs8KU6KvoIWH0+nxcXOB502ZPRzO9w0jvB6hhYfDfLkQfMo7Q2YPp9Oo +8qfqvEcLD6dTzNwhkUG3ZPZw+P2jBxT8QAsPh/mcmeATdltmD6cTJQ3PLJsp +s4fTafDhCW5r0cLD4Xr0fXCi+h2ZPRzuhxpnH48WHg7n48ALe+zuyuzhdPLM +86h/HC08HPYvJW23d7gns4fD89R+fN3LaOHhdKogl4vvdV9mD6fTpKLlbR+i +hYfT6UVUQNLIBzJ7OJ2qujq0fYcWHg7r2Yr+lwMfyuzh8H7/etL/B1p4OJzP +K1zMCX0ks4fTqW0neWbZxzJ7OJ2q10w1rUULD4f3o/a93dWfyOzhsH7e6Nkx +Hi08HObD7sZZdk9l9nD4/s0mzT2OFh4O55sPVrVdnsns4XD9h9pcuIwWHk6n +5iW3+Xs+l9nD6XR+wnz1EVp4OMxT9cpZ7yyZPZxOSfOmT3iPFh4O889xdfUp +L2T2cDh/HK+U8RMtPBzm/9JiCxdmy+zh8PlaD21b/qXMHk6nBlrtgnVo4eF0 +CmjimVTzlcweDp933sdJu9HCw+F8QAXNmr2W2cPh/D91gvkEWng4nbo26H1S +eyOzh8N5zmtn6DW08HB4fqPG9uybI7OH0+nToA1Vn6KFh9NpmXOb96Pfyuzh +cB5L7nE6Dy08nE43h9xaNTVXZg+H63vwou9ftPBwOp11stMi3sns4XQaMr9U +Dfm9zB4O+0W5/8+NaOHhsJ+fYvm07geZPZxOIfY9zu1HCw+H+ZdRuNPxo8we +Dp/3ueXyM2jh4TCfXydMc8+T2cPhz09IGZ2BFh4On7do+34DP8ns4XRKbNra +PRstPBzuz5z9bfw/y+zhcP6bud7BQAsPp1P2oV+2s7/I7OF0qpnwpEGJfJk9 +HD7/N0frFWjh4XQqW7dMg8q6zB5OpwXHBtpsRQsPh/XRpb69jSGzh8Pz33OC +02G08HCYJ+MdOrY1y+zh/v/vVcG9LqCFh9MpVW45omeBzB5Op39HgwPvo4WH +w/O5tcXiEYUyezhc/1FBsblo4eF0urLUITnwq8weTqeiewPv/EALD4fzQbcW +etg3mT0c9p9/p6rlv8vs4XA+8GrvFI0WHk6nA68WD6v1Q2YPh/Nxi36L96CF +h8N58kF8ksNPmT0cznPes7NOooWHw37Z+6HJ7ZfMHk6nHL+THW+ghYfDfq9M +vekDfsvs4XCeu68cykILD4f3f2Tke78/Mns4nd44RzbU0cLDYb9QqPjO+iuz +h8N+tqH13uL/ZPZwOA/4pOYtRwsPh/OCc65j5SIKezidtrrEzN2KFh4Ov6/F +w2s2RRX2cNiv2W2vcgQtPBzOY+2++7crprCHwzy48ezsRbTwcOgQz0q9iivs +4XQqd613wEO08HCYryNeXvUuobCHw/niRtFGH9DCw2G93nMkIrikwh4O+82I +gg+/0cLD4XkuerV3eCmFPRz2z4lNT0qlFfZwOvW1bmgdgxYeTqcqvw6vrldG +YQ+HeZGeWfQAWng4rH+Nw0NaWSjs4XTyOnbr/Tm08HDYD/1L9OlaVmEPh3m5 +y/pZJlp4OKxXWvPBQ8sp7OFwfvG7/+gNWng4fP2EMnRSeYU9HObvlbcvvqGF +h8N8bjnAL7SCwh5Op0obhutlTQp7ONy/ZUXmrUMLD/f//99xqVBLUtjD4Xwf +XWXrHrTwcDoVf7XSsbmssIfD+mK/I/0UWng4nB+t+o3prCjs4bA/aLujSAZa +eDj8vi2itg5UFfZwOg3YUrPjS7TwcFhPq/bIGV9RYQ+n0xa3qssL0MLD6RR3 +akmreZUU9nA67ayx6WVpS4U9HPZDZXpFrUYLD6dTRo1dHatXVtjD6dT+x9bC +eLTwcDj/dHA9YG+lsIfT6fiShWNT0MLD4XwzO6BupyoKezjMpxN/XlxHCw+H +/ebTltsHVFXYw+E8F1lx7Au08HC4v6M2NfGvprCH06mJRXqBgRYeTqd9tXam +zqmusIfTKdi94apSNRT2cHj/6vT3jkILD4f9UJ+mLavVVNjDYb8x90jZeLTw +cJhn7m9eN62lsIfTybX3BTqBFh4O+3vX3nEdayvs4XD+Pbls/nW08HA6rfeZ +NnpAHYU9HM5Hz+XuL9DCw+lkfWeoo39dhT2cTptyBtY2o4WH0+nk2ZKmufUU +9nA63Sri+69UfYU9HPbTA2aao9DCw+m0fZT2oZq1wh5Op8hbp1/Ho4WH0+mc +W94L+wYKezid6vvdyUpBCw+H9fzlpOxODRX2cDpFDTv/5gZaeDjMi/k3P3o1 +UtjD6dS6MKowGy08HM5vYysUm2CjsIfD8zXbTSlECw+HeZTtWH++rcIeDtfL +9UVri8YKezjM504enmvRwsPptDHa379mE4U9HM6Lr7uF70ELD4f171HuruZ2 +Cns4/Pnana+eRgsPh/XDd+Qn96YKezjMt8FtLW+jhYfT6Xb8fdch9gp7ODyP +35oHvEELD4fn54/nlknNFPZw2L/7Otz+jhYeTqeJL+6WXOigsIfDfq9KO5cK +zRX2cDq5k+/MDWjh4bBfWDTwRN0WCns4nd5VNn1PQAsPp5Nzo2XtnBwV9nA6 +7R57KzQVLTycTgVhT9O7t1TYw+G85ZBU+T5aeDh8vVavsSNbKezhMP+KJCe/ +RwsPh/dlybuyIU4Keziduvi99fmLFh4O16dP0uklrRX2cJjv3z2qVHRW2MNh +HisJ07eghYfT6Zjn88eN2ijs4fB5/bJcjqCFh8M8K39od/u2Cns4fP5CT+UK +Wng4g/Lup83r005hD2fQsDElvzxFCw9n0Fqv6qN82yvs4QzKGVXiUT5aeDiD +imtpvWd3UNjDGfQ1vu+Nki4KeziDbLqd7B6FFh7OoMFvCq9Xc1XYwxm0z96i +9y608HD4ednmB800hT2cQZ+PJHufQgsPZ9CXEX0/de6osIczqFHclTm30MLD +GbS4sZU0pJPCHs6gAec6xr9BCw9nkFapa/vJbgp7OIN65zZ++AMtPJxBH40P +IYs6K+zhDLpvLLGU3BX2cAa12FnyZAxaeDj8vFSfkdYeCns4g5JKx5U5hBYe +zqCCVqePtemisIdDlzk1+iJaeDj8eZfNlp5dFfZwBi2NHpn+GC08nEHuN0qH +jemmsIczqMSOde2/oIWHM6jHg1I/Z3ZX2MMZVNR25KkSPRT2cAZNHBI3dxVa +eDiDWjW55Fatp8IeziD/YffK70ILD2fQ1EM3HjX7T2EPZ5Dt28Q9p9DCwxl0 +8vzsme69FPZwBp0p3bLXbbTwcAY1mfGowVBPhT2cQb4P/Yu8RQsPZ1DT9++e +B/ZW2MMZtCfI69wvtPBwBo1sdXR7eB+FPRw+/49/EUpfhT2cQYlhHYJi0cLD +GdRvw8Thjfop7OEM6v9nRc8jaOHhDHKevcOlQ3+FPRzu78P9jlfRwsMZRE/2 +NOk3QGEPZ1BAj00Ns9DCwxlU2Qiz9vdS2MMZ1GnDqAYFaOHhDMou3tZ2/kCF +PZxBXYqWdSg7SGEPZ1DrwXfbRKOFhzPo8f31HnUGK+zhcP06DvBKQAsPZ9AT +P8nfaYjCHs6gjRUvz0tDCw9nUJo8a0PPoQp7OINWNLE7+hAtPJxBsQ7PM0cN +U9jDGfQrb4X5E1p4OINq2btWmTlcYQ9n0Ls03bXECIU9nEG7p+0evwotPJxB +cvURG6uNVNjD4XmbV/XaLrTwcAblTn7828FbYQ9n0CuKczyDFh4O389lfEAX +H4U9nEHlzrbffwctPJxBXuWtPgwfpbCHM6jN3x9279HCw+H9G/N2SshohT2c +QafV56f/oYWHw89/klV62RiFPZxBV6LyvCqPVdjD4f6XKb1vO1p4OLyvls3+ +2vkq7OEMmrBirFcKWng43G/3hMNufgp7OIPqq0XlW2jh4Qzalu4XNGScwh7O +oPLu2fdz0MLDGTRw0IQOQf4KezjMy6cWe3+jhYfD+73njOWS8Qp7OIOWr10U +XnGCwh4O78OMUT/j0MLDGVTaYWBQ44kKeziDeq4d/fE4Wng43P85S8Z1DFDY +w2GeXb787gZaeDjM0x61Jw6apLCHM+ha7hrjNVp4OIMeTqs3Z/JkhT2cQdXu +Z5T5hRYezqCxLzZuDA9U2MMZVCQ4tIkapLCHM2h/QGTaFrTwcAZtOHh4iO0U +hT0c5ony6+sxtPBwmDczfNdrwQp7OIMqnC9wvoEWHs6gaRm7swaGKOzhDGo+ +b27Ea7TwcAa5HZnpOHmqwh4O86Zn7KufaOHh8D42fbsufJrCHs6gB20GdVen +K+zhDPrW11wsDi08nEEJw1LO2c5Q2MMZdK/t3rnH0cLDGZSRecG140yFPZxB +waXKl7yJFh4Oz8u50JuDZins4XB/sxrEvEELD4fr2euHX+BshT0c7of5d5vf +aOHhDOqQ3FxaMkdhD2fQs7kbcyvOVdjDGXSiRYsLW9HCwxm08uy/7U3mKezh +DIovXWzRCbTwcJjPP9v4u81X2MPh+obu7XMLLTycQaMW9+owdIHCHg7Xw7Cz +y0ULD2fQquOdawWHKuzh8H6cXF/xH1p4OIM8P9YvvyxMYQ9nUJjzp9JWCxX2 +cAbdWGkutRMtPJxB05+1tmi2SGEPZ1Af+bTpNFp4OFz/qvOsuixW2MMZNORd +aP27aOHh8PknXmkxMlxhD2fQ1g2e7h/RwsPheRlSfej0CIU9nEH19jQJKb5E +YQ9nkBIYGrUKLTwc5vHemknVIxX2cAaZ3Ire3YMWHg7Pb8umPxyXKuzh8PsE +7aiXihYezqBxn308ey5T2MPhfd8+cf4jtPBwBnVbcOHImOUKezjMp1C/9/lo +4eEMGrNlcP25KxT2cAbNSd/kbbFSYQ+H96Wo3fZotPBw+DztTDl1Vyns4Qx6 +HtjJLhEtPByeh9hr09pGKezhDNqbEn/xMlp4OLwfqfcs+61W2MMZtD1xyPgX +aOHhDGqwoPX5CWsU9nDY/zWfWPM7Wng4g2bTj9mL1irs4fD+2bx8Lq9T2MMZ +VGp8fbctaOHhDNq1MC3BNlphD4ffL+SMVTJaeDiDLrS3jOi0XmEPZ9CdZzd+ +ZKCFh8PnG/Bm8tANCns43I99w97nooWHw89/4uQbslFhD2dQyofgt0ViFPZw +2D9kVRq/Ai08nEGOJ6vpVTcp7OHw8+eHz96NFh7OoJatB1s4blbYw+F9zFm7 +idDCwxnUOKp1s56xCns4vJ8u7lceoYWHM+jm97Ojxm5R2MMZZHF5R1EDLTwc +9luHCnbOi1PYw2Henz3WrdxWhT2cQS9/vjY2oIWHw/MdtHCr9TaFPZxB6Xbr +PA+jhYcz6F9rq+Iu2xX2cAZN2VrhVDpaeDiDCifPCBm4Q2EPZ5CePKjFG7Tw +cHifww6ZA3cq7OGwf385N+UPWng4/P2XFxcsjVfYw2F+RS/uabVLYQ+H57ls +WvV4tPBwBk3qP+Ozw26FPRyu/8IDF8+ihYfD+rV/RFz3PQp7OOzHn66a/RAt +PJxBdxt3HDZmr8IezqCDB4I1HS08nEGHptrYzNunsIczyGOzd8Vy+xX2cAbN +d6xZbCNaeDiDygwYWWCdoLCHw/W3svlwGC08HObd6hmvXQ4o7OHweZ73yb6O +Fh7OIJdKx7MHHVTYw+F57LXzTQ5aeDjsR/fWzJuSqLCHw/vUrsG3f2jh4Qw6 +Z3265IpDCns4g9QF2VbVkhT2cAYlj1/fdA9aeDiDJhfL8mh5WGEPh/3S2JTR +aWjh4XB9jzZc1OuIwh4O87Zc471P0cLDGdR15YVb444q7OGwXg0r+FmIFh4O +83jjWduFxxT2cJgPY+oMk48r7OEMCnpdc80WtPBw2L85n7jeOFlhD4d5Ffmp +TApaeDjsd36mdXM/obCHM2hLUquVd9DCwxm05G63ByNTFPZwBn2K/Ff3E1p4 +OIM2/xoYNOukwh4O16dP/4ulTyns4QwKPVpYLRotPBz2Kz07TK13WmEPh3nZ +xe7uIbTwcAZlZVxo2eGMwh7OoLemkpvS0cLDGeTaUi826KzCHg73b2Lk5By0 +8HBYH3MyXkw5p7CHM2j4zfP9ipDCHs6gI/19r69ACw+H75dwyqN6qsIeDufR +ommX9qKFhzPIZ+msrk5pCns4g/Knvcu4gBYeDs/3z3KD+5xX2MNhPe729m0W +Wng4g9ZtmDFj4gWFPRyuR7WLFX6ihYfDfrRM+u6Iiwp7OIPsNyxzs7yksIcz +qNI/izc70MLDGVRshscSh8sKeziDXrft5HAOLTycQd6hf5/2uKKwh8P+afGc +ZY/RwsNhP7PwrIvfVYU9nEGdk88XFqCFhzPo2JAVSWHXFPZw+HpirclyusIe +zqDqX6Y3j0MLD4f1Ztimb02uK+zhsD63ikg7iRYezqC+p1xXdbmhsIczqEbT +S9730cLD4Tx7q4rT6JsKezicd9+0k3S08HAGjU5sljcvQ2EPh+djSuGN8rcU +9nB4PqetPLwJLTwc5v2/XzE2txX2cAbt7OcanowWHg7n39ODp3XOVNjDYb7M +6zn+Dlp4OHzenCqjvO8o7OGwn2mbOvwzWng4nOeuug2fc1dhD4f9x9N477L3 +FPZwBv04+8ZvI1p4ONzfcyWCG95X2MMZFG5bKuwYWng4gy71+rCu0wOFPRz2 +U3OPJNxGCw+H82tJ78sjHirs4XDedi54k4cWHg77Mb/A0rMfKezhDCr59n5T +i8cKezjs90s3HLQBLTycQV9rjwpv8ERhD4fnedGylKNo4eEMGrF85+eOTxX2 +cAZZr0iwuY0WHs6gn1nxviOeKezhDAp5ErU3Dy08HL7+KPDzrOcKezjsB1p6 +OFtkKezhDKrSTQ3fgBYezqDLQQ8eNnihsIfD+lBuXdNjaOHhcL4L6RnRKVth +D4fzY9miObfRwsPh/Gl/1GPkS4U9HOafy5gDn9DCwxnUbItl5TmvFPZwOE8d +uLqw7GuFPRzme8a8wo1o4eFw/8e1mdDojcIezqA1z3+8OY4WHg73e13aqM45 +Cns4PB/G6jd30MLDYT3znjje563CHg7z3L1vwRe08HA4n5V1D5uXq7CHw/pf +zaNShXcKezisJ9le+zejhYfDn78yo3Pj9wp7OOz/Ohx8lYIWHg7zJrFwUZcP +Cns4g6yW9mvyAC08HK6/69X7Yz4q7OHwvGsDFpnRwsPhff79yyksT2EPh/3x +27Of5E8KezjsB6fE7t2KFh4O59WKMX72nxX2cAb953q88Vm08HD4fhMNvccX +hT0czi9y37NP0MLDGVRr1/3l/vkKeziDnHbM8/6OFh4O61VoL+cIXWEPh/X9 +nEelyobCHs6gTvfHFcSjhYczKKJj8iNHs8IezqCFoQ5p59HCw2G9qvj4YJ8C +hT0cntcZh+Oy0cLDYf/Y7PTayYUKezisx6e/Lf+LFh7OoP5x45au+KqwhzPo +6VBpeY1vCns43K8Zn1YnoIWHw/s9q0Rs2+8KeziDPuQO2H8NLTycQYu6vj4z +6IfCHg77M+vEu7lo4eGw3pVK+Tztp8IeDufzmcVMpX4p7OEMMkqtah6NFh4O ++8UOIwdb/1bYwxnUbn/I4qNo4eEwj5/dPt7pj8IezqDUUVM/ZKKFh8P9uDW6 +vs9fhT2cQWWPbvHORwsPh++/3XrH/H8Kezjcf/ufuaYiKns4g+I+124RhxYe +zqC5MzYsaFpUZQ+H7zd61N0zaOHhcP7wCGvcs5jKHs6gime+L36KFh4O+/MR +V3LGF1fZw2FeX/3S7SdaeDicZ9cHH4ksobKHw3rt27921ZIqeziDCh6ujdqL +Fh4O8yzSsZRzKZU9HJ7nGq0WXEELD2fQi+ab/nqVVtnDYT4GjAl9ixYeDp8/ +JLrMtDIqeziDNn6wX1fSQmUPh/3MtBbW0Wjh4XD/U7enWJdV2cNhfgfO63MM +LTwc9qMO6Z/dyqns4bCe7A+PuosWHg7zY/lxp9HlVfZwBu0LHfTSQAsPZ1Cv +NkFRYRVU9nD4+qiinVWTyh4O8y2x7O/taOHh8HkzVqY0l1T2cFjfQ1bNTEML +D4f118vk2kdW2cNh/2pTweIlWng4g2y2r3gYqKjs4bA/mbF6fxFVZQ+H87Fv +tbAotPBwBgU2aDyiTkWVPRyuT+B5lyS08HCY7yVy6muVVPZw2D/Fra1wGy08 +HN6PP7d+jbRU2cPheXi5+fMXtPBwOD+V/ZEzv7LKHg7fv/37l5KVyh7OoPNt +J73aihYezqAV1yJzm1VR2cMZ1PZQB53QwsNhXh5d9s+zqsoezqCkLVPVbLTw +cNh/O/+xDaymsoczaJantUeR6ip7OLyfiXm+UWjh4QzKqztoeZ0aKns4g0yz +xiUnoYWHw343vHaOVlNlD2dQVJX5VTLRwsMZ9LFYZG+fWip7OINmVnVdoaOF +h8P5ofnOjNDaKns4PC91T1RS66js4Qy6lTpn5A608HAG1X5fmNiirsoeDvv7 +EOviF9DCw+H3dy07rF89lT0cnp8G+06+RgsPh/NUyeI1Quqr7OHwPqRWXVjC +WmUP9///D/nyeR1aeDiDrnZdPLJBA5U9nEGxz57fO44WHg7z4cHPXh4NVfZw +OG/XyLr5AC08nEE9NkT28WuksoczqHKzf4+/oYWHw3knQ/NbYqOyh8P749P7 +RxVblT2cQe/vN4vahxYezqDgqi+btG2ssofD9azheyMdLTwc9ve3U4OGNlHZ +w2H/1shcPQ8tPJxB06z+pc+xU9nDGTR13dt5FZqq7OFw/dYntI5DCw9n0PJK +vQvt7VX2cNgPWTw4QWjh4XA9glzn926msoczqGXXlT1eooWHw3qw7GKNKQ4q +ezjsf9q/MYo1V9nD4ecN/XJzLVp4OKyP73MPWrdQ2cPh8366teY4Wng4zLPx +++Z4OKrs4fD8+U+b8BAtPJxB83TnkeNaquzhsL6UNw/8gRYeDuv7ld0DlrZS +2cPh92nqNai6k8oeDutLr+LeB9DCw2G9dU6a2KG1yh7OoJh/I+ZloIWHw/7/ +uBI90lllD4f5NCk9KR8tPBzmj7YsM7SNyh7OoD9uXt/Utip7OMzr1U3rxaOF +hzPoQeeKfVu1U9nDGeQ40yLiMlp4OHy9p5o2sL3KHs6gVi+aFXmPFh7OoEF9 +xnSe1UFlD4f9x6XDK8q5qOzhDLLwqfYsFi08nEHbum5rZu+qsofD8xnvvoTQ +wsNhfxFb/m1vTWUPh/33sG9dX6GFhzOohVzmcHBHlT0c3pccrVbJTip7OINO +lI+PWo8WHg7PR7JjGRs3lT0c9leWxqKTaOHhDDIPf1mqR2eVPRzmz+XiK5+h +hYfD+TBiaLVJ7ip7OOwXn3xI+IcWHs6gXT+OdlrtobKHM2hDvZNZ9bqo7OEM +erz29/xjaOHhsH9YsaChR1eVPZxBg/t2ufMQLTycQX4N+i3076ayhzNoQs/d +bX6hhYczaLvJrXB5d5U9nEHFjzdJrtVDZQ+H/cXSUXOS0MLD4fl+8r5Lp54q +ezjMu8ILVe6hhYfDempr/jz2P5U9nEEHrs5M/4YWHg7rV6MhCZG9VPZwOE9v +Wr+6uqfKHs6grTOazz2IFh4O96N600muvVX2cP//98dlYzLRwsPhea3Z23t0 +H5U9HM4DTnN8CtHCwxnkulQdF9FXZQ+H33e2ZUjVfip7OKzfARGLE9DCwxnU +5vL42A79VfZw2I9+Sku5hRYeDvPkv1VPfAao7OGwXvW5X7QALTycQdfHrrcP +91LZw2F+/LznXWWgyh4O60Ho2o370cLDYf4F3LvffpDKHs6gEo6bqtxCCw+H +9aVbrrfPYJU9HOat7blEM1p4ODwfveoWCx+isofD/q1VxaFVhqrs4bAfG7r+ +5H608HD4fdwO1uwwTGUPh/kweUjELbTwcJiHY7Z99RmusofDer43dEIBWng4 +nOcvFXkbPkJlD2fQxc51fKuOVNnD4X0+9upjAlp4OOy3dnSf7uKtsoczaMC2 +IWUy0cLDGZSjWW4d7aOyh8P5turcdl/RwsPhfJ267vmSUSp7OPStEYurj1bZ +wxk0/uXzFolo4eEMcltX6a02RmUPh/c7ouTWu2jh4XD9hx4d7jtWZQ9n0N3j +der9QAsPZ1C1dr3zlvmq7OEM2rm285lafip7OIPW+xdZcxgtPByeJ//wgM7j +VPZwBjl0fNzrIVp4OKwPO361Gu+vsofD33fJr/cHLTycQcVunLSMGq+yh8P+ +qOhQU/0JKns4nGc2PiifjBYeDueJ/5op3Saq7OEMUp/7VX+GFh7OoOllFjaZ +HKCyh8P5ZfXCjsUmqezhDKrjMnF4NFp4OJzH77nOt5mssoczyLdm0T2n0cLD +GTQu58i9XoEqeziDGvwcWOYVWng4g7KbmDtODVLZwxnU/L+I0DJTVPZwmNeO +Vlc2o4WHM+josV1qs2CVPZxB9xNajTmPFh7OoBkF104PCFHZwxlUMmBs1fdo +4eEwj76WnTtnqsoeDvvT4LNvpWkqeziDPNPne+1ECw9n0NlX/W84TVfZw+F9 +3+PaNR0tPBzmWQm39OEzVPZwuD4fffrqaOHhsB/usTV70UyVPZxBv6v9Daky +S2UPh/2hZ6h0AC08HObz6yZJrrNV9nA4D9wpMvAuWng4g65UK17Cb47KHg7n +qbOtTvxECw9nUJ+zmwNXzlXZw+F9qevsUG+eyh4O5129wtfjaOHhDKrbts75 +bvNV9nAG/S09Zd1ztPBwBr2bUCogaIHKHg77iRnZPUqGquzh8Px3Lt48Bi08 +HPaXuUE1moap7OEMcprbzJSGFh7OoKB6rqUGLFTZwxn069vOEu/RwsPh79fx +sZi7SGUPZ1DRCzMrKYtV9nDYX5bSG+xCCw9nUKRVeoc24Sp7OMzv+mWG3UQL +D4f9ypDEBT4RKns4g5x1SihECw+H9a2m9jxyicoeziD3Ki0sa0Wq7OEwn2rG +9DuCFh7OoD0TZ2z0WKqyh8PP97j7+glaeDg8z18OtJq8TGUPh/c3qczK4stV +9nA4n2e+z9uAFh7OIK9t/frarVDZwxmUENDtXCpaeDiDyi/PcBiwUmUP9//9 +zdt979HCw2H9r7zOdt4qlT0c9g9OTw+pUSp7OINuK9R+D1p4OINOlXG73W61 +yh4O++EF48ffRgsPh/mW7Vxu7BqVPRzmzbrDR36ghYfDemzxyHvlWpU9HObP +4UOW9dep7OGw/v5tl3kCLTwc9lf+C9b2jFbZwxmkTVww7CVaeDg87wtd7aat +V9nD4X1wSCtWboPKHg77y29lsreihYczk8vwahdbblTZw5lpwKfvidfQwsOZ +aUPLvdtGxKjs4cwUfKFJjBktPJyZVjeN2Lhkk8oezkxZFufiam5W2cOZKcK4 +m3AELTycmYotvkFdYlX2cGaqOCrhyTO08HBmutE05HfQFpU9nJnWr2rcoHSc +yh7OTI4t7vSPRQsPZya6MGlZ860qezgznSosfu0yWng4M5WbG11h2DaVPZyZ +iqiNButo4eHM5BV4JiF8u8oezkzawMEla+xQ2cOZaXRkUd/DaOHhzJRzI+Wm +x06VPZyZxn0Ma/8MLTycmSyPjD4SFK+yhzNTnD6iWeldKns4M3WcN+NoLFp4 +ODPdanbMpcVulT2cmY68tMy8ghYezkyfpmwfP3yPyh7OTNaXh5Qzo4WHM9O2 +NPejS/aq7OFw/zx9R9Xap7KHM9PrYalVjqGFhzPT1qcDH3Tbr7KHM1N0qsPm +F2jh4cy0qoSn39QElT2cmarGJ7ctd0BlD2emxVsnWG5HCw9npi8/g745HVTZ +w5mp67mb2TfQwsOZqV9hWOaoRJU9nJmSDkVf+44WHs5Mz4qUvbbykMoeDs9j +kTe3rJNU9nBmqnutUdYptPBwZro067G592GVPZyZhjn/kXPRwsOZSbbd0Gru +EZU9nJlMMxJ8Kh5V2cOZKby/S/Q+tPBw+H30frddj6ns4cx0PzxffYAWHs5M +/T2rDJ94XGUPZ6YdS28lFktWtf8BsspbCw== + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwsmnlUTt/bhytJz5mIDJFCSSGlASm1lVLKUImkaEBShDRoljKnJM2DNClK +MobsUlEkiQZDhL5InXOeZIjIe//We/6yrlV5nrPP3p/7s9e6prv72m2VkpCQ +0CQlJP7379ETktN/SLF49UytxLIqEfL+b1LHgxEs3iuFPxZXi9BKY+24VGkW +U5UzDPPviZBW8nIL75EsfhDqdCq7RoTkxJv+GsmwuNBrW09qrQgNWAZcZUax +uOKChVlinQi15sR6dwFv8x7KOnlfhG78zptRLsviyc3Rf448EKE0+zsvDopY +vFLqw8aD9SIUevFZvAPB4lmzJ1SGNYjQppG9y2eRLG71VZoW9FCE0Capf4PA +sUND0XsfidCMGwrXH1IsPv69tNenUYSkx8zfmUGzeOyhhWs9H4vQx+2WqrsY +Fvu0nrnr1iRC9dWbX5mMZnEP+XC28xMRKp4cmCA3hsUfbJ+nrGsWoRN+J60+ +AF9/clXW9qkI+TbmS1yTY3F9iXewdYsI2c6svHFoLIsPy/9izZ+JkG74812O +41i8Za6LB3ouQuPbe2fOlmfx66kpLxe3itBPrRGdQ8C75Irt9dtE6MWRyYmP +x7M4T+t0k1a7CN1+N986ewKsb4699ewOEcpcbCW1ZyKLjU72Nqi+EKGI064V +ppNY3KK8cYXySxFy6wvcLa/A4j3h5xoVXonQMvO4WR+B77dUr5F/LUJqWQVv +bkxm8QHzijamU4Rkf1aeOTqFxRv/HdkkeiNCX1a32mxUZPEEjfmfR7wVocbz +fSM0p7I4sPey3zBwqaT07WHgVb6k5K8uEYp3mrK3WYnFvp1L4gfeidDeKzoa +55RhfTZZTefei9BaakWX3zQWyyjOu/r5gwgt2OqWbD6dxSuM+yw/dIuQwt2g +VRNnwH7iDr7t/E+EhibEj+wBDnL4HtjxUYQ6fQvv3FKB75eBxj77JEK4/q7f +CVUWT+vbWvr4swjlTG+bvWkmi9t2brWp7xGhg8HsOy01Fp9aYdJ374sIbX0m +nSo5i8U7LwzEVvaK0PK5imueAcdWRM2/2SdCGjG6o/LVYb9l9bWVsyJEvllx +N0CDxRZR88NLOBHiFrj7W85msWWc7azzvAg1x+2fO3kOizdzNi3nxCJU/jn+ +Qy/w83qViMx+EUpcej6tci6L+5e3aaZ8FaGANGwbp8li+syWNwkDIuQ40Cbr +No/F0S+a4mO/idBiGw7raLH4hvF48yPfRUgxf2SgtDaL5biFQ1E/RGj4r+K8 +NmBpuYVXwn6KUNc6vf8K57NY9unYnUGDIlRzyTpjvw6LM9Y/Uvf7JUL5sh72 +1rosXt7k+nHnbxE67BZMTNVjccqmZ/nbh0TI69apag5YRUfF0+OPCFmPKwqq +0mfxob1rZm/6K0KaPlVaCQtY7G61kXccFqHRde0fPRayeGuX2XX7fyLUP5XP +1F/EYhM3ImKVBIGeBcg4jDJgcfH3UisrSQJdezKVegG87cH8CcukCJSirl9T +vJjFhhKnu41HECj4gE1wqCGLfzW0XDWQJpDzS4/5q4xYHLCq/5DeSAIZ64Z8 +Vl7C4rgbnJOWDIGmnUjI7geerfVIe/YoAkn9V7SuxpjF898ekp0pS6DuJdX0 +GRMWp/VPf68sItD9pI7abYjFm+IzKicTBDrP8yGLlrI48r8faeNJAh2zHKVL +mLJYNGV+8BiKQDtzlL68AiY2Wm8kaQKt/q2fU2LG4vQn5sYyDIHm2690jFjG +4lfnpqlIjibQuItbRtuaw/sdfiX6A/xdOvT+DAsWk0zQ1x9jCNTucjrsG/Cm +399e98sRqOJ6sd795SxW5+wa+sYSKH30vd5kSxZfHJdw49M4AoVtf3HOy4rF ++mfKC9/LE2hztXiD4QoWT8y8mto5nkBLJ8vK0dbw+w4psR0TCKTqp1z/Bnhd +j8vBZxMJJNO4IKLMhsVhUSNDmiYR6LPqqgVRK1l82jpuX4MCgR6GbWXtV7F4 +tO+Qb+1kAl1sC82buZrFuyeu2ImnEOikVuLGn8BLfEN8bikSaPeRC2Mb1sB+ +y4nbeW0qgeze3WtIs2Wxc/uh3WVKBNJb/DLSx47F74zc/C8oE2jC6f6FxvYs +XvhHKbRgGoF+9cryo9fCeqCq6JzpBHq1bFrBO+AxuuZxGTMIVJm50OWKA4sl +JUrTk1UIlP1jlXzMOhbnPP1dlKBKoAOrtz1atx7mQ8ucW7EzCeRxPixK3ZHF +U+YtbTyiRiBzyTMGv4HPMoZdB2cRaJbTRfGjDbC+2Qo/wtUJJLpSU5jpBOeB +6KSDNQjUS77a5LuRxb0hMbP8ZxOoacvX8UudIU+VxpntnkOgskrR47EuLB6e +csjVey6BEiZMj+4Gvn/2TcQ2TQLt811keH0Ti/3aFXPc5hFoXf3qr4c3s1ih +F9U6axFo0XTPog2ukD8y1j3rtQk0OTjcdY4bi584GI6xn0+gPy1nJv4Fnjdi +rMEqHQK9mVPS1OTOYo3FTzysdAlYpdqYsx5wHmb6xS/TI1Bu5yujvVtY/L7/ +710TfQLFLBgYMNvKYq0GX37xAgJ5xhEXxm+D/d9eP33BQgJZfZ7u/gnYdLlo +3fxFBJqz1EChwpPFtcvmn5hrQCA6bU3zse0sVh5CtbMWE4j/6nnY2Qt+fmbR +8AxDAj21jjCet4PFc9GkxUpGBLqSl/T9H3DbzK5AhSUEOvO35OJTb8jrvfE3 +5I0JFLSuziPXh8WTbDV+jTYhkNOl15P9d8Lvs8VGJCKQkey3pxa7IF/2jY+S +WUogJTfy6CRfmP+jvRokTQkkcWsG+gJM9+WP/Qv8fuzin7d3s5jRb3QZNCNQ +rbdtaeweyI8Jr4sHlhGooHb71s174fzdbv3FmRPoyNRIxfl+LDawrVjxxYJA +OwKSn0ntg/MgdTjzv+UEWvmk9NhzYGbI+GuXJYG01O8vLfBnsbnnO8vXVgSS +O9A5GBjA4s97d+W0ryDQwItvl6wCYX9YfRxqsSZQqw7lOSUI8n6SlWOTDYFu +HFdRYoFHEsnXG1YSKLV7cevd/SyeY908oW4VgUKW2J2ID2Zx06jvQVWrCeSS +5GXmHgJ54jOy8/YaApnwkb91Q1kskSdpdsOWQDMsUy6PDIPnedVTXG5HIOmc +S9vbgZtM7sqX2hPo46/7ykXhLB73JzyyaC2B6u3etAVHsLjPaC6X50Cg4gvf +Y20iWZw7777L2XUEOiFNmysdYPGxvzZP0tcTaJeL6h8e+HdrlWmyI4HWXDe8 +Uh0F69M9/WbCBgLpjLbfcfogzGOH3VonnQgkv33H9K3RkB9rL54/upFAP6sO +dCyIYbHu6DaVGGcCvVBIjZM9BPl+mT0b6UKg23vLLF4C79g+oBy6iUCZjx78 +vXAY1sf109nAzQSKUH17NewIi8fXNaj4uRLILeyH9+qj8L5q087vciOQWRut +Mv0YnOdjTlo73Ak0U2vmy6/APmaim1s9CDTqiNGp2uMs7lYsNHXbQqCeLnvL +pBPw/sz0njhvJVCjgfc/z1gWr/9Q5uK4jUClCVHXDU7C+9OYytl7Eii+N3Un +Gcfil2b7I1dvJ9DeZZdVO4FHbqqTt/Yi0NrM+lel8Sxemy95wWIHgRb8eJsQ +eYrFNy3mmZl6E2jS6p9Wdgnwfn2tO5f4EOh3ISOpeprFJdYb9hvsJNBrCbWb +34GXixwn6u8i0N0NS3wfJELetS6/oe1LoJzytWqpZyDvXqtvmLubQAdJn84d +SSwOth76M2sPgbZuOZholAz5uwafU9lLoOWVadZMCotVJ/mvUPYjkMaEcqku +4OYnit8m7yMQ6dtQcTmVxdfyrmdP8CcQ+6Br98E0FivWmq4cG0CgJ9MGZzmk +s9hxY/UfOpBAl/ePfquWweKaZJ1SURCBTreoJQ0C3y1Ichu5n0ABc4xXPsxk +8dVr7ATJYAI5RjtIZ2RB3/u6sOkP8OJOn9s7s1nsetT/8GAIgRQXRO81Octi ++aIC02+hBBo+ma4hl8PiyvBH//gwAnV9Ku96D8wt7L7bG06ge+hh8tVzkEdS +/RGfIgiUl/pu1aFcmB/kV9MPkQQ69HVwpGMe9OvIT6PeHiDQdusxlRr5MG/j +nza9jCKQdd6sfUPAWtvLktsOEkjzr/GcxwWw3/Sj3VuiCTR63br3WYUwH9VW +ajXFEKi/dGfq7vOw/tvJ4YZD0EdGxawxLYL11MBNdYehj7hmjJIvhveVvD2n ++giBkiuu3P0P2K1rVEDlUQLtH/vI/8YFFm/XybSpOEagjd7v5x69yGKn2+oz +rx0n0JLaXx+cSlhsXFT8r+wEgZSnyqXPLWXxjNkqry/GQh8JULcbBlbySbh1 +/iT0kSYTUfMlFq/JHEzLi4M+Mmt9VU4Zi7t6HcLOxkMfidwV6HeZxf9iit0y +TkEfeREzz7wc9nvqj+UpCQTy0cn8b8IVeP8Oi7UTTxNo1fGrGZ+B6c/+k+MT +CaTd/cj+1lXIg/AimRNnCDR2yQfixDW4b1m2fjucRKBvZ35Xu1yH/9/vV/fB +ZOgjnNx+rRvA8ya0R6RAH1muoS15E/rY+TmPQlKhj5xFn1qA5zGLqwPToI/8 +Wp+VVwF9O25phV869BE7X4eAW3D/czK94psBfeTCIcryNouzMo0ueWcSSEU6 +q0bhDos/HtYu8cwi0EiXa8G9wI+XK5V4ZBPo07XG+ZWV8PmkzKXNZwnUwHR/ +PnmXxXojPpdvzIE+4jmU7YrhvDnX3lx/DvpI1dj1OlUsvmCeXmWfC31EYTYj +XQ19mfN5uDoP+sjepXWtwCdjDNqs86GPPHIMLbwHv28g2b28APqI6m7d/TUw +3wxqB8wKCTQYevjLiloWP7oaNRKdJ9DL1qwcxToW335hpGBURKA78647csBJ +7V/nLSomUNbhx6Or7sN+6Myz0LsAfaSr+/6pB3AfmGzvqn0R+ojBnzCPehbb +VP4NmVsCfSRhnL5+A4uzv+elqpdCH+md3SfzEO5zXy0rVC9BH1lmmtsBLH7f +83JaGfSRjA1OxY+gX/84PKx4mUCPv++WC22E9+usoqpQTqBLq47Ur3wM58fs +jvX4KwQ6VZgdodzE4g2v7PzlrhLIT+LGgn7gq/afztLXoI9saGLvPYHz+Gp/ +k+g69JHy//ISm1n86RwxPPIG9BHy78ZtT1lc2pGqJXUT+oiH/LhFLfC8F2d5 +DAO/uTPnoegZzP9VV1N+V0AfGW924BWwDGvS/OMWgc7tclpU8pzF924+FA3c +JlD0gz18eCuLR71ba87fIdC2aUcL1rTB+znzJqq3kkCW+8+6zGhn8cDI7fc+ +3YU+0nJD/huwvHv/iG4MfWTOk0d1HSwufxG8vKsK+sjBj1HJL2D9MqRjX1dD +H3n918DrJdwfX5583nEP+oj++P7Fr1jcWaOg1FoDfeTk3PPUa9hPh/O9ntYS +KPCT2eY3wOPW69x4XEegDWjjhLJO6CduVTIP7xPIMHXv4wNv4PPbVjvef0Cg +qV+PRtu/hZ9/7Lpwrx76iHWO4cwuuE9X+0niBugjuTe//gDOS5bdcPsh9JE/ +T4rq37F4f2p2+Y1H0EccPrmmvWcx/2MRc7UR+kjp8ESfDyw263ruXfYY+sio +CU+WdEN/jfF7dLGJQDaumodG/wd9deJ4zaInBJpXsWzJO+DV9yvi85sJNGas +87fyjyxWu+f2I+cpgb7u8LsQ/Qnup4b0pqwW6CM1x9zXfWbxD/c7D9KeQR9R +PKeg3gPrsd1XN/k59BH/iuZfwLVhajmnW6GPNDUffvQF+njLuzHxbdBHZn02 +zuyFfpGUE3WiHfpI5L/vu/pYPPPP1h9HOgg0/cWEEsRC/uho+cS8INAInXlb +xnIsvuP998OBlwT675j5lG7gre3NLuGvCPTgg3PLNR76R0HRi+DX0EeM9h09 +LGYxIo6sD+yEPnLmONrQD/dXXZ92vzfQR7hzP2d/hf1gu27D7rfQR5bfKv0D +/DjZotOnC/rI2adbmwbgefWXeHi9gz7y67Pi2W8s1nRe3Lv1PYF+2Eo83/Md +5q+Rsb/7BwJ1FE88bvYD3p+sleTmbgLdGqFlOv4niw/yTnEb/yNQhrPFr4/A +ItV9yo4foY9ccym7OQh52pZ4ee0n6COMv+exX3B/Nqg0t/0MfcTzhJLzb+iD +IeyrlT3QR6pyWzWHWHy5eea+FV+gjyjcPvEPuHT7NmZ5L/SRPS1mT/+wWMf/ +UrFZH4EePez5fe4v3D9nSVohlkAlKpLl+4ZZbH15Y48RR6C40EleFv/gvJrh +4wY8gfa0ak2bJMFhmzFztReIoY/MW97eA5xsea5Npx/6yOFNJ29Lcniq0owI +ra/QR7r8zWOlOLz33gWNuQPQRxbF/tk0gsO6niZt6t+gj5zKu6ItzWEZ487o +md+hj3y5vUNqJIfTg2L0Z/wg0FmzZ9OfAxdZLvqs9JNAURlfOvJlODzw9nvG +lEECbfkuGR84isNmu+/aT/pFIItVCsutZDm8a04CNf439JFC7eHJIg7bme15 +IDcEfUTC8lof8POejdHMH+gjjpt97hIc/rjGzoz8C33kcoBKPMnhyOK10rLD +0EeIky/dKA4XqHs8kP4HfcQj/5QuzWGPv2EnJCVI5H/njuVIhsOPnfLth4HX +j3/+rw14hN8LxSFJEhns6r1+fjSH5wZN/vxTikRTHkjtCh7D4eCM7de+jSDR +X+XJM23kOKw+tja6X5pEXUHzX08dCz8nNddxI0l076nlaR747q1cjV4ZEuXN +dl1RPY7DK+zU/30aRaJDBwMlT8tzOEL6dlu3LIm2vz55c8t4Dj8Y4Vz2TkSi +FfoFvgsmcDgxijzxhiDR3JOVarITObz1SoPXK5JEzKfnnS+A79YnWXVQJBKb +9CVemMRhre9757TSJGpJGWETpgDr571pdAtDomv9k0esnszhBpcN35tGkyh5 +hc6taVM4jP55dD4aQ6L9uVZ7vgJ7R4U/qJcj0cY/ruq1ihymJhddqRtLoiUO +QW/PTOVwz+CHnHvjSKRcGpfkqcThVlutBCxPIslRhSsNlDl8Yf3x6DvjSfRh +811pchqHbZcMBlVMIFHdzdbbr4Gv6wf4Xp9IokI5dm/pdA43+43cfmUSiY7t +kJ4dOYPDubML3MsUSORTM+WdrQqHF8c6bi6ZTKJViropKqqwn5oUNxVPIZG2 +/4rV34HHzBjYVKhIorFNbjIPZnI46dYrt7ypJPqmtr8yRY3DOx+1bctRIlFb +RPy+HbM4/PRw984sZRLd7CicY6QO+1dlZGD6NBKlzcfvaQ0OOzcvikqZTqLQ +Y22pb4Hn1ETEnZlBos0f2DWXZ8PzznuZlaBCoqVGI2UPzuHwfQvLsjhVEqmc +UcRr53LYz/hhzYmZJBrJ6QaoaXJ4o5Xri6NqJPpkYa05CDwqneg/NItEDdnu +3Q3zOEx4NhDR6iS6MLg/PV2Lw5LvMtQOaJAo1vaU3U5tDscbxSwLn00i3+Lz +IpP5HN5eErUlZA6JbEdUVY3RgfPjeeZQ0FwS6Tm3B74H7s6sLPbXJNGEa9y8 +q7ocnhQz1Lx3HokGaZmPMXocvrZm9S9fLRK93DY1c70+h7P0KlR2apPoDtZb +q7GAw7e3GKzZMZ9EWZNsyCFgqfHN4Z46JIrc43GvcSGHd+8Pu7RFl0TuD4P3 +Zy3i8Oj7S9+76ZFomUqC9m4DDvPzpk7crE8itdCiT0sXw/n5PHqV8wISiVqr +ssYZcrhv5uTDGxaSqFezw+E/4E2KRvfWLSLR40M8dcOIw5X//P/ZG5Do0luZ +2iNL4LxI1xvbLibRqUVKIU7GHF67SSdylSGJ/E7p68w14bCO6bUaayMSOXyx +6fkLbNa9RmS1hEQLzbacfYI4/D1opK2FMYkUMkLW5yyF59N7lmZmQqKhbwmM +nymH5W1ufUSIRG9WFtctM+PwsYFbesZLSVRVUB06YRmHL21ujTY0JdG5fx26 +n4Gn1YnaF5mRKNpR/KXCnMPRjo5zFiwj0bbLo84dt+DwiVXVB3TNSWRJKG9w +WQ5592rZS20LEs32WDBGy5LD4fof9OYtJxF1Z+UDCSsO/4nLODXHkkSc/Nbw +FmDzaXvE6lYkat4Zqp+3gsPGU9xs1VaQ6Mr9033+1hx+ds3nqoo1ic4oX8hd +bgN/r5qoMN2GRIFB95wUVnL4VmZ7pNJKEm14+kKuF1jBYeGXKatIZDi7v/7O +Kg7Pi7zioLCaRFMPykaeXA0/X2tTM2ENif69Ul7ouobD0jJSuvK2JHqnt5Cb +b8thlUfP8+TsSFQTuyp/hB2Hr3bUThptT6L8j1udW4Elt7ScpNaS6IhJ2LhC +ew47nfsrQziQaEdK4sOgtRxWarA4MGodiWz6LxxY4QB/T5f+lV5PonkrahYp +ruPw8XzdUClHEo3JfcmzwNPvt//5B/x1qL8Ar+fw77y0iL8bSPR8rWjTKUcO +FwaGSg85keh6ybTxHhs4XO0XdnxwI4lSZBY16jlxmGvKlP/hTKLgzasPymzk +8Ku7ndkDLiRyubltcQew2f4lmv2bSGQiF95f5Mzhz7qVd7jNJJq+48z5EBcO +p6i6rOpzJdGImoubV26C839g6vseNxL9N6V2gvJmeN8Rw4Gf3En0YN+rx2Lg +kw4SY/7zIFHR46/R91w5bGqgUvx+C4mOqxFGiW4cPuvqadG1lUQ7I6YPbHWH +55d83N25jUSrOxYVL/Tg8Bm7tTGvPEmkM3+Nm2gLh92P/p31YjuJ5I95TnoF +bPm6vrHNi0Q/3oc/ubiVwyEHrvs930GiDsOkQ+HbIB8v3Vds8SbRrcSSJWs8 +OdyS/uvBEx8SZbC136Zvh/8/bLX/450kCrd4fWEAuPtYveqjXSRyzR5wr/OC ++fV7S1u9L4lMB4nJyTsg3yRnHru/m0SqtjOebvfmsFeHLKrdQ6JRxQZHFvtw +2PfqmMHqvSTqkbI1oXZyeH+jUTn2I9Gjjdt/dALPWh+7q3IfiUquRpRc2gXn +5biU5m1/EsXRyVsO+EIeZWewNwNItGdb6RT73RxubNhYdj2QRPa4rkV1D+Sx +KfK/GkQi/UmdR38ApxqvMCrfT6KJe76h+r0cdvuxf2RZMIl+NZCDqX6wPmef +NJeEkOj1DJVL3vtgnvlZZ10IJdHdkMXblvhz2KKA3VkURqKzz22njg7g8Jbd +100Kw0kUpen1vAv4gmzBuPwIEm05FHm8PJDDBgV3es5FksjibbJpdBCHF0T+ +rj57gETqiy79ctgP6/N4c0ZWFImIU/fLZgVDHt3vC8o4SKK+nk7PX8BDZ3PW +p0WTqMn0u9KjEOhTZ8IXpcSQqCydassIhed/eXBK0iESnf6mErsrjMP2hZcl +Eg9DH1lpuAyFw/7Uk/186gj0kQK7IbkImPc3Dz+NOwp95J9X+QdgU2/tythj +0EccD3hdi4T5Fi114fhx6CNlKdMOH+DwIcPhtKMnSPRWVNbuGMVhvXqV2MOx +JKp2f3By9kEOa7r7HYg5SaLc22/M/wBrLWUDD8aRKEb+x5/H0dB/Mk/tPhAP +fWQnfTU7BvKqxNU74hT0kfuq3nsO/a9fOW0PS4A+omw0w+ww5NujCM+Q09BH +guxfyB+B92nVvH1/IvSR5h3xH4FJZxufwDPQRzSilt88Cvm7+Nse/yQSXY1K +HT56jMNfp9bv90smUdKrsmsbj8P+XVJ/cE8KiYL06n00T3A4puVbnG8qiZxi +36r8AzaTX5W1Mw36yMcfL5tjObzS8Hmpdzr0ERMm4dxJDosjDld7ZUAfSZlp +tS8O/n7y9jbPTOgjYiMJi3hYL7MAdmsW9BGrtTcmnuLwBN1ymS3Z0EfOee/q +AR6arjjD/SyJjg5FzbydwOFMy6smrjkk8l6b9vrEaQ7Hvg3evOkciVaWXD69 +KRH6pPLuA865JNKSaVihfQb6G0rMd8qDPrK5S1IqicPbQj89csyHPnLj581n +wMPEjm/rCqCPjBm9Oz8Z9s9MRWWHQugjXmqzAlNg/0kPW9ufhz5yb8kby1TI +x67RIbZF0EemOJyZnMbh+QO2F1cXk2jTPh+bPmBl/9q3Ky+QCD0+OOJuOvS9 +bM/xNhdJNEMt/VZcBvTlYsOVK0pIJB1RvsctE+ZDMzpsWQp9pL1BXTeLwzNW +BNZYXII+ov3urXQ2fP6at5LmZdBHjg4mtQHrK+43NbsMfeT96FXnz3J43adl +MUvLoY8YzhoZnMPhL19MH5pcgT6SaHzH+hyHrVz95IyvkkiXdfCbmsvhtsg2 +J6NrJBpvsXM2DyxxyKdg8XUS/cyKfleVB+e1SP/bohskevEzPSUhH86Lqs6y +hTehj6y5snpLAYdnarsn6VdAHyl6KLOgkMM/ZO5/0b0FfUTqfeWo8xxe+N5l +qc5t6CMbf+17AZw+pJGmfQf6yNUxcy8UcfjNidnf51VCH6HVP4QWw/58stlO +8y6JZLeZpK26wOFFww2X52ASfbm7znbaRdj/dl7jZleRqHHiLtmvwAojjQPV +q0lUujsG15RweI+tZafaPegjDRkBZ0o5TO85Yj6zBvrIjKuanpdg/2QOX1Kp +hT4S8qh7URms19gLijPqoI88f59OXObwUtmTx6fdhz6i+dvuNTBfUfhH6QH0 +kRg5orScw2lug75T60nU+Ua9OuIK9BGDyP+mNJAIL0RBtlc5PC7UxGXyQxLl +xK/XUrnG4dMeC9onPSLRwZ5dH78B+8/eaj+xEfqI6aHM+9dh3pONT8c/hj6S +nrk25QaHTZC3nXwT9JFvV8kdN2H9pM1axz6BPrKy8Z5hBYdLTtk7yTVDH8n/ +sJ++Bfmpkvlu9FPoI8O/td8CXx5Q9mZaSFS+fuznstuQn0te/6CekSixTCM7 +6g48v2FLNPmcRAGipevWVkIf1pAaT7SSyNHdkVa7C3mBfApl26CP3Pat/Qm8 +pXKM0ah26CPyh0MaMPS/F3zLyA7oIz5ZOulVsF+einykX0AfqbvW41MN+/PN +plEjXkIfUXp81vgezGMjPk/yFfSRwO71Y2rg/c26vUziNYkONw8x74G/dNz7 +OAzspTHu/pVa+D6xMsf/dpLIOmp2WEwdzP+IQ/P/vCGR5quleuvvw3nvNX35 ++y30Eb0NveoPIJ9Io5hfXdBHTuw+9xvYXnGvzuA76CP/Hd7QWA/7x/G/dz/e +Qx8xzh6T1QD7dejM6e8foI8kX3/g+xDuKyh6+bdu6CPix+FLH3HYdUvZ36// +kcjZ6j/9cY0c7s+ZfL3/I4mMz/3p6wYeo1+zW/yJRNOGxuVdf8zh0A3Fmvxn +EkmtnbPxSBOsh3VzH9sDfeSi6VinJ/A85vqlfV+gj4x0apjTzOGK6Bd7enuh +j2zaE/kXuHpZ5cIvfdBHbhxZ+OQpzIfqrn+fWegjY85yZ1ugvy9c9vATB33E +60b+3mcw3999SvrIk2j+vSbnZc/hfvPn0db/xCQaN+XjuAmtsH/qxAu6+0n0 +3e/vw0/AYwPXER++kqi9UT6qoo3DUav+vn03AH1k5lyD4+1w/g7/d6PrG/SR +cDOxcweHD24enfD2O/SRdqfCeS843CEXsuvND+gj2ns3SbyE++Ir9ZWdP6GP +HD06vgV41+Ckea8HoY+8P9uY+4rDd/Kt5V79IpGM4c2D/q+hDytX/3jxm0Sf +Tz9ZvLyTw465+990DJHoYd/H/klvYD/6+Ne3/yHRRfPh81+A91dcvdr2l0Qn +s8a73nkL77tuYW7rMPSRn3MnnuzisGHT8Onn/6CPrFnWtPkd5N8Y4vAzCQrp +F22Mmf8e7vf1G0JbJCk0UcrPaMQH2G96nN9TKQr9cjo28By4I+7BzuYRFHp1 +Jae4oBvuzwofvZ5IU6iSqnAL+g++P7Vie9NICmVvbZ604iOHJ54f2P5YhkIH +7n56MuUT5Lf6B+/GURTymPjvEAt85eGkPY9kKWSxe4Ix/gzzoDEx6KGIQuoN +mt/je6CfeK+PaiAoRMwwv+j+Bfp2t+vJepJCfcHOHnq9sB/2Xc54QFGo6Znf +ZJk+yBMnq5L7NIXK5h5/2g6s/Ey9qo6hUELMuSNFLNyHFNe01o6m0L43FSYh +HOxHP9xXM4ZC6xY+/WHDc7h+fKBMjRyFFsV/LlESw/1jaeCMe2MpNKXn3xYx +cLB+FaoeR6G/Sycq3uvn8JR5dm5V8hR6mzbv2emvsJ+8NKPxeApVD5gf2zoA ++03NrujuBArl2rgsXfgN8u1cVXPlRArF5O8blP3O4arJ+3/fmUQhz+Hjl14C +xzWFqN1RoJDV+txtF39wOG+ofu3tyRSaU3ZravhPmI9drjG3plCIFrU8Xz0I +eXjL7GaFIoXEbj3Hp/+C9a/fw96cSqGWWxJmA8DOVr2qN5UodHXcpN+1v+Hn +wWWbbihTKMlH63LSEIet06vTrk+jUFCdxfbtfzh8pEfpxbXpFHJS2qS8+C+H +/+XWK1ybQSGjQP82chjubyLsfFWFQkrNJ2I7gSUcpc5dUaWQhEbeskv/OPyu +KaGnfCaF3h+4PRQpweP8i7t1y9UoVPeypdxOkscTtTMiLs+iUKHuFy9VKR4z +KeObytQp9P8+HI99VTqVyjQowYfj8Wy5gT2XZlOCD8dj47PrH5TOoQQfjsfX +RpPKpXMpwYfjcWEWvb9EkxJ8OB4bnNjcenEeJfhwPM6YKKl3UYsSfDj4/Miv +iRe0KcGH4/Erab3B4vmU4MPx2Pm/OpdiHUrw4Xj83fV8XZEuJfhwPJ5045VW +kR4l+HA8tpjlmnFenxJ8OB4T/frk+QWU4MPxeNjeJbRwISX4cDyuj2vjCxZR +gg/H4yndOVsLDCjBh+NxRTzuzF9MCT4cj3e1ajnmG1KCD8fj8V+/Ps8zogQf +jsdJaqK1eUsowYfj8afyva25xpTgw/FYtl1rQ64JJfhw8P2eobfnECX4cDwW +fcr3PLeUEnw4Hs+z3/w1x5QSfDgeX16/MyLHjBJ8OB4/12tmcpZRgg/H497Z +R86eNacEH47HdsFn9M5aUIIPx+NAj8GH2cspwYfj8VO1yx7ZlpTgw/H4s3TV +cJYVJfhwPF5tPDMjawUl+HDwfse9M8yypgQfjsfZdQOdmTaU4MPx+GuiS1Tm +Skrw4XjsdU9JI3MVJfhw8H5PLmzJWE0JPhyP5xieD8tYQwk+HI9tZPfMybCl +BB+Ox4YLE16l21GCD8djaRF1Mt2eEnw4HtfcfWOavpYSfDjYX6nE7zQHSvDh +eHz/ZdyVtHWU4MPx+GDNLt+09ZTgw/F4+plCzTRHSvDhYH/EL+ZSN1CCD8fj +mMFZl1OdKMGH47EmvTsgdSMl+HA8Dh8zzjjVmRJ8OB6Ty+RkU10owYfjsV/P +jucpmyjBh+Oxp+m03JTNlODD8Tg3fv6+FFdK8OF4LB6fvTzFjRJ8OB7Pkvea +muJOCT4cj+c+jvue7EEJPhyPB6LGNSdvoQQfjsdhgd8uJm+lBB+Oxy/6dE4k +b6MEHw6ed+aTncmelODD8TjKvcE2eTsl+HA81vk0fVGyFyX4cLBfvndMS95B +CT4c5MkTjkz2pgQfjsdTb3r8SvKhBB8O9kv/wp6knZTgw/H4RqX3q6RdlODD +8bhr878nSb6U4MPx2GPywP2k3ZTgw8H+01pelbSHEnw4Hs/4MHQ7aS8l+HCw +3wPkbiX5UYIPx+MmzSO3kvZRgg/H4/4VrpVJ/pTgw8H6yaXdSwqgBB+Ox941 ++g+TAinBh+Pxgzzd50lBlODD8XjN4OmupP2U4MPBekuu55OCKcGH43GsVJhE +cggl+HA8vmIiI58cSgk+HI/5oa8ayWGU4MPxODPYzDQ5nBJ8OB6r//vpnBxB +CT4cnPfHY4KTIynBh+Nxw6yTqckHKMGH4/Ffu923k6MowYfjsWXCjbfJBynB +h+Oxi8YWmZRoSvDh4Pc3BmilxFCCDwd5uZNzSjlECT4cj1VyG4+kHKYEHw72 +p4lcRcoRSvDheNx56E5vylFK8OHg/FY2TUs9Rgk+HOz/WZaOqccpwYeD9y2r +kZB6ghJ8OB5L5O9pSo2lBB8OztvSGXTaSUrw4XisNsNwVVocJfhw8DzH75xK +i6cEH47H66oL2tNOUYIPB+sh+1s5PYESfDh4HwXXd6SfpgQfDubZm9c30hMp +wYfjsdZ3n1EZZyjBh4N80fbckJFECT4cjxufPSrJSKYEH47HH1XTpTNTKMGH +4/GG7a0umamU4MPxWP5DcEVmGiX4cJD3L49PzEqnBB8OznOCbFBWBiX4cLDf +bPtfZmVSgg8H+bDRAmVnUYIPB+f9x6jz2dmU4MPx+K3ngrFnz1KCD8fjw9+e +hp/NoQQfjsf/3r1kz56jBB+Ox7f9bTfn5FKCDwf5+F3/WU4eJfhwPB5XcMTq +XD4l+HDw/z+2uneugBJ8ONjf5fuX5BZSgg8HeZA66XbueUrw4Xg8rV7NMK+I +Enw4eJ6YvMq8Ykrw4XisNCHBNP8CJfhwPJas5hvyL1KCDwfn81GlfUEJJfhw +PC4L/fO2oJQSfDiYpwoXdxVeogQfjseqPY3/CssowYfjsd78zafPX6YEH47H +Ros8NIrKKcGHg3xf9qK66Aol+HA8rsuodi6+Sgk+HI8XHlb8XXyNEnw4Hkeu ++JJ64Tol+HA8XqI5x+jiDUrw4WDeB7ztuniTEnw4Hrd7jzpSUkEJPhyPFzvk +zi+9RQk+HKzP/iudpbcpwYfj8W6lRScu3aEEH47HM0/MX1JWSQk+HA997ay4 +7C4l+HDQB14fLLiMKcGH4/H8VZ2byqsowYeD/Zd3UeFKNSX4cDzOU/vaduUe +JfhwPN6qWJp0tYYSfDg4b4+7HK/VUoIPB/9/xLGp1+sowYeD9Qq90H39PiX4 +cNAfiGWlNx5Qgg8H+bdnbfDNekrw4SDffr22rGigBB8O5mtPp8Kth5Tgw/F4 +0bH17K1HlODD8fjRjBU1txspwYeDvBi6ln7nMSX4cDyWc04PqGyiBB8O8vrE +b/u7TyjBh+Px3dZnuriZEnw4HlMRsyZUPaUEHw7yq/n776oWSvDh4PuMM3lf +/YwSfDjoL3EjGu89pwQfjsfHYs1u1rRSgg8H59PhX0FtGyX4cDAvtRam1LVT +gg8H/W9r7/H7HZTgw8HzmyhGPXhBCT4cj/+MfBhc/5ISfDjIR8nv/g2vKMGH +g/4Wmun38DUl+HA83lFZ4/eokxJ8OB5/Y7YHNL6hBB+Ox+UVx0Iev6UEHw7O +g8zcg01dlODD8VjXxCb2yTtK8OF47HCxN7X5PSX4cDzenkwUPf1ACT4cj6ut +im61dFOCD8fjh1R907P/KMGH4/FPDc//nn+kBB+Ox81fDg23fqIEH47HbMqs +ye2fKcGHg/UJs1rU0UMJPhyP0z/0Ob74Qgk+HI+Lx40NfdlLCT4cjwfXV+S8 +6qMEH47HPr/fN7xmKcGHg3m0LO5bJ0cJPhzMywN3p7/lKcGHgz7xe7dtl5gS +fDge//5VcPBdPyX4cDz+0uB68/1XSvDhYL9czeI/DFCCD8fjx9JuGv99owQf +Ds679PmtH79Tgg8HeTfsl/fpByX4cJAXRnX/ff5JCT4cj/cTGRpfBinBh4N8 +Lx/07f1FCT4cj+3DX93s+00JPhw8T8UyaW6IEnw4mPeX9Oz4P5Tgw/F47IUL +58R/KcGHg37zo+h7/zAl+HA87nutZT3wjxJ8ODg/RUtyv0nQgg8H8+38s7/f +JWnBh+PxSpWvTj+laMGH43HwttRbgyNowYeDz6tsUPwtTQs+HPTVvQcPDI2k +BR+Ox7Yt9z//kaEFH47HMuqJdsOjaMGHg3zCvXf/ydKCD8dj/OGxpiRBCz4c +jxe8W5wtRdKCDwf9coTuOGmKFnw4HvsnXT86kqYFHw7W72Od1CiGFnw4Hm82 +dguXHU0LPhzkQ++RP6IxtODD8djJYEkYKUcLPhz8fF+4BD2WFnw4Hr/+bHOI +GUcLPhyP6Q/nmTHytODDQR5eSkiVG08LPhx8Xhoxa9wEWvDheBwtHnNDfiIt ++HA83jucbzVhEi34cDxuG/PwzUQFWvDheLxzX1iAwmRa8OFgvQKqxkyZQgs+ +3P/68ukSRUVa8OHgPB36YaM0lRZ8ODjvWl84ZSVa8OFgfhfvOj1dmRZ8OOgr +5tGLVabRgg8H923rOd2q02nBh4M+RW6JV5tBCz4cj0e3zDNRV6EFHw7Wlz0u +1lClBR8O8qgoJG/OTFrw4aD/Ogw7aarRgg8H59tYXl5rFi34cDyOKK98oq1O +Cz4crN/w71gdDVrw4WCe7W5cpTebFnw4OE/rdMYumEMLPhyPjw7P7Vg4lxZ8 +OMjL63fOGmjSgg8H/abxnbfhPFrw4eD7nMwwWKJFCz4cjy9ZsyITbVrw4aAP +2j5/jebTgg8H+cjalpvq0IIPB/vRd9uxZbq04MPBeZk5dquFHi34cDzetsnB +1FKfFnw4Hmtv156xYgEt+HBw3zyVK22zkBZ8OOivsy/1rFxECz4c5E2E/dPV +BrTgw0FffB9/23YxLfhwcH/J9jxvb0gLPhyPL4zqSHYwogUfDvqT1/uj65fQ +gg/HY6txh8M3GNOCD8djN4f7/htNaMGH4/Gd8LO+LogWfDgeV74Z77N5KS34 +cDzuqFXzdjOlBR+Ox0mRz3w8zGjBh+PxSTfFPVuX0YIPB/eZG5JBnua04MNB +Xj49eMDLghZ8OLj/SeTGei+nBR+Ox5MSN2fstKQFH47Haa8qSnytaMGHg7ya +VV69ZwUt+HAwTx9YdvhZ04IPB3lAhff729CCD8fjc+Z2dNBKWvDheDy5tm5O +8Cpa8OEgL9s7bEJX04IPB8//9Pju8DW04MPB80q+TYq0pQUfDvrLzRYcZUcL +PhyP45d79kbb04IPx+MTwxkKh9fSgg/H48J5+1YcdaAFHw7mgzobdnwdLfhw +MB+XyF6NXU8LPhzMn7t1fXGOtODDwd//mamesIEWfDiYD5Ya2xKdaMGH47HF +tycFSRtpwYfjccCayV9SnGnBh4P9Wiqrne5CCz4cvA/X9KDMTZC/XyaOoSTE +WPVGS032ZhrNTs7t3Qe8V/qS3DlXyGMzrQedwH9Ttd3z3GhEiW+ds5AU44iG +9dcK3CGfMyzCLwHXdauSRR404ixbNkySEuNLS1I8LmyBvP7uon8AWEut/G7J +Vho15/SM+QJsJd6rWLYN8nuVf5/dCDGW516GlnvSqPy3RP1t4Ft7vry9uh3y +vPBErqq0GO9/nGN+w4tGifaTImKBs+wlSyt2QL5L5Dn9AI5dJ1K4402jgIta +CzaPFOMK9VuH7vpA3jvelqsHvqAo/7NqJ40cRy5ntWXgeaIn7qjZRaOHl1vq +U4E3Xq19W+cL53PTpjypUWJ86O8Ux/rdMA+ILxHewGuuTH32cA+c1xv+G58D +n1V/uObxXpgPHpILl8iK8a6y6U+f+NHo3+jYsQXAdZmqa1v2wby4M4ljRGJc +Y/bsxXN/Gr3bntcQCJzxXdO9PQDmx3jt/C7gUzL67ItAGtVU3460IuD7NXwO +fh0E82TXcudyYMvTVuTb/TTKn/xs4RRSjMXX1me9C4b58mDTuGjgwzHj9LpD +aHTY7wvXB1zmENb4MRTmjXLAQwdKjGcEn/HsCaORV6NkwV3gQgvnkX3hMH+C +Yg/MosV46uiWfC6CRtYzFVzigf1n/bTsj4R59DRv0S/gyx8ecQMHaKQZri3v +zohxT/Ka5B9RMJ9m3+EfAlumxJj+Ogj50b78ke5oMaYMvMVD0TCvDj4ryACO +aZLMGY6BPNHaHDVyjBhPLLFaK3kY5tfrLy67gA01zAjpIzR6fiTAoB04NJm7 +J3MU5pm+1HgkJ8ZBNjbhomM0uv4uVnwe+H2GsxF1HObbSYVGubGwHzuV/zIn +aJSyOL8wGFjdI6lKLhbm3Sftgx+AH0VVHZI/SaPg03c22YwT422nslZPjIP5 +Z2K5+Brw6G7tKZPjaeTc92y8krwY9z0J7FE8BfMwZXP/IeBFxX63lBNoZGze +28gDm9arnpxxGuZjf8B5x/Hw/iOOb5mZSKNpWVLR1cBaM88vUT8D83LFyc2z +J4jx2NEhCnOSaCT1U8HwNHB5gvSgZjLMz9z8CX+A7400f6GdAvm2ev7XLRPF +eNRdo0rdVJinf+48fgzsJNeXuyAN8u68ZdGCSfD+HOxjDdJhvjo8j84G5rv2 +7jfKoFGRpKurrAK8D5H1dpNMmLelvYZ7gF+ovt5gmkWj406BE18Cdx1VW2We +DfNXZsSA6WQxLjk529zyLI12XjnZdAE47+hnY+scmMebJxfLTxFj46euhqvO +0Wg1VRATBix75/Ri21yYzzfnu30Ezj17wGhtHo3mb600Wq0I+7119tL1+TCv +5awm3QSeXx5n6VRAo3F3nw9Mmwrv40SZnUshzO8drk+OAkfdid3sep5G3yf0 +FX8Fdk6Z5etRBPO8JvDQRiUx9gsMP7CtGPqj7wj3WuBvZQlJXhdgvivGLdFU +hv2dsaXU5yLkb/1khSTgtfHf6n1LYN77F3wbBv7Wbfpxbynk8XSdZs9pYnyw +f7VMwCWY/02VF5qBE2lFjf1lNAoPtjpsMF2Mn8QXrgq9DH1ArdX9HHB/y9eA +iHIauT5zNSZniHHjnOGcqCvQDyL6FPYBmw80PIm5SiPTuUHfXwM7HFgncfQa +9IWOEU/NVcSYnpene+I6jVRj4i6WAsfZXvGKuwH9Yf6UIxNVxXjcsuhzCTdp +JPOmwCMS+KPLxDdnKqBPHNMx6QHe8dNHMfUWjT4vuDvZbib8vleMS8Zt6Bcf +rH7cAt5JuuVk34E8i2t9qqIG52uhxOdzlZBnRm4lJ4DvL/OYX3AX8uxz35Hv +wKaxx8KKMOTZmaAtm2aJsZdXQOPFKpgPS6XRA2BNnVlKZdXQR7i4KdrqYpyM +MvdeuUejPWlTfqYA32M7Gq7XQD+xKGyR1ID9lPBS5VYtjewHdEp3AC86ei6y +sg76Svbdo8+AVWfP76q6TyN9mxVbjWZD3j85ZFr7APrLYCvKB457mlv4oJ5G +E/PdFJk5Yjzv+JHRjxqgz9iyPwOAcxz0g5se0ujX36Bnb4EvHiv+9PQR9Jti +6UuWc8V4dvTn9a2NNHq1Lv7YZWDZDP5hx2PoOyMUt03WFGObuVXodRONKi8V +Lj0IzMQ5Vbx9Av3HWXdqH3C4Etb70EyjbFk8uHaeGL/R48o/PoU8u7bieSXw +kbmfdb+0QJ65tV1S0xLj3s0lN9hnkGe0+/E44GMaxsb9z2m05Ra7bRBYojvt +wbdW6Evb9pu6aYvxr54a+8E2GlmMG6n0ENgwqeL9UDv0Jxz/S2e+GGebhe77 +10EjdR/F1nRg+ZWUaMRL6FOTzpdJ68DP6R1nZV7RiKjTPbET2KnrzGLiNfSr +PdizDdh/Wnw73UmjvqnWZia6YqyvsSFQ7g30rYdtSueBvzv0K4x/S6OmAPff +Y/TEWE1qA57UBf1LhWvdD7z6aLyn4jsalT3Zf/k9MLk8Zey099DHQkfGWutD +nifvrlL5QKME9VPbrwJPblXYM6sb+lmr4rKpC2A/uiSqzvmPRvsOnFc+BPzl +WNfLeR8hzzT1hjjgwlvDp3U+QZ69xG3rF4qxtyW/esFnyLND1uVVwDviy5nF +PTQy0G2P1VgEecZZNi/5An3urbtXAvDGqvLEpb00mnKCWzYEPNNNvNG8D/rd +ouBpWwzE+KWmlJoVS6O/3SP/NAJPPfj5qw0Hfe/UqXb9xfD5lbn31vA0ertk +6pUsYKvFemfWiqH/fTl/cpQhnDeHtB2O/TSqTtLbsRs4ZGebqfNX6INmVeYv +gBXefJ7qOkCjXN56uqkRzD/pliGPb9APM9r/FANrmCS89vxOoxhLj45xS2D/ +ftGo8v4BffEbdyUU2MMjtcD3J408c4Lj/gO2kn0X5zcI/XGljPcqYzEOXCAZ +GviLRla/T1ncAM60/r0j5DfkWcHUGdNMYH/mNzpHDEGe2Rf9PQL8MyfI9uAf +yLN/ei/6gQezhi0P/4U8u1h11QmJ8dHfm8yOD0PfdLSJrwF+ODYNxf2jkVi6 +w3vuUlhf8zJ0WoJBbpc9lp8BNv+aY5YsyaAWF37GMPC8AF+rdCkGmREhw9tM +xVhFW8EuewSDrl6XefkE+Hp4tkuuNINmeiRcW2QmxserpHwKRzIoabTSqRxg +t7VmYRdkGDTqTpEPsQz2T6rbqUujGBS0Xd/SDziIdTl/RZZBPfLVKq+BC8oW +3bshYpBTtc2/ZebQH5d+fXObYNCjnR0vS4Br/h0bxiSDjCZvuT7BAtZ7xYjp +tRSDSu7zpyKATSI3WtTTDFLyC9n5GThYMnFXI8OgOOVRVrbLxXiLZklq82gG +STQmqN4CzvUofPB8DIP2BClJqFjCeow4ONghx6D3qsWvjgMr7EJzO8cyaO1T +/RvfgAmpLvd34xhUF1ad4GIF30fZPeM/eQYtmL1y131gx1kPOnrGM6iwrcNK +awWc96DRk7gJDJp0cMvMFODefYZOXycy6KiWWELSGvIixDr7xyQG/X4V8toL +2Jo1+fRbgUHeR0bdbAGumK2g828yg17rnT5taAP7I7E9YoQig1a+U/LNAzY5 +HtI8aiqD7sYWr6BXivEH35GqlBKDtBYvUAsAXpnrHzxGmUFnP1ZLvgUOPdT4 +TH4ag+ROr+xcvgo+P5jQVpjOoCiTFzfLgAu7tOKmzmDQQO+WRIXV8PyTl/RP +V2HQlhSxbxTw0iM669RUGdS6LNS6FzjpxJi7s2cyyKJ/1Ky1a+D9HWlX11Jj +0I3M01KVwJPeHE7SncUgjRXKb2bainERN33UInUGpf0orjgJrKNYEGykwSAy +d8GZn8BZ9fL9aDaDQlff2+1q97+82rXDfA6D2KGVNg3A9mpXPlrNZdCm8y9m +6dhD/03/sHWVJoOerN06Ih14ooLEZ7t5DEKS/W9GrIW+ISHauV6LQZdLQm/5 +AFdcGf62UZtBM5xkk1qBLZLeRbjOZ9BpmcQ9xg7Qn4lyeqsOg6SvKK8sBEZ+ +u7O8dBnkv/mC+ph1YmynO1Vnlx6DPpILpfcDXy65Wb9Xn0Hrb957+w54l7mZ +e+ACBtVvWXV7xXoxLnWpHA5ZyCADuZdJV4CvbJiVFbmIQcWVW/cqOopxS8YB +FGPAoCk7+lfGAIcda/zv6GIGnZgQpsEBGx2SPXnSkEHD92RHrt8AfX5A3+C0 +EYN8fRO7MHDCAodPyUsY1DVl2h11JzG+Vr4tJcOYQbb1F5JPAUs17rDJMWHQ +vX0L/X4Dh390G1GAGKQ7vWaVx0YxNttmU1m8lEF5j1fNbgQ+dGl28CVTBo0P +fjlS3xn6kNpfg6tmDDqktu1dJvAzrdo/N5cx6GdL/x0ZFzGu1oq8V2nOoO0R +YSm+wD+OzT9+z4JBL+aI9nUATy/oWPdgOYNWdCSuXroJ5slH/5mNlgy6HT1t +TjFw0iXRz2YrBs2df1Fm3GYxrvRMfNS6gkGZnQvfhwCf9puQ+9KaQcyxmspu +YItF8WFvbRgUsWB16kpX2J9TpDZ2r2SQ+P3LfdeBdx7wMexZBXkWt22Nshu8 +7+YnStxqBj0z/DrnCHDsrrkjB9YwaNnnsFH9wIlVUdxPWwZdSxR92OAO96+5 +z17+sWOQ2tIzd+8Bu05Seii5lkHJ7LS0OR7wfD0ed2QcGCSbdtE/EXjLqPzL +5DoG7bdYZPsXuLntXdGY9Qz68rVm7rYt8D7vTs4f78igjdmrZZ8A16quyZ28 +gUGN1q8+LNwqxoq7o/KUnRi0ZHAbPgs8dlT5edWNDCrN+5om2gZ9Zc3bSxrO +DFK2DQ/YC3yinLg1z4VB8X9Fdq+ALybqPdDdxCDJ4jOayzzhPuri0r5oM4P2 +rpsuKgEO2BfzZYkrgz5IlXSP3w59FJVImLlBnl1aVBUOHK7eqmDpDnm2sTb9 +E7BN4l/9lR6QZ7JrAtd4ibFur5qD3RYGnb/6yq4C+F6ibeD6rQxScPOcN2MH +9LHBsAznbQw6Rg+IjgOfCr9Y6+bJoKGK8P8GgH1PdvLbtjPIZxtR7ewtxtrx +cko+XgzqHJuUUQf8X6/l6j07GLQKTw+a5yPGN0TRBwO8GYS9S+yTgb/Y1twK +8WGQ9iQDLYmdYtw5d9T3yJ0MyqmtJbyAR41Yo3NoF4PG7lnz8Snwb6Osvcd9 +GXRw6uvqxbvg/Zh9vRa/m0HfGjwzc4HJCJs/Z/YwaGvAQBDlC/3escQ8fS+D +2mZErPUHLjEYn3DWj0HLnxDab4BvHYt+l7+PQTdDksjlu6EvXRvSveAPeaY+ +49MlYMMZIUfLAiDPnpfcm7RHjDcbjnh/LRDy7IBB1gHgMTsSl9wOgjzTrNv/ +BfjzRK2Mqv0M4l6scbDfC/O99NnfumAGbT70WvsO8N/kKPdHIQxq1tlOzfSD ++8YSo4fNoQxa+nbgUyxwBCGp3xbGoPLjETU/gOs8n557Fc4glUVk9uZ98Pe4 +ZNy7CAYldicF1wOHhiUd/hjJoJGnZqyb7w95RZwY7j3AoIAlpfPTgNVaTgb1 +RzHoU48BPSJAjA8YZ3//cZBBjkl1n73/x7cq/f9EM6jB1Lb2OfCIy18GJQ8x +aDH/OntJoBgPnVCLGHWYQRfSt4cUAAdX7JGljzBI0fLbutFBkH85jYljjzIo +9luEThBwZ9lC1UnHIM/Oksw74B12V65PPQ55tjK5x2o/5Eu/iY3KCcizXzPq +yoHLfnd2q8dCnhWUnp0SDPnWERs57ySDauwWh0YDX/m5WlkvjkF6/+rWs8Cn +a1SrDeIZlH/BVnddCNwfz1PbTE4xaIJjJ4OBtcfKMuYJDDos7fVlVijs950T +K1acZtBg2be6eOBRaoaeaxIZ5OUSmfMLuP2836R1Zxj0UkSFuYfBfHavbtyY +xCDr68mOj4AZrBrtlsygO+4qenrh8H7nZBl7pjBIc/Sl0ZnAt8bP++OTyqCs +24t7R0bAfbPn+Z29aQwavf3+/V3ALvKnI4PSGRQpb3euHThihNfy8AwG9Vd1 +hqFIMV6sul4uOpNB7ju9NhQBD31weXM0C/JM4bve2APw/cvCSuOyIc/uR44J +AX724/qBM2chz/ZSfR+AbWcSjuk5kGfKKQ9souA+XxQ4P+ccg1IeqeReA67r +/0cX5jJIFHQpXOkg3Me9c9mLeQwKVjV0Ogz8Mca9uTyfQb3N9/XFwNtKl1y/ +WcAg5zA7uQ3RYnzVXj/7biGDHmu86asGduy2Ol57nkHGbV71s2PEeKApJPhh +EYMuRX3PPQ1sEfXIp7mYQdO0DkT8AdbcaeD+fy3ad1yO7RvHcXu7r6FsEZFk +z6xctuyRyIps2bKyFZWdVZmlrEgom+fI3qWSLaGSkPu6UpHw+/5e5/Hn58Xj +vu9rnOdxPq/3sxMmLeB1hdGT12P//3t71JuTJq2YX1DbOHTU4XkuHyJN2oLW +Nmo7X+x3CZ2GZ54yaWmpUd8OonfotiOyo0za8M0d75fxw7y/sNWYH6dN2p32 +d8PnoS88dJtUcMaktcsYuvoVusTkyDlForGebU8Z3d0fv/90zZWlYrCedZnR +7iT6udWprRXOYT37kqtabjBT69ru4ep5rGeBa7JXoA3r9lerXjBps3pUfJCB +7hXY6rnVRZOWYg46PGgj7t/3Ibk2l0zaoP02ay6iy+3dWdn+skmLdTo9xnqT +mTzLFXZoccWktcjr6LAB7X7Kz73dVZN26NDdSjnoPfkdNne+ZtIqDRr2ffRm +vM8ula50/8+k+fxOeXALvbGz+tWJTFru0RlHmmzBelPToc7gWJM2xTlvzW70 +2UnrRrhcN2nPi6wd+w/9xrcgYMwNk9YnsmL7aVvNNDg/MN79pkm75BpskYAO +sRknT79l0hqVqm9uvw3v75oBznNum7S9Z08/PIQ+uWrK3oV3TFoFt05Hywfg ++gYcT19216StKH9vrSf6mW2VVmvvYT27MGzcW3ThuShvv/tYzya9a99rO/bD +yAXPtzzAeiZ7WEahY9ZMbLrroUnrdi3PXGUHzm/hq/z2PjJp0dPXPlqNJt97 +6aGPTZpNZdOxz+iOBx17HYszabtuBHsP3WmmuWM/HD8Vb9JKzanvdgXt3CZG +PvfEpC2ucaaDzS7M28ExXlcSTFrm3U6VN6MD/n78dD3RpLl63tNz0TOedRt5 +L8mkPajj/HjcbtzPnQkP456atI6P3x27i46J3NYtOdmknVzq4dM8EOfrnauv +vn5m0mo1yHcLRkdcDW3/4blJ25K4tmOxIMzPe35cynxh0v6tNFXxQP8LXdr5 ++0uTNtd+j5GEtu/d4lbuK5P2/nn9uE7BZhr4t8bAwtcmbajPmeOH0fc6dnhd +7C3Ws+ad15n2mMnSc5NH2RSsZ2/vjV+MTqpR6Z/0DuuZv3OnVPSVo3G7Kqea +tCptU6s47cW8voma1Xpv0vw+eOScQW/pkvGo3geT9mtLflz1fZhn23Sf1eij +SZvR0TvCG3334zO5RZpJe/3JtP4rOpRCL7RLN2n9d+6ZMHw/zouO4RMcM0za +Na1B5//Q0rMUU89PJq3ptzNVbQ+YKe33EOqXadIOBnf+sRX9ov7f+UM/mzS5 +1/34n//v+x/tXLNM2hrD+cSEg7i+Y4unuX0xacaB1PUP0ENHjg2d8tWkTew3 +071ViJl6NDMmzPpm0p7m53feh27peqO+Z7ZJ6xnuXa1kqJkO94/76vXdpJ0f +LOXOQvdZXfnCGrNJs/2z58kz9IcZe338dKxnxxuc7HLITKO9xw7famA9cznr +ewx9WRtrtzsH61kxx4lKGPa76nuL7P+B9ezUfUcv9Mf1VV6H5Zq0saOHV/+I +dv2beDEiz6TFlX6f2y8c7+/Px8Fn8k1al5iZCTHoA1/KrLz406SdHv/zZK3D +WC8G+0ymXybNuqKP33p08EFt8J0Ck7b9kjTpOzpzkKPj498mrfiUvV1GHkG/ +XNHsaaFJ81Rta1xHvzn3r97rPyYt/b+zeXZHzWQ75laND39NmouHY+J2dP+e +jyp//mfS7lZ5EPkbnf25iqW5iKQ53BruP+mYmRJuHK2cX1TSjs99P+kx+nmf +5TX+FpO06rVmaW2Pm6lk7q56JUtI2sb7P2scRC/XcptWKClphQt98ktHmGlt ++J7OlUpJ2qy6ctJctNee9YOql5a0lLi9p16iP+29NMm6jKQNWma7odsJvH91 +W69oWFbSYm2jJ59AvziaF9SsnKS1fOrY1eIkPu9I0Ytty0ta2OoHNVeg/+4e +/qpzBUmzaOLyMx396Yfxr0dFSVv38n3SwEhc3w6vGvY3SVreullRF9CPUssP +HyZJ2tSWvzbUOYX1e6KfzyhZ0l6k+EzxR3t2HnxhgiJpThvlbgb6UebEb9NU +Sbvcbl+t0VFmOpF6s8HcSpJmn2b76ya6RsjciYstJG3ftuinjU+byenQjLCV +lpJWsXOX07vQ3u5nM9ZVlrSVnx9s/It2GNOnyeYqkvZ9l8vUqWcw75drsnhn +VUkb3+1Dtyfo2Vnjb+2tJmkJ2bOs2p8104JFaRZh1SWt295fv0LR+Y0uTouo +IWnRvdcll4vGfODzhs7UlDSbH/KZBejvf4ZUv1RL0naF7Nv0Bm22qLYk1krS +Sg9oOK1nDN6/ni1f3q0taUt+RXc/hXapcKBzfB1J+3y4S+0q5zBPZow5/Mxa +0kYNfViwCr1z0Bw5pa6kPfzr8iwT/eF28sr0epLW6cSHM0POm6n6nW3mrzaS +Fjli9ubL6BWPQyb/qC9pViUKptW7gPnctVTK7waStvX0uh6b0As/XXct3lDS +ioxV6uSiHXKTXpSzk7R5Zff/HnsR+1uRzqPVRpL24VzD53fQ7Xz/pFazl7Rh +7jFnm13C+at05RnWjSUNW8qWIPSgf+vzGjaRtDZXHk4vetlMzb4NXt+8qaQd +mTqi5wz0mkGe1RyaSVoVi491ktCvw/WoLs0lzS92dmHHK2b64XHfqXcLSfs1 +s+B5OPpIvcKMgS0lbUa19dEVr+J69t3g69JK0t7cVrYuQmeP97Qf11rSBszf +P+MdOi3tcuLkNpL2n5Vdrz7XzNTGZdyKWW0lrdnDGOsz6Gmt3ewXtpO0kMXa +n2r/YX34d+3NcgdJU2wevViL7th2WYBPe0lb+2REzBf0btdAp00dJC1n+cet +zoT16LNacmdHSZtkN8fjGnrd5OybeztJWnJyQa8GsVi/BjZaH9ZZ0nqtXV93 +K7pu/bv9TjhK2oWm6t98dPD02xbRXSSt4ev9L8dfN9P9ffXfX9YkLdjX7tx9 +dGCXzNM3ukpaudbntrW8YaYySRV9HnSTtGWp2sy96F4vdo9K7C5pXzc96l3i +Js4TT7xbv+ohaWPbj6w3C72yc7LyoaekxaV//JuMXhGy0fjcS9K6bJ/zyvEW +5oFlR5/pvSXtjOPvc0fRCYPs/vvVR9LqflkfIN/G+3tQOV60r6TtCFRnLUX/ +/DY+sGw/SSvR40CfD+iSV6v4K/0lbaHZzqbfHZyvN7VdWW2ApGXsO/cvGl3r +w7VF1gMlbYRT19c17+I81uXUfLtBknYv99H5deh2tmXmtxgsae0Pjdyejd5S +85Fn+yGSFjEwbdaIe2byOVTg1XWopNX4PccpFu3aaaeP0zBJ23T0t43dfTPN +mxUcMMRZ0v4M8y2yHe18qdwh1+GSNrtIpTcF6KiwzHMTXCTt3ckDFyY+wDx8 +utXj6SMkbbBrox2P0M0mfv00b6SkXS95fnabh2aaoFUq5eWK9exs174H0LaP +jtmuHYX1bNzj+qUfmSl1Q2T/DaOxnpV3LToXbVG07sLtYyRt/YW0Ny/Qs6JL +he4ZK2n5E+de7PoY+0+DMU8OjZO0aXLhjgj093d1i59wk7SXV33nVIrD8zZp +dPvo8ZLWd3qlfsvR+xxKLbgyQdKuWB5skI4++6He6Zvuktb4RqNiA+NxHvwU +Y344UdL2zz7/9jy6RNyl1k8nSZqpRrdLtZ+Y6Vr1VsvfTJa0VXcf7/RDK87W +d9OmSJp5getcHV2zyDrLb1MlbUKd9H6jEsxkdXjc1Nxpkpb4aK7tTfSK+6eu +/pkuad2XFhZrnGimWzkLLUt5SFpMfb+UneiwiJh5ppmSVj+x0uU/6O+eHgmV +Z0na7pUHd01JwvP+ck/r2rOxntnbz4tHf3Trvtd2Dtaz5+f7OzzF998yuWTz +uVjPvLs1DEV3yC66wGGepI1uHle8XDLWi5LV0rT5kvbojeu7+ejM0SdGOi2Q +tM7+6Zdfoz8svfRkiKeknWozb3ePZ2Zy/NS9/6iFklb7Q+G8SLRt434P3RdJ +2rYtfgMqPzfT5yfxAzwWS1rRjhZ2q9C/xyYmLVgiafM/HSyRic4fPWzs8qWS +9nGHfergF3h/hg3L8vGSNGftwpVL6OTHiV6bl0na7a/dAuu+xDw8LNG0e7mk +tQ2Om78R7TJ76JEDKyTtaM9RA3+g7Y8O63p0paRVNdLtxr7C+df32buoVZLm +f2BeyTton+DXay6ulrSCvn9Sm77Gfjxlou31NZLmke93NRDtt2T2k/trsZ6F +WQQVeYPr7/pneaI31rPBIQumo+fvlJu89sF6Vmg/KBH96+yx1I/rsJ4dv9Co +41tcry53Ar+ul7TQ4d1LhaNzb08fmusraWqx+PcVUvA+x++Q//pJmvepUdcW +okt97pFYaoOk/RiVEZSCdti3IlDaKGmTS8/37P0O5z9Px/FVN0nas+g/g06j +N/z1bWy9WdJ6j/e3r5aK73drVKHdFkm7WMGy9Fr02GEX4ltulTS7SyEfstDd +2x440nGbpO2Z3Pi/Ye+xPvyS1vQIkLTy6sXgq+ildhXdBmyXtOX/dV9Y/wP2 +16G7NZcdkvZtRvzgLehfRU7Wd9spaeOqjG6cj46/PtA0bZekxd/MKD3+o5ke +W68qmLtb0rS58z/eQ/+M7Jy1NBDrWc2//7VIw/XN2fB2bRDWs/v+e/agT62a ++nRjMNazhZaLiqdj/khNitu5B+tZ3dAhM9FtDj15tH+vpC2Ka9wkGV04bFzc +kX2S9snrYhnHDKwv3iuSovZL2kjbHmlH0KP+a/rm4gFJu58UT9InMw3bMT/z ++kFJ67B69N4l6MhtTj8fhEjaicafFr1H/+sRU/5pqKTVfDl/aN9MM5XuEl33 +7SFJ27zub5NodGTF3p0zwiTtb4sNZWt+NlNRbe7o7+GSNifFMt0H3WlysxU/ +D0ta6obQ2G/o/yqsO1T0qKQNaddkn0uWmSrdmfew3DFJu/Hx4mJCf6uTl1/p +uKS12tZjWMMvZmoYqTasFSFp4Z2eNA1APyi4O7rBCUmz/Dy6XAG66J7KO5qd +xHq261O6+1cz1avx77FDJNazrguuP0RHm9ZW6HYK61n2332tv2E9LhkysF8U +1rM9G5bsR2dudd3pfFrS+vWu7Fwq20zjukS9HXtG0q7mhDabg34XHtpo6llJ +axLSpPwL9LUhLZbNjZa0A/0vZWjfMY88Hxe/NEbSpF89bhxHB91tZOt9TtJW +H36yXzXjeY3ZtXbTeUnTh4xZugx9qmnI+10XJM397yfnNPSZ+317HLwoaUkR +C5oP0M00ssruiGOXJK3HiH/lz6OrRKy1OHtZ0s4V3/jJysB+Y6GsvXJF0hqc +rnzT1/j//5/pmnPrqqQFjjl0wIzOumI5Le6apJUp29TLNcdMKVabU5//J2lL +z10afgN97PCxMe9J0rIm9Gxh/8NMFz9Oe5MVi/XMlFBhJ/rEzDi3H9exnl0e +k1mI7vX0ZcafG1jPpmbenJxrpmWnNs4rfQvrWSXPg3HoyVvT/sm3Ja1O7D+v +dnlm6ls+PaD6HUkLmLnRJQS97/xmW5u7klasWpWWZfOxftV/F9vknqQtuH2o +4ny0dfbLse3uS1ravKafX6Erhq/8qz2QtOFWl291/4nzwZvHh/o+lLQ7D3qG +nETfa3Kvr/MjSWu3OGGZ5S+czxrPzhv7WNKO1Rs7YiXacnbs4alxklbtSWbL +T+iXfjRyXrykbVjuaRpcgHlInSkteyJpvxsWybqI9gi9c98nQdJmJm+8bf3b +TOEP4323JEra2zVVQjegL9v6OQUlSdrApmHLc9BD+ptNh55KGr1qOnJMIeaB +J2VenEiWtOa+l1vdRk/rmxh+7hnWs1a9pKZ/zFR+6ICF9BzrWWpC1m70/JVe +TvdfYD3bNPbOP7TV1FHWSS8lLdfhc+i0v/i8sG+Fb15J2pR0zxUJ6JqPWr/J +eC1pzwOKuHb4h/ltSXMyv5G0Po6bWoeh52jvDxe8ldjD6fT1au9tJd5J7OF0 +clo/aaUpVWIPp1OHNg5zq76X2MPpdMj95uS6HyT2cDptPVrKrfFHiT2cTp33 +FxvTNk1iD6dTpbcXxmjpEns4nY4WsZ3QN0NiD6fTldDB050/SezhdHIc1Wbh +uEyJPZxO/W4l+0z7LLGH06mJV8ug+VkSezidXCv3j1r+RWIPp5Nnq3oP1n+V +2MPpNGjmxcxt3yT2cDp9Hl2h/N5siT2cTrP3125x+LvEHk4nh0fGqCizxB5O +pzjv9X6XdIk9nE4Zbq8v3TQk9nA6TSzUsx/nSOzhdBqR+8D2xQ+JPZxORT5N +mfQhV2IPp9PDWXfCv+ZJ7OF0cm6QlZmXL7GH02lSZGLzor8k9nA65Rxcvbx8 +gcQeTqeGe388tPwtsYfD53VqVrtOocQeTqdi1i0WNfojsYfT6U1GwZPWfyX2 +cDrdtt/crMs/iT0cfk+vH/dsisjs4XQKSi5VeBwtPJxOTQP+Nm9WVGYPp1Pe +vddTY9DCw+nk8uhASPtiMns4nR5k9X79H1p4OJ0yFzyv0qO4zB5Op9EXBrjc +RwsPp9OnEid2Dywhs4fTadrJ7y+S0MLD6ZTwp0Yt15Iyezh8H6fmE1PQwsPp +FJrS+MTEUjJ7OFw/k5qbiRYeTqdHDVO12aVl9nA6dVsZuCUHLTycTn3dHFKW +lJHZw+m0oubNZn/RwsPh+S7l4O1dVmYPh78/O/BFmXIyezidtoe/b7YFLTyc +ThMKKvtXKi+zh8Pnx7ZLC0ILD6fTnz49ulpVkNnD4f1K6xQShhYeTqe3H62L +2lWU2cPpNCY4Z+IptPBwOvVwOXuvlUlmD6dTx5njml1CCw+n09/GPwMdJZk9 +nE6Ts1YVu4UWHk6ncXm5s51kmT2cTsahUW/j0MLD6aR1OzXAWZHZw+nk3UCn +l2jh4XQqf6heazdVZg+nU8/SvSLS0MLD6RR9bGTdGZVk9nB4fl+M3vcdLTyc +ThezBlVdaCGzh9MpqXmb3QVo4eF0svtbvvJqS5k9nE5XDz8NLFlZZg+nU7jX +1hob0cLD6WT5pFOoXEVmD6fTqF9vGu5GCw+n0wunuWdrVJXZw+lksszvHIoW +Hg7379S8hw2qyezh8O/NSB11Ei08nE7ue7t/bVFdZg+n09jde1ddQAsPp1PR +qEyLzjVk9nA6TW1vf/IGWng4na75T+zZp6bMHk6ngT8CUh+jhYfTafnj8yuG +1ZLZw+H9nJ5Y8yVaeDidYmulXxtnJbOH06mm4/fxaWjh4XQ6XFsvOaO2zB4O +62+VLye/o4WH0+nj0rfDF9aR2cPpFLXvXpHfaOHhcP2fnoxcbS2zh9Npz+IN +Y0rVldnD6eTxakLFTWjh4fD9hraKVerJ7OF0zL//PAPRwsNh/Xh1276Wjcwe +Tqedu3zTDqGFh9OpzrUeBxvWl9nDYb0/+mf0KbTwcDpViDhbvXUDmT2cTjdq +THp9CS08nE5nh6oHutjK7OHwPh6+5n4bLTycTsqMKXb9Gsrs4XQ6ll/BeIIW +Hk6nx+FnrrrYyezhsH7ec/Z/gxYeTqd2l/JGuDeS2cPh/sQFNsxECw+nU383 +h9+z7GX2cDpl3XgRn4MWHg7P/+ClR5Y2ltnD4feOr77qH1p4OJ1u9bnquq6J +zB4Oz4O7W9vyTWX2cDr5lihhGYAWHg77g29EbuVmMns4nWK6DXuxDy08nE43 +t/y9Wre5zB5Opws3T4YdQwsPp9P79uM2NW0hs4fD/XeqtDgGLTycTsf7PZrY +oaXMHk6nBUH+Q2PRwsNh/fLr171XK5k9nE7bFqptH6GFh8P3uZDSaGhrmT0c +9utjp61foIWHw/oR4ld9XBuZPZxOR35NsUxDCw+nU9WK/dUZbWX2cFjvWjso +ZrTwcDpVvG+vLmons4fDfmVja1mIFh4O60Noo+prHWT2cDqtCm5rXaa9zB5O +p05T+jXaghYeTicr9+ltLDrI7OGw33za1m0PWng4nVYOvjGkTkeZPRzW4/w/ +7kfQwsPpVNuhx6LGnWT2cDoVeOzaeBYtPBz2l2z9kENnmT0c9rdqo67+hxYe +TqcfTnHPezjK7OGw/rwcmPsALTycTqVs3lgM6SKzh8Pz7rOo7XO08HBYn0ZY +jRqryezhdLqfl7TqI1p4ODw/FHh0eleZPZxONhVnJHxHCw+Hz+vY78/CbjJ7 +OJ3eHeloX4gWHk6n9ic6jl7bXWYPh+sd3m9zmR4yezg8r2aP61vQwsNhff+8 +L9+ip8weTqfF2SnN9qKFh9Np96iWM6x7yezhML9tDDxyFC08nE4t35rSm/SW +2cNhHowMqh+DFh4O+6Vrm2kd+sjs4XTq0yX9ZCxaeDidyl45ltPLSWYPh3mt +0epOj9HCw+nU5auH77C+Mns4XI+xM5++RAsPh/X99Zp64/vJ7OF0Krx10jMD +LTycTuqSb3dn9pfZw+mUNrRHrRy08HD4vOgznksHyOzh8Pz/ahP3Dy08HK7P +mgS79QNl9nB4vk76+FYYJLOH0+n680GftqOFh8P76d7KqdpgmT0c9rOTzSIP +ooWHw35dqWelBkNk9nA63fm5YNlJtPBweP4uX01vOVRmD4e/f6H20Eto4eHw +fgzZH9tlmMweDutVRpsWd9DCw+m08PnnsP7OMns4zKvel6omoYWHwyzQ7/BW +1+Eyezhcj62RZVLRwsPpNOB0ovcUF5k9nE6BFS2LfkMLD4f5qMzC1QtGyOzh +dJpZNKdoAVp4ODwvrlvXrR4ps4fD/Dqvb/nSrjJ7OOy/J2x2bEYLD6fTsKlW +tSxGyezhdKpR2uH4HrTwcDo1/zqvnfVomT2cTo2XPLp7FC08HN6fCn1GNR0j +s4fDelk+PTsGLTycTrOeh63rOFZmD6fTugQfqxto4eHw/Izxu9RnnMweDt/v +1SmXeLTwcDp9i/yZO9xNZg+nU0T7KYFv0MLD4Xql5neYOF5mD6fTqRKnUj+j +hYfD+l7W32/uBJk9HM5PQ/1b5qOFh8N63C0qZYW7zB5Op+edfm8qMVFmD6dT +bviszhvRwsPp5JNSyqxMktnDYV4YcDc8CC08HNYz56jRtSfL7OF0OudKFkfQ +wsPptJHy4xtPkdnD4c+zRm6ORgsPh/Wly8f+HabK7OF0OlA30HQdLTwc1oef +nom9p8ns4XB/668IikMLD6dTpHR6/PDpMns4nb5UN9m/QQsPh/nxZGC++wyZ +PRz270YD7nxGCw+H+/uraeBcD5k9HPaHlZ1n5KOFh8P7VWdpl5UzZfZweL57 +fKhccpbMHk6n34OXmjeihYfDfHHC8ZE6W2YPh3nqUsuIYLTwcDpV/jh8Q505 +Mns4nYZsPjbzKFp4OJ0a1LYf0nSuzB4O5+t/b9udQwsPp9OWndfrdJons4fD +ebzns/I30cLDYf7wqvnLab7MHk4nr+CdmU/QwsPp1LVcl1cjFsjs4fD5rWvG +paCFh8O8sqrxrcmeMns47D9D5179ihYeTqcWVTLPL1gos4fDetp6Z3QBWng4 +zAfKwrNrFsns4bA/V90YXWaxzB4O893pZ+e3ooWH0+lDt5FXKy+R2cPheraT +b+1HCw+H+/fz72ObpTJ7OJ0ssm1enUALD4f7t2ZtZksvmT0cziM1qv66hBYe +Ds9b/YzyXZfJ7OEw75X7VuceWng47BctmjgMWi6zh8N+UfrokGdo4eHw/XJG +zRq7QmYPp1PKBKeNaWjh4TD/XJl/wmOlzB4O+8GM548NtPBwOv18tdhYukpm +D6dT6UXO1YqultnD6WQ+MqubH1p4OJ32vrg5S1ojs4fD87JoxN7daOHhdArI +aviw1lqZPRzWk1CHP+Fo4eF0KlLKt0Vjb5k9nE7lfCymRaOFh8P+5vs+pIOP +zB4O7/ey7NfX0cLD6ZT+qGM1p3UyezidNn+6P/IJWng4nZ60C94zYr3MHk6n +eo0iUlLQwsOh7f/YTPGV2cP9///3bJ/1DS08HN6PCh4XPf1k9nA6jb+zvmQh +Wng43I9uGc7e/jJ7OJ08v/sfKbdBZg+H9af9/IIAtPBwOuVtPji42kaZPZxO +bqPV4yFo4eHw70sPizfcJLOH06lk1Qfjo9DCw+m0+qMU23azzB5Op4ap+6z/ +QwsPp9OS5fPW9dwis4fD82e75csjtPBw2I8H5gxz3iqzh9Nptnvof6/RwsNh +fkkIsp+4TWYPp1Pbcq/2ZKGFh9Mpc/nkCvMDZPZwOt0L6rj6F1p4OOz/j8fk +rd4us4fDfLnh/uwyO2T2cDq90tZ+3ooWHg7Ph5vvlCo7ZfZwOvUe8TL9AFp4 +OJ0mBi2Z2mCXzB4O6+uOyV8i0cLD/f88EzKvzW6ZPZxOa7waFVxFCw+nk2uf +4ut6BMrs4fD9d9irj9DCw2FeiAsLHRYks4fD/jx9ZqvXaOHhsH4+W3fXPVhm +D4fnzdc8NgstPByer+yTefP2yOzhdApZcSXgF1p4OOxfR2o2W7NXZg+nU/E3 +T+LK7JPZw+m0dtuLudvQwsPp9F/b1pZV98vs4XB9uqZdOYgWHg77V73Pk2wP +yOzhdKo7pLschRYeTqeRnXKutT0os4fD/Xf9Nes/tPBweP6qu9TpFSKzh8N5 +N7ds8mO08HA6zR1ruWl4qMweTifpzpKeb9HCw2F9CWtddPIhmT0c1mMPJ/qK +Fh4O6/u2C6s8w2T2cJh//Fd1K0QLD4fz1P3Q0j7hMns4nL/uWMWXPyyzh8N5 +IjcvaAdaeDjMU48aTa5xRGYPp9M1utwqDC08HOaNnkdL2B+V2cPhfUwyPz+L +Fh4O62lqYGSHYzJ7OFy/9/vW3UALD4fz0sAi4/sel9nD6bRv741OiWjh4XSq +0jWtxqgImT0c5p9zk/+8RwsPp9OUOb3fTz8hs4fTaWiG/10dLTwcfn9Ak9NL +T8rs4bBfZrbcWzRSZg+nk7X7Hj9/tPBwWI8WT1qinJLZw+H7HQyYEYwWHk6n +pkPrullHyezhsP6WqeJyHC08nE5JHeYOanFaZg+n0/wJTftdQgsPh/Uod4hT +1zMyezicF+a8drqPFh4O+1Gfh/2HnJXZw+n04pf10Jdo4eEwr/955zohWmYP +9//7U3LSZ7TwcDjPpe6YOy9GZg+H9Ttmw6pfaOHh8HuvZ21bc05mD6dT6JqY +8LLnZfZwOjWbm3k5AC08nE4zSvsmVbsgs4fTqdqNbdmhaOHhsL/XKFWh0UWZ +PZxOiZs/2Z9FCw+nU69dbQZ2uCSzh8P5M9I8/wZaeLj///+Manv6XpbZw+lU +qzDqZiJaeDh8f/tz30ddkdnD4fqMsrP6iBYeDte3ZMVBHldl9nA6uRwfvzYH +LTwczgc3bC4uuyazh8N5P87VXPw/mT0cnuc+Rew3oYWHw/kjquo0C5LZw2E9 +WB12dB9aeDjszw6hn21iZfZwOjm6qE0j0cLDYf9xyfdsc11mD4d588Lg/66h +hYfDfvHTqlyvGzJ7OJ0G73YfGYcWHk6nWLnmcZebMns4PC8Z/QpT0MLD4fvu +MoZMvSWzh8P9PyBHfEcLD6fT0lVHSiy5LbOHw/p2MWZCkTsyezh8/v2O1/3Q +wsPp1L1Fp3rKXZk9HOblued9g9HCw+lkW+ZEtvU9mT2cTn6Lq4+MQAsP9/95 +vOStlvdl9nBYX997tLyCFh4O68ubgWHdH8js4TBfxJyq/AgtPJxOZTI3bnJ+ +KLOHw/myIKPEW7TwcPh9M2NXTX4ks4fD8xBb9c83tPBwWA8Xm5cveiyzh9PJ +27LPv79o4eF0Wl+jjo9vnMweDtejwtLycrzMHk6nTh7DdgWhhYfT6djlKGvr +JzJ7OJ2yVmw5fRwtPByeLwdz15YJMns4nebMSk6+jBYeDn8/xHFm90SZPRzW +R5fGJR+hhYfT6YZ6MMQ5SWYPp9Mi10DHt2jh4XTqEGX5bvJTmT0c1uug6muz +0cLDYb7dc8R2cbLMHk6nfh0ux/9DCw+nU3jhcC+/ZzJ7OJ3sp3rZKs9l9nD4 +vH+2z4PRwsPpNKifm3/dFzJ7OJ1OP6njeAItPBz2J8tZua1eyuzhdPoc0iPq +Klp4OPy+n0c9er6S2cPhvBsW2CgOLTycTm3sLL66vJbZw2Gelq1Ov0MLD4f1 +6t25RdPeyOzhcJ6r/aaLjhYeDvPkiIDyXm9l9nBYj6RnL4ulyOzh8P7ER0Rs +RAsPh/mxtrzS4p3MHg7nWb9SzvvRwsPhPLxjS+MGqTJ7OOwf946VjkILD6dT +hTPD09u9l9nD6bTh2a7bsWjh4TAv3ph+zOmDzB4Oz8/fhM2JaOHhsL5ZxC8c +/VFmD6dT8uYJ49PQwsPhfJTrP2BWmsweDs/vsz6d89DCw2E/v3Kg2ap0mT0c +3r+mm2zKZMjs4XBeu6zUDEALD4d550uzytU/yezhdKo04JMahhYeDt9/hoPa +OFNmD6fT9/h6FufQwsNh3i0bUdXxs8weDvtb2MPad9HCw+H72fnbDc6S2cPh +fjb62OYlWng4naq2eNXD/YvMHg7n34ezXb6ghYfTabhv6AzPrzJ7OJ2C9Plr +/qCFh8P1C/q4Z/03mT2cTu3L/jgvZcvs4XSixPDkILTwcDq19M7Ls/4us4fT +qdHxz9VPoIWHw3yatLJra7PMHg77d8D5GdfQwsNh/5wXsLuXLrOHw/5dXL4d +jxYeDtfrecu8kYbMHk6nYisK7D6ghYfD7/WZOt4jR2YPp5PlCq/gH2jh4XRa +9rNV8oofMns4nYr67qxUOldmD6fTrkv7nLehhYfDedd6QFC1PJk9HN6HQUdS +DqGFh8P7++yYbeN8mT0c5tlewxecQwsPp1NGy5PXHX/K7OEwrzc5pd5DCw+H +8/y90VOG/JLZw+n0dPe5q6/QwsPhebO/ajmpQGYPh/N5tbnzvqGFh9NpYE5C +/KLfMns4nNcHfGhepFBmD4fzxJXQnf5o4eF0ep0g/1b/yOzhcP6t13zSPrTw +cFhvehV5Uv+vzB5Op4THaxyj0MLD6dR10Lkoh38yezisf257691ACw+H/W1n +6z39iijs4XA/vNdUSkYLD4f57/rareOKKuzhMM+lO5gy0cLD4XnyCd86r5jC +Hk6nnW3vVPqNFh4O62nAgT0+xRX2cDrdsW9qYyqhsIfD/LR/4elAtPBwuF/L +F3axLqmwh8P64d40IQItPJxOv9JCJrcupbCHw3ln/+PCa2jh4XR6V+/c7t6l +FfZweD7kka0S0MLD4TxReD5xVBmFPRzmr9WJnmlo4eFwPm1zotrssgp7OOx3 +MV2v56OFh8N8ErTbY005hT0c5h+viKrlyyvs4XC++LHi3k608HB4H0KUZVYV +FPZwmA+qT2l+DC08HObR38syW1RU2MPpNDl1WNgVtPBwmAcnZo/vaVLYw2G/ +q93fOh4tPBz++zOz0kZKCns4zG/nhkV8QAsPh/3jzt/5M2WFPZxOI9bOccxD +Cw+H857PkQqrFYU9HNZHj/C3ZVWFPRze38TpZ3aghYfTadrIfN9alRT2cDgP +7Bkw4ShaeDjcj7azOrewUNjD6XT3gUvNK2jh4fC855b728NSYQ+H7zd8w4c4 +tPBwmF82JN8fWVlhD6eTb4tv0R/QwsPpFPYlIWRmFYU9nE6/R/hsy0MLD6eT +R+WS3qurKuzhcH/uOi8pV01hD4f7V85z7k608HCYZ1dP9LCqrrCH00l/UG/6 +MbTwcLgfG85Nb1lDYQ+nU//x1WZdRQsPh/kpe9CCXjUV9nA6VX7muvwJWng4 +nb5cauc3qpbCHg7rW6es3Wlo4eFwPvg379hsK4U9nE7qkfirP9HCw2E9SCr+ +dG1thT2cTh/tpewKdRT2cLi+Q4xygWjh4XSa+jmykbW1wh5Op9Td3QacQAsP +p9OOv6fnt6mrsIfD+3f71x5CCw+H8/LWWnec6ins4XQ6XKzWjyS08HA47936 +aTPORmEPh/li6ZmRmWjh4fB+3uu9dX59hT0crt/Iq/cK0cLD6TQsTirp20Bh +D6fTkoRuPRRbhT2cTg/KuKzfixYeDvNljb4P6zdU2MPhPHOmdqXTaOHhsN7P +ez62g53CHg7zbon5J26hhYfD/VDNvwc2UtjD6TSp+9BBL9HCw+H50YIPT7RX +2MPhvB99++83tPBwOgX0fDFqSWOFPRzm4YMJl4o1UdjDYT70OFtjM1p4OKyf +zstWV2mqsIfTyal4k8xQtPBwOqXXuze0cTOFPRzuz/gBsefRwsPptGDyteZd +myvs4fB731YNf4gWHk6nQwvGV3dpobCHw/yfvHNHKlp4OMxnkeclj5YKezid +TBF3tuSihYfTqfri2/LqVgp7OMwv16N3lWutsIfTKbfd9lq70MLDYf9ZP+FY +7TYKezidgsfUbRuBFh4O79vU5Dut2yrs4XR6NnzZKEILD6fTkWcWulM7hT0c +Pi/o0IanaOHhdCrfv76tm4PCHg7P08H9dz6jhYfDeah7heme7RX2cPj+mfNM +/9DCwxl0tnP8ef8OCns4g2rl2rhbdFTYwxk0jRbIB9HCwxmUOeDqdbtOCns4 +gzoM/bcwBi08nEG9V3Zu0qWzwh7OIEefxZ/uo4WHM2hP5VPhzo4KeziDrDLf +T3qHFh7OoBcnKjWc0UVhD4c/t+yR/QMtPJxBO54vuLBKU9jDGWR9NMy7XFeF +PZxBRRyeDt2FFh7OoCjHUvXrdFPYwxlUMKdDQQRaeDiDfq6el9imu8IezqDy +ticjY9HCwxn0rsqXjf16KOzhDJpVttmsZ2jh4Qz6dWbJkAk9FfZwBlW6d8/h +K1p4OIN2F6tTb3EvhT2cQSeqrpKL9VbYwxl093pGkc1o4eEMOn5uxI8qfRT2 +cAZNOJmYdQgtPByu77iRaU2cFPZwBpXw+Zx6ES08nEGbn61P7d5XYQ9nUD2p +xcc4tPBwBo34lJnp2k9hD2dQqWpRehpaeDiDRo/z+TOnv8IezqAn86ZX+I0W +Hs6gJiY3q/UDFPZwBvlmT26lDFTYwxnUM25lv31o4eEMqjY9YortIIU9nEGl +l332OYsWHg6/L7bTkc6DFfZwBt3LDX9wDy08HD7vRV1j2BCFPZxBCTYXar5D +Cw+Hzwt27ztjqMIezqDpOfWX5aKFhzNotl40avUwhT2cQc+H5KeXd1bYwxm0 +MKlUnUC08HAGzWzTYmzd4Qp7OIOatVu8PxItPJxB64Nepjq4KOzhDFpXzdX2 +Flp4OIMCvfLmDhqhsIczaNHys9deoYWHw+9J3VxxykiFPZxBNab6j9fRwsMZ +lJN4+PxyV4U9nEHn0z9KZUYp7OEMujqhx8wdaOHhDDpV9t5Dq9EKeziD/EPm +NI1ACw9n0L7PHXe2GaOwhzNo47Emf2LRwsMZNCC05/T+YxX2cAY1DfJ58Rwt +PJxBj4Z86TtxnMIezqCaO7xis9HCwxk0xbpVey83hT0cns8bludKjlfYwxl0 +umO91gFo4eEMyho25nzNCQp7OIO2JVzveAwtPJxBu5Y532rlrrCHM0irZjmY +0MLD4X7ML/Gu70SFPZxBLgPrzXuGFh7OoH8L55dyn6Swh8P3P2Mc+IYWHs6g +mAfhHZZOVtjD4fnf4P+yxBSFPZxBUy+FLtuGFh7OoKd1s+vUnKqwhzMobNuc ++0fRwsMZtOZxg4Wtpins4QyaH25hQ2jh4QxKTG3/rO90hT2cQSMHBm58hhYe +ziD1UpMe7jMU9nAGTf725983tPBwBllcLENLPRT2cAa1zRi4puRMhT0c1tee +Cb0C0MLDYX07tE2qNUthD2fQ1sebXx9DCw9n0Kod9yNaz1bYwxl0+GaPFbFo +4eEMatOkyLD+cxT2cAZdP1ho/wItPJxBrb90KDNprsIezqDkd1c+fUcLD2dQ +r4GrHyybp7CHw/tR2v906fkKeziDvrx5FrwDLTycQc1DZqyvvUBhD2fQpHo9 +F51ACw9nUL7D1OntPBX2cAadi05wu4kWHs6gO1PWuQ5aqLCHw/NW39vlNVp4 +OFzvWw9dpi5S2MMZ9Kfy+FE5aOHhDOpiaBNWLVbYw+H5tp/jUX6Jwh7OoO+7 +vywJRAsPh/tb/oJ/vaUKeziDaFzi/ii08HB4n6Y6nuvopbCHMyj39+/4u2jh +4QzKzimfPWyZwh7OoMcN5kipaOHhDNo0s0HrmcsV9nC4X/tbjvmJFh7OoPHb +d/r6rFDYw+F62ww4L69U2MMZdLLx2Mx9aOHhDPJYd7uW3SqFPRy+bxlfl3No +4eHwvgYc2t51tcIezqCiBVUTH6OFhzNosNUXi1FrFPZw2C9fVhudgRYezqA6 +ZY+Ez1+rsIczaIjfNv0vWng4g5a0ftV1o7fCHs6gjCzvXVV8FPZwBg303/I1 +DC08HPbDbwW9mq9T2MMZFJ93P/wqWng4rPfehSWd1ivs4bC+z98xIxktPBzW +z7CtiRN8FfZwBqXl652z0cLD4fkZeOWkl5/CHg733/+rVWl/hT2cQTf81u/c +gRYeDv990w0V62xQ2MMZdGbsT/+TaOHhsL7mJ5Rtv1FhD2fQyndVNt9GCw9n +UPDPB5WGblLYw2F9a/B1fwpaeDisHwOW23tsVtjDGfRsyPKr+Wjh4QzyrPpt +sM8WhT2cQYfWx2fKWxX2cJg31tr47EcLD4d5xfy1bqNtCns4PE+X7G6fRwsP +Z1DJm69ndA9Q2MPh3/tR3OIJWng4zAttw2LHbFfYw2E+nHN57me08HC4f/59 +bRbtUNjD4Xma5fy62E6FPRzmlxIvd21FCw9n0FKHl8Nq7lLYw+H35Ay3PI4W +Hg7Pb53Br9rsVtjDGVQh4t6hG2jh4Qzqtzx29qBAhT0cnr+1Do5v0MLDGbQg +ooUyPUhhD4ff++7kp1y08HAGLZdOXF8brLCHw/ts2+SgtEdhD2fQ78qtV+9D +Cw9nkO3D2El2exX2cJh/Wz3tfx4tPBzmzS5zHLrvU9jDGVQ/ZbvtE7TwcAZd +KKJVH7tfYQ+H/ThwsZyFFh7OoP92dCi3+IDCHs6gzxn+pUscVNjD4fd7TykT +gBYezqADoxIqWIUo7OEM8h7/0OIEWng47A9+w+s4hCrs4Qyqentus9to4eGw +/5W36jb0kMIezqCUweNGvkMLD4d51r/l/JlhCns4g0wn9m79hRYeDu/jiT2n +14cr7OH+/7w1T650WGEPZ9B7m3F/QtDCwxm0faONXdMjCns47MeRPiOvoIWH +w/rps2pjn6MKezjML6Ur30hGCw+H80Vzp0L3Ywp7OIPiflXrgMGPPRzelzH+ +y1YcV9jD4f0aFhRbLkJhD4f796p32SC08HDYD74EDa9/QmEPZ1BLn02Hz6KF +hzOobki9X11OKuzhcD06uQ1+jBYeDuuXi3ZiVKTCHg5//+vdsplo4eHw/P/O +81h4SmEPh+fDJy6hWJTCHg7zjc+gjtvQwsNhni70OlbrtMIeDuetb0OqnUAL +D4f5yyV5s8MZhT2cQe3alyp1By08HNajwPQ1w84q7OEMGufpWfQ9Wng4g/om +RPvMjlbYw+H+nQkvX4gWHg7vS7U+u/1jFPZwmHcqHLSpck5hD4fz3NaI8+Fo +4eFwnjrq0b/leYU9HP5914/phBYezqCGJ1XvARcU9nDoIwX1XqOFh/v/fLbv +3rSLCns4zCsnf87NQwsPZ9DXu3Itn0sKezi8D8fTHymXFfZwBnUbvWT1QbTw +cAatTr/frskVhT0c7u/Q18ZltPBwBrlFRp7pc1VhD4f1uUg3z2do4eGwH48I +7jDpmsIeDus1xZQw0MLD4X3pvitx1X8Kezj8fb1jeEVS2MMZtPP58aV70cLD +4bxSLHWoXazCHg7P19p3zS6ghYfDPDbpuNzzusIeDn//smNuIlp4OOz/O0Le +jr+hsIfD81Tkyf1stPBwOK+ocZeW31TYw2F/eL03stwthT0czn+zOxwOQgsP +h/PFm4iQBrcV9nAGHW2ffTAGLTwc5pM9JcO63VHYw2E9UY3jT9DCw+F5uxAT +M+6uwh7OoDf7B978ihYezqC5b2KTve4p7OEM8vKv8LXMfYU9HM7PV1uWCkQL +D4fzysbWNvUfKOzhMH/LlXpFo4WHw/4zMd6j60OFPRzmzf0zdsWjhYcz6MWL +9BtjHyns4QxyaNbtxxe08HD4+1dW23k9VtjDYX0LPuReJk5hD2eQX/qxg7vR +wsMZ1OPKzlSbeIU9nEENHKbWj0YLD4d5fan17K5PFPZwOL8duHU5Hi08nEFJ +t4aUH5egsIfD96nwwO0rWng4g3x2Nr3olaiwh8O/v3K1RdkkhT2cQVZZsZ6B +aOHhDCr8ZX5R/6nCHs6gD/FK1xi08HCYn7fYRHZLVtjDGWTv2qhWAlp4OINO +DLMJcHumsIfD+32oUtlstPBweF9m/PJZ/lxhD4f3PeFZifIvFPZwmL/+nPQL +RgsPZ5DZYoXc8KXCHs6gkC599p1HCw9nUPfDUuOerxT2cAYNn/2UktDCw+F8 +dzNwhPtrhT0c5oH4UTlmtPBwOC9csdqx6o3CHg73Izqtremtwh4O3+99ZMo+ +tPBwmPfmL9tgn6KwhzNo7faBHS6jhYfD589pmN3nncIeDvNV23JHnqOFh8P6 +UDl3wpRUhT2cQZGOWda5aOHhDGqRkpXu/V5hD4d5of7PSPWDwh7OoOk9K3mF +ooWHw7w5p3Pf5h8V9nAGhSYvtiK08HCYlyJu5g1IU9jDGaRb1016gxYeDvPM +nJ3RHukKezj03RpBBWjh4bAfuF1a7Z+hsIczaMPM2bOqflLYw+F5qd9p3FG0 +8HAGrbhRb1jbTIU9nEEXlzbofxstPBzmG++eTs6fFfZwWJ+qr+37ES08nEHf +Fr4dND9LYQ9n0ISEka5Fvyjs4Qxyn5o7ZRtaeDhcjwUxS2p/VdjD4Xq3CNxy +Ci08nEFHUg4c6/xNYQ+HefPiozuP0MLDGXTtt/Xn0dkKezg8n5kHpS9o4eEM +Onatdwev7wp7OHzfK9WnlzUr7OGwn1lX3xeEFh4O60nL3km2usIeDs9vszDT +BbTwcDjPjWk2sJehsIfD5xV8DUhGCw+HeWfQ25eTchT2cDh/Hija4AdaeDiD +7jcZvcj7h8IeDufV7l8eqLkKezisl/Wj6x1CCw9nUKMaMatb5Cns4bB/zvr+ +PhYtPJxBYydO6T04X2EPh+vXu/qZd2jh4bBeT6xQe85PhT0c3o9ijgF/0cLD +GfRg9unSW34p7OFwfsudtLZWgcIeDuvxhzFFI9HCw2G98g726fRbYQ+H+cu2 +ZoVHaOHh8H1rZgSOLlTYw+H6nPlp+wUtPBzOk+2GX/X6o7CHMyjoX6Fzub8K +eziD0kfpejBaeDj03lY77P4p7OGwfljedriEFh4O+1eNox/6FFHZw2F/LPZ6 +2wu08HAGta3j3n1aUZU9HOax+50L8tHCw+HPh88551tMZQ+Hz6v+07NKcZU9 +HPaz+S8djqKFhzPoaYxF0XYlVPZwWC86nnh8By08HOaR8QcOuJRU2cNhvlz5 +fUEGWng47M/6wQGLSqns4QzaWPmMfanSKns4gz462Zh2o4WHw/v3ueBH/TIq +ezisN85tU8+hhYfDeTnreXzPsip7ODzf5d7fTEYLD4f933LY1cnlVPZwBk2Z +3exSLlp4OIN8Fy2+vK68yh7OIC2gcaxlBZU9HM5XdQc/OIwWHs6gO1s+vmhT +UWUPh/NEx8wvt9HCw2F/2upW3MWksofDemTuWzsDLTwczsN3TnRZJKns4Qwq +tsx7UilZZQ9nkNOipM270cLDGbS/7v4rDRSVPRzmnaz3386jhYfDvNohzKa3 +qrKHM+jyig9uz9HCw+F9qRt6cGollT2cQbV3pX7MRwsPh/NAz0ON/SxU9nCY +r8M+La1qqbKHw/prdfbhMbTwcNjfGhav276yyh4O82vLN8vvo4WHM2hUlOMb +1yoqezicV9TGWhZaeDjMDwmHj3lVVdnD4bw1PtKyfDWVPZxBAa17+O5FCw+H +9e22xx/76ip7OIPsNtZfchUtPBye3z/z8/vXUNnDGVR51/Blb9HCwxkU/yiu ++OyaKns4gzrVTt32Fy08HOarMhvrbq2lsofD5xVPuFjbSmUPh3lga/Sw02jh +4TAfNmqdo9VW2cP9///nOgcmoIWHw/ltvaXmXkdlD2dQntPSbwZaeDicr2qu +OehtrbKHw365pqmLRV2VPRyuV8Fa5TBaeDjcL9PqhDb1VPZwuH5dbHffQQsP +h/XW0stthI3KHg77jaVXk0y08HAGyfcaFllaX2UPh/nnnO/zsg1U9nAGVZwa +FL0HLTwc5rUpY3ba26rs4Qx61yV56VW08HA4j60sMWlAQ5U9HNb3U1+GpqCF +h8P9H7ep1xw7lT0c1uOamY5FGqns4QzavKRIpwC08HB4f4o971zXXmUPZ1DZ +/rN6RKOFh8N5IePWoB6NVfZwBs2Z8s4tGS08HOaHcf95TmmisofD/Z44cUs+ +Wng4g0ZWeHLSr6nKHg7vR3bJJ9WaqezhDNpyqNTPCLTwcAaNjk2y6dRcZQ+H +8/f72S6P0cLDoUNebhrXQmUPh/05yPLed7TwcAaVmWJTZk1LlT0cnofDpQeo +rVT2cHi/82J3h6GFhzOoT96Q9NatVfZwBr3qedXhDlp4OJzf1hUNGNFGZQ+H +9XZkvexMtPBwBn13qzfYq63KHs6gVVrxC+XbqezhDIo9cKvufrTwcAZNrDN9 +R1MHlT0cno+ZRplYtPBwBk2zc/ce0l5lD4f1qejlYh/RwsPheu/7vd6zg8oe +DvP6kYZyqY4qezjsH/e7HQhECw+H5z+6Xwu7Tip7OIMylZ73L6OFhzOozfbm +U/p3VtnD4felSWVS0MLDYf2+mHZqjqPKHg7XI/b0qKJdVPZwWF+jFpbfgRYe +DvNAuzbXbTSVPRz214r6svNo4eEMWvopomOfrip7OMy/yyYVeYUWHg7zx5ra +Dzy6qezhDKp35m3QH7TwcDg/3QqZubW7yh4O896iGT2te6js4bA+eHeqF40W +Hs6gW4erlurZU2UPh+dhX5HsZ2jh4Qyqapv3alovlT2cQa1K/H5UgBYezqDS +ufKtTb1V9nA4P11pH2vVR2UPZ9C86ouvn0YLD2fQz+QHd7s5qezhDKoe3Tbp +KVp4OIN+Lb76cUpflT0c5r8vY3/9RAsPh/nsWU2Ljf1U9nAGjSj2u1Wt/ip7 +OJwHHQpGRqGFhzMotWdN764DVPZwBuXnup9NQgsPh3mp8pOMyQNV9nAGdVw8 +uc5PtPBweP4+2bhtGKSyhzNoUDs5rOZglT2cQRU62H09hRYezqBlN+Z16DpE +ZQ9n0JfwL5uT0MLD4fecD8qYPFRlD4f9M86rx0+08HAGVbm14+iGYSp7OIOK +zk2Xajmr7OGwn52ctyIKLTwc/ty5g7nrcJU9HNaH7l2nPUULD2fQmRF+6VNc +VPZwmHfmKNN+oYWHw/2ZkfJ94wiVPRyeVzt9udVIlT0c7u+WftIZtPBwmA+W +fT/S3VVlD4ff8yyl+zO08HAG+fvUyJg2SmUPh3nS4+jm32jh4Qz6u8Cnw5bR +Kns4nGfWx3ytM0ZlD4f7ubl9eDRaeDiDvi6wnNBrrMoeDvNwg771XqKFhzPo +/I6XWR7jVPZwmKeP377wFy08HJ6XkfKGADeVPZxBK7dfmWAzXmUPZ9B4p/uO +F9DCwxnUZV5H674TVPZwmJf+VSr7Fi08HK7vZ+e8Oe4qezjMN7ZFPhebqLKH +w/c9U+X9LrTwcPi9a/enNJyksofD+r9rx/sraOHhMB9n/P48cLLKHg5/vuBF +/nu08HAGRXe0L79wisoeDu+rY169MlNV9nBYH1Z27LYXLTycQRf+FE5uOk1l +D4f5P7bT1uto4eEMKveo8JrzdJU9HM6ftl2MT2jh4bAfvizZeNkMlT0c9o+v +Qz1MHip7OIMSZjQ8HYoWHg7zsJtfQeuZKns4zPcvPPveQwsPh++X9v3g6Fkq +ezisX9t/F2SjhYfDvJQTPHrtbJU9nEFXqj2+bjlHZQ9nUHi1wCbH0cLDYT8p +XXCg01yVPRzW/9/5lk/QwsNhPS23Y/vEeSp7OMzDgx5b5KOFh8P5OO3Yvg3z +VfZwBhXPsG1ktUBlD2dQqbn9r51BCw9n0KbQqi49PVX2cFif92z58QItPBzm +ueWng2YuVNnD4fpOX92tyCKVPRz2s3VFjB1o4eEwv+a1PGq7WGUPh/P1x2ru +V9DCwxlk73mh7qAlKns4rCeJFT9/QAsPZ9AMu9rnFi1V2cPh/h7+tr6cl8oe +DudBz2XjDqCFh8PzdftBx5bLVPZweL8fvLW6gxYeDt835nzpUctV9nCYP86P +yPuGFh4O/37pm1lrVqjs4Qz6701BmuVKlT2cQaGzi6YfRwsPh/kj70VW51Uq +eziDfKK88xLQwsNhPU4uXmbKapU9HK7v0dG1C9DCw2H/nLi505Y1Kns4g172 +2+tWd63KHs6gS6G+fufRwsPhehx3vtDXW2UPZ1D/YyW+pqCFhzOoZ3pwgwU+ +Kns4fP9jlaeWXqeyhzPoWKcVkXvRwsPh/n6N/9VsvcoeDue1kkr/W2jh4Qxy +S+4WPtJXZQ+H+x85tdg3tPBwBv15u2bKGj+VPRzO61d2PLH0V9nD4bwYfFCL +QAsPZ9Dh+KPnHTeo7OGwP8acapmEFh7OoGZh52OmblTZw2G9yb3eqRAtPBy+ +X5mkB9s2qezhDDK3/zqu/maVPZxBS7KkX5fQwsNhnlumBQ/corKHw/MyaHWX +j2jh4bBePkz4sniryh4Oz6tLuwMVtqns4XAeGxHtEooWHs4gm/Z9LNsGqOzh +MB9O/vnyAVp4OMwTfe+Eu21X2cMZ9MQlZuEPtPBwBmX9utXff4fKHg7r0ZEC +O6udKns4zKvxIypGo4WHw7z9OSWv9y6VPRzWn1nbM96ghYfDfJnk+WbebpU9 +nEHNd/m9KBWosofDeaTZk1d70cLD5VCW1cCPzYNU9nA5NCSthHEbLTxcDvkW +yS81OlhlD5dDW/Ia1DWjhYfLoYb9Aruv26Oyh8uhZz59ParvVdnD5dAL++7B +UWjh4XKo7QPvxz32qezhcujyF1OZV2jh4XIor9anPnP2q+zhcqin2bStxAGV +PVwOPczxexuMFh4uh7yujGjR7KDKHi6H1DcrNt5CCw+XQ7W//vniGqKyh8uh +Ej6vhn5HCw+XQzmdK8f6hKrs4XIo91x0q+qHVPZwuD7+5yKj0MLD5dAGV6um +PcNU9nA5NP2OOeYVWni4HPqxoFm3ueEqe7gcWlb6bXLJwyp7uBxq1LBwzl60 +8HA5pKzaKrc4orKHyyGbC8EX7qCFh8uhzitqTR5zVGUPl0M1V9eqZqCFh8uh +mXP3JfkeU9nD5dCtIvt31jqusofLocPp1mOi0cLD5ZBPcpNGThEqezj8fb+b +f1PQwsPl0H+X0l96nlDZw+XQNZvAK+VOquzhcqik36uwELTwcDmUFHZ2e9tI +lT1cDrl1qOv3CC08XA6lSo193E+p7OFyyC8rcf1PtPBwObR1e7VtW6JU9nA5 +1CSxWIjNaZU9XA6FLAw4fxktPFwONe13L2nwGZU9XA41qBeRn4EWHi6HPsa3 +r7virMoeLoce1/caVilaZQ+XQ2N+z9p4HC08XA5Vt6v6oEuMyh4uh8L9l0nP +0MLD5VCV/D2jZ55T2cPheg9feqrYeVX7H8w3Fps= + "]]}, "Charting`Private`Tag#2"]}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{-1.19261150404447807409012815183557425662`16., \ +-0.90661150404447807409012815183557425662`16.}, { + 3.138050252314118, + 4.67774460927708661650482733282982060227`16.}}, + "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {-0.900653170711147, 3.138050252314118}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), + "DefaultStyle" -> { + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, "Primitives" -> {}, "GCFlag" -> + False|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + ListPlot, "GroupHighlight" -> False|>|>]]& )[<| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{-1.19261150404447807409012815183557425662`16., \ +-0.90661150404447807409012815183557425662`16.}, { + 3.138050252314118, 4.67774460927708661650482733282982060227`16.}}, + "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {-0.900653170711147, 3.138050252314118}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), + "DefaultStyle" -> { + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, "Primitives" -> {}, "GCFlag" -> False|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + ListPlot, "GroupHighlight" -> False|>|>], + ImageSizeCache->{{4.503599627370496*^15, -4.503599627370496*^15}, { + 4.503599627370496*^15, -4.503599627370496*^15}}]}, + Annotation[{{{}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJw0mnk0Vd3/xzXJPZOUqaQMJco8D8U2hExFETJFxpQQImSIpIxJkkikWaMk +alfSIFI9mlWaU+45V5Hmfp/vWuf317NeK8+99+yz9/vz3mu9lINjPELHiomJ +aZNiYv/779btY5S/jRXirScnV5+8LED/z7k8r34n//jGOCE2TW/6cuSKALla +6hbtHi/EJ7cELDpwVYB0djnYr54gxHMG6f017QIkJQr4M19ciPs623/vviZA +Xx0TzzIThXiybYZPWYcAPagtWN0PLLne9nzhdQFq/lmvclpCiGek0VO33hCg +yqVtT7IFQlyc9mJj9k0BSj32X7EnIcTny871p90SoIAJnx3mkELMvtrpsKFT +gFDA2H/fgYs2p56Muy1AKs1Tz3VSQvx7T5TCmi4BGj9Jb00VLcSVDkF54d0C +9D7CcdZaRojvN/iPrrwjQDevBD6zkhRi6SfBEX49AnRkWlKp1CQhvvcn5pnX +XQHaHl+46A1whmHOEvd7AhTTdUCsSUqI91btv+F8X4DcZ19szp0sxA2eN5H9 +fwJkkN671nuKECtt+taKegVI5tHn2XOlhbjMVMvM4oEAjeqMe/4LuLA2+rzR +QwF6kjetrFtGiGuenDXTfSRAra/0nGtkhdhfTPzi3McCtNd80dhYOSEONgqy +mf1EgDbtCGqxkRdi14r2WzOfCtDKwaR10lOF+LKj7tJpzwTIbmHRnPfAq8Ia +Xkj3CZBadcOL5mlC/GaSWrTkcwGSGL24c6uCEHPhJ34JXgjQp8UPXFZMF2KL +bTYF418KUNehwXFaikKcWflS6R9w45jxrX+BM5pyz/3oF6BiX4W4uzOE+Psv +E7fhVwIUd0ZfY/9MIT5d8PUD+1qAllFO/fFKQpyU35I98EaAjENX7lqoLMTy +k/OV374VoKmXNrjJqQhxpF3olRfvBOiXbPGEAWAba+eQJ+8F6HnMwbYLqvD9 +6vMn9n4QIHzzUvz2WUI8cZrp8TsfBahW+eHcgNlC3Gpk5XlrQICyU4SvdNSE +OG+P+7/2TwIU+t/43WPmCLHf6pijlz4LkIPm9CX/AWt1VPi0DAqQRo7BxAPq +Qjx0s1twVihA5AunS4ka8P7KmbZGVoBY4+AEx7lC7Oa2Yt1hToDuFiVrTpsH +6yV5Vq1eJECnPxa/+Qy845f8y+ohASqzPlR5UVOInfXyd+/+IkCJldi9SEuI +1e9N9Cr7KkDeXx9KrNQW4oW/SqSLhgXI3IXF+jpCfLFH/cHWEQGafmBC0nhd +IT67tmvX5m8C9PfPdO2HwG7fU/02jQpQv5fhu4N6sP+3m6umfBeg9hPOVcn6 +QjzTZcLg+h8CdEAiZKmzgRC3eD0/F/NTgLasTCEUDYUYd13NjvolQJEXSq6w +wGvuNHmE/hYg5ymHN1w2EmLx1HOqQX8ESCv6sk6psRDfHbn2zfevAEl2PHof +YiLEcn6vbnv+E6AhRW6vkakQz+sh65aIEei/RHHPiWZCHBRjl+o8hkBNPYrU +E2Ap/23L7ccSqELdqP2IOZy38/2G1uMIlJLpkpJqIcSB++2k548nkN/TED23 ++UJ8w7x5xHgCgSwNNn6cuUCILfebPtETJ5DS9tKaIWDjHzcvaU4k0Nh3h73a +LYVYNSiiYY4Egd4uuELvtIL9MSpbrCIg0PXyx9fCkBB3v+zdqEgQ6BDHbTS1 +FuLtZvsj5UkC5TtONCBshNh+9iafKRSB1tTO+PQMeGv7ameGJtDin0a1x22F ++INhhJWAIZDeUlfvTXZC/G33eqPxkgSacmyVpPtCIXafUqL1D3hkfOp1FXsh +3n3l4pyfkwj0yH9H2jDw+o6fqiNSBGo5d8TwuoMQ51s5qogmE2iP5NXPuxyF +eNuSBpXPUwiUFvFkf+QiIZ47VWb2e2kCBV4R+Vg4wfu8UKbxSoZA1tMkpGhn +yNNls3X7ZAk0K37mzRfAEyRumD6SI5B4l/Gmky5CnP4t2fa+PIE+znIzznIV +4gBkuaR7KoE600KFS92EOFFcOvDmNAIde5haP3sxvI/IXzHtCgQq1ClbMQp8 +IH8469J0Aq3LOzr51hL4+/x/u1oUCeTx6uqtSnchFm2dfuLsDAIZmj/NiPaA +PD3sfPPETALJ7hgysVwqxFvIgjdHlAj047MEJ7lMiDf39Is1KBPomZ1Swyvg +T7IOSrUqBLq418T/jCfs77GXratUCVTzzU06x0uI351xDt01i0CZi8Nuey0X +4iznT/mlswkUcigtS91biHd+2nO6QI1AC8fsNPsJrHM6qC9vDoHm+B4T3faB +fGs3ldisTiDBmfaDe30hD61mGW/SINBn8llAzAohJhxUw1LmEujOqi8y1n5C +fOmXUUXCPAKdvCjonuwvxCXpfl3rNAlUKqu8+S3wSVH52GgtAq2PMbU4FwDn +L/6debg2gbxuLv6yJVCI6+Y4JgTrEMhUOfywT5AQD2jjU/66BJqWkh40byX8 +niNOIm89Av2+v1PuD7B36yfdZfoEejHv+J07wTD/smviFxsQ6PLmazn7QoT4 +lUrkeSdDAtU9fzY/bpUQK7Q5/FtoRKAc469fbUOFeNo6C0drYwKFFxFHZcKE +eEGQ3Y75JgRa9FE5+APwwPHgfhNTAs2zNpvaEg7vI6Vcx8CMQHTlkrv5ETC/ +P7zM0DYnEPclfItfJPweWateDQsC3XPeZKkdBe9b/azG7PkEOlNfPvIPONDK +KlNpAYF2/jl+7N5qmFep/U8VLAm0wasjpC4a5t2YCmM5KwL5nuiblrBGiCVE +oWWTEYHmSwzfs18rxKGRTsO0NYFmrCS3ysfA+yha6CWwIZDYBRX0Cdg3e/mF +8bYEej3ZfLR1HbzP8AwlMTsCXVvt3lgQK8RxfpfzfgE3XIsIDYyD87xZ7uu3 +hQTKU8yYrhcvxF/G5AZ+sSdQVOKu/8auF+LyEfKO0IFArj2N+b3ACZmHLQcc +CaSjft26IQG+/0bAqbeLCCSV+fx7UiLst4/z1PqdCPT1yfCJRUmQfxJSe585 +E+iBPhWusAHyxJ6RfeRCoOZtqjOEwBrXVUvuuxJo91vzB5eShfjxIXfmjhuB +Ni7w2F6cIsQxkyoKbi0mkH95pG3wRsjPGaNMxxICWXEZPw1Shfj9yNrSy+4E +UnGsODUhTYirm//JtXkQaHztiYhHwGzO4ZrmpQR6/+P6zMPpQnwnK1rjzDIC +3fR48TBlE8zju45NjZ4EOnJ0pMAlA7jY0vaIF4G2j6cXzsgU4odvXf87sJxA +a/1n/eaAp4oSQ2u9CbTknMWZK1nAvS0/qnwIpC+5NGpHthALT8sWV/gSSDoi +Sjl0M5zHxgL1shUEGr2c+dg4B87DwIz2Ij8CPZm6u0giV4iP5XQGbvMnUGvc +SfunwIYHiv/mBhBo7+0bf45ugfcZFVuTFUigTbNenk3LE2Kf0Wib9CACrUz7 +tnrxViGOXpv9IXklgWwf0qrK+UL8aOhsYUIwgWbrzH76Bfht3RjT2BACTcyb +X3JtmxC/aIh8E72KQAP9Sx3Lt8P+VxQWR4QSqMts9b/wAsgj9e1oVRiBGkuz +zpkVwvwctP8SGE6g4s+715BF8H7zFRtWRBAozu7UrOfAd2dP8lseSaBle28+ +aywW4sGXM6SXRhHI+NvL0owSeL5ni+64rSaQ/OLRRR6lMA8sSvKdogn08yAz +ZtYOIR6rMuJov4ZAfWJq50eAd59KENisJdAlnwUxN8rgeagpXQtiCFR7epna +7p0w/726i83WESibjH4eVf6/Pl2/3CiWQKGrssvm74L9ZLlbSS+OQA4XK52Z +CiG+rXz0s2Y8gTRkT4/tB56S/vS8+noCkTG3Wk7tFuIjCXPyZiUQSHijf112 +JczHeaU+SokE6lH6PsdzD5zvK9O0picR6FSy5Eu1KiE2X3FlrPwGAu24r1b+ +HVhBIffplGQCJc6zdO3cC+9fNfKsZAqBvDd7jq+qFuKUiqhiciOBzJ9Ht66p +gfO+J3/txFQCTTfeHGe1T4iZJbfcxqUR6G/hHg2pWiG+/lhN7x9w/4fT/a+B +K73rZH6lE+gq6tx1dr8Qx36x/P1tE4Hqd79yy62D+8KtH2+/ZBAo98v3Cd71 +Qvzy3389bCaBIpwnXdQ4AP2spbvtUxaBnOvnrP8FfFz+w9H32QTS+mM5r7tB +iHuR0t7Xmwkk6eX1uvognL9lycUvcgg01Lhm97pD8HuSvuQ8zYU+MjFnic1h +IT7VtS3t4RboI0FVE6WPQB9NXJh0P49Au1rOXHoH/L5iZvydrQRKnnw7ofko +5I3XtNjOfAKtWP1ac+sxmKf3jWKvbyPQgms/3vgeF2Iz3bj4q9sJNFNRao9m +I3x/aU/SpQLoI4nqHn+ByxXd0i8UQh+5YyW4ewK+76sw91wR9JE5yy/XnoT1 +MD1RcroY+kjG2qT4U3AfkCytbiyBPvIkR3vhabj/7Ck/fqSUQNH6e9/JnoH1 +/9d2qWEHgdy2na36CEwFTbi/v4xAum9vL71wVoifvIz5UL2TQJMXvCG2N8G8 +2f/7b2U5gYZ3/rzifw769e2j8rt2QR9hpZJ1moV4dVqa4Y4K6CMOGrpjzsN6 +9sV6FO2GPrIPfbgPfJ/aGretEvrIj+XV9S1C/Fm3vWzLHugjHjGeiReE+GC0 +Ukt2FfSRo7mUY6sQV7zZ93LTXgKpjq9un9omxEtb7CRSqwk0wb8p5TPweinK +YEMNgT40deldvCjEVZKjgev3EegW8/Zj4SVY70cTitbVQh8J/1UThIW4frvp +5ej90EcuT16ufxnOr0fR14g66CNT5zLjr8B5dSU0Quuhj8RZdzwATq49HLTy +APSR296pB68K8ZLYNZX+DdBHZq0zSG6HfHzl+dDnIIG+p2755HQN5r9MiLTX +IQI9fVBdO70D1ld35zKPwwRq0z7nzQKfXT5Y7naEQNVbuiUvX4fz2xjxzOko +9JH+t9dLbgixrTeh4nAM+ojZ77SQmzBfk+9F2R6HPlI6xcjoFrwf40tNVo3Q +Rz7PHRTvFOLOU3fHzj8BfcTOpu4x8NVJAg/Tk9BHqnx8j9wW4h+J4XWGpwjU +PbJOKrVLiDdIDH7TPU2gE255N127YT0Hyl20zhCo5GDNppl34D64IKJe4yyB +4sWajYeAUzSC/s5ugj7ic0d4tQfuQ/fSfVXOQR85/a6+7C7MI+9r52c0Qx8h +/6wIuwf94o3uVIXz0EdCpKeY3od833Vto1wL9JG2eZ2C/+D+tGtT/5QL0Edk +bDOfAe+TCHaY1Eqg/Wt9TY/3wvMy0SepNgJtvhHLpT+AvO3eqyC4SKAwpa0N +Sx5CP4sazZtwiUCOyfv8VR4JcYQg+fsYDH3kfrP0MHD5HZWov8D0vJ7bHY+F +OPvF8POfl6GPZL/P2vUE9oc/t3T0CvSRvj9mkU+hX6+b3PX1KvQRI5kh82eQ +/xYB9qJ26COFmoeoPpjvr+9fHbxGoKQPtoEvgN/nr7Me6CCQD1ohe/I59HVv +k6vvrhPIYndcd+YLITaKnbPw9Q0CKX7ZunnpS8jH76jzxU3oI861FrP74Xkn +b3Z/dgv6SN35L9+AyXeip486oY/87jl885UQq5RtCeu9DX3E80NQ5Wt4XjvH +4btd0Eca/8pFv4HzpmCwubsb+shE2Z4Fb4U4bL6jbOcdArkEaeVKvoP7bPeW +I9d7CKTdYrfg1bv/5eMQar9LoEmT/YZPvxfi9ru5T/A9An2Jij+6+QPs1wL7 +9W33oY+05wd7fRRiZRc9qZb/oI9M3z9VfUCIP+o7nGzqhT6S0HL3B7BDWJ77 +6QfQR+7c3XL7E8wXcmS48SH0kTkfLfd+hj5tX1B59BH0kYx/I2sHhdhlgbvN +occEUn4iexwJhThH2nqw/gmBxulrr5rMwnoN+VXUPiXQu/yFCm+Bw7/X21c/ +I9CNN373mzi4Py+eOlrZB31k/vqtW0RCXDvtwuFdz6GP7NyGfIaE+PWmLQFl +L6CPsPtH534RYtn6TJmSl9BHHC40/gaOOHboTkE/9JF990LvfIXnvfR7a/4r +6CM/Pk7fNwzf/zfNYctrAn1zF+uNHYH7c6nmxM1vCPT4iNw222/ANRK3Mt4S +6MI4HRuZUXg+p8kFae8IVOVn/+M9cG7LoqUp76GPNPmfPP9diAulTigkfYA+ +wiSE5/8Q4u9JNu/jP0IfCd8+w+8n3L8mjz+zbgD6yOW6B1q/hLh4wnDmmk/Q +R6a2bv8HXJk0eWnUZ+gjsfdt7/0W4msFgWrhgwS63Tnwc/8fuN+tefYrREig +46pjTq//K8Tx83P/C2IJVJQqH2n/D/aTUtBxf45AsQ90lOTFWPxuQeRWXxH0 +EW2HRwPAFa214cuHoI9sCShsHcPijksCx2VfoI/0JywsGMviyWtq57p/hT5i +WvA7YByL5w1GSLoNQx8pqT+jO57F11YGfXMagT7yqTVq7AQW7/y65aXDNwLt +s/1PuRc48MbLTrtRAmVVfXp8QJzFH8dGnLf+TqBVI2OKkyay+O9tpUOWPwhk +7zbVYZEEi9c7EpUWP6GPHNT9O03A4tnVswpNf0EfEXNsGgT+8iVms9Fv6CPe +gdGXCBa3Rw+m6v+BPnIqUbWYZPFmvcoknb/QR4jCpyspFmuGJ6/X/Ad9JORA +iQHN4nMG+fEaYiRKaGtznMCwWPVi13q1MSRaLtP77yFwqob1BtWxJDJb+/nc +IUkW36v+kKY0jkQKN8auTZnEYhPbyzmK40n0Z+a02S5SLO6af7to2gQS9W/Q +61OczOIzpySq5MRJdPWe4w4OWObmhiPSE0lUPzfI6coU+L4GhVYpCRLlZieN +2SEN/x431M0ISBTRV3h+lQyLNTx+viYJEjkZNcQYy7K4Ptr4pwRJIs3Ci2oS +cvB7PhyYIk6RiPnQ+/wJ8LhPjjrjaBKJrAbLjsqzeMfuma5iDInuV4xzSZvK +4v7pc9f8AW4amjZu8TQWe1RGFP2UJNEuJ/0LSgos9jJ9dmZ0EomS6xbFfgEO +Usx6OixFohW/g9SvTWfx5xj/cV8mk2iB54aXOxVZnOy1RpubQqKZjUXl4TPg ++0ZPrRiUJtGYiQddzWay+GbyvG0DMiR6E3hpPKnE4sZxT9vey5Ko4/yD1j7g +t60XRW/kSHRQShjXqMxi8tZDtVfyJMqPGj83QwWez3124IupJIpuV3jlrsri +96mHdz+bRiK36QYVqrPgeWNDHj5WIJFugtPiEeCPy5bKPJxOosl3VorfmM3i +FYuTvf5TJNGwWvLFCjVYzy0Pdt+dQaKHm4rXR81hsbpqxMvumSQ6//jgvPnq +LNZ30ptzW4lElXr4Na0Bf6+qF3tTmUSp+Q93vwQe7Q2/2KFCosA3wiWn5rJ4 +W+4Dsl2VRNbzJ0hkz2Pxi4AUv8uzSKS6czpepsniwc1eJy7OJtEE1iBRTYvF +bTOjxreqkeiDvbPWd2Afr6YV5+eQ6FZN8Ntb2ix+uNi0qUmdREe/J+/Zo8Ni +V4Ovk85okKjAvcRjjS68vznv156cS6KYI4cEVnosbl4m1XN8Honcx12+PEmf +xWNerdc7qkkiQ79HSa+BR75OKj+kRSLZJlb7rAGLe86++31Am0TfafH3OYYs +fuD0NbROh0RPwxT3Ljdisftzs3v7dEnUhg2XaRizeNeOFstqPRJVy7uQv4DH +7Ixr3KNPoozYkKtdJizmJEKVdhuQKLgzJbnalMW3ZEvKyg1JZKdaqrvOjMX/ +3n0jyoxIpJZ6+IO1OYsnVpdnlxiTSPDgcvUUCxYHhK37W2hCos9ajz3fAeeH +bd643ZRE3bkc1TwfzsP1+z+3mpHoxEvxa3kLWFx2bEXqFnMSlZjO2OhryeJ9 +1jPFcixIFF9ipK9pxeJnxxRzs+aTyPOTy8AfYFZmOZOxgEQmtqv29SAW59Xf +rkizJNHUqo3La61hf2dsnL3RikS/hkuZeBsWG98LO7sBkeiF65EOO1tYr0sF +CxOtSXS54UqqrB2Lv68TPY63IdH+f48NPgJvlixaG2tLos3eok8tC2H9rkaK +x9iRKOzUxP3b7FmMTmXsi15IIkdipo+/A4stxvfOj7In0dwQ40k6jiw2ehPy +LNyBRFSb6w2xRSxWS9RNDXUkESsdmn4fmHlnNjNkEYnurkk1qneC97k841qQ +E4nOXN8xmODM4k/fxkUHOJNo58yjdQ4uLB776ZqMnwuJkjZc9Z3qymJ/n/Yr +Pq4k8rn3ROoz8Iw1/2KWu5HIYu7QzTY3Fse5Jit5LiaRYrZERuFiFheq6P3n +sYRE/57NNAlawuJj0nPylriT6JWhCavnzmJJlxVWbh4kai9wOzDOA75vqPu7 +81ISHXgf6vcA+OG8TWcXLSNRnlXalINL4XzPiolz8CRRVEVZ54ZlLC4YX62/ +0ItELkNHM508WWz+lRyxWU4ibad20+lecB6mtrQgbxJNqnvKCYGDaw5kWPqQ +6MuvoQa8nMX/Hb+3aL4viXqXCQJKvFlsuGaBjPkKEp07riQT4sNiKfGB1yZ+ +JKoQN+0y9GWxWG3vaSN/EqUELs4WX8HivWFiOQYBJPI/H2b+GLhwU7SPXiCJ +rKTShw77sThdeqquThCJlKN2Htroz2JlN3GB1koSjWs/FugaAPngafh2bjCJ +3ilck50ZyOJ4j7or6iEkurH+WbcI+NTapbVqq0h0uPvL5qtBcN7v22XPCiXR +NjViftlKFsccTApXCSPRmk3KX0ODWXxEZtBVKZxEix+bHjEJYbG3/X7jGREk +0tdbslKwisURwZXK0yNJJJ0fLv8MuLe0l5kWRaJvr9N7joWyeI+Y+1+51SR6 +bFGemx7G4uu9kiKZaBJdKDu+YEk4i0NNpd5OWUOiKuG1YeUIeN5lnk+l1pIo +3b7v6FfgII+++5IxJAqq+RrcEcni8Ssauul1JLL5TkzbFcXig7vOdJKxJJrl +rnIvYjWLM/TGdwriSDTxiFmeeTSLu90rbk+MJ9HAWHcrag38PuV1PRPWk+j2 +iohvz4Hfdm57MC6BRMfPbjp+Yi2cj8TPz8ckkqiI3rUqM4bFKa5FH/8Bx4Y1 +KixdB/0hOWnkTxKJluKO+7NiWVw1q3787w0kMpJ/vvUbcFKSjOzPZBLJxQ6j +m3GwH/bc1vieQqIft8jvu+NhP7Ret/q2kUR9KqonVq9n8Zx/4t7DqSS6tNE8 +bEECi61Ltsd9SSPRvl53RclEFs/cs7xIlE6iLK3I3n7gUPuIRnYTiVblZmw7 +ncTiy22XegYzSGT/cpfN5g3wPHNWfP2USSJ10xM/PJNhP59aMHUgi0REyfWT +c1JYnJkdZv0hm0SDA8/DfwBvv/Fg9bvNJLpjMzLj9kYWZx3cVvEmh0Qn91AP +q1IhH1zzbrzKJdGOYdWCtWmwH17f/v5yC/QRVws7lM7i4yVemi/yoI80ePyS +2sRiy83qwX1boY/8izz9BtjnrXXl03zoI96ZkU0ZMA/79vc+3gZ95GSF0pZM +Fi/a6Sz1aDuJXgpOPvLOgu8zn7/kQQGJrgTfKJybzeIJI+tL/iskUV3ri4W/ +gS9yI733ikiUI/3td/dmFpcvw9PuFkMfWUOfrcmB9+N6L/hOCfSR67NWx+ay +eMsEzeNdpdBHZs5Xsd3CYpWGnh+dO6CPbFj6RDoP+qVPm+OtMugjd6OK3wOr +OX/ZfWMn9BGNLIfzW1lsWx8r7Cgn0dms3X+35rN4f5G57bVdJCp/drJpxTbo +Tyaue65WkGiD4c1ore0wT68fHrm8m0S+BS9V/wF3hy/xwJXQR95/e3q3gMXP +7axPXdwDfcSKKd1fCPmVlzq5rQr6SMXsReuLYL/4j028sBf6iGi+mH0x9Ef2 +/rPz1dBHFi1rliuB8xvG2jbXQB/Zv3rtAPBVbllj0z4Sbf2VNbu1FD7/rLjC +2VoSrV5W2bd9B5y3/nH5p/eTyPX4qR0BZSxeWO3y62QdiXTEbznp7mSxlvyr +tSfqoY8E9o8ZW85i3+Qrb48fgD7SPHr+P+DGQaHfsQboI5Mk1x3YBfNub9ij +Iwehj0SqzUmqYHF207xlhw9BH7m64IXjbsj/oAX/HTwMfUTBc+e0SsiTzj3L +Go6QKGB9tMsg8L/pDo/rj5IIdWePu7SHxReyrQLqjpFIRW3PhaIq2M8aOe9r +j5No/KbTsSv3Qn81l4/d1wh95NEtdYNqFrv1f/9TfQL6iO6rl+NrWDzfYU7B +3pPQR7Z+L38IbFtZN6PqFPSR15Juh/bB+o5fe7ryNPQRizkTUmpZfONUruPu +M9BHyizbnPdDP+gS9u86SyIDoWe8Yh2LczPrNpY3kUjGfs1cDvj7z4PyO8+R +aLR686vL9dBnVv1q3tFMoiejeypKD7DY5tNen9Lz0EeWnFm8qoHF05uL/hS3 +QB853ClufBDe57e7dUUXoI+MfX1x4iG4P/SudClshT6y4sf6J8AWaTaj29ug +j5ydpHn0MIsNFOLrt12EPkKrv0k9AveTp1+X5l8ikUSYVaXbURZP+3BtwlZM +ok+XvNyVjsF8j37fsuUyibrk1kp8Aa4u916Xe4VEjetycPtx+PwSBY2cq9BH +blUl7myE/pCn8za7HfqIylmt8BMsTjhYVpt1DfrIxttvTU/CeZjmvDKzA/pI +7+s9xCkWb2SWqmZchz6i9dOjD9i08diH9BvQR3KkiMbTcD9Q825Mu0mi5y/U +r2w6w2KX/Z5JqbdIhE3QBvezsP/dDths7CRRbfFyHdUm6F/LHSal3CZR9sDa +98PAqwcs+jd0QR+xyd17/Rz0Z53s00nd0Ef27F1W0Qz9fbnilsQ70EeGz5JR +52HebCcCEnqgj7h2XbVogfn+y8lk/V3oIwfeJNMXoG/1vJgcfw/6yN+fui+B +9xtfF8XeJ9Hp5ZM/nmxlcazfmHvr/iNR2UmNmqw2yMM1xWdiekmUKLD2WnYR +fn95fMXaByTyDvam1S6xePm4o5vWPIQ+0hpzbRRY95VxZPQj6CPSWzbewixe +4yfnufox9JHoav09l1l8otbFNuoJ9JGOpoHoKzDPnz80iHwKfWRG9z7Lqywe +mH9OLeIZ9JGkt8sntcN+HvykEN5Hoi13fzGvgYvlE6aEPSdRpMaU62euwf2O +XUaHviCRc9bctJwOuD9U5gtWvSSR1jNrw+XXIX+cZCVC+qGPGPp8Vr8B9+G5 +3yWCX0Ef2b5u/0/gSRG61MrX0EfebfHpugnzVQdLBb2BPmJZM6n6FovtGuun +Br6FPrLr3I2YTshvqVeqAe+gj4i6061vs7g0Z72u/3sS+S16ZzSlC+axaaCV +3wcSWe7/PfgW+K5X7ZIVH0mk9GtK/bluFkszFqt8B0g0dtm8FXl34H1t00zx ++QR95JjNZN8e6Ge/E0q9P0MfmeB7a95dWN8CuePLB6GPBMRm/AGuiJbq9BJC +H2nOM+m5x2K9GyEDniz0kUn72H33YZ51MqQnB30ksvlA3H8sVqyfrLNMRCK9 +q3f87Hqhr2fGeC4dItEUhfdTZB9A3yuane7xhUQj8X86PwBL/zE87P6VRI+6 +pLNaHrL45Le9D5cMQx+ZrWm27RHcZ44EiS8ZgT6Sbivyewx9fmGa6eJv0Ece ++R7UfgLn6dvXaLdR6CO6cQFiTyHPBq7VuX6HPrJ1q8x94MNuoj6XH9BHXu/r +qnvGYsIjUd7lJ4nELc5nJ/Sx+Jfyci/nXyT6uKPH3OE5i1cOlpY7/SZR5+D7 +IfkXcJ97qfFk0R8SHVv499AnYCf16TMW/SVRYbVMUNtLeL8jkaGO/6CPjGrK +FfbDfTthygkHMQotXWJ3J/AVi38+kP9lP4ZCRodX5Oi9hvNsl7LIfiyF5MbG +zx/3Bu6DIpPKheMo9MM3/2sv8IyJHkK78RR6dqb2SMNbWK9zN23sJlDoItWy +csM7Fv/Qqqq0FadQTehdeaf3LL5f2TVsM5FCmZc+9Ch8YHHLPF93GwkKhcj9 +yxUCS0ouPGktoJD9OllL/JHFVvEFUtYEhdRvaY0UD7C4NdcgAZEUIlQWHgv+ +BH1gg8kzK4pCgyl+IYaf4bwk7La1oil057/4aeKDLFZo9Gm0ZCh0UnPbvUfA +8ks2KFhKUqg0Z3/eYSHkUf5I/oJJFFr/osVqI8viedvu/Z4vRSEvk3vfXDh4 +H5lU7PzJFDIt/nh8hgj6cFXDB4spFFIY+LdKBLyc2BdkIU2hP9Zy068Owfr/ +/P7MXIZCLyu1/9vxhcWdlad8zGUpdOXrwvzQryyepdD5xEyOQnUu/tYmw/D3 +R2z8zOQplHNg/XeJERY/TlR4ZTqVQuF/t514Cmx6clmE6TQKLVpeF3bsG/Sh +HHbIRIFC805eUEwfhT49eyDNZDqFaMH93sXfYf5iO8pEkUKilQPblH/Afs8a +s9d4BoXuXxCz/Qo8r3qmrvFMCp2dIv/z2k/om9Y1HUZKFCqP1jlV/ovFl/Zk ++BspU2hDh31ExG/4/L72UUMVCvnOCJhp/ofFDfqRZYaqFJqflPCQ/MviyXfW +GRjOotCMu9sLngNLv3jaazCbQmIa9XYn/sHzlR7cYKBGodeZrb8yxDjcN/XR +DIM5FOp4ev+0xxgOX6mPvKGvTqGDBp8iZ43lcGJwYJy+BsX7cByuzTo/U38u +xftwHB41TOjRm0fxPhyH9Q/vzNTTpHgfjsMKktOM9bQo3ofjcEb5WKGuNsX7 +cBy2ilncoKtD8T4chy1uiQXr6lK8D8fh0F5ZJV09ivfhOHzuckm/jj7F+3Dw +fZdi63QMKN6H4/DH3+cidAwp3ofj8NCxlbo6RhTvw3E45m/sT21jivfhOFyk +/PG6tgnF+3Ac3mZ/bae2KcX7cByu2yserm1G8T4ch2cvP2GubU7xPhyHw+su +TdK2oHgfjsO3TxsOaM2neB+Ow21NUte0FlC8D8fhU32etVqWFO/DcXie95hM +LSuK9+E4fNZbOkQLUbwPx+HXTJGDljXF+3Ac9joaq61lQ/E+HIdbA9rktGwp +3ofjcIdPzDgtO4r34Ths2J0/pLmQ4n04DvcOMK817Sneh+Nwcv+vXk0Hivfh +ODz2tXunpiPF+3Ac9p0pdVVzEcX7cLAfbpm1aTpRvA8Hv0eq67ymM8X7cBwe +p36zWdOF4n04Du+w1G7RdKV4Hw7WL/dvm6YbxftwHH6jY9auuZjifTj4/9e8 +uK25hOJ9OA5fW8s+1HSneB+Ow7FhkW81PSjeh+NwUM7iYc2lFO/DcVj0e5+4 +1jKK9+E4XPDXX0HLk+J9OA4fbc3V1/KieB+Ow92hCi5ayyneh+Nwmvb0CC1v +ivfhOOzhsDVXy4fifTgOC18FH9TypXgfjsM/dQ53aq2geB+Ow3ohfiItP4r3 +4Ti89HiqvLY/xftwHNZChK12AMX7cByucJ2wTjuQ4n04WN+Ja2u0gyjeh+Nw +U43dPe2VFO/DcdjNcesEnWCK9+E4bG8wf75OCMX7cPB8pf4JOqso3oeDz68Q +ntQJpXgfDn5f0iCrE0bxPhyHDwb56uiGU7wPx2HjLSZxuhEU78NxeJbi5mbd +SIr34Tjcvgz9042ieB8OzlNQ9CK91RTvw3G4JE6iXC+a4n04Du+9KP1Obw3F ++3AcllxfYqy/luJ9OA6vv5merx9D8T4chwOEff366yjeh4PPlzlmZhBL8T4c +vI/4wTKDOIr34Th8yaz6i0E8xftwsH57rngYrqd4H47DC297NRkmULwPx2Hx +3/7TjBIp3oeD3xf0KNMoieJ9OA7/mNf+2WgDxftwHJYpUfY2TqZ4H47D369+ +uW6cQvE+HIfPDBubmmykeB+Ow6t82KMmqRTvw8H5mzVVxTSN4n04OC95zZWm +6RTvw3GYaLklY7aJ4n04+D0Di3eYZVC8D8fhEFenKeaZFO/DQT7Ltew0z6J4 +Hw7eT2rVNItsivfhODyhcbjWYjPF+3AcHui/Mm9+DsX7cBxe6Tj+/Pxcivfh +OEzKt9kv2ELxPhyHt2cPPFqQR/E+HIcrL21fbbmV4n04Dg//PjDGKp/ifTh4 +3jijSqttFO/Dcfiqh4UR2k7xPhycx3vN91EBxftwHH6uejTOupDifTgOZ6XK +yNgUUbwPx+H3kqMtNsUU78NxeNrkJSttSyjeh+Pw/GYl0q6U4n04DicYRDbb +7aB4H47Dvy5ohC0so3gfjsNMVrCc/U6K9+Hg39um3LYvp3gfjsOK+xZkOuyi +eB+Oww8D+80cKyjeh4Pn1/097Lib4n04yCv7ktOLKineh4N59agmzmkPxftw +HDaRVTdyrqJ4H47DG0zn/nTeS/E+HIePxDVccammeB+Ow7k/qra51lC8D8fh ++2MYb7d9FO/DcTjw8g+1xbUU78PBfokK+r54P8X7cJD/BjZdS+oo3ofjcJRH +zX73eor34Tgs+ytpo8cBivfhONwT3OW1tIHifTgON16qMlx2kOJ9OA4/tR2Q +9jxE8T4ch3PmnB71PEzxPhyH2erRPq8jFO/DQf6+bLm2/CjF+3AcNpjxo9H7 +GMX7cBzuLG7a43Oc4n04DpdFc/m+jRTvw0EefGhIXXGC4n04yHujvnV+Jyne +h4N8KywK9z9F8T4ch83UrgQFnKZ4H47Diwxi/QLPULwPB33gc41v0FmK9+E4 +fCHbdcXKJor34WC+6qcEBJ+jeB+Ow2LaaqtCmineh4P9f9Q9etV5ivfhIG9f +/U4MbaF4Hw54gvrmsAsU78NxuMr1vx3hrRTvw3HYR/T3QEQbxftwsL6qRy9E +XqR4Hw7mr+Lje1GXKN6Hg+eXyf28GlO8D8fhk1ZNE9dcpngfDt5fb6ja2isU +78NBvktUOMRcpXgfjsPvprisXtdO8T4ch500s0pir1G8Dwf7Ic/8QlwHxftw +0CcCEt7FX6d4Hw7y9r3+lIQbFO/Dwbz2jLdNvEnxPhyHHd4YJybdongfDj7/ +etrRDZ0U78NBfzByfJN8m+J9OMin8HLFjV0U78NxWK4swje1m+J9OA5nDl3a +nXaH4n04Dks1736W3kPxPhycL4UfMzPuUrwPx2G15c/DMu9RvA8H67XP/mTW +fYr34SAvtIx+Z/9H8T4ch3+bHHbK6aV4H47Dp0f278l9QPE+HMybahVuy0OK +9+Hg/ESqL9z6iOJ9OPj8orN78x9TvA8HeWp/4/u2JxTvw0H+XA/yKnhK8T4c +5J9D5rnCZxTvw8H+HKMxtbiP4n04DmfP804veU7xPhyH5f8y70tfULwPB/37 +pOuSspcU78Nx+PJG6Ys7+yneh4M8LQ/R3PWK4n04Dg+aWVRXvKZ4Hw722949 +kyvfULwPB/3ix+ate95SvA8H8yRndOzedxTvw8E8SPmaXv2e4n04OL9SyX9q +PlC8D8dh/8y89NqPFO/DwfsepzK2boDifTj4vJ6FefWfKN6Hg7yYMTyp4TPF ++3BwvjW0qg4OUrwPB/tPfUjjsJDifTgOC5ahC0dYivfhODz33TTXYxzF+3Ac +3iWd+fq4iOJ9OOiLCvEpJ4Yo3ofjcLPekPSpLxTvw8H9oeTXqdNfKd6Hg/cZ +V+p+dpjifTgOm0qe+9o0QvE+HIfv1oRVNH+jeB8OzpN/vVXLKMX7cBz+lxkz +cOE7xftwsB/sb+5s+0HxPhys75tGu0s/Kd6H4/D5UtVv+BfF+3AwTwrVjlz5 +TfE+HIcfMeeD2v9QvA8Hfcbt8dSOvxTvw3E4NXfLg+v/KN6H4/B+UceOm2I0 +78NxeOf1Hcs6x9C8D8dhaZshua6xNO/DcZirevG8exzN+3DQn6X8GnrG07wP +x2Hzx5Gx9ybQvA8HfVhTwuo/cZr34eDvHU0kH0ykeR8Ozsuq0VcPJWjeh4P1 +bHNsfiygeR8O+lf+vKKnBM37cNBPJ+6L7CNp3oeD/I1psH9B0bwPB+f3l6Va +P03zPhz05XeREq8Zmvfh4N+j5gjfSNK8Dwfz42pS77tJNO/DQV6rr7j0QYrm +fTjI38f3jgxMpnkfDn4v2bf78xSa9+E4vO9P8jahNM37cJAPH49u4mRo3oeD +PiURlzgkS/M+HIfH7OqK+SpH8z4czIue1tUj8jTvw3G4XGgVNTqV5n04Dh+f +57P6xzSa9+E4rNoxMeaXAs37cBzWHnFO+DOd5n046B+js9P/KdK8Dwd5Ll6+ +dcxMmvfhOHzAv2rXOCWa9+HgPJiZHZqgTPM+HIeVe6NbJ6rQvA8HeRplck+g +SvM+HPz/xrsHyFk078NB/00oHc/MpnkfjsMoWFVlkhrN+3BwPzV1sZk8h+Z9 +OMgTQzpUWp3mfTgObykNy5fVoHkfjsPFBd6n5efSvA/HYYngN33T5tG8Dwfr +5zKRUNSkeR8O+tKWa2YztWjeh4P7pLtCtLI2zftw8PvekrWqOjTvw3HYLr38 +8WxdmvfhOHzDt3Wyuh7N+3CQH+dSFs/Vp3kfDu4r3b2FmgY078NBn39+6662 +Ic37cByeqewro2dE8z4ch3c/zPEzMKZ5Hw7u2+auDUYmNO/Dwb/nnfxiYkrz +PhyHW/6esDY3o3kfjsNrnzjvmG9O8z4c9DfPzR8sLWjeh4P76EkfS+v5NO/D +wXxX69plu4DmfTgOfx159nWhJc37cBz+67vVw9GK5n04uG/t6D3jhGjeh+Pw +x2cX5Vytad6Hg3kVtzB9sQ3N+3DQh8pWf3C3pXkfDuZHuu7SZXY078PBvIgp +u+K1kOZ9OMiP+l36PvY078NB31lh3rDCgeZ9OA5fvJs6PcCR5n04WA/bwJ1B +i2jeh+Nw9NCrSSFONO/DcVhFfkJRqDPN+3D/m683JSNcaN6H4/Ccm1o7olxp +3oeDz+s2mLrGjeZ9OMgHu2e1MYtp3ofjsHrULK24JTTvw0H/S5NsXe9O8z4c +9JOLVc5JHjTvw8F8Cu96kbyU5n04yLcL1etTl9G8D8fhlOEp9CZPmvfhOHxu +meahTC+a9+FgPk39uHDzcpr34eA8ZDq8z/WmeR8Onq/LaetWH5r34eD9mnzR +3u5L8z4czL+xlo8KV9C8D8fh6mjtrBI/mvfhOLzxbLtOmT/N+3Acdp7692V5 +AM37cBw2evq8dHcg5O8nuUmUmAjHGIc4VgXRaO6uus/rgW3XFIrVrIQ8ttW5 +8RxY/FJga20wjSjRhf32Y0RYOeLRhvoQyOcq+/QTwNTREdODq2jEOt73kR8r +wouvX/h1OBTyesTfKBM4+4falWNhNLpbOzDpE/CSzeZbT4RDfrslDHqMg+9v +GFl6OoJGp3+K3WwFPlzjrdwUCXl+cHvdrPEi/PREyFBzFI3KlspvKgD+JCt3 +7cJqyHexet9vwA+k43dfjKZR4jEd48AJInxgICn28hrIe+9WqZvASQ9mu7Sv +pZH3BAehrrgIJ8inaVyPoVHnqfs3dwO/+JImuLUOzmdAQP3YiSL8p1598HYs +zAPi06bVwLoxaffuxMF5bU5Y0Qv8oSS15V48zIeQMSYLJES4xlWtvnc9jf5J +FkxuAP74OqXkUQLMizZ5lhGIcEhpSubTRBq9iqi/lQR8pWr2+udJMD9kdA/0 +A1+1SY3s30Cj9iutGYsIEbboSF/5JhnmyVoHv9PAdKiW3/sUGh2Y9p+JAinC +WiF5PgMbYb7cCJiyGbhGrNhnMJVGW+I/sYPAG9Pt/Lg0mDczEzs9KRF+p9iw +8ks6jSK7xjRcApZRaooc2QTzZ0NB5hxahD2vx67/nkEj59lT/YuB/7j3Zf7K +hHl0r970B/CG8SMlf7NopJWuKx3MiLD1zLb6MZthPs1t4zqB377RuzA+B/Lj +kcNtA0kRFivzuj8xF+ZV9n8NVcC2sXOFxBbIE53ArAmTRDj64nGCyYP51ffJ +fy2w64X+uVJbadSbl2j2CPjG4XZX6XyYZ0ZjZZCUCN/p9oqX20ajc68KRIeA +wyIq90zbDvOtcGqX1GR4362F1xULaFRhfuBgCvBpgeGwUiHMuw+62W+Ar5fl +z5pVRKOUHW0BLlNEWKOmdPmcYph/Vo7mTcCmq10K5pbQyG/wP5kZ0iIcaHG+ +Q6sU5mFF4FAusILHQzG9HTSyXPi5iwP+NdRgaVgG83Eo8ZC3jAjX+s/dZLKT +RkrVYzdfAcaPgq6al8O8dCoMnCsrwvJ1ThKWu2g0dnSqxQ7grLEfl1hXwPys +OyD7G/itqVmV3W7It8V6X1bJibDxVstPDpUwT3+3dXcDZxt/N3feA3l3yPGw +sbwIv88OK3Srgvnq2bu5Brjg7Na37ntpdHhMUJDEVBFuJoMXeFbDvG38bBEL +3NTFVXjX0Gibb5LcU+BP5gajK/bB/BUf99Vmmgjf3q3pHVhLozVnCu8cBX6u +2dcavB/mceC0I9IKItxiYqMSVkejxVRDThqw4G/Atsh6mM/n9Va+B85v1x+N +PkAjvdCL8xdPF2GJrouh6xpgXkstkj8PHLpC7FH8QRpNudT7VUlRhEubfjol +HYL5HRXUs/V/POP4lZTDNBqRHTzyBTjtqZxF+hGY5+1JuStmiHCKlkVz5lHo +jzHjgq8BX1shZ5xzDOb79KIFWjNFuOHwsea845C/N6dNLQfetOKXxfZGmPcJ +DcN/ga80jWsvOgF5rKx/N1xJhIW/O1x2nIT5f+fi0bvAuxNsnpSfolF6yqIt +ZsoivGZVckTlaegDag+C9wOrMqt/7j1Do6D/gixJFRHOaZYrqj0L/WDT4NT1 +wOsPbFI70EQjG80NI33AL6fXXT50DvrC43H3FqrC+gZn+R9rptGsnKJjjcAu +bYp/TpyH/qCnkCc3S4S3r02oOdNCI/EXDSEZwB2Xtto1X4A+ka9vNQBs+8V3 +8EIrjT4aX5rmMVuEI9wGyi+1Qb94s+jbBeAD8kZ2Vy9CnhU9uKeqBs9fbjnc +cQnybP7K49uBi2jxg7cw5NnHwbyR//17+za/7suQZzs3rAqYI8Lk3x7pe1dg +PliPRzeAJ4z7r6f3KvQRtkhBVx3Op/zOgsftNIqtVBitAH6WLevWdw36if3B ++2M0YH9tWy7V30GjpV/1G6OAvyd4P3pzHfpKzaWt/wHfy5u678MNGhm5OIXO +nwv7n9iz+vNN6C/fH6ADwAHWz824WzSSO7ByOjNPhLvi+4mvndBn3IWjicAO +H+tffLtNox9/Nvz3Etj33dymn13Qb46MP+GoKcIHT8UV/u2m0TOv4vxTwC93 +pEaN7YG+M2562DQtWP/7jovE79Lo4omD1tnANk2P5hL3oP/4GSgOAutnaUgy +92lUI4G/L9MW4coMy29S/0GeNTn1XgQuHJXtl+mFPFv58ISajggbap/pmvoA +8owO3lYEfH31lDbFhzRadUEY9h24eNSkUfkR9KWwZJuVuiI8LK9cN/sxjeyn +TJjRCbxKrqdS4wn0J1z8Q19PhCPNbXZqPaWRevT0B3uA995KKdV7Bn1K/tDJ +8foi3Egllxr10YjoMNi+BjjAecFOs+fQr2Jx+EPgrO4blQte0GhQ0dnWykCE +xz+Tr7N+CX2r8+GMQ8AvW3UbF/bT6E5i8M9JhiI867xk26JX0L9U2QfJwKNy +57pcX9PoZE/yqdfAxaqz+t3fQB9LnVDgbCTCZqq+3zzf0qhUvSTiLLBHoLek +7zvoZw+m2ykai7Cb0sx5Ae9ptD7z0Mxc4DONjYuCP0CeaRn+YoEVl05cHfYR +8uwpfrjcBD7PS6MoagDyLNf59GXgTjGZc2s/0cjM4FGBhqkIH9rT+TLuM/S5 +l8GRpcCtMU5U0iCNFLazdr+Ax10vs9gohH5nmqK0ygzy48PhNZtYGv15O+F3 +F3ChYv7+bA76XknJIyNzeF+njJ5uEdHo5QLFM9XAdz8fk94+BP3v06HCiRYw +b2RF7sVfaHSl3DBqHXDxxn8lZV+hD9peXvgE+FzIs96KYRrVcc7KNvOhj8hv +nrZ3BPph1aPfR4BPvP0RXPuNRjmOIY+nLBDhv5MWNB4Yhb44zJ5JBa7/4Pr7 +8HcahdemFL0DLj2t6dr4A/qjq/hqN0sR7ml9su/0Txot+lli3wwc7+o5eu4X +5FmDooqSFXz+qZolrb8hz5Ye/pMH3KHfcgz/gTz7Z/hkCJhR2E9e+wt5duzy +WV8kwiNnfdfc/Ad909uluB34j9Obe11iDBKNf7xa01qEL8yZb3ZvDINWngpx +2An8aGdo3YOxDLrvz6n8BZbpD5z0dByDbImNf8NsRNjefV7Gi/EMOntO/GkP +8DLDm19eT2DQ7JDSJlNbEZ7faxzxQZxB5ZIzSmqBpVKT+j9PZNDEtsPRhB3M +y8T8FSIJBm2IMHKMBzaetO7JsIBBA9JXVPuAp+xQ9/1BMMj3iss/u4Ui/MPp +7PM/JINur3n89Djwquwpq8bSDJo/bdU5WXsRfrPFXijOMOj4da5kE/CMetdk +UpJBM+I3rvkI3K2qITFpEoOKZk5c5O4A/crjyW5pKQaJdZXOugD8OjVAe+pk +BsVumCGm6ijCc9jzHYpTGPR61pFn24Brv3wMVJFm0LJ7Rs3DwAkP2d9qMgzq +SLtS6r8I5uO7zqp5sgwynuu69jrw3DVpVrpyDDr48PEiHScRvnxe/J2hPIPk +s1fNrgCeJhNeYDaVQVt1RGJjnEX41p1qU8tpDPr5bGNfJHCsfuN7GwUGrc6b +eP4+cM/2nbscpjOoz3DHDgsXOE9zPZ1dFBnk+mpGTD3wOB/hGPcZDLpUcMSJ +dhXhtlUBrZ4zGaRjbqyWCMxVHkryVWLQvvdXxrwE7nDsMg5UZpDUDtfnDm4w +T1tvfQ9RYVCW1ZPzJ4FXotqLEaoM+vp5VdnUxbC+s5bmrJnFoFUVopgs4Ixz +LxfHzWbQA7tU58/At20cFJPUGGQ/NHHOsiXw++XyhRvnMKh5746xF4F1sw5d +zlBnkIbTzBez3UW4/+7+8hwNBlV+O9JSCLzWPTkmfy6DyDrjnaPAfe6azkXz +GJS6+Oq6IA+Yd0ptGmWaDBL+cnW5BfwfoUHu1mJQwKEnc/SXwvkNjOf2ajOo +Z1nouD3Au9bsebhfh0FozNCLcctEuCKr9vJBXQadOp56IRrYT5hz/Jgeg1R8 +JcofAGf+dtx7Sp9BO8TLYi09Yf3+fio6Z8Cg8Wdmuh4EfmQTndNqyKCEwKPq +k7zg/Mt1p102YtB70mR8MvDiLjq5w5hBy89fffkK+PQJ7aROEwbdXOXW6rRc +hMdK6W7oMWWQmdTT8jPAaVaTU3vNGHTkYmjcdG/YT/kPsp6YM0ghasg1B9jd +OmX7CwsGbZdN02CBd5wR2/1mPoP+XpWYsNwH5qdu5KGPCxgUE1PWj4GbJc5e +EFoyqF9BqU3dV4SJba96vlgxyP3m0V0lwAcmfvkwihh0db1J/E/g5Dvvxv6x +ZpCBcrtbyAroAzoXlcbaMqi+221uF/DEjRusJ9oxSCbl6QQjPxGWGyMfSi1k +UK5a2Ku9wPTkqm1S9gwavT/UJu4PfeDv+CZZBwZFbEqriAFeJrv0lYIjg57M +E6x/DHz2SO4k5UUMcnpcttg6APovtc9GzYlBrZuV5h0Btt5WkzTPmUGaesfE +pwTC9yfnnNB1YdDe5yavNwKvUPP4ZOTKICa//eJbYNu3E9Qt3Bi0yXjxbtcg +mGfjaiPQYsiz10/XnwMOeah6bOESyLOisCUzV4rwzOOFX5zcGfSfxZd5ecCJ +T15ZLPFgkN3HtIlDwO+PKeZ5LmVQU5ngjU+wCI9Jtnnku4xBatY7L10FTiha +ohHkyaBdQqXKeSEwT20c00O9GCRReSyhDDjvtcbDqOUMSrY3df8D/O3qiO46 +bwZ9+tKuGbZKhJcbHStM8GHQiprFEj3A3dtcuRRfBnU5P3tjEgr5L//UI2MF +gxZ8D8P7gH9oebTk+DGosf5LpSBMhFfPOKeyzZ9BM93TE+OAo+aLFxUHMKj4 +j8DjGXDxU5u/OwMZNObITi27cJh3ztHr9gQxKM5LWXAcWPt11rt9Kxn0Zuzx +tzIRIrx0KNe/IRjy7ITp5XTg0dsbHh8NgTxbcW3PB+B5Hd5ep1ZBnkksSVoS +CXmlO+fRuVAGHTr7zKMFeGLQW9+2MAZNXRmurRIF8/5Q8asr4QzKp78KtgGv +9NNcfSOCQb9a0t99Be7qaR7timRQdBhxxW81zF8vgy33oxj0fHJ5VQewp23N +1MerGeSGlTdoR0Pf/Pyr8Xk0g/Dq40t3AevVLnJ4s4ZBuvJmOmJr4H235L3+ +uJZBtdeuEZHAOltbMtgYBk2OXfL+HrBPSJ/y8DoGZSv2XTFfK8JHq4Y6fsQy +aPhW+N464OKtP6L/xTEoNPHrBioG7ivpw7IT1jPoocqmZQnABndftxMJDHLo +IXRfAFv+dy1+UiKDzm8sJx3Wwfnpq1STTYI8U1f5cAL4JgrtU9gAedZ7/Kp8 +LOS/56ydysmQZ5lm1ZnA59Y+XjInBfJMqyP5E3D160xJrY0MYp8s8VwaB38v +rnxPP5VBgbl9um3AF7XP7TRNY9Bd/QhqdrwI956y9rdMZ5D1y68fCoDHvWuf +Y7eJQae3bWr/BvxDccHIogwGqZqSNYHrRTj99PGOxZkMKntbnnITOP67zG7P +LAZNKFHx0kuA/FyYGLMim0GJCxr1KoFPie44rtzMoA8DZvS4RJjfi5Vmh+cw +yLu84+Nq4AvNUePX5DLolo37tV7g7RmN7+O2MMic66tZkCTCmmKDtzfkMejo +noiNDcA6Bapn07cyaLrjsJfkBjjf6Z41m/MZVDC8SX8D8Ny5WQX52yDP9pHM +K+CuD4fTi7dDnrnuGliULMLKTHdceQHk2Q+VjtPAlsOfI6sKIc8aGvcppEDf +HBJftb+IQe0e5qmbgRv8Zqw8VMwgw38dy4XAewv0VzaWMOjAUXcDr42Qx89s +V50tZZCs93MGA6dXe0Rd2MGgLeMjP81JFeGTWoHxl8sY9P3kcEcxsMyryE3X +dzIo0j+j9gdw6aT4wq5yBj0VUGnBaSKsJr1x3/1dDHI+t8v7NvBn26ymxxUM +agtWNTRMh///e173i90M0pI8IbkXeDiv6OPbSgZVt5p/nrBJhIfcysU/72GQ +ZMT162uBC/fvnTNUxaAMaY/9j4BX9x1wHt3LoKHLz9NQhghbeZ6I+1PNoOA1 +kT6HgV+GXqgatw/ybOqI4eRMETYNv3FLUAt5dj1j0kbgpacf/pDcD3kWRw2+ ++R/v+6gpWwd5NrPihksW5F/+n+Dp9QyquK1a1wQs2ylTpXKAQYINJ9JnZMP9 +6bzeY/UGBqXMsvDdAtx8wl1O5yCDPt+9biQCHpJI9DE6xCC/NA8pn82Q5zNq +qi0OM6hb48XgFWB6Ufd76yMMsnwYeXNuDrzfN2L6jkcZdCJrpG4HcKTj/7Vo +33E5vX8cx20y7jNI9gxFEpGsOqTMr8xsyighStmzUDIzQiQrM5KVzE/ZQmTP +ZCQjdM5d9vi9f4/r8+frEdV9xue6Lp5tF/Q6YNLqNAud/xttlTkzs/9Bk7b6 +afmhY8Pzqd4jajA00aQVW7LRKQNtnCg/1+eQSQtuaa22jsD6dt37oV+SSXuT +fejTVrTFxDMtJx02aQNWtLtWZkk+7SusHR1yxKRdbnMlPghN55d9n3XUpLV+ +23fBE3QH+yIjQ49hnq3JGuoWifm4du7ViOOYZ67jWx9An+lZotXKZMyzj4Wq +5dJ8sk1eF7/uBObZhtDPc9EPGjez2pxi0gI6V0h/i3YpdW/59pMmLSt/4y7P +ZZinOxeW3HvKpHlusQ5NQR8f6RKaeNqkpXZLGlZ3OfbP0SWKHD9j0pp/bee8 +FL1+24PQ02dN2o4dVyqa0QnPj5U6f86kVfTs92XoCtyPvVtXXiWTtuhXVvpF +dPrwDVVvpZq0wj3jdzddmU+TB8fuuZ9m0nz7fw1djy7/PdH52XmT9rBI2PB/ +6Fbrb914dcGkdT1Yoc24VfkUE/V39PuLJu3k4JhKmeiSndv//XLJpDUu1SC/ +TVQ+eVeI3Pz1sknbfCTp+g50Urecdn+umLTyI9vvKbcaz4tXnxfFr5m0ueWu +hoWgM1bdXFw2HfPsRL8Rz9Et+w9pplzHPBvzoo3Hmnw6V+T7U6sbmGfyBMtD +6H/G7mW1bpq0Tme/5lutxfluiZ9LgwyTdtQ/7MYCdHjttgVNbpk068qmve/R +YZa1D7a4bdKiz8cs7Lsun3ZcrezfJtOklZrcYORpdLkNdW20OyZtevXDba2j +8+l3lusHj7sm7d2V9pVXoO/+DTr03z2TNjjkql6IthiQMr3/fZOWXqf/zRHr +8f5oFd2GPjBp7W6+2HsFXbH2QmXUQ5N2YOaERQ4b8D72LvN63COTVrPht5Ex +6OaOO09MfmzSVt4Ja1dsYz4FNuy/atoTk/ZvnslqArpPZPXxc5+atMAmm4y7 +6Jqnf3RZ9MykvXzYIKN9DM471b/YLHtu0vouOrxvF7qOxe/ya7Iwzxw6LDZt +wn78TZ2CjS8wz55f9Z6OLlphRNbWbMyzyP7ts9GrXh29vvulSbNyyrbqtjmf +alyqd+bgK5O25NUE82F0aqWEQ8dem7QfK79lVIvNp4PN/9t9+o1JG99u4f6F +aKc5FtvO55i0p7mm8Dz0tf7ZsdfemrSe6zb5DNiC/Uv1O7G3c03aWa1hh3No +3xYvtj58Z9LsPx2u0igun958L707671J2xrToWAVetDtnodyPpg02ePare/o +y1UTT+d9NGmhRv8En63YDzrZXTfnmTQjLjs8He0WceX5z08mbXSPiaMct2E+ +BiwwF/1i0u59+9YhFp3TY2B5i3yT5h6/sGrJ7Xh/wnrayLpJS+4tFQaga4V4 +d7EyTFqjP5tuP0D/Cl3rX8uMebav4QHXHXi/TG9XNijAPPM6ErEXXWn2oBN2 +hZhnxVxGKzvzKbbxx1eOXzHPEq+5zEK3nB+ntPtm0oYPHVDtNfq/p1PcOn03 +aRmlXxb2iM+nOdvHzej2w6S5HpuYeQwd1HJBUu+fJi3J+/uBmrvwvH09+XHg +L5NWt8KiJeHo8j0rNx7526StOSmN+YJOWh89wfePSSvuu9l10G78Pm2dkwL+ +mrQQtVH1NHR4zN9vIf9MWs65I19t9+B5qvK+05wikuY1weXOGvTwat+jFhaV +tCtW6Qd/ob8Xs3u1tJikOV8cEDlmL+ah02KnNcUlbV/gyzE30XOsSqyMKSFp +1WoGaE77sL8qt+/dtpKStuza9+pb0TQ1xGNvKUn7PXXRt9L786nIad89h0pL +WkA9+W4gep7rgnInykhaVsbmxMfo835pU85ZSJrn7EZLOyXg829s/PxSWUlL +bXR0bAK6r9OZ7jfLSVqLey4dKx3Ip+67p52+V17Sdi5IrzEX7f3fkGbPKkha +paZe33PQKVF+u1+bJG3x45d3ex3Mp0334+p8lCTt6+KAQyfQE0KLxBmypPm1 ++LG0TiL2Jz+W1/qpSNqjrEW+keiK8Z13FK0oad2WyZ0MtNfbRrYWlSTtVOvY +mkMPYf/ZsPVR2VLSmrxp9OMCun36FK1KZUmLjTp6zy4pn0zOT2/XtpK0Ch1c +k6LRtR4EjmlURdLmvU9f9hf9oGzLX/ZVJe1LtJef32HMr/YNop2qSZp3p1ed +bqPn3+ncwqW6pGV+DqjV5gjehxZr77jXkLROm3/82I5+fFGe9l9NSTvaZfH9 +skfzye/L2RoDakmadYF8OBh9turmy8NqS1r0ttjlz9A2CXuDx9SRtNL/2Yxz +P4b5Wi63/sS6kjbjx1G3xP/3dq+HwfUk7f0u19pWx/H9731bMbu+pA3pe/3n +fHRz0/UuC60l7fpfrwfv0Mrx2yWWNZC09gmvDvdJzqcVzS0urWkoaQcHTlpx +Cv34Y8iSTY0krVaJn+Pqn8Dz3rOS5w4bSVuVtLjzcrTP6dwq+20lrchwpU4h ++tD2LzmHG0takMWWX8NTsB772SWfbCJpr47bPLyMLjU9LjLNTtL6jTp2pNnJ +fPrQ2sP7WlNJwxKzciP6XEXrtpn2ktbq9HX/oqfy6WKws9XjZpK222+g+3i0 +d9rCb9kOkmZV6XWdu2gr31JP3zWXtCWpk363O439+vXUtPwWkvZj4s+H8ej1 +Q48mfHeUtPFVw49WOIP3aUHWxiKtJO3ZJWXVtDP///+VTpFlnCTtvylbxr9A +Z9pnz5FbS9q5WrYeXc9iP7fiRHAVZ0lrdv1Y3cPoW7bXAuq0kbRt07U/Vc9h +Hk+ynGDTVtIU6xuPwtDtL8VOcGgnaWG3Bx77iC6yaeRk5/aSZp7zelV/wvM6 +cMQ0rYOkjbGdPOEsOnZczIKuLpJ2//5Pj4ap+fTIWVnZ21XSPMLC661CL3a8 +HDdIk7QT9urfb2i7iylHvDtKms3TLY+90/Jphtf7a+M6SVpMhO3xa2n///fR +QW8C3SStbMvjUS3O4/40K1VsZmdJm52tTdyMLt7LXC/UXdLylt/oUuIC5nGt +Ol0iPSRteJtB9QPQp8uvmLS6i6Rl5Lz+ex+9f3HbmJiukua6ZvITl4v5dKXQ +5sr2bpJ22OXX8T3oh9cGfd/XXdLqfQxfLV/Kp7RJ6XZHekja2g1qwEz0mj7z +xpzqKWklOsd1fYWe8SJk6/n/JG1qvq11j8v4fosTn6f3krS3scf/HUUXHG5S ++66npA3s1vFpjSv5tDkzb/TT3pJ2tfBG8mL0zL5Gwus+ktZmx6A1n9GBO9p/ ++9hX0vb3ehMw8CrmY7Mb7gX9JK36r8ndUtFv/LZv+N1f0pbv+WVtew3X9+SZ +vBJekvanX0SRNei7a2u5VxgoaZOKVHz2Ez1xzJVtloMk7cWBuBOj0zFPN534 +V3OwpPUe3HjtDfTi+C8+DYdIWlrJ5EmtrmP90SdfsR+KeXakY/c49G7d2aH1 +MMyzETcblL6RT2rFHrGuwzHPyg0uGojefGl3ua4jJC38xJtnj9D3Z/Sc13uk +pH0bHZjS8SY+X2z7gkHekjZO/r12P9p32/QAHx9Je3wmYnLFjHzaWvDnvf8o +SevuX7HHHHQPi9v+U0ZL2mnLrQ1z0Cm9PufNGiNpducbF+t16///njA4eOFY +SdsyKfl5MpqaKn+W+UqaqXqnk7Vv59OBvZWXrvOTtPlXbq5bgi5iNb7alnGS +lh88OFBHp74vl7jLX9J86uT0GJKJ8/2a350Tx0vanRuBjS6gh4e2f5E8QdLc +Zv4uZncHf9/2xhyaKGnHGizJWofe/jOh5tUASWtwp+KpP+h/fs/Sbk+StPXz +tkb73s2nEq+H+z+ejHnWpEnQLfSZ5w6VXgVinj1M7ul8D+fz9AHnPwRhni3s +ZLP93v/3QzeDzVMkbahDRvGy9/PpdqcNNr+DJe3Gs8EvpqBvHD2WXWKqpHWI +zDn1FF2Y3SC2wjRJS2wVtL7zA7yfHT4OqTxd0mq/+h10EH2yXcmatWdIWtTK +Jf9Vfoj9pWfIq0YzJa1ou0q289EBec4JDrMkbUru1hLv0GsW95veZrakvV7b +JLv3I+yHVl706DRH0vprJ06fRL+bvbxqj7mSdimv04Z6j/PpU2rCl37zJM0p +JmPKMvTvzHrXhs2XtD3uQ3oVoH3qG7vGLpC0KkaO7fAnON/ZVgufFCppkXFB +JS+jqw/e7D89TNJ+dv+Tbf8UP6/htN4LFkrahG9LzmxAzyud0DZyEebZzkob +izzLp26BbW3WLMY8670t2B894oF11c3hmGe/m3jeQVc7NL5CfATm2b4Tjds9 +z6digeVLHlwiadsHuJWKR9PKskWSIyVNLXbrZfmsfLIOHfv33FJJW5g45OxU +tN3ZmkWuLpO0giFvN2ahv6c4lsxcLmljS08J6fIin+582FPhyQpJe3D0j2cS +uvG1WVVfr5S0Lt6RTapm4/lPP2CTt0rSUspblg5Dvx7r0q4wStJsT2579QGd +pzj0+bta0jaNtTvX72U+XXUPG196raSVU1NizqATZ7SKkNdJ2pxzblMbvMLz +Vq/7nqrRkvZp/K3eK9EeJ1PT662XtBFWQ+2+oVsmx+hNNkjarQtvS3u/xnkg +6n71VhslTQuc8voqek1qSDeXGMyzGn/PNX+D9fHc9FldNmGeXYvctAl9p+iL +xN6bMc+mWk4rnpNP+cUT3g6OxTyrt73PRLRDh2d1R2+RtGkZdk3vo0c1DPae +GCdpubNSyri8zafZzoE7pm6VtEGNOr/Zjd6Udzd33jZJu3b3Fkm52I+diXNY +sl3S2i4YunkGul2dzDmrd0hagl3utJfoa2sm3Ni0U9JqPJ7St/s7zM8Vk2rH +x0vaisV/mx5FOy19MvXgLkn723ypRY33uL/Fk24l75a0yVmWOYvQY6d8sUvd +I2nZS7enfkKH9o1ZcW2vpPVp3TTW6wPOC7US9Tv7JO3865TphI73tB/8bL+k +OUZ17mfzMZ96j6p2MSdB0uLb37Zf/fH/5+8pLb4ckDTL90PL/kS3tHKO/34Q +8yw6N2dUHs7zSeOrFjuEedYxOO06urlVuTXlkjDPPv+NbfkJ7/PVqibLw5hn +m5bO2IIe77phRa0jktajS+X+pT5jnn9fKNsclbQz5u3NJqN3T85e3/yYpDXd +1rTcI/SkugfrtDsuaXE9T77VvmC/uvTDwc7Jkib96Hx+H9qyUYxLrxOStmDX +7S1qPs4/ISfvDEyRNL3PsJmz0Xe+9Bjvc1LSRv3N7f8GbVW5T8kJpyTt7v5g +h/90rF9jru0MOS1pnQf+K5eMHjn4uPu8M5J2vPiy3FoGzttBlh8jzkpaw6TK +FyLQkU0+rF19TtI2DNsRl48uV7KltpkkrYyF/azBZqwnc8xf4lMlbebxkwPO +o1XZdmdimqR98HFv3qQgn1a73B+cch7zzJRZfh16T/j3iucvYJ6dGvbuN9rF +b23m9YuYZ37vLowtxP5h5O419y9hnlUM2ZqBDvzcfOCLy5JWJ/XfrNZf8+nU +Wqfa769I2uqJy7y2oV9nHPtgXJW0YlWtWlh8w/649sGTv69JWvClHRWmoCuV +qrO81HVJexNk//4J2q6YaZR8Q9IG1Dp10e17PnVcN71dtZuSdjndfdsB9I32 +w6tYZ0ha6+mZsy1/4H7Moe9Nb0na3vrDB85Df78b96z1bUmrevtdi1y038Vf +FzpmStrSOSGm3j/z6VXGg8QedyTtl02RDynotBCHLQPuStrE+8su1f2F9bu1 +xaqR9yTteajV9qXo7I0+i/zvS1ov+51zzOjEam3nBj+QNHpiP2jYb/w8l7Uz +5z6UNIeIU46X0F8jAmZGPMI8c/SQ7P/geZxxbc7qx5hn2Zkf1qMzVu9ZuPkJ +5tny4Zf/oX8OLLNy11NJK3R+v33c33ya+1/e5kPPJM03J2RuJnpKYb+DJ59L +2sPVRQa3/ZdPde+6nr+QJWldXZa33IkuNj7pyc0XEns4nU502P31YbbEHk6n +infqVn71UmIPp5NVQv02ea8k9nA66T0PjPz6WmIPp9Pfdmcii+RI7OF0uvq7 +34mybyX2cDqVrRTyrlKuxB5Op4RSNWvWfiexh9Pp/GDPAbbvJfZwOq1bU261 +4weJPZxO0U59b3f4KLGH02nc9foVu+ZJ7OF0yn6wYHDfTxJ7OJ1+Z/nvHPZZ +Yg+n02f/F/m+XyT2cDpV+vuyY1C+xB5Opz91p6yfrUvs4XTyHRb1ebEhsYfT +yaVlh+5RZok9nE47HGbu21QgsYfT6fmjzuV3FUrs4XQKOLZtyqGvEns4XJ/u +K56d/Caxh9NpgnW57he/S+zhdEq7Wu10xg+JPZxOHVLPNHv8U2IPp1OPtfqe +178k9nA6LUk/Wf/zb4k9nE6pny13fv8jsYfTyVhSqmHxfxJ7OJ0aKh4PShWR +2cPpVHlHv+rL0cLD6aS0txulFJXZw+n0vf7t/evRwsPh/sS5FlYvJrOH0ylM +n9VxO1p4OJ18fMJWNSwus4fTabuj14sEtPBwOsUd/OrQvITMHk6n9EpjFiWj +hYfT6Whi3ON2JWX2cLheqbsd0tDCw+nkv3pOpEcpmT0c/v7YOm+uo4WH00ld +tEbrU1pmD6dT9xZ34x6ghYfTaW3yq79Dy8js4XSyHZjm/RItPJxOI4dMvuhr +IbOHw/0t9ck2Dy08nE6zdrRdHVRWZg+nU3jIoJ9f0cLD6TT/gsfYOeVk9nA6 +OT8oeadoeZk9nE6x5mgtAi08nE5Jo74lla8gs4fD7ze+cf01aOHh8P36Nttg +ZZLZw+l0wadUhS1o4eF0ynhxaFE9SWYPp1O9SnZ/96CFh9PJ22P2zKayzB5O +J+3clq9H0MLD6bT+wtqpzorMHk6n/+KGfz2LFh5Op4nrf81wU2X2cHhfCgL+ +XEULD6fTgn/HFvaqKLOH06l40cxy99DCw+lUoeP56MGVZPZwOjUqE1n3BVp4 +OJ0K4hocGmMps4fTqXmvjS4f0MLDYR6NeHlrcmWZPZxOM6oWHV2IFh5Op9Ar +377NspLZw+F5PXxpZZEqMns4nerUm9AoHC08nE4DfN6llasqs4fTyXSyw4jV +aOHh8P5OmfC7cjWZPZxOkx4FxcaihYfTKdKxj0u96jJ7OJ3kDItXe9DCw+lU +xNgc0bSGzB5Op6D3pZsdRQsPh+v9979HzjVl9nA6nZs9aeE5tPBwOvVJ8Hfo +XEtmD6fTvYeuL66hhYfT6W0PfZVnbZk9nE5ufed1uo8WHg7zo1Xu1yF1ZPZw ++H4d7Q5mo4WHw++X+t9Y37oyezhcr989auehhYfTaY5bo6dB9WT2cDp9zHqx +8RtaeDi8/9WmDZpbX2YPp9OGTh+qFreW2cPpVHNH++dL0MLD6VR/ccAOUwOZ +PRye79Zz/dehhYfTKaTkxBbVGsrs4XTKbdv2z1a08HA62Vm/u9agkcweDu9P +hWkbE9DCw+l0yO3NuOY2Mns4nb6pju1OoIWH08n66iipg63MHg6fPyk45zxa +eDj8fCvfs10by+zhdFrYo+2GDLTwcDr1ismf0r+JzB4O86VXZO8naOHhMD9O +lHDwtpPZw+lkUW+08hYtPJxOHs/iCyY0ldnD6bTSMf2xjhYeDu//1Lup0+1l +9nC4Pvmp+/6ghYfDemOsWbewmcweTqcHV7uFWjjI7OF0ijmfM3kVWng4PB9N +/bwtm8vs4XRyGpzRdzNaeDjcj7XVu9RtIbOHw3xo7NlhD1p4ODw/Af6tmjrK +7OF0anVgfLOjaOHhdLrWsl+TNi1l9nA67fWqb0to4eF0qubz1Ma9lcweTqdF +O2c3vo4WHg7rXUAJ+z5OMns4nQJN0xwfooWHw/P64Hbb4a1l9nB4/ipZdn6N +Fh5OpzbV3Dz9nWX2cHifnYYO+4IWHk6n4JPDJ0xtI7OH0+mDufucX2jh4fA8 +OdVfFdpWZg+H9eP2252l28ns4XSaWmn9qRVo4eHwfvRpfrdie5k9nE4dn6R8 +ikELD6fTkL92Zet0kNnD4fNJK212o4WHw/s94llXOxeZPdz/91dVJhxBCw+n +09M/HVc5u8rs4XS6lT/4+Dm08HA69R/n/byzJrOHw3xOHlD6Olp4OJ1S7No6 +9ukos4fTKbmmyechWng4XP+nmVHDO8ns4TCvUxaff40WHg77gdKNv/q7yezh +sN+rRk3y0cLD6VS0s/voaZ1l9nC4Xg/PxP5GCw+H9dim4aMwd5k9HN6/JaGW +Fh4yezidDva81X8VWng4nV5fltZbdpHZw2G/0cPt8Wa08HD4/Hbja9XrKrOH +w/NOi8buRQsPp9OvgWsT7bvJ7OHwPHRb/+MYWng4neJzV3i06y6zh9NpWsSs +6DS08HA6lZo77G2XHjJ7OJ2u12jZJgMtPBzW/9NFV/bvKbOHw3pz8NKbJ2jh +4bDf7bKgg89/Mns4zMMXzTfmooWHw/dPf1IQ0EtmD6fT16Gz+xWghYfD/vND +xWOzPGX2cLh+N+IrF+0ts4fTqYSX/ewItPBwOs19nvSyQh+ZPZxOh1Psuq9D +Cw+n07YOO45V6yuzh9Np/Hml7na08HA69d4ye1WjfjJ7ODwf1tn/DqKFh9Op +1iHXKS37y+zhdGq2dtPbU2jh4XRKbKQP6zhAZg+H5+mC2/0raOHhdDp1do1n +Ly+ZPZxOswOyrt9DCw+H/ULjRt2HDpTZw+lk7h6Q/hItPJxO+xod7jlukMwe +Tqd3Vubbn9HCw+HnBTsOnDpYZg+n05Q1U178QgsPh/t3I8k/bIjMHg7zadbn +wjJDZfZw6IImi1ahhYfTqdta/0qVh8ns4TAfEvfsjkULD4d5tO1t2/rDZfZw +mIepDTP3oYWH0yln3Dh/hxEyezidyhgJxU+ghYfTafpxfWuHkTJ7OJ12lm/r +chEtPBz2I30WZ3X3ltnD4evP7i7IRAsPh+fHomGDQT4yezisHw5zrmehhYfD +fvvAg+Cxo2T2cDivPGxVKw8tPBzW3wqb0qeMltnDYR7tLj7zB1p4OJyn/k6x +XTBGZg+H5y/w3dNSY2X2cNj/eI2JWoEWHg6fr0KuRyVfmT0c1tPPgf82oYWH +02nNgGKn6vrJ7OF0uhu3edpetPBwOq1q0KFVs3EyezidVrvnFh5HCw+n05Uh +m1La+8vs4XTqnOY19wJaeDidXLGudB8vs4fTydKcVz4TLTycTu7TrzwcOEFm +D4f5f+5AfBZaeDi8Dw22BI+dKLOHw9+3iOmchxYeTqeIy9usggNk9nCY96eO +5f1ACw+n00W3+xcWTJLZw+H7nSu2pfRkmT0cPs+SDjNWooWHw/tbJHyAZaDM +Hg7nmbBnLWPRwsPpdGRSx8r1g2T2cDjf1Tv+Yx9aeDj8+V+tXzhMkdnD6bRp +cPrlE2jh4XTasmlikkuwzB5Op+U1a8deQgsPp9Pwjq8ie4bI7OGw3o1NnnkX +LTycTu3fbZ4wZKrMHg7vo+3akS/RwsPp9DIydsC4aTJ7OKwvfin/fUELD6dT +3/q5XaZNl9nDYR7VsO38By08nE4/j87rtGiGzB4O86Dbu07lZsrs4XTa08HX +fQ1aeDidqujfu1WdJbOHw/NycVvvbWjh4XA+qDJicKPZMns4rPeezcckooWH +w37xarWgVnNk9nA6lc6ttuAMWng4nVqXa7Haba7MHg7now3e8elo4eFwffN2 +n+wzT2YPh/1sQMnMR2jh4XRqN2fOh5HzZfZwOt2YVb5ULlp4OJ1OXz9ef9IC +mT0czreZM9wK0cLDYf/0ZcDYOaEyezidxkb8F1k8TGYPh/v/Z+ShpWjh4XQq +PLriobJQZg+H+WR6WDQGLTwczqNB7e3rLJLZw+H853pu2B608HB4f54PW2G/ +WGYPp1PJxCqpx9HCw+F6VDYK2ofL7OF0ajrrfZOLaOHhsP65/BvTI0JmD4d5 +eMVx2x208HA6NZ4V8XzwEpk9HPbLp3/WeIkWHg7nm/wVI8ZFyuzhdNo/r+OO +L2jh4bC+XLd8N22pzB5OpzPtyjn8RQsPh/fTqd6sxctk9nDYr9YZern8cpk9 +HObdyORK69DCw+F5HdpybPUVMns4fL5Z90/sQAsPh/lUd1P5xitl9nDYj6SE +jT6MFh4On2/3qjPOq2T2cFh/+qRZpaKFh9OpX70qU7tEyezhsD+av+5eBlp4 +OLwft5ycvFbL7OGwP5n9L+Y5Wng4rB8fP/8bs0ZmD6eTVUTJcXlo4eF0ck52 +uxO8VmYPp9OSl/tcfqGFh9MpOsj5YNg6mT0c9te3vtQsGy2zh8PPG3U7ajVa +eDideqx/UrLqepk9HM6Hd0xzt6GFh8PXFwR8bbRBZg+H/YnFt6BDaOHhdCr2 +OOGL00aZPZxOgwYun3wOLTwczstfNuruMTJ7OJ18y96ZehMtPBzej4rOv/tv +ktnDYX879fqiZ2jh4XQauHm5NGazzB5OJ8cvs2M/ooWH02nFs5gmwbEyezic +7zLenvmJFh4O5+3mo3uHbZHZw2F/PFl6axEns4fD5yv8OHc1Wng4nQz7H1ZV +t8rs4bC/X9L62Da08HA4v03a09dmm8weDuevnt3Mh9DCw+F9jaq5vvV2mT2c +TvN2W7cjtPBwOr3/NeKVxw6ZPRyexz83lmWghYfD9ag6ubXXTpk9nE7aWfec +52jh4XAe9eoTPTZeZg+H57vPmi6f0MLD4fPVtvgdsktmD4e2Sz7yGy08HPan +uTETFu2W2cPpNI6ONSy/R2YPh+9Xr/SbtWjh4XCeDF29s/pemT2cTiO69R+7 +Ey08nE4XPnjaNtkns4fT6e+9RV+OoIWH0ylzuvlE2/0yezjMD7fYsPNo4eF0 +arFnvmf3BJk9nE72leJq3UELD4f96s9vXwYfkNnDYT5eX3HhJVp4OFyvryNj +/A/K7OF0Cvo8KUhHCw+nk0/90z1mJsrs4bA/Ke1uU/SQzB4O62+5CqUj0cLD +6VR3e8V3cpLMHk6nYe0GX9+IFh5Op1c9spLqHJbZw+F8775z41608HA6zYzZ +G+ZwRGYPp9PD058npaCFh8P7WHvacO2ozB4Oz4uTa6+raOHhsB5N7d6x9zGZ +PRz2s702Oj1CCw+H9c7J1t77uMweDu/X9j+N3qGFh9OpcrHK1oHJMns4nfJv +Tqn3HS08HL4+s1L9BSdk9nC4/jN+NSiTIrOHw3qn2TSJQgsPh/XFfXOLKidl +9nA65f3t334bWng4nWZlD+hqc0pmD4f9p3ecVxJaeDidGhXY+zmfltnD6WSj +lpmVihYeTqdwh8arup6R2cNhv3MpevdttPBwOvXq2iN10FmZPRzWg2Y9nmWj +hYfTyVuP/jnunMweTqcapeyq62jh4bC/fFPBZSbJ7OHw80u2H100VWYPh/NZ +VvLSSLTwcDgffph3TEmT2cNhvd26LjsGLTwc5v+076Z652X2cHif3u133Y8W +Hg7P76QDU1pckNnDYf1d8GfPKbTwcFivYuJedLoos4fD+9w8qup1tPBwuJ/n +7wzod0lmD4fn8bLvuqdo4eFwnj/R8/7oyzJ7OOy/pcVV8tDCw+nUp7s8IuSK +zB4O7+vLz7t+o4WHw3nSs27+oqsyezh8f2Vv+wrXZPZwOvXctnBZNFp4OKwX +M449q5kus4fD36/czmE3Wng4XO+vVuH212X2cNiPrumRlYwWHg6f1/+Bs+sN +mT2cTs8KU6KvoIWH0+nxcXOB502ZPRzO9w0jvB6hhYfDfLkQfMo7Q2YPp9Oo +8qfqvEcLD6dTzNwhkUG3ZPZw+P2jBxT8QAsPh/mcmeATdltmD6cTJQ3PLJsp +s4fTafDhCW5r0cLD4Xr0fXCi+h2ZPRzuhxpnH48WHg7n48ALe+zuyuzhdPLM +86h/HC08HPYvJW23d7gns4fD89R+fN3LaOHhdKogl4vvdV9mD6fTpKLlbR+i +hYfT6UVUQNLIBzJ7OJ2qujq0fYcWHg7r2Yr+lwMfyuzh8H7/etL/B1p4OJzP +K1zMCX0ks4fTqW0neWbZxzJ7OJ2q10w1rUULD4f3o/a93dWfyOzhsH7e6Nkx +Hi08HObD7sZZdk9l9nD4/s0mzT2OFh4O55sPVrVdnsns4XD9h9pcuIwWHk6n +5iW3+Xs+l9nD6XR+wnz1EVp4OMxT9cpZ7yyZPZxOSfOmT3iPFh4O889xdfUp +L2T2cDh/HK+U8RMtPBzm/9JiCxdmy+zh8PlaD21b/qXMHk6nBlrtgnVo4eF0 +CmjimVTzlcweDp933sdJu9HCw+F8QAXNmr2W2cPh/D91gvkEWng4nbo26H1S +eyOzh8N5zmtn6DW08HB4fqPG9uybI7OH0+nToA1Vn6KFh9NpmXOb96Pfyuzh +cB5L7nE6Dy08nE43h9xaNTVXZg+H63vwou9ftPBwOp11stMi3sns4XQaMr9U +Dfm9zB4O+0W5/8+NaOHhsJ+fYvm07geZPZxOIfY9zu1HCw+H+ZdRuNPxo8we +Dp/3ueXyM2jh4TCfXydMc8+T2cPhz09IGZ2BFh4On7do+34DP8ns4XRKbNra +PRstPBzuz5z9bfw/y+zhcP6bud7BQAsPp1P2oV+2s7/I7OF0qpnwpEGJfJk9 +HD7/N0frFWjh4XQqW7dMg8q6zB5OpwXHBtpsRQsPh/XRpb69jSGzh8Pz33OC +02G08HCYJ+MdOrY1y+zh/v/vVcG9LqCFh9MpVW45omeBzB5Op39HgwPvo4WH +w/O5tcXiEYUyezhc/1FBsblo4eF0urLUITnwq8weTqeiewPv/EALD4fzQbcW +etg3mT0c9p9/p6rlv8vs4XA+8GrvFI0WHk6nA68WD6v1Q2YPh/Nxi36L96CF +h8N58kF8ksNPmT0cznPes7NOooWHw37Z+6HJ7ZfMHk6nHL+THW+ghYfDfq9M +vekDfsvs4XCeu68cykILD4f3f2Tke78/Mns4nd44RzbU0cLDYb9QqPjO+iuz +h8N+tqH13uL/ZPZwOA/4pOYtRwsPh/OCc65j5SIKezidtrrEzN2KFh4Ov6/F +w2s2RRX2cNiv2W2vcgQtPBzOY+2++7crprCHwzy48ezsRbTwcOgQz0q9iivs +4XQqd613wEO08HCYryNeXvUuobCHw/niRtFGH9DCw2G93nMkIrikwh4O+82I +gg+/0cLD4XkuerV3eCmFPRz2z4lNT0qlFfZwOvW1bmgdgxYeTqcqvw6vrldG +YQ+HeZGeWfQAWng4rH+Nw0NaWSjs4XTyOnbr/Tm08HDYD/1L9OlaVmEPh3m5 +y/pZJlp4OKxXWvPBQ8sp7OFwfvG7/+gNWng4fP2EMnRSeYU9HObvlbcvvqGF +h8N8bjnAL7SCwh5Op0obhutlTQp7ONy/ZUXmrUMLD/f//99xqVBLUtjD4Xwf +XWXrHrTwcDoVf7XSsbmssIfD+mK/I/0UWng4nB+t+o3prCjs4bA/aLujSAZa +eDj8vi2itg5UFfZwOg3YUrPjS7TwcFhPq/bIGV9RYQ+n0xa3qssL0MLD6RR3 +akmreZUU9nA67ayx6WVpS4U9HPZDZXpFrUYLD6dTRo1dHatXVtjD6dT+x9bC +eLTwcDj/dHA9YG+lsIfT6fiShWNT0MLD4XwzO6BupyoKezjMpxN/XlxHCw+H +/ebTltsHVFXYw+E8F1lx7Au08HC4v6M2NfGvprCH06mJRXqBgRYeTqd9tXam +zqmusIfTKdi94apSNRT2cHj/6vT3jkILD4f9UJ+mLavVVNjDYb8x90jZeLTw +cJhn7m9eN62lsIfTybX3BTqBFh4O+3vX3nEdayvs4XD+Pbls/nW08HA6rfeZ +NnpAHYU9HM5Hz+XuL9DCw+lkfWeoo39dhT2cTptyBtY2o4WH0+nk2ZKmufUU +9nA63Sri+69UfYU9HPbTA2aao9DCw+m0fZT2oZq1wh5Op8hbp1/Ho4WH0+mc +W94L+wYKezid6vvdyUpBCw+H9fzlpOxODRX2cDpFDTv/5gZaeDjMi/k3P3o1 +UtjD6dS6MKowGy08HM5vYysUm2CjsIfD8zXbTSlECw+HeZTtWH++rcIeDtfL +9UVri8YKezjM504enmvRwsPptDHa379mE4U9HM6Lr7uF70ELD4f171HuruZ2 +Cns4/Pnana+eRgsPh/XDd+Qn96YKezjMt8FtLW+jhYfT6Xb8fdch9gp7ODyP +35oHvEELD4fn54/nlknNFPZw2L/7Otz+jhYeTqeJL+6WXOigsIfDfq9KO5cK +zRX2cDq5k+/MDWjh4bBfWDTwRN0WCns4nd5VNn1PQAsPp5Nzo2XtnBwV9nA6 +7R57KzQVLTycTgVhT9O7t1TYw+G85ZBU+T5aeDh8vVavsSNbKezhMP+KJCe/ +RwsPh/dlybuyIU4Keziduvi99fmLFh4O16dP0uklrRX2cJjv3z2qVHRW2MNh +HisJ07eghYfT6Zjn88eN2ijs4fB5/bJcjqCFh8M8K39od/u2Cns4fP5CT+UK +Wng4g/Lup83r005hD2fQsDElvzxFCw9n0Fqv6qN82yvs4QzKGVXiUT5aeDiD +imtpvWd3UNjDGfQ1vu+Nki4KeziDbLqd7B6FFh7OoMFvCq9Xc1XYwxm0z96i +9y608HD4ednmB800hT2cQZ+PJHufQgsPZ9CXEX0/de6osIczqFHclTm30MLD +GbS4sZU0pJPCHs6gAec6xr9BCw9nkFapa/vJbgp7OIN65zZ++AMtPJxBH40P +IYs6K+zhDLpvLLGU3BX2cAa12FnyZAxaeDj8vFSfkdYeCns4g5JKx5U5hBYe +zqCCVqePtemisIdDlzk1+iJaeDj8eZfNlp5dFfZwBi2NHpn+GC08nEHuN0qH +jemmsIczqMSOde2/oIWHM6jHg1I/Z3ZX2MMZVNR25KkSPRT2cAZNHBI3dxVa +eDiDWjW55Fatp8IeziD/YffK70ILD2fQ1EM3HjX7T2EPZ5Dt28Q9p9DCwxl0 +8vzsme69FPZwBp0p3bLXbbTwcAY1mfGowVBPhT2cQb4P/Yu8RQsPZ1DT9++e +B/ZW2MMZtCfI69wvtPBwBo1sdXR7eB+FPRw+/49/EUpfhT2cQYlhHYJi0cLD +GdRvw8Thjfop7OEM6v9nRc8jaOHhDHKevcOlQ3+FPRzu78P9jlfRwsMZRE/2 +NOk3QGEPZ1BAj00Ns9DCwxlU2Qiz9vdS2MMZ1GnDqAYFaOHhDMou3tZ2/kCF +PZxBXYqWdSg7SGEPZ1DrwXfbRKOFhzPo8f31HnUGK+zhcP06DvBKQAsPZ9AT +P8nfaYjCHs6gjRUvz0tDCw9nUJo8a0PPoQp7OINWNLE7+hAtPJxBsQ7PM0cN +U9jDGfQrb4X5E1p4OINq2btWmTlcYQ9n0Ls03bXECIU9nEG7p+0evwotPJxB +cvURG6uNVNjD4XmbV/XaLrTwcAblTn7828FbYQ9n0CuKczyDFh4O389lfEAX +H4U9nEHlzrbffwctPJxBXuWtPgwfpbCHM6jN3x9279HCw+H9G/N2SshohT2c +QafV56f/oYWHw89/klV62RiFPZxBV6LyvCqPVdjD4f6XKb1vO1p4OLyvls3+ +2vkq7OEMmrBirFcKWng43G/3hMNufgp7OIPqq0XlW2jh4Qzalu4XNGScwh7O +oPLu2fdz0MLDGTRw0IQOQf4KezjMy6cWe3+jhYfD+73njOWS8Qp7OIOWr10U +XnGCwh4O78OMUT/j0MLDGVTaYWBQ44kKeziDeq4d/fE4Wng43P85S8Z1DFDY +w2GeXb787gZaeDjM0x61Jw6apLCHM+ha7hrjNVp4OIMeTqs3Z/JkhT2cQdXu +Z5T5hRYezqCxLzZuDA9U2MMZVCQ4tIkapLCHM2h/QGTaFrTwcAZtOHh4iO0U +hT0c5ony6+sxtPBwmDczfNdrwQp7OIMqnC9wvoEWHs6gaRm7swaGKOzhDGo+ +b27Ea7TwcAa5HZnpOHmqwh4O86Zn7KufaOHh8D42fbsufJrCHs6gB20GdVen +K+zhDPrW11wsDi08nEEJw1LO2c5Q2MMZdK/t3rnH0cLDGZSRecG140yFPZxB +waXKl7yJFh4Oz8u50JuDZins4XB/sxrEvEELD4fr2euHX+BshT0c7of5d5vf +aOHhDOqQ3FxaMkdhD2fQs7kbcyvOVdjDGXSiRYsLW9HCwxm08uy/7U3mKezh +DIovXWzRCbTwcJjPP9v4u81X2MPh+obu7XMLLTycQaMW9+owdIHCHg7Xw7Cz +y0ULD2fQquOdawWHKuzh8H6cXF/xH1p4OIM8P9YvvyxMYQ9nUJjzp9JWCxX2 +cAbdWGkutRMtPJxB05+1tmi2SGEPZ1Af+bTpNFp4OFz/qvOsuixW2MMZNORd +aP27aOHh8PknXmkxMlxhD2fQ1g2e7h/RwsPheRlSfej0CIU9nEH19jQJKb5E +YQ9nkBIYGrUKLTwc5vHemknVIxX2cAaZ3Ire3YMWHg7Pb8umPxyXKuzh8PsE +7aiXihYezqBxn308ey5T2MPhfd8+cf4jtPBwBnVbcOHImOUKezjMp1C/9/lo +4eEMGrNlcP25KxT2cAbNSd/kbbFSYQ+H96Wo3fZotPBw+DztTDl1Vyns4Qx6 +HtjJLhEtPByeh9hr09pGKezhDNqbEn/xMlp4OLwfqfcs+61W2MMZtD1xyPgX +aOHhDGqwoPX5CWsU9nDY/zWfWPM7Wng4g2bTj9mL1irs4fD+2bx8Lq9T2MMZ +VGp8fbctaOHhDNq1MC3BNlphD4ffL+SMVTJaeDiDLrS3jOi0XmEPZ9CdZzd+ +ZKCFh8PnG/Bm8tANCns43I99w97nooWHw89/4uQbslFhD2dQyofgt0ViFPZw +2D9kVRq/Ai08nEGOJ6vpVTcp7OHw8+eHz96NFh7OoJatB1s4blbYw+F9zFm7 +idDCwxnUOKp1s56xCns4vJ8u7lceoYWHM+jm97Ojxm5R2MMZZHF5R1EDLTwc +9luHCnbOi1PYw2Henz3WrdxWhT2cQS9/vjY2oIWHw/MdtHCr9TaFPZxB6Xbr +PA+jhYcz6F9rq+Iu2xX2cAZN2VrhVDpaeDiDCifPCBm4Q2EPZ5CePKjFG7Tw +cHifww6ZA3cq7OGwf385N+UPWng4/P2XFxcsjVfYw2F+RS/uabVLYQ+H57ls +WvV4tPBwBk3qP+Ozw26FPRyu/8IDF8+ihYfD+rV/RFz3PQp7OOzHn66a/RAt +PJxBdxt3HDZmr8IezqCDB4I1HS08nEGHptrYzNunsIczyGOzd8Vy+xX2cAbN +d6xZbCNaeDiDygwYWWCdoLCHw/W3svlwGC08HObd6hmvXQ4o7OHweZ73yb6O +Fh7OIJdKx7MHHVTYw+F57LXzTQ5aeDjsR/fWzJuSqLCHw/vUrsG3f2jh4Qw6 +Z3265IpDCns4g9QF2VbVkhT2cAYlj1/fdA9aeDiDJhfL8mh5WGEPh/3S2JTR +aWjh4XB9jzZc1OuIwh4O87Zc471P0cLDGdR15YVb444q7OGwXg0r+FmIFh4O +83jjWduFxxT2cJgPY+oMk48r7OEMCnpdc80WtPBw2L85n7jeOFlhD4d5Ffmp +TApaeDjsd36mdXM/obCHM2hLUquVd9DCwxm05G63ByNTFPZwBn2K/Ff3E1p4 +OIM2/xoYNOukwh4O16dP/4ulTyns4QwKPVpYLRotPBz2Kz07TK13WmEPh3nZ +xe7uIbTwcAZlZVxo2eGMwh7OoLemkpvS0cLDGeTaUi826KzCHg73b2Lk5By0 +8HBYH3MyXkw5p7CHM2j4zfP9ipDCHs6gI/19r69ACw+H75dwyqN6qsIeDufR +ommX9qKFhzPIZ+msrk5pCns4g/Knvcu4gBYeDs/3z3KD+5xX2MNhPe729m0W +Wng4g9ZtmDFj4gWFPRyuR7WLFX6ihYfDfrRM+u6Iiwp7OIPsNyxzs7yksIcz +qNI/izc70MLDGVRshscSh8sKeziDXrft5HAOLTycQd6hf5/2uKKwh8P+afGc +ZY/RwsNhP7PwrIvfVYU9nEGdk88XFqCFhzPo2JAVSWHXFPZw+HpirclyusIe +zqDqX6Y3j0MLD4f1Ztimb02uK+zhsD63ikg7iRYezqC+p1xXdbmhsIczqEbT +S9730cLD4Tx7q4rT6JsKezicd9+0k3S08HAGjU5sljcvQ2EPh+djSuGN8rcU +9nB4PqetPLwJLTwc5v2/XzE2txX2cAbt7OcanowWHg7n39ODp3XOVNjDYb7M +6zn+Dlp4OHzenCqjvO8o7OGwn2mbOvwzWng4nOeuug2fc1dhD4f9x9N477L3 +FPZwBv04+8ZvI1p4ONzfcyWCG95X2MMZFG5bKuwYWng4gy71+rCu0wOFPRz2 +U3OPJNxGCw+H82tJ78sjHirs4XDedi54k4cWHg77Mb/A0rMfKezhDCr59n5T +i8cKezjs90s3HLQBLTycQV9rjwpv8ERhD4fnedGylKNo4eEMGrF85+eOTxX2 +cAZZr0iwuY0WHs6gn1nxviOeKezhDAp5ErU3Dy08HL7+KPDzrOcKezjsB1p6 +OFtkKezhDKrSTQ3fgBYezqDLQQ8eNnihsIfD+lBuXdNjaOHhcL4L6RnRKVth +D4fzY9miObfRwsPh/Gl/1GPkS4U9HOafy5gDn9DCwxnUbItl5TmvFPZwOE8d +uLqw7GuFPRzme8a8wo1o4eFw/8e1mdDojcIezqA1z3+8OY4WHg73e13aqM45 +Cns4PB/G6jd30MLDYT3znjje563CHg7z3L1vwRe08HA4n5V1D5uXq7CHw/pf +zaNShXcKezisJ9le+zejhYfDn78yo3Pj9wp7OOz/Ohx8lYIWHg7zJrFwUZcP +Cns4g6yW9mvyAC08HK6/69X7Yz4q7OHwvGsDFpnRwsPhff79yyksT2EPh/3x +27Of5E8KezjsB6fE7t2KFh4O59WKMX72nxX2cAb953q88Vm08HD4fhMNvccX +hT0czi9y37NP0MLDGVRr1/3l/vkKeziDnHbM8/6OFh4O61VoL+cIXWEPh/X9 +nEelyobCHs6gTvfHFcSjhYczKKJj8iNHs8IezqCFoQ5p59HCw2G9qvj4YJ8C +hT0cntcZh+Oy0cLDYf/Y7PTayYUKezisx6e/Lf+LFh7OoP5x45au+KqwhzPo +6VBpeY1vCns43K8Zn1YnoIWHw/s9q0Rs2+8KeziDPuQO2H8NLTycQYu6vj4z +6IfCHg77M+vEu7lo4eGw3pVK+Tztp8IeDufzmcVMpX4p7OEMMkqtah6NFh4O ++8UOIwdb/1bYwxnUbn/I4qNo4eEwj5/dPt7pj8IezqDUUVM/ZKKFh8P9uDW6 +vs9fhT2cQWWPbvHORwsPh++/3XrH/H8Kezjcf/ufuaYiKns4g+I+124RhxYe +zqC5MzYsaFpUZQ+H7zd61N0zaOHhcP7wCGvcs5jKHs6gime+L36KFh4O+/MR +V3LGF1fZw2FeX/3S7SdaeDicZ9cHH4ksobKHw3rt27921ZIqeziDCh6ujdqL +Fh4O8yzSsZRzKZU9HJ7nGq0WXEELD2fQi+ab/nqVVtnDYT4GjAl9ixYeDp8/ +JLrMtDIqeziDNn6wX1fSQmUPh/3MtBbW0Wjh4XD/U7enWJdV2cNhfgfO63MM +LTwc9qMO6Z/dyqns4bCe7A+PuosWHg7zY/lxp9HlVfZwBu0LHfTSQAsPZ1Cv +NkFRYRVU9nD4+qiinVWTyh4O8y2x7O/taOHh8HkzVqY0l1T2cFjfQ1bNTEML +D4f118vk2kdW2cNh/2pTweIlWng4g2y2r3gYqKjs4bA/mbF6fxFVZQ+H87Fv +tbAotPBwBgU2aDyiTkWVPRyuT+B5lyS08HCY7yVy6muVVPZw2D/Fra1wGy08 +HN6PP7d+jbRU2cPheXi5+fMXtPBwOD+V/ZEzv7LKHg7fv/37l5KVyh7OoPNt +J73aihYezqAV1yJzm1VR2cMZ1PZQB53QwsNhXh5d9s+zqsoezqCkLVPVbLTw +cNh/O/+xDaymsoczaJantUeR6ip7OLyfiXm+UWjh4QzKqztoeZ0aKns4g0yz +xiUnoYWHw343vHaOVlNlD2dQVJX5VTLRwsMZ9LFYZG+fWip7OINmVnVdoaOF +h8P5ofnOjNDaKns4PC91T1RS66js4Qy6lTpn5A608HAG1X5fmNiirsoeDvv7 +EOviF9DCw+H3dy07rF89lT0cnp8G+06+RgsPh/NUyeI1Quqr7OHwPqRWXVjC +WmUP9///D/nyeR1aeDiDrnZdPLJBA5U9nEGxz57fO44WHg7z4cHPXh4NVfZw +OG/XyLr5AC08nEE9NkT28WuksoczqHKzf4+/oYWHw3knQ/NbYqOyh8P749P7 +RxVblT2cQe/vN4vahxYezqDgqi+btG2ssofD9azheyMdLTwc9ve3U4OGNlHZ +w2H/1shcPQ8tPJxB06z+pc+xU9nDGTR13dt5FZqq7OFw/dYntI5DCw9n0PJK +vQvt7VX2cNgPWTw4QWjh4XA9glzn926msoczqGXXlT1eooWHw3qw7GKNKQ4q +ezjsf9q/MYo1V9nD4ecN/XJzLVp4OKyP73MPWrdQ2cPh8366teY4Wng4zLPx +++Z4OKrs4fD8+U+b8BAtPJxB83TnkeNaquzhsL6UNw/8gRYeDuv7ld0DlrZS +2cPh92nqNai6k8oeDutLr+LeB9DCw2G9dU6a2KG1yh7OoJh/I+ZloIWHw/7/ +uBI90lllD4f5NCk9KR8tPBzmj7YsM7SNyh7OoD9uXt/Utip7OMzr1U3rxaOF +hzPoQeeKfVu1U9nDGeQ40yLiMlp4OHy9p5o2sL3KHs6gVi+aFXmPFh7OoEF9 +xnSe1UFlD4f9x6XDK8q5qOzhDLLwqfYsFi08nEHbum5rZu+qsofD8xnvvoTQ +wsNhfxFb/m1vTWUPh/33sG9dX6GFhzOohVzmcHBHlT0c3pccrVbJTip7OINO +lI+PWo8WHg7PR7JjGRs3lT0c9leWxqKTaOHhDDIPf1mqR2eVPRzmz+XiK5+h +hYfD+TBiaLVJ7ip7OOwXn3xI+IcWHs6gXT+OdlrtobKHM2hDvZNZ9bqo7OEM +erz29/xjaOHhsH9YsaChR1eVPZxBg/t2ufMQLTycQX4N+i3076ayhzNoQs/d +bX6hhYczaLvJrXB5d5U9nEHFjzdJrtVDZQ+H/cXSUXOS0MLD4fl+8r5Lp54q +ezjMu8ILVe6hhYfDempr/jz2P5U9nEEHrs5M/4YWHg7rV6MhCZG9VPZwOE9v +Wr+6uqfKHs6grTOazz2IFh4O96N600muvVX2cP//98dlYzLRwsPhea3Z23t0 +H5U9HM4DTnN8CtHCwxnkulQdF9FXZQ+H33e2ZUjVfip7OKzfARGLE9DCwxnU +5vL42A79VfZw2I9+Sku5hRYeDvPkv1VPfAao7OGwXvW5X7QALTycQdfHrrcP +91LZw2F+/LznXWWgyh4O60Ho2o370cLDYf4F3LvffpDKHs6gEo6bqtxCCw+H +9aVbrrfPYJU9HOat7blEM1p4ODwfveoWCx+isofD/q1VxaFVhqrs4bAfG7r+ +5H608HD4fdwO1uwwTGUPh/kweUjELbTwcJiHY7Z99RmusofDer43dEIBWng4 +nOcvFXkbPkJlD2fQxc51fKuOVNnD4X0+9upjAlp4OOy3dnSf7uKtsoczaMC2 +IWUy0cLDGZSjWW4d7aOyh8P5turcdl/RwsPhfJ267vmSUSp7OPStEYurj1bZ +wxk0/uXzFolo4eEMcltX6a02RmUPh/c7ouTWu2jh4XD9hx4d7jtWZQ9n0N3j +der9QAsPZ1C1dr3zlvmq7OEM2rm285lafip7OIPW+xdZcxgtPByeJ//wgM7j +VPZwBjl0fNzrIVp4OKwPO361Gu+vsofD33fJr/cHLTycQcVunLSMGq+yh8P+ +qOhQU/0JKns4nGc2PiifjBYeDueJ/5op3Saq7OEMUp/7VX+GFh7OoOllFjaZ +HKCyh8P5ZfXCjsUmqezhDKrjMnF4NFp4OJzH77nOt5mssoczyLdm0T2n0cLD +GTQu58i9XoEqeziDGvwcWOYVWng4g7KbmDtODVLZwxnU/L+I0DJTVPZwmNeO +Vlc2o4WHM+josV1qs2CVPZxB9xNajTmPFh7OoBkF104PCFHZwxlUMmBs1fdo +4eEwj76WnTtnqsoeDvvT4LNvpWkqeziDPNPne+1ECw9n0NlX/W84TVfZw+F9 +3+PaNR0tPBzmWQm39OEzVPZwuD4fffrqaOHhsB/usTV70UyVPZxBv6v9Daky +S2UPh/2hZ6h0AC08HObz6yZJrrNV9nA4D9wpMvAuWng4g65UK17Cb47KHg7n +qbOtTvxECw9nUJ+zmwNXzlXZw+F9qevsUG+eyh4O5129wtfjaOHhDKrbts75 +bvNV9nAG/S09Zd1ztPBwBr2bUCogaIHKHg77iRnZPUqGquzh8Px3Lt48Bi08 +HPaXuUE1moap7OEMcprbzJSGFh7OoKB6rqUGLFTZwxn069vOEu/RwsPh79fx +sZi7SGUPZ1DRCzMrKYtV9nDYX5bSG+xCCw9nUKRVeoc24Sp7OMzv+mWG3UQL +D4f9ypDEBT4RKns4g5x1SihECw+H9a2m9jxyicoeziD3Ki0sa0Wq7OEwn2rG +9DuCFh7OoD0TZ2z0WKqyh8PP97j7+glaeDg8z18OtJq8TGUPh/c3qczK4stV +9nA4n2e+z9uAFh7OIK9t/frarVDZwxmUENDtXCpaeDiDyi/PcBiwUmUP9//9 +zdt979HCw2H9r7zOdt4qlT0c9g9OTw+pUSp7OINuK9R+D1p4OINOlXG73W61 +yh4O++EF48ffRgsPh/mW7Vxu7BqVPRzmzbrDR36ghYfDemzxyHvlWpU9HObP +4UOW9dep7OGw/v5tl3kCLTwc9lf+C9b2jFbZwxmkTVww7CVaeDg87wtd7aat +V9nD4X1wSCtWboPKHg77y29lsreihYczk8vwahdbblTZw5lpwKfvidfQwsOZ +aUPLvdtGxKjs4cwUfKFJjBktPJyZVjeN2Lhkk8oezkxZFufiam5W2cOZKcK4 +m3AELTycmYotvkFdYlX2cGaqOCrhyTO08HBmutE05HfQFpU9nJnWr2rcoHSc +yh7OTI4t7vSPRQsPZya6MGlZ860qezgznSosfu0yWng4M5WbG11h2DaVPZyZ +iqiNButo4eHM5BV4JiF8u8oezkzawMEla+xQ2cOZaXRkUd/DaOHhzJRzI+Wm +x06VPZyZxn0Ma/8MLTycmSyPjD4SFK+yhzNTnD6iWeldKns4M3WcN+NoLFp4 +ODPdanbMpcVulT2cmY68tMy8ghYezkyfpmwfP3yPyh7OTNaXh5Qzo4WHM9O2 +NPejS/aq7OFw/zx9R9Xap7KHM9PrYalVjqGFhzPT1qcDH3Tbr7KHM1N0qsPm +F2jh4cy0qoSn39QElT2cmarGJ7ctd0BlD2emxVsnWG5HCw9npi8/g745HVTZ +w5mp67mb2TfQwsOZqV9hWOaoRJU9nJmSDkVf+44WHs5Mz4qUvbbykMoeDs9j +kTe3rJNU9nBmqnutUdYptPBwZro067G592GVPZyZhjn/kXPRwsOZSbbd0Gru +EZU9nJlMMxJ8Kh5V2cOZKby/S/Q+tPBw+H30frddj6ns4cx0PzxffYAWHs5M +/T2rDJ94XGUPZ6YdS28lFktWtf8BsspbCw== + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwsmnlUTt/bhytJz5mIDJFCSSGlASm1lVLKUImkaEBShDRoljKnJM2DNClK +MobsUlEkiQZDhL5InXOeZIjIe//We/6yrlV5nrPP3p/7s9e6prv72m2VkpCQ +0CQlJP7379ETktN/SLF49UytxLIqEfL+b1LHgxEs3iuFPxZXi9BKY+24VGkW +U5UzDPPviZBW8nIL75EsfhDqdCq7RoTkxJv+GsmwuNBrW09qrQgNWAZcZUax +uOKChVlinQi15sR6dwFv8x7KOnlfhG78zptRLsviyc3Rf448EKE0+zsvDopY +vFLqw8aD9SIUevFZvAPB4lmzJ1SGNYjQppG9y2eRLG71VZoW9FCE0Capf4PA +sUND0XsfidCMGwrXH1IsPv69tNenUYSkx8zfmUGzeOyhhWs9H4vQx+2WqrsY +Fvu0nrnr1iRC9dWbX5mMZnEP+XC28xMRKp4cmCA3hsUfbJ+nrGsWoRN+J60+ +AF9/clXW9qkI+TbmS1yTY3F9iXewdYsI2c6svHFoLIsPy/9izZ+JkG74812O +41i8Za6LB3ouQuPbe2fOlmfx66kpLxe3itBPrRGdQ8C75Irt9dtE6MWRyYmP +x7M4T+t0k1a7CN1+N986ewKsb4699ewOEcpcbCW1ZyKLjU72Nqi+EKGI064V +ppNY3KK8cYXySxFy6wvcLa/A4j3h5xoVXonQMvO4WR+B77dUr5F/LUJqWQVv +bkxm8QHzijamU4Rkf1aeOTqFxRv/HdkkeiNCX1a32mxUZPEEjfmfR7wVocbz +fSM0p7I4sPey3zBwqaT07WHgVb6k5K8uEYp3mrK3WYnFvp1L4gfeidDeKzoa +55RhfTZZTefei9BaakWX3zQWyyjOu/r5gwgt2OqWbD6dxSuM+yw/dIuQwt2g +VRNnwH7iDr7t/E+EhibEj+wBDnL4HtjxUYQ6fQvv3FKB75eBxj77JEK4/q7f +CVUWT+vbWvr4swjlTG+bvWkmi9t2brWp7xGhg8HsOy01Fp9aYdJ374sIbX0m +nSo5i8U7LwzEVvaK0PK5imueAcdWRM2/2SdCGjG6o/LVYb9l9bWVsyJEvllx +N0CDxRZR88NLOBHiFrj7W85msWWc7azzvAg1x+2fO3kOizdzNi3nxCJU/jn+ +Qy/w83qViMx+EUpcej6tci6L+5e3aaZ8FaGANGwbp8li+syWNwkDIuQ40Cbr +No/F0S+a4mO/idBiGw7raLH4hvF48yPfRUgxf2SgtDaL5biFQ1E/RGj4r+K8 +NmBpuYVXwn6KUNc6vf8K57NY9unYnUGDIlRzyTpjvw6LM9Y/Uvf7JUL5sh72 +1rosXt7k+nHnbxE67BZMTNVjccqmZ/nbh0TI69apag5YRUfF0+OPCFmPKwqq +0mfxob1rZm/6K0KaPlVaCQtY7G61kXccFqHRde0fPRayeGuX2XX7fyLUP5XP +1F/EYhM3ImKVBIGeBcg4jDJgcfH3UisrSQJdezKVegG87cH8CcukCJSirl9T +vJjFhhKnu41HECj4gE1wqCGLfzW0XDWQJpDzS4/5q4xYHLCq/5DeSAIZ64Z8 +Vl7C4rgbnJOWDIGmnUjI7geerfVIe/YoAkn9V7SuxpjF898ekp0pS6DuJdX0 +GRMWp/VPf68sItD9pI7abYjFm+IzKicTBDrP8yGLlrI48r8faeNJAh2zHKVL +mLJYNGV+8BiKQDtzlL68AiY2Wm8kaQKt/q2fU2LG4vQn5sYyDIHm2690jFjG +4lfnpqlIjibQuItbRtuaw/sdfiX6A/xdOvT+DAsWk0zQ1x9jCNTucjrsG/Cm +399e98sRqOJ6sd795SxW5+wa+sYSKH30vd5kSxZfHJdw49M4AoVtf3HOy4rF ++mfKC9/LE2hztXiD4QoWT8y8mto5nkBLJ8vK0dbw+w4psR0TCKTqp1z/Bnhd +j8vBZxMJJNO4IKLMhsVhUSNDmiYR6LPqqgVRK1l82jpuX4MCgR6GbWXtV7F4 +tO+Qb+1kAl1sC82buZrFuyeu2ImnEOikVuLGn8BLfEN8bikSaPeRC2Mb1sB+ +y4nbeW0qgeze3WtIs2Wxc/uh3WVKBNJb/DLSx47F74zc/C8oE2jC6f6FxvYs +XvhHKbRgGoF+9cryo9fCeqCq6JzpBHq1bFrBO+AxuuZxGTMIVJm50OWKA4sl +JUrTk1UIlP1jlXzMOhbnPP1dlKBKoAOrtz1atx7mQ8ucW7EzCeRxPixK3ZHF +U+YtbTyiRiBzyTMGv4HPMoZdB2cRaJbTRfGjDbC+2Qo/wtUJJLpSU5jpBOeB +6KSDNQjUS77a5LuRxb0hMbP8ZxOoacvX8UudIU+VxpntnkOgskrR47EuLB6e +csjVey6BEiZMj+4Gvn/2TcQ2TQLt811keH0Ti/3aFXPc5hFoXf3qr4c3s1ih +F9U6axFo0XTPog2ukD8y1j3rtQk0OTjcdY4bi584GI6xn0+gPy1nJv4Fnjdi +rMEqHQK9mVPS1OTOYo3FTzysdAlYpdqYsx5wHmb6xS/TI1Bu5yujvVtY/L7/ +710TfQLFLBgYMNvKYq0GX37xAgJ5xhEXxm+D/d9eP33BQgJZfZ7u/gnYdLlo +3fxFBJqz1EChwpPFtcvmn5hrQCA6bU3zse0sVh5CtbMWE4j/6nnY2Qt+fmbR +8AxDAj21jjCet4PFc9GkxUpGBLqSl/T9H3DbzK5AhSUEOvO35OJTb8jrvfE3 +5I0JFLSuziPXh8WTbDV+jTYhkNOl15P9d8Lvs8VGJCKQkey3pxa7IF/2jY+S +WUogJTfy6CRfmP+jvRokTQkkcWsG+gJM9+WP/Qv8fuzin7d3s5jRb3QZNCNQ +rbdtaeweyI8Jr4sHlhGooHb71s174fzdbv3FmRPoyNRIxfl+LDawrVjxxYJA +OwKSn0ntg/MgdTjzv+UEWvmk9NhzYGbI+GuXJYG01O8vLfBnsbnnO8vXVgSS +O9A5GBjA4s97d+W0ryDQwItvl6wCYX9YfRxqsSZQqw7lOSUI8n6SlWOTDYFu +HFdRYoFHEsnXG1YSKLV7cevd/SyeY908oW4VgUKW2J2ID2Zx06jvQVWrCeSS +5GXmHgJ54jOy8/YaApnwkb91Q1kskSdpdsOWQDMsUy6PDIPnedVTXG5HIOmc +S9vbgZtM7sqX2hPo46/7ykXhLB73JzyyaC2B6u3etAVHsLjPaC6X50Cg4gvf +Y20iWZw7777L2XUEOiFNmysdYPGxvzZP0tcTaJeL6h8e+HdrlWmyI4HWXDe8 +Uh0F69M9/WbCBgLpjLbfcfogzGOH3VonnQgkv33H9K3RkB9rL54/upFAP6sO +dCyIYbHu6DaVGGcCvVBIjZM9BPl+mT0b6UKg23vLLF4C79g+oBy6iUCZjx78 +vXAY1sf109nAzQSKUH17NewIi8fXNaj4uRLILeyH9+qj8L5q087vciOQWRut +Mv0YnOdjTlo73Ak0U2vmy6/APmaim1s9CDTqiNGp2uMs7lYsNHXbQqCeLnvL +pBPw/sz0njhvJVCjgfc/z1gWr/9Q5uK4jUClCVHXDU7C+9OYytl7Eii+N3Un +Gcfil2b7I1dvJ9DeZZdVO4FHbqqTt/Yi0NrM+lel8Sxemy95wWIHgRb8eJsQ +eYrFNy3mmZl6E2jS6p9Wdgnwfn2tO5f4EOh3ISOpeprFJdYb9hvsJNBrCbWb +34GXixwn6u8i0N0NS3wfJELetS6/oe1LoJzytWqpZyDvXqtvmLubQAdJn84d +SSwOth76M2sPgbZuOZholAz5uwafU9lLoOWVadZMCotVJ/mvUPYjkMaEcqku +4OYnit8m7yMQ6dtQcTmVxdfyrmdP8CcQ+6Br98E0FivWmq4cG0CgJ9MGZzmk +s9hxY/UfOpBAl/ePfquWweKaZJ1SURCBTreoJQ0C3y1Ichu5n0ABc4xXPsxk +8dVr7ATJYAI5RjtIZ2RB3/u6sOkP8OJOn9s7s1nsetT/8GAIgRQXRO81Octi ++aIC02+hBBo+ma4hl8PiyvBH//gwAnV9Ku96D8wt7L7bG06ge+hh8tVzkEdS +/RGfIgiUl/pu1aFcmB/kV9MPkQQ69HVwpGMe9OvIT6PeHiDQdusxlRr5MG/j +nza9jCKQdd6sfUPAWtvLktsOEkjzr/GcxwWw3/Sj3VuiCTR63br3WYUwH9VW +ajXFEKi/dGfq7vOw/tvJ4YZD0EdGxawxLYL11MBNdYehj7hmjJIvhveVvD2n ++giBkiuu3P0P2K1rVEDlUQLtH/vI/8YFFm/XybSpOEagjd7v5x69yGKn2+oz +rx0n0JLaXx+cSlhsXFT8r+wEgZSnyqXPLWXxjNkqry/GQh8JULcbBlbySbh1 +/iT0kSYTUfMlFq/JHEzLi4M+Mmt9VU4Zi7t6HcLOxkMfidwV6HeZxf9iit0y +TkEfeREzz7wc9nvqj+UpCQTy0cn8b8IVeP8Oi7UTTxNo1fGrGZ+B6c/+k+MT +CaTd/cj+1lXIg/AimRNnCDR2yQfixDW4b1m2fjucRKBvZ35Xu1yH/9/vV/fB +ZOgjnNx+rRvA8ya0R6RAH1muoS15E/rY+TmPQlKhj5xFn1qA5zGLqwPToI/8 +Wp+VVwF9O25phV869BE7X4eAW3D/czK94psBfeTCIcryNouzMo0ueWcSSEU6 +q0bhDos/HtYu8cwi0EiXa8G9wI+XK5V4ZBPo07XG+ZWV8PmkzKXNZwnUwHR/ +PnmXxXojPpdvzIE+4jmU7YrhvDnX3lx/DvpI1dj1OlUsvmCeXmWfC31EYTYj +XQ19mfN5uDoP+sjepXWtwCdjDNqs86GPPHIMLbwHv28g2b28APqI6m7d/TUw +3wxqB8wKCTQYevjLiloWP7oaNRKdJ9DL1qwcxToW335hpGBURKA78647csBJ +7V/nLSomUNbhx6Or7sN+6Myz0LsAfaSr+/6pB3AfmGzvqn0R+ojBnzCPehbb +VP4NmVsCfSRhnL5+A4uzv+elqpdCH+md3SfzEO5zXy0rVC9BH1lmmtsBLH7f +83JaGfSRjA1OxY+gX/84PKx4mUCPv++WC22E9+usoqpQTqBLq47Ur3wM58fs +jvX4KwQ6VZgdodzE4g2v7PzlrhLIT+LGgn7gq/afztLXoI9saGLvPYHz+Gp/ +k+g69JHy//ISm1n86RwxPPIG9BHy78ZtT1lc2pGqJXUT+oiH/LhFLfC8F2d5 +DAO/uTPnoegZzP9VV1N+V0AfGW924BWwDGvS/OMWgc7tclpU8pzF924+FA3c +JlD0gz18eCuLR71ba87fIdC2aUcL1rTB+znzJqq3kkCW+8+6zGhn8cDI7fc+ +3YU+0nJD/huwvHv/iG4MfWTOk0d1HSwufxG8vKsK+sjBj1HJL2D9MqRjX1dD +H3n918DrJdwfX5583nEP+oj++P7Fr1jcWaOg1FoDfeTk3PPUa9hPh/O9ntYS +KPCT2eY3wOPW69x4XEegDWjjhLJO6CduVTIP7xPIMHXv4wNv4PPbVjvef0Cg +qV+PRtu/hZ9/7Lpwrx76iHWO4cwuuE9X+0niBugjuTe//gDOS5bdcPsh9JE/ +T4rq37F4f2p2+Y1H0EccPrmmvWcx/2MRc7UR+kjp8ESfDyw263ruXfYY+sio +CU+WdEN/jfF7dLGJQDaumodG/wd9deJ4zaInBJpXsWzJO+DV9yvi85sJNGas +87fyjyxWu+f2I+cpgb7u8LsQ/Qnup4b0pqwW6CM1x9zXfWbxD/c7D9KeQR9R +PKeg3gPrsd1XN/k59BH/iuZfwLVhajmnW6GPNDUffvQF+njLuzHxbdBHZn02 +zuyFfpGUE3WiHfpI5L/vu/pYPPPP1h9HOgg0/cWEEsRC/uho+cS8INAInXlb +xnIsvuP998OBlwT675j5lG7gre3NLuGvCPTgg3PLNR76R0HRi+DX0EeM9h09 +LGYxIo6sD+yEPnLmONrQD/dXXZ92vzfQR7hzP2d/hf1gu27D7rfQR5bfKv0D +/DjZotOnC/rI2adbmwbgefWXeHi9gz7y67Pi2W8s1nRe3Lv1PYF+2Eo83/Md +5q+Rsb/7BwJ1FE88bvYD3p+sleTmbgLdGqFlOv4niw/yTnEb/yNQhrPFr4/A +ItV9yo4foY9ccym7OQh52pZ4ee0n6COMv+exX3B/Nqg0t/0MfcTzhJLzb+iD +IeyrlT3QR6pyWzWHWHy5eea+FV+gjyjcPvEPuHT7NmZ5L/SRPS1mT/+wWMf/ +UrFZH4EePez5fe4v3D9nSVohlkAlKpLl+4ZZbH15Y48RR6C40EleFv/gvJrh +4wY8gfa0ak2bJMFhmzFztReIoY/MW97eA5xsea5Npx/6yOFNJ29Lcniq0owI +ra/QR7r8zWOlOLz33gWNuQPQRxbF/tk0gsO6niZt6t+gj5zKu6ItzWEZ487o +md+hj3y5vUNqJIfTg2L0Z/wg0FmzZ9OfAxdZLvqs9JNAURlfOvJlODzw9nvG +lEECbfkuGR84isNmu+/aT/pFIItVCsutZDm8a04CNf439JFC7eHJIg7bme15 +IDcEfUTC8lof8POejdHMH+gjjpt97hIc/rjGzoz8C33kcoBKPMnhyOK10rLD +0EeIky/dKA4XqHs8kP4HfcQj/5QuzWGPv2EnJCVI5H/njuVIhsOPnfLth4HX +j3/+rw14hN8LxSFJEhns6r1+fjSH5wZN/vxTikRTHkjtCh7D4eCM7de+jSDR +X+XJM23kOKw+tja6X5pEXUHzX08dCz8nNddxI0l076nlaR747q1cjV4ZEuXN +dl1RPY7DK+zU/30aRaJDBwMlT8tzOEL6dlu3LIm2vz55c8t4Dj8Y4Vz2TkSi +FfoFvgsmcDgxijzxhiDR3JOVarITObz1SoPXK5JEzKfnnS+A79YnWXVQJBKb +9CVemMRhre9757TSJGpJGWETpgDr571pdAtDomv9k0esnszhBpcN35tGkyh5 +hc6taVM4jP55dD4aQ6L9uVZ7vgJ7R4U/qJcj0cY/ruq1ihymJhddqRtLoiUO +QW/PTOVwz+CHnHvjSKRcGpfkqcThVlutBCxPIslRhSsNlDl8Yf3x6DvjSfRh +811pchqHbZcMBlVMIFHdzdbbr4Gv6wf4Xp9IokI5dm/pdA43+43cfmUSiY7t +kJ4dOYPDubML3MsUSORTM+WdrQqHF8c6bi6ZTKJViropKqqwn5oUNxVPIZG2 +/4rV34HHzBjYVKhIorFNbjIPZnI46dYrt7ypJPqmtr8yRY3DOx+1bctRIlFb +RPy+HbM4/PRw984sZRLd7CicY6QO+1dlZGD6NBKlzcfvaQ0OOzcvikqZTqLQ +Y22pb4Hn1ETEnZlBos0f2DWXZ8PzznuZlaBCoqVGI2UPzuHwfQvLsjhVEqmc +UcRr53LYz/hhzYmZJBrJ6QaoaXJ4o5Xri6NqJPpkYa05CDwqneg/NItEDdnu +3Q3zOEx4NhDR6iS6MLg/PV2Lw5LvMtQOaJAo1vaU3U5tDscbxSwLn00i3+Lz +IpP5HN5eErUlZA6JbEdUVY3RgfPjeeZQ0FwS6Tm3B74H7s6sLPbXJNGEa9y8 +q7ocnhQz1Lx3HokGaZmPMXocvrZm9S9fLRK93DY1c70+h7P0KlR2apPoDtZb +q7GAw7e3GKzZMZ9EWZNsyCFgqfHN4Z46JIrc43GvcSGHd+8Pu7RFl0TuD4P3 +Zy3i8Oj7S9+76ZFomUqC9m4DDvPzpk7crE8itdCiT0sXw/n5PHqV8wISiVqr +ssYZcrhv5uTDGxaSqFezw+E/4E2KRvfWLSLR40M8dcOIw5X//P/ZG5Do0luZ +2iNL4LxI1xvbLibRqUVKIU7GHF67SSdylSGJ/E7p68w14bCO6bUaayMSOXyx +6fkLbNa9RmS1hEQLzbacfYI4/D1opK2FMYkUMkLW5yyF59N7lmZmQqKhbwmM +nymH5W1ufUSIRG9WFtctM+PwsYFbesZLSVRVUB06YRmHL21ujTY0JdG5fx26 +n4Gn1YnaF5mRKNpR/KXCnMPRjo5zFiwj0bbLo84dt+DwiVXVB3TNSWRJKG9w +WQ5592rZS20LEs32WDBGy5LD4fof9OYtJxF1Z+UDCSsO/4nLODXHkkSc/Nbw +FmDzaXvE6lYkat4Zqp+3gsPGU9xs1VaQ6Mr9033+1hx+ds3nqoo1ic4oX8hd +bgN/r5qoMN2GRIFB95wUVnL4VmZ7pNJKEm14+kKuF1jBYeGXKatIZDi7v/7O +Kg7Pi7zioLCaRFMPykaeXA0/X2tTM2ENif69Ul7ouobD0jJSuvK2JHqnt5Cb +b8thlUfP8+TsSFQTuyp/hB2Hr3bUThptT6L8j1udW4Elt7ScpNaS6IhJ2LhC +ew47nfsrQziQaEdK4sOgtRxWarA4MGodiWz6LxxY4QB/T5f+lV5PonkrahYp +ruPw8XzdUClHEo3JfcmzwNPvt//5B/x1qL8Ar+fw77y0iL8bSPR8rWjTKUcO +FwaGSg85keh6ybTxHhs4XO0XdnxwI4lSZBY16jlxmGvKlP/hTKLgzasPymzk +8Ku7ndkDLiRyubltcQew2f4lmv2bSGQiF95f5Mzhz7qVd7jNJJq+48z5EBcO +p6i6rOpzJdGImoubV26C839g6vseNxL9N6V2gvJmeN8Rw4Gf3En0YN+rx2Lg +kw4SY/7zIFHR46/R91w5bGqgUvx+C4mOqxFGiW4cPuvqadG1lUQ7I6YPbHWH +55d83N25jUSrOxYVL/Tg8Bm7tTGvPEmkM3+Nm2gLh92P/p31YjuJ5I95TnoF +bPm6vrHNi0Q/3oc/ubiVwyEHrvs930GiDsOkQ+HbIB8v3Vds8SbRrcSSJWs8 +OdyS/uvBEx8SZbC136Zvh/8/bLX/450kCrd4fWEAuPtYveqjXSRyzR5wr/OC ++fV7S1u9L4lMB4nJyTsg3yRnHru/m0SqtjOebvfmsFeHLKrdQ6JRxQZHFvtw +2PfqmMHqvSTqkbI1oXZyeH+jUTn2I9Gjjdt/dALPWh+7q3IfiUquRpRc2gXn +5biU5m1/EsXRyVsO+EIeZWewNwNItGdb6RT73RxubNhYdj2QRPa4rkV1D+Sx +KfK/GkQi/UmdR38ApxqvMCrfT6KJe76h+r0cdvuxf2RZMIl+NZCDqX6wPmef +NJeEkOj1DJVL3vtgnvlZZ10IJdHdkMXblvhz2KKA3VkURqKzz22njg7g8Jbd +100Kw0kUpen1vAv4gmzBuPwIEm05FHm8PJDDBgV3es5FksjibbJpdBCHF0T+ +rj57gETqiy79ctgP6/N4c0ZWFImIU/fLZgVDHt3vC8o4SKK+nk7PX8BDZ3PW +p0WTqMn0u9KjEOhTZ8IXpcSQqCydassIhed/eXBK0iESnf6mErsrjMP2hZcl +Eg9DH1lpuAyFw/7Uk/186gj0kQK7IbkImPc3Dz+NOwp95J9X+QdgU2/tythj +0EccD3hdi4T5Fi114fhx6CNlKdMOH+DwIcPhtKMnSPRWVNbuGMVhvXqV2MOx +JKp2f3By9kEOa7r7HYg5SaLc22/M/wBrLWUDD8aRKEb+x5/H0dB/Mk/tPhAP +fWQnfTU7BvKqxNU74hT0kfuq3nsO/a9fOW0PS4A+omw0w+ww5NujCM+Q09BH +guxfyB+B92nVvH1/IvSR5h3xH4FJZxufwDPQRzSilt88Cvm7+Nse/yQSXY1K +HT56jMNfp9bv90smUdKrsmsbj8P+XVJ/cE8KiYL06n00T3A4puVbnG8qiZxi +36r8AzaTX5W1Mw36yMcfL5tjObzS8Hmpdzr0ERMm4dxJDosjDld7ZUAfSZlp +tS8O/n7y9jbPTOgjYiMJi3hYL7MAdmsW9BGrtTcmnuLwBN1ymS3Z0EfOee/q +AR6arjjD/SyJjg5FzbydwOFMy6smrjkk8l6b9vrEaQ7Hvg3evOkciVaWXD69 +KRH6pPLuA865JNKSaVihfQb6G0rMd8qDPrK5S1IqicPbQj89csyHPnLj581n +wMPEjm/rCqCPjBm9Oz8Z9s9MRWWHQugjXmqzAlNg/0kPW9ufhz5yb8kby1TI +x67RIbZF0EemOJyZnMbh+QO2F1cXk2jTPh+bPmBl/9q3Ky+QCD0+OOJuOvS9 +bM/xNhdJNEMt/VZcBvTlYsOVK0pIJB1RvsctE+ZDMzpsWQp9pL1BXTeLwzNW +BNZYXII+ov3urXQ2fP6at5LmZdBHjg4mtQHrK+43NbsMfeT96FXnz3J43adl +MUvLoY8YzhoZnMPhL19MH5pcgT6SaHzH+hyHrVz95IyvkkiXdfCbmsvhtsg2 +J6NrJBpvsXM2DyxxyKdg8XUS/cyKfleVB+e1SP/bohskevEzPSUhH86Lqs6y +hTehj6y5snpLAYdnarsn6VdAHyl6KLOgkMM/ZO5/0b0FfUTqfeWo8xxe+N5l +qc5t6CMbf+17AZw+pJGmfQf6yNUxcy8UcfjNidnf51VCH6HVP4QWw/58stlO +8y6JZLeZpK26wOFFww2X52ASfbm7znbaRdj/dl7jZleRqHHiLtmvwAojjQPV +q0lUujsG15RweI+tZafaPegjDRkBZ0o5TO85Yj6zBvrIjKuanpdg/2QOX1Kp +hT4S8qh7URms19gLijPqoI88f59OXObwUtmTx6fdhz6i+dvuNTBfUfhH6QH0 +kRg5orScw2lug75T60nU+Ua9OuIK9BGDyP+mNJAIL0RBtlc5PC7UxGXyQxLl +xK/XUrnG4dMeC9onPSLRwZ5dH78B+8/eaj+xEfqI6aHM+9dh3pONT8c/hj6S +nrk25QaHTZC3nXwT9JFvV8kdN2H9pM1axz6BPrKy8Z5hBYdLTtk7yTVDH8n/ +sJ++Bfmpkvlu9FPoI8O/td8CXx5Q9mZaSFS+fuznstuQn0te/6CekSixTCM7 +6g48v2FLNPmcRAGipevWVkIf1pAaT7SSyNHdkVa7C3mBfApl26CP3Pat/Qm8 +pXKM0ah26CPyh0MaMPS/F3zLyA7oIz5ZOulVsF+einykX0AfqbvW41MN+/PN +plEjXkIfUXp81vgezGMjPk/yFfSRwO71Y2rg/c26vUziNYkONw8x74G/dNz7 +OAzspTHu/pVa+D6xMsf/dpLIOmp2WEwdzP+IQ/P/vCGR5quleuvvw3nvNX35 ++y30Eb0NveoPIJ9Io5hfXdBHTuw+9xvYXnGvzuA76CP/Hd7QWA/7x/G/dz/e +Qx8xzh6T1QD7dejM6e8foI8kX3/g+xDuKyh6+bdu6CPix+FLH3HYdUvZ36// +kcjZ6j/9cY0c7s+ZfL3/I4mMz/3p6wYeo1+zW/yJRNOGxuVdf8zh0A3Fmvxn +EkmtnbPxSBOsh3VzH9sDfeSi6VinJ/A85vqlfV+gj4x0apjTzOGK6Bd7enuh +j2zaE/kXuHpZ5cIvfdBHbhxZ+OQpzIfqrn+fWegjY85yZ1ugvy9c9vATB33E +60b+3mcw3999SvrIk2j+vSbnZc/hfvPn0db/xCQaN+XjuAmtsH/qxAu6+0n0 +3e/vw0/AYwPXER++kqi9UT6qoo3DUav+vn03AH1k5lyD4+1w/g7/d6PrG/SR +cDOxcweHD24enfD2O/SRdqfCeS843CEXsuvND+gj2ns3SbyE++Ir9ZWdP6GP +HD06vgV41+Ckea8HoY+8P9uY+4rDd/Kt5V79IpGM4c2D/q+hDytX/3jxm0Sf +Tz9ZvLyTw465+990DJHoYd/H/klvYD/6+Ne3/yHRRfPh81+A91dcvdr2l0Qn +s8a73nkL77tuYW7rMPSRn3MnnuzisGHT8Onn/6CPrFnWtPkd5N8Y4vAzCQrp +F22Mmf8e7vf1G0JbJCk0UcrPaMQH2G96nN9TKQr9cjo28By4I+7BzuYRFHp1 +Jae4oBvuzwofvZ5IU6iSqnAL+g++P7Vie9NICmVvbZ604iOHJ54f2P5YhkIH +7n56MuUT5Lf6B+/GURTymPjvEAt85eGkPY9kKWSxe4Ix/gzzoDEx6KGIQuoN +mt/je6CfeK+PaiAoRMwwv+j+Bfp2t+vJepJCfcHOHnq9sB/2Xc54QFGo6Znf +ZJk+yBMnq5L7NIXK5h5/2g6s/Ey9qo6hUELMuSNFLNyHFNe01o6m0L43FSYh +HOxHP9xXM4ZC6xY+/WHDc7h+fKBMjRyFFsV/LlESw/1jaeCMe2MpNKXn3xYx +cLB+FaoeR6G/Sycq3uvn8JR5dm5V8hR6mzbv2emvsJ+8NKPxeApVD5gf2zoA ++03NrujuBArl2rgsXfgN8u1cVXPlRArF5O8blP3O4arJ+3/fmUQhz+Hjl14C +xzWFqN1RoJDV+txtF39wOG+ofu3tyRSaU3ZravhPmI9drjG3plCIFrU8Xz0I +eXjL7GaFIoXEbj3Hp/+C9a/fw96cSqGWWxJmA8DOVr2qN5UodHXcpN+1v+Hn +wWWbbihTKMlH63LSEIet06vTrk+jUFCdxfbtfzh8pEfpxbXpFHJS2qS8+C+H +/+XWK1ybQSGjQP82chjubyLsfFWFQkrNJ2I7gSUcpc5dUaWQhEbeskv/OPyu +KaGnfCaF3h+4PRQpweP8i7t1y9UoVPeypdxOkscTtTMiLs+iUKHuFy9VKR4z +KeObytQp9P8+HI99VTqVyjQowYfj8Wy5gT2XZlOCD8dj47PrH5TOoQQfjsfX +RpPKpXMpwYfjcWEWvb9EkxJ8OB4bnNjcenEeJfhwPM6YKKl3UYsSfDj4/Miv +iRe0KcGH4/Erab3B4vmU4MPx2Pm/OpdiHUrw4Xj83fV8XZEuJfhwPJ5045VW +kR4l+HA8tpjlmnFenxJ8OB4T/frk+QWU4MPxeNjeJbRwISX4cDyuj2vjCxZR +gg/H4yndOVsLDCjBh+NxRTzuzF9MCT4cj3e1ajnmG1KCD8fj8V+/Ps8zogQf +jsdJaqK1eUsowYfj8afyva25xpTgw/FYtl1rQ64JJfhw8P2eobfnECX4cDwW +fcr3PLeUEnw4Hs+z3/w1x5QSfDgeX16/MyLHjBJ8OB4/12tmcpZRgg/H497Z +R86eNacEH47HdsFn9M5aUIIPx+NAj8GH2cspwYfj8VO1yx7ZlpTgw/H4s3TV +cJYVJfhwPF5tPDMjawUl+HDwfse9M8yypgQfjsfZdQOdmTaU4MPx+GuiS1Tm +Skrw4XjsdU9JI3MVJfhw8H5PLmzJWE0JPhyP5xieD8tYQwk+HI9tZPfMybCl +BB+Ox4YLE16l21GCD8djaRF1Mt2eEnw4HtfcfWOavpYSfDjYX6nE7zQHSvDh +eHz/ZdyVtHWU4MPx+GDNLt+09ZTgw/F4+plCzTRHSvDhYH/EL+ZSN1CCD8fj +mMFZl1OdKMGH47EmvTsgdSMl+HA8Dh8zzjjVmRJ8OB6Ty+RkU10owYfjsV/P +jucpmyjBh+Oxp+m03JTNlODD8Tg3fv6+FFdK8OF4LB6fvTzFjRJ8OB7Pkvea +muJOCT4cj+c+jvue7EEJPhyPB6LGNSdvoQQfjsdhgd8uJm+lBB+Oxy/6dE4k +b6MEHw6ed+aTncmelODD8TjKvcE2eTsl+HA81vk0fVGyFyX4cLBfvndMS95B +CT4c5MkTjkz2pgQfjsdTb3r8SvKhBB8O9kv/wp6knZTgw/H4RqX3q6RdlODD +8bhr878nSb6U4MPx2GPywP2k3ZTgw8H+01pelbSHEnw4Hs/4MHQ7aS8l+HCw +3wPkbiX5UYIPx+MmzSO3kvZRgg/H4/4VrpVJ/pTgw8H6yaXdSwqgBB+Ox941 ++g+TAinBh+Pxgzzd50lBlODD8XjN4OmupP2U4MPBekuu55OCKcGH43GsVJhE +cggl+HA8vmIiI58cSgk+HI/5oa8ayWGU4MPxODPYzDQ5nBJ8OB6r//vpnBxB +CT4cnPfHY4KTIynBh+Nxw6yTqckHKMGH4/Ffu923k6MowYfjsWXCjbfJBynB +h+Oxi8YWmZRoSvDh4Pc3BmilxFCCDwd5uZNzSjlECT4cj1VyG4+kHKYEHw72 +p4lcRcoRSvDheNx56E5vylFK8OHg/FY2TUs9Rgk+HOz/WZaOqccpwYeD9y2r +kZB6ghJ8OB5L5O9pSo2lBB8OztvSGXTaSUrw4XisNsNwVVocJfhw8DzH75xK +i6cEH47H66oL2tNOUYIPB+sh+1s5PYESfDh4HwXXd6SfpgQfDubZm9c30hMp +wYfjsdZ3n1EZZyjBh4N80fbckJFECT4cjxufPSrJSKYEH47HH1XTpTNTKMGH +4/GG7a0umamU4MPxWP5DcEVmGiX4cJD3L49PzEqnBB8OznOCbFBWBiX4cLDf +bPtfZmVSgg8H+bDRAmVnUYIPB+f9x6jz2dmU4MPx+K3ngrFnz1KCD8fjw9+e +hp/NoQQfjsf/3r1kz56jBB+Ox7f9bTfn5FKCDwf5+F3/WU4eJfhwPB5XcMTq +XD4l+HDw/z+2uneugBJ8ONjf5fuX5BZSgg8HeZA66XbueUrw4Xg8rV7NMK+I +Enw4eJ6YvMq8Ykrw4XisNCHBNP8CJfhwPJas5hvyL1KCDwfn81GlfUEJJfhw +PC4L/fO2oJQSfDiYpwoXdxVeogQfjseqPY3/CssowYfjsd78zafPX6YEH47H +Ros8NIrKKcGHg3xf9qK66Aol+HA8rsuodi6+Sgk+HI8XHlb8XXyNEnw4Hkeu ++JJ64Tol+HA8XqI5x+jiDUrw4WDeB7ztuniTEnw4Hrd7jzpSUkEJPhyPFzvk +zi+9RQk+HKzP/iudpbcpwYfj8W6lRScu3aEEH47HM0/MX1JWSQk+HA997ay4 +7C4l+HDQB14fLLiMKcGH4/H8VZ2byqsowYeD/Zd3UeFKNSX4cDzOU/vaduUe +JfhwPN6qWJp0tYYSfDg4b4+7HK/VUoIPB/9/xLGp1+sowYeD9Qq90H39PiX4 +cNAfiGWlNx5Qgg8H+bdnbfDNekrw4SDffr22rGigBB8O5mtPp8Kth5Tgw/F4 +0bH17K1HlODD8fjRjBU1txspwYeDvBi6ln7nMSX4cDyWc04PqGyiBB8O8vrE +b/u7TyjBh+Px3dZnuriZEnw4HlMRsyZUPaUEHw7yq/n776oWSvDh4PuMM3lf +/YwSfDjoL3EjGu89pwQfjsfHYs1u1rRSgg8H59PhX0FtGyX4cDAvtRam1LVT +gg8H/W9r7/H7HZTgw8HzmyhGPXhBCT4cj/+MfBhc/5ISfDjIR8nv/g2vKMGH +g/4Wmun38DUl+HA83lFZ4/eokxJ8OB5/Y7YHNL6hBB+Ox+UVx0Iev6UEHw7O +g8zcg01dlODD8VjXxCb2yTtK8OF47HCxN7X5PSX4cDzenkwUPf1ACT4cj6ut +im61dFOCD8fjh1R907P/KMGH4/FPDc//nn+kBB+Ox81fDg23fqIEH47HbMqs +ye2fKcGHg/UJs1rU0UMJPhyP0z/0Ob74Qgk+HI+Lx40NfdlLCT4cjwfXV+S8 +6qMEH47HPr/fN7xmKcGHg3m0LO5bJ0cJPhzMywN3p7/lKcGHgz7xe7dtl5gS +fDge//5VcPBdPyX4cDz+0uB68/1XSvDhYL9czeI/DFCCD8fjx9JuGv99owQf +Ds679PmtH79Tgg8HeTfsl/fpByX4cJAXRnX/ff5JCT4cj/cTGRpfBinBh4N8 +Lx/07f1FCT4cj+3DX93s+00JPhw8T8UyaW6IEnw4mPeX9Oz4P5Tgw/F47IUL +58R/KcGHg37zo+h7/zAl+HA87nutZT3wjxJ8ODg/RUtyv0nQgg8H8+38s7/f +JWnBh+PxSpWvTj+laMGH43HwttRbgyNowYeDz6tsUPwtTQs+HPTVvQcPDI2k +BR+Ox7Yt9z//kaEFH47HMuqJdsOjaMGHg3zCvXf/ydKCD8dj/OGxpiRBCz4c +jxe8W5wtRdKCDwf9coTuOGmKFnw4HvsnXT86kqYFHw7W72Od1CiGFnw4Hm82 +dguXHU0LPhzkQ++RP6IxtODD8djJYEkYKUcLPhz8fF+4BD2WFnw4Hr/+bHOI +GUcLPhyP6Q/nmTHytODDQR5eSkiVG08LPhx8Xhoxa9wEWvDheBwtHnNDfiIt ++HA83jucbzVhEi34cDxuG/PwzUQFWvDheLxzX1iAwmRa8OFgvQKqxkyZQgs+ +3P/68ukSRUVa8OHgPB36YaM0lRZ8ODjvWl84ZSVa8OFgfhfvOj1dmRZ8OOgr +5tGLVabRgg8H923rOd2q02nBh4M+RW6JV5tBCz4cj0e3zDNRV6EFHw7Wlz0u +1lClBR8O8qgoJG/OTFrw4aD/Ogw7aarRgg8H59tYXl5rFi34cDyOKK98oq1O +Cz4crN/w71gdDVrw4WCe7W5cpTebFnw4OE/rdMYumEMLPhyPjw7P7Vg4lxZ8 +OMjL63fOGmjSgg8H/abxnbfhPFrw4eD7nMwwWKJFCz4cjy9ZsyITbVrw4aAP +2j5/jebTgg8H+cjalpvq0IIPB/vRd9uxZbq04MPBeZk5dquFHi34cDzetsnB +1FKfFnw4Hmtv156xYgEt+HBw3zyVK22zkBZ8OOivsy/1rFxECz4c5E2E/dPV +BrTgw0FffB9/23YxLfhwcH/J9jxvb0gLPhyPL4zqSHYwogUfDvqT1/uj65fQ +gg/HY6txh8M3GNOCD8djN4f7/htNaMGH4/Gd8LO+LogWfDgeV74Z77N5KS34 +cDzuqFXzdjOlBR+Ox0mRz3w8zGjBh+PxSTfFPVuX0YIPB/eZG5JBnua04MNB +Xj49eMDLghZ8OLj/SeTGei+nBR+Ox5MSN2fstKQFH47Haa8qSnytaMGHg7ya +VV69ZwUt+HAwTx9YdvhZ04IPB3lAhff729CCD8fjc+Z2dNBKWvDheDy5tm5O +8Cpa8OEgL9s7bEJX04IPB8//9Pju8DW04MPB80q+TYq0pQUfDvrLzRYcZUcL +PhyP45d79kbb04IPx+MTwxkKh9fSgg/H48J5+1YcdaAFHw7mgzobdnwdLfhw +MB+XyF6NXU8LPhzMn7t1fXGOtODDwd//mamesIEWfDiYD5Ya2xKdaMGH47HF +tycFSRtpwYfjccCayV9SnGnBh4P9Wiqrne5CCz4cvA/X9KDMTZC/XyaOoSTE +WPVGS032ZhrNTs7t3Qe8V/qS3DlXyGMzrQedwH9Ttd3z3GhEiW+ds5AU44iG +9dcK3CGfMyzCLwHXdauSRR404ixbNkySEuNLS1I8LmyBvP7uon8AWEut/G7J +Vho15/SM+QJsJd6rWLYN8nuVf5/dCDGW516GlnvSqPy3RP1t4Ft7vry9uh3y +vPBErqq0GO9/nGN+w4tGifaTImKBs+wlSyt2QL5L5Dn9AI5dJ1K4402jgIta +CzaPFOMK9VuH7vpA3jvelqsHvqAo/7NqJ40cRy5ntWXgeaIn7qjZRaOHl1vq +U4E3Xq19W+cL53PTpjypUWJ86O8Ux/rdMA+ILxHewGuuTH32cA+c1xv+G58D +n1V/uObxXpgPHpILl8iK8a6y6U+f+NHo3+jYsQXAdZmqa1v2wby4M4ljRGJc +Y/bsxXN/Gr3bntcQCJzxXdO9PQDmx3jt/C7gUzL67ItAGtVU3460IuD7NXwO +fh0E82TXcudyYMvTVuTb/TTKn/xs4RRSjMXX1me9C4b58mDTuGjgwzHj9LpD +aHTY7wvXB1zmENb4MRTmjXLAQwdKjGcEn/HsCaORV6NkwV3gQgvnkX3hMH+C +Yg/MosV46uiWfC6CRtYzFVzigf1n/bTsj4R59DRv0S/gyx8ecQMHaKQZri3v +zohxT/Ka5B9RMJ9m3+EfAlumxJj+Ogj50b78ke5oMaYMvMVD0TCvDj4ryACO +aZLMGY6BPNHaHDVyjBhPLLFaK3kY5tfrLy67gA01zAjpIzR6fiTAoB04NJm7 +J3MU5pm+1HgkJ8ZBNjbhomM0uv4uVnwe+H2GsxF1HObbSYVGubGwHzuV/zIn +aJSyOL8wGFjdI6lKLhbm3Sftgx+AH0VVHZI/SaPg03c22YwT422nslZPjIP5 +Z2K5+Brw6G7tKZPjaeTc92y8krwY9z0J7FE8BfMwZXP/IeBFxX63lBNoZGze +28gDm9arnpxxGuZjf8B5x/Hw/iOOb5mZSKNpWVLR1cBaM88vUT8D83LFyc2z +J4jx2NEhCnOSaCT1U8HwNHB5gvSgZjLMz9z8CX+A7400f6GdAvm2ev7XLRPF +eNRdo0rdVJinf+48fgzsJNeXuyAN8u68ZdGCSfD+HOxjDdJhvjo8j84G5rv2 +7jfKoFGRpKurrAK8D5H1dpNMmLelvYZ7gF+ovt5gmkWj406BE18Cdx1VW2We +DfNXZsSA6WQxLjk529zyLI12XjnZdAE47+hnY+scmMebJxfLTxFj46euhqvO +0Wg1VRATBix75/Ri21yYzzfnu30Ezj17wGhtHo3mb600Wq0I+7119tL1+TCv +5awm3QSeXx5n6VRAo3F3nw9Mmwrv40SZnUshzO8drk+OAkfdid3sep5G3yf0 +FX8Fdk6Z5etRBPO8JvDQRiUx9gsMP7CtGPqj7wj3WuBvZQlJXhdgvivGLdFU +hv2dsaXU5yLkb/1khSTgtfHf6n1LYN77F3wbBv7Wbfpxbynk8XSdZs9pYnyw +f7VMwCWY/02VF5qBE2lFjf1lNAoPtjpsMF2Mn8QXrgq9DH1ArdX9HHB/y9eA +iHIauT5zNSZniHHjnOGcqCvQDyL6FPYBmw80PIm5SiPTuUHfXwM7HFgncfQa +9IWOEU/NVcSYnpene+I6jVRj4i6WAsfZXvGKuwH9Yf6UIxNVxXjcsuhzCTdp +JPOmwCMS+KPLxDdnKqBPHNMx6QHe8dNHMfUWjT4vuDvZbib8vleMS8Zt6Bcf +rH7cAt5JuuVk34E8i2t9qqIG52uhxOdzlZBnRm4lJ4DvL/OYX3AX8uxz35Hv +wKaxx8KKMOTZmaAtm2aJsZdXQOPFKpgPS6XRA2BNnVlKZdXQR7i4KdrqYpyM +MvdeuUejPWlTfqYA32M7Gq7XQD+xKGyR1ID9lPBS5VYtjewHdEp3AC86ei6y +sg76Svbdo8+AVWfP76q6TyN9mxVbjWZD3j85ZFr7APrLYCvKB457mlv4oJ5G +E/PdFJk5Yjzv+JHRjxqgz9iyPwOAcxz0g5se0ujX36Bnb4EvHiv+9PQR9Jti +6UuWc8V4dvTn9a2NNHq1Lv7YZWDZDP5hx2PoOyMUt03WFGObuVXodRONKi8V +Lj0IzMQ5Vbx9Av3HWXdqH3C4Etb70EyjbFk8uHaeGL/R48o/PoU8u7bieSXw +kbmfdb+0QJ65tV1S0xLj3s0lN9hnkGe0+/E44GMaxsb9z2m05Ra7bRBYojvt +wbdW6Evb9pu6aYvxr54a+8E2GlmMG6n0ENgwqeL9UDv0Jxz/S2e+GGebhe77 +10EjdR/F1nRg+ZWUaMRL6FOTzpdJ68DP6R1nZV7RiKjTPbET2KnrzGLiNfSr +PdizDdh/Wnw73UmjvqnWZia6YqyvsSFQ7g30rYdtSueBvzv0K4x/S6OmAPff +Y/TEWE1qA57UBf1LhWvdD7z6aLyn4jsalT3Zf/k9MLk8Zey099DHQkfGWutD +nifvrlL5QKME9VPbrwJPblXYM6sb+lmr4rKpC2A/uiSqzvmPRvsOnFc+BPzl +WNfLeR8hzzT1hjjgwlvDp3U+QZ69xG3rF4qxtyW/esFnyLND1uVVwDviy5nF +PTQy0G2P1VgEecZZNi/5An3urbtXAvDGqvLEpb00mnKCWzYEPNNNvNG8D/rd +ouBpWwzE+KWmlJoVS6O/3SP/NAJPPfj5qw0Hfe/UqXb9xfD5lbn31vA0ertk +6pUsYKvFemfWiqH/fTl/cpQhnDeHtB2O/TSqTtLbsRs4ZGebqfNX6INmVeYv +gBXefJ7qOkCjXN56uqkRzD/pliGPb9APM9r/FANrmCS89vxOoxhLj45xS2D/ +ftGo8v4BffEbdyUU2MMjtcD3J408c4Lj/gO2kn0X5zcI/XGljPcqYzEOXCAZ +GviLRla/T1ncAM60/r0j5DfkWcHUGdNMYH/mNzpHDEGe2Rf9PQL8MyfI9uAf +yLN/ei/6gQezhi0P/4U8u1h11QmJ8dHfm8yOD0PfdLSJrwF+ODYNxf2jkVi6 +w3vuUlhf8zJ0WoJBbpc9lp8BNv+aY5YsyaAWF37GMPC8AF+rdCkGmREhw9tM +xVhFW8EuewSDrl6XefkE+Hp4tkuuNINmeiRcW2QmxserpHwKRzIoabTSqRxg +t7VmYRdkGDTqTpEPsQz2T6rbqUujGBS0Xd/SDziIdTl/RZZBPfLVKq+BC8oW +3bshYpBTtc2/ZebQH5d+fXObYNCjnR0vS4Br/h0bxiSDjCZvuT7BAtZ7xYjp +tRSDSu7zpyKATSI3WtTTDFLyC9n5GThYMnFXI8OgOOVRVrbLxXiLZklq82gG +STQmqN4CzvUofPB8DIP2BClJqFjCeow4ONghx6D3qsWvjgMr7EJzO8cyaO1T +/RvfgAmpLvd34xhUF1ad4GIF30fZPeM/eQYtmL1y131gx1kPOnrGM6iwrcNK +awWc96DRk7gJDJp0cMvMFODefYZOXycy6KiWWELSGvIixDr7xyQG/X4V8toL +2Jo1+fRbgUHeR0bdbAGumK2g828yg17rnT5taAP7I7E9YoQig1a+U/LNAzY5 +HtI8aiqD7sYWr6BXivEH35GqlBKDtBYvUAsAXpnrHzxGmUFnP1ZLvgUOPdT4 +TH4ag+ROr+xcvgo+P5jQVpjOoCiTFzfLgAu7tOKmzmDQQO+WRIXV8PyTl/RP +V2HQlhSxbxTw0iM669RUGdS6LNS6FzjpxJi7s2cyyKJ/1Ky1a+D9HWlX11Jj +0I3M01KVwJPeHE7SncUgjRXKb2bainERN33UInUGpf0orjgJrKNYEGykwSAy +d8GZn8BZ9fL9aDaDQlff2+1q97+82rXDfA6D2KGVNg3A9mpXPlrNZdCm8y9m +6dhD/03/sHWVJoOerN06Ih14ooLEZ7t5DEKS/W9GrIW+ISHauV6LQZdLQm/5 +AFdcGf62UZtBM5xkk1qBLZLeRbjOZ9BpmcQ9xg7Qn4lyeqsOg6SvKK8sBEZ+ +u7O8dBnkv/mC+ph1YmynO1Vnlx6DPpILpfcDXy65Wb9Xn0Hrb957+w54l7mZ +e+ACBtVvWXV7xXoxLnWpHA5ZyCADuZdJV4CvbJiVFbmIQcWVW/cqOopxS8YB +FGPAoCk7+lfGAIcda/zv6GIGnZgQpsEBGx2SPXnSkEHD92RHrt8AfX5A3+C0 +EYN8fRO7MHDCAodPyUsY1DVl2h11JzG+Vr4tJcOYQbb1F5JPAUs17rDJMWHQ +vX0L/X4Dh390G1GAGKQ7vWaVx0YxNttmU1m8lEF5j1fNbgQ+dGl28CVTBo0P +fjlS3xn6kNpfg6tmDDqktu1dJvAzrdo/N5cx6GdL/x0ZFzGu1oq8V2nOoO0R +YSm+wD+OzT9+z4JBL+aI9nUATy/oWPdgOYNWdCSuXroJ5slH/5mNlgy6HT1t +TjFw0iXRz2YrBs2df1Fm3GYxrvRMfNS6gkGZnQvfhwCf9puQ+9KaQcyxmspu +YItF8WFvbRgUsWB16kpX2J9TpDZ2r2SQ+P3LfdeBdx7wMexZBXkWt22Nshu8 +7+YnStxqBj0z/DrnCHDsrrkjB9YwaNnnsFH9wIlVUdxPWwZdSxR92OAO96+5 +z17+sWOQ2tIzd+8Bu05Seii5lkHJ7LS0OR7wfD0ed2QcGCSbdtE/EXjLqPzL +5DoG7bdYZPsXuLntXdGY9Qz68rVm7rYt8D7vTs4f78igjdmrZZ8A16quyZ28 +gUGN1q8+LNwqxoq7o/KUnRi0ZHAbPgs8dlT5edWNDCrN+5om2gZ9Zc3bSxrO +DFK2DQ/YC3yinLg1z4VB8X9Fdq+ALybqPdDdxCDJ4jOayzzhPuri0r5oM4P2 +rpsuKgEO2BfzZYkrgz5IlXSP3w59FJVImLlBnl1aVBUOHK7eqmDpDnm2sTb9 +E7BN4l/9lR6QZ7JrAtd4ibFur5qD3RYGnb/6yq4C+F6ibeD6rQxScPOcN2MH +9LHBsAznbQw6Rg+IjgOfCr9Y6+bJoKGK8P8GgH1PdvLbtjPIZxtR7ewtxtrx +cko+XgzqHJuUUQf8X6/l6j07GLQKTw+a5yPGN0TRBwO8GYS9S+yTgb/Y1twK +8WGQ9iQDLYmdYtw5d9T3yJ0MyqmtJbyAR41Yo3NoF4PG7lnz8Snwb6Osvcd9 +GXRw6uvqxbvg/Zh9vRa/m0HfGjwzc4HJCJs/Z/YwaGvAQBDlC/3escQ8fS+D +2mZErPUHLjEYn3DWj0HLnxDab4BvHYt+l7+PQTdDksjlu6EvXRvSveAPeaY+ +49MlYMMZIUfLAiDPnpfcm7RHjDcbjnh/LRDy7IBB1gHgMTsSl9wOgjzTrNv/ +BfjzRK2Mqv0M4l6scbDfC/O99NnfumAGbT70WvsO8N/kKPdHIQxq1tlOzfSD ++8YSo4fNoQxa+nbgUyxwBCGp3xbGoPLjETU/gOs8n557Fc4glUVk9uZ98Pe4 +ZNy7CAYldicF1wOHhiUd/hjJoJGnZqyb7w95RZwY7j3AoIAlpfPTgNVaTgb1 +RzHoU48BPSJAjA8YZ3//cZBBjkl1n73/x7cq/f9EM6jB1Lb2OfCIy18GJQ8x +aDH/OntJoBgPnVCLGHWYQRfSt4cUAAdX7JGljzBI0fLbutFBkH85jYljjzIo +9luEThBwZ9lC1UnHIM/Oksw74B12V65PPQ55tjK5x2o/5Eu/iY3KCcizXzPq +yoHLfnd2q8dCnhWUnp0SDPnWERs57ySDauwWh0YDX/m5WlkvjkF6/+rWs8Cn +a1SrDeIZlH/BVnddCNwfz1PbTE4xaIJjJ4OBtcfKMuYJDDos7fVlVijs950T +K1acZtBg2be6eOBRaoaeaxIZ5OUSmfMLuP2836R1Zxj0UkSFuYfBfHavbtyY +xCDr68mOj4AZrBrtlsygO+4qenrh8H7nZBl7pjBIc/Sl0ZnAt8bP++OTyqCs +24t7R0bAfbPn+Z29aQwavf3+/V3ALvKnI4PSGRQpb3euHThihNfy8AwG9Vd1 +hqFIMV6sul4uOpNB7ju9NhQBD31weXM0C/JM4bve2APw/cvCSuOyIc/uR44J +AX724/qBM2chz/ZSfR+AbWcSjuk5kGfKKQ9souA+XxQ4P+ccg1IeqeReA67r +/0cX5jJIFHQpXOkg3Me9c9mLeQwKVjV0Ogz8Mca9uTyfQb3N9/XFwNtKl1y/ +WcAg5zA7uQ3RYnzVXj/7biGDHmu86asGduy2Ol57nkHGbV71s2PEeKApJPhh +EYMuRX3PPQ1sEfXIp7mYQdO0DkT8AdbcaeD+fy3ad1yO7RvHcXu7r6FsEZFk +z6xctuyRyIps2bKyFZWdVZmlrEgom+fI3qWSLaGSkPu6UpHw+/5e5/Hn58Xj +vu9rnOdxPq/3sxMmLeB1hdGT12P//3t71JuTJq2YX1DbOHTU4XkuHyJN2oLW +Nmo7X+x3CZ2GZ54yaWmpUd8OonfotiOyo0za8M0d75fxw7y/sNWYH6dN2p32 +d8PnoS88dJtUcMaktcsYuvoVusTkyDlForGebU8Z3d0fv/90zZWlYrCedZnR +7iT6udWprRXOYT37kqtabjBT69ru4ep5rGeBa7JXoA3r9lerXjBps3pUfJCB +7hXY6rnVRZOWYg46PGgj7t/3Ibk2l0zaoP02ay6iy+3dWdn+skmLdTo9xnqT +mTzLFXZoccWktcjr6LAB7X7Kz73dVZN26NDdSjnoPfkdNne+ZtIqDRr2ffRm +vM8ula50/8+k+fxOeXALvbGz+tWJTFru0RlHmmzBelPToc7gWJM2xTlvzW70 +2UnrRrhcN2nPi6wd+w/9xrcgYMwNk9YnsmL7aVvNNDg/MN79pkm75BpskYAO +sRknT79l0hqVqm9uvw3v75oBznNum7S9Z08/PIQ+uWrK3oV3TFoFt05Hywfg ++gYcT19216StKH9vrSf6mW2VVmvvYT27MGzcW3ThuShvv/tYzya9a99rO/bD +yAXPtzzAeiZ7WEahY9ZMbLrroUnrdi3PXGUHzm/hq/z2PjJp0dPXPlqNJt97 +6aGPTZpNZdOxz+iOBx17HYszabtuBHsP3WmmuWM/HD8Vb9JKzanvdgXt3CZG +PvfEpC2ucaaDzS7M28ExXlcSTFrm3U6VN6MD/n78dD3RpLl63tNz0TOedRt5 +L8mkPajj/HjcbtzPnQkP456atI6P3x27i46J3NYtOdmknVzq4dM8EOfrnauv +vn5m0mo1yHcLRkdcDW3/4blJ25K4tmOxIMzPe35cynxh0v6tNFXxQP8LXdr5 ++0uTNtd+j5GEtu/d4lbuK5P2/nn9uE7BZhr4t8bAwtcmbajPmeOH0fc6dnhd +7C3Ws+ad15n2mMnSc5NH2RSsZ2/vjV+MTqpR6Z/0DuuZv3OnVPSVo3G7Kqea +tCptU6s47cW8voma1Xpv0vw+eOScQW/pkvGo3geT9mtLflz1fZhn23Sf1eij +SZvR0TvCG3334zO5RZpJe/3JtP4rOpRCL7RLN2n9d+6ZMHw/zouO4RMcM0za +Na1B5//Q0rMUU89PJq3ptzNVbQ+YKe33EOqXadIOBnf+sRX9ov7f+UM/mzS5 +1/34n//v+x/tXLNM2hrD+cSEg7i+Y4unuX0xacaB1PUP0ENHjg2d8tWkTew3 +071ViJl6NDMmzPpm0p7m53feh27peqO+Z7ZJ6xnuXa1kqJkO94/76vXdpJ0f +LOXOQvdZXfnCGrNJs/2z58kz9IcZe338dKxnxxuc7HLITKO9xw7famA9cznr +ewx9WRtrtzsH61kxx4lKGPa76nuL7P+B9ezUfUcv9Mf1VV6H5Zq0saOHV/+I +dv2beDEiz6TFlX6f2y8c7+/Px8Fn8k1al5iZCTHoA1/KrLz406SdHv/zZK3D +WC8G+0ymXybNuqKP33p08EFt8J0Ck7b9kjTpOzpzkKPj498mrfiUvV1GHkG/ +XNHsaaFJ81Rta1xHvzn3r97rPyYt/b+zeXZHzWQ75laND39NmouHY+J2dP+e +jyp//mfS7lZ5EPkbnf25iqW5iKQ53BruP+mYmRJuHK2cX1TSjs99P+kx+nmf +5TX+FpO06rVmaW2Pm6lk7q56JUtI2sb7P2scRC/XcptWKClphQt98ktHmGlt ++J7OlUpJ2qy6ctJctNee9YOql5a0lLi9p16iP+29NMm6jKQNWma7odsJvH91 +W69oWFbSYm2jJ59AvziaF9SsnKS1fOrY1eIkPu9I0Ytty0ta2OoHNVeg/+4e +/qpzBUmzaOLyMx396Yfxr0dFSVv38n3SwEhc3w6vGvY3SVreullRF9CPUssP +HyZJ2tSWvzbUOYX1e6KfzyhZ0l6k+EzxR3t2HnxhgiJpThvlbgb6UebEb9NU +Sbvcbl+t0VFmOpF6s8HcSpJmn2b76ya6RsjciYstJG3ftuinjU+byenQjLCV +lpJWsXOX07vQ3u5nM9ZVlrSVnx9s/It2GNOnyeYqkvZ9l8vUqWcw75drsnhn +VUkb3+1Dtyfo2Vnjb+2tJmkJ2bOs2p8104JFaRZh1SWt295fv0LR+Y0uTouo +IWnRvdcll4vGfODzhs7UlDSbH/KZBejvf4ZUv1RL0naF7Nv0Bm22qLYk1krS +Sg9oOK1nDN6/ni1f3q0taUt+RXc/hXapcKBzfB1J+3y4S+0q5zBPZow5/Mxa +0kYNfViwCr1z0Bw5pa6kPfzr8iwT/eF28sr0epLW6cSHM0POm6n6nW3mrzaS +Fjli9ubL6BWPQyb/qC9pViUKptW7gPnctVTK7waStvX0uh6b0As/XXct3lDS +ioxV6uSiHXKTXpSzk7R5Zff/HnsR+1uRzqPVRpL24VzD53fQ7Xz/pFazl7Rh +7jFnm13C+at05RnWjSUNW8qWIPSgf+vzGjaRtDZXHk4vetlMzb4NXt+8qaQd +mTqi5wz0mkGe1RyaSVoVi491ktCvw/WoLs0lzS92dmHHK2b64XHfqXcLSfs1 +s+B5OPpIvcKMgS0lbUa19dEVr+J69t3g69JK0t7cVrYuQmeP97Qf11rSBszf +P+MdOi3tcuLkNpL2n5Vdrz7XzNTGZdyKWW0lrdnDGOsz6Gmt3ewXtpO0kMXa +n2r/YX34d+3NcgdJU2wevViL7th2WYBPe0lb+2REzBf0btdAp00dJC1n+cet +zoT16LNacmdHSZtkN8fjGnrd5OybeztJWnJyQa8GsVi/BjZaH9ZZ0nqtXV93 +K7pu/bv9TjhK2oWm6t98dPD02xbRXSSt4ev9L8dfN9P9ffXfX9YkLdjX7tx9 +dGCXzNM3ukpaudbntrW8YaYySRV9HnSTtGWp2sy96F4vdo9K7C5pXzc96l3i +Js4TT7xbv+ohaWPbj6w3C72yc7LyoaekxaV//JuMXhGy0fjcS9K6bJ/zyvEW +5oFlR5/pvSXtjOPvc0fRCYPs/vvVR9LqflkfIN/G+3tQOV60r6TtCFRnLUX/ +/DY+sGw/SSvR40CfD+iSV6v4K/0lbaHZzqbfHZyvN7VdWW2ApGXsO/cvGl3r +w7VF1gMlbYRT19c17+I81uXUfLtBknYv99H5deh2tmXmtxgsae0Pjdyejd5S +85Fn+yGSFjEwbdaIe2byOVTg1XWopNX4PccpFu3aaaeP0zBJ23T0t43dfTPN +mxUcMMRZ0v4M8y2yHe18qdwh1+GSNrtIpTcF6KiwzHMTXCTt3ckDFyY+wDx8 +utXj6SMkbbBrox2P0M0mfv00b6SkXS95fnabh2aaoFUq5eWK9exs174H0LaP +jtmuHYX1bNzj+qUfmSl1Q2T/DaOxnpV3LToXbVG07sLtYyRt/YW0Ny/Qs6JL +he4ZK2n5E+de7PoY+0+DMU8OjZO0aXLhjgj093d1i59wk7SXV33nVIrD8zZp +dPvo8ZLWd3qlfsvR+xxKLbgyQdKuWB5skI4++6He6Zvuktb4RqNiA+NxHvwU +Y344UdL2zz7/9jy6RNyl1k8nSZqpRrdLtZ+Y6Vr1VsvfTJa0VXcf7/RDK87W +d9OmSJp5getcHV2zyDrLb1MlbUKd9H6jEsxkdXjc1Nxpkpb4aK7tTfSK+6eu +/pkuad2XFhZrnGimWzkLLUt5SFpMfb+UneiwiJh5ppmSVj+x0uU/6O+eHgmV +Z0na7pUHd01JwvP+ck/r2rOxntnbz4tHf3Trvtd2Dtaz5+f7OzzF998yuWTz +uVjPvLs1DEV3yC66wGGepI1uHle8XDLWi5LV0rT5kvbojeu7+ejM0SdGOi2Q +tM7+6Zdfoz8svfRkiKeknWozb3ePZ2Zy/NS9/6iFklb7Q+G8SLRt434P3RdJ +2rYtfgMqPzfT5yfxAzwWS1rRjhZ2q9C/xyYmLVgiafM/HSyRic4fPWzs8qWS +9nGHfergF3h/hg3L8vGSNGftwpVL6OTHiV6bl0na7a/dAuu+xDw8LNG0e7mk +tQ2Om78R7TJ76JEDKyTtaM9RA3+g7Y8O63p0paRVNdLtxr7C+df32buoVZLm +f2BeyTton+DXay6ulrSCvn9Sm77Gfjxlou31NZLmke93NRDtt2T2k/trsZ6F +WQQVeYPr7/pneaI31rPBIQumo+fvlJu89sF6Vmg/KBH96+yx1I/rsJ4dv9Co +41tcry53Ar+ul7TQ4d1LhaNzb08fmusraWqx+PcVUvA+x++Q//pJmvepUdcW +okt97pFYaoOk/RiVEZSCdti3IlDaKGmTS8/37P0O5z9Px/FVN0nas+g/g06j +N/z1bWy9WdJ6j/e3r5aK73drVKHdFkm7WMGy9Fr02GEX4ltulTS7SyEfstDd +2x440nGbpO2Z3Pi/Ye+xPvyS1vQIkLTy6sXgq+ildhXdBmyXtOX/dV9Y/wP2 +16G7NZcdkvZtRvzgLehfRU7Wd9spaeOqjG6cj46/PtA0bZekxd/MKD3+o5ke +W68qmLtb0rS58z/eQ/+M7Jy1NBDrWc2//7VIw/XN2fB2bRDWs/v+e/agT62a ++nRjMNazhZaLiqdj/khNitu5B+tZ3dAhM9FtDj15tH+vpC2Ka9wkGV04bFzc +kX2S9snrYhnHDKwv3iuSovZL2kjbHmlH0KP+a/rm4gFJu58UT9InMw3bMT/z ++kFJ67B69N4l6MhtTj8fhEjaicafFr1H/+sRU/5pqKTVfDl/aN9MM5XuEl33 +7SFJ27zub5NodGTF3p0zwiTtb4sNZWt+NlNRbe7o7+GSNifFMt0H3WlysxU/ +D0ta6obQ2G/o/yqsO1T0qKQNaddkn0uWmSrdmfew3DFJu/Hx4mJCf6uTl1/p +uKS12tZjWMMvZmoYqTasFSFp4Z2eNA1APyi4O7rBCUmz/Dy6XAG66J7KO5qd +xHq261O6+1cz1avx77FDJNazrguuP0RHm9ZW6HYK61n2332tv2E9LhkysF8U +1rM9G5bsR2dudd3pfFrS+vWu7Fwq20zjukS9HXtG0q7mhDabg34XHtpo6llJ +axLSpPwL9LUhLZbNjZa0A/0vZWjfMY88Hxe/NEbSpF89bhxHB91tZOt9TtJW +H36yXzXjeY3ZtXbTeUnTh4xZugx9qmnI+10XJM397yfnNPSZ+317HLwoaUkR +C5oP0M00ssruiGOXJK3HiH/lz6OrRKy1OHtZ0s4V3/jJysB+Y6GsvXJF0hqc +rnzT1/j//5/pmnPrqqQFjjl0wIzOumI5Le6apJUp29TLNcdMKVabU5//J2lL +z10afgN97PCxMe9J0rIm9Gxh/8NMFz9Oe5MVi/XMlFBhJ/rEzDi3H9exnl0e +k1mI7vX0ZcafG1jPpmbenJxrpmWnNs4rfQvrWSXPg3HoyVvT/sm3Ja1O7D+v +dnlm6ls+PaD6HUkLmLnRJQS97/xmW5u7klasWpWWZfOxftV/F9vknqQtuH2o +4ny0dfbLse3uS1ravKafX6Erhq/8qz2QtOFWl291/4nzwZvHh/o+lLQ7D3qG +nETfa3Kvr/MjSWu3OGGZ5S+czxrPzhv7WNKO1Rs7YiXacnbs4alxklbtSWbL +T+iXfjRyXrykbVjuaRpcgHlInSkteyJpvxsWybqI9gi9c98nQdJmJm+8bf3b +TOEP4323JEra2zVVQjegL9v6OQUlSdrApmHLc9BD+ptNh55KGr1qOnJMIeaB +J2VenEiWtOa+l1vdRk/rmxh+7hnWs1a9pKZ/zFR+6ICF9BzrWWpC1m70/JVe +TvdfYD3bNPbOP7TV1FHWSS8lLdfhc+i0v/i8sG+Fb15J2pR0zxUJ6JqPWr/J +eC1pzwOKuHb4h/ltSXMyv5G0Po6bWoeh52jvDxe8ldjD6fT1au9tJd5J7OF0 +clo/aaUpVWIPp1OHNg5zq76X2MPpdMj95uS6HyT2cDptPVrKrfFHiT2cTp33 +FxvTNk1iD6dTpbcXxmjpEns4nY4WsZ3QN0NiD6fTldDB050/SezhdHIc1Wbh +uEyJPZxO/W4l+0z7LLGH06mJV8ug+VkSezidXCv3j1r+RWIPp5Nnq3oP1n+V +2MPpNGjmxcxt3yT2cDp9Hl2h/N5siT2cTrP3125x+LvEHk4nh0fGqCizxB5O +pzjv9X6XdIk9nE4Zbq8v3TQk9nA6TSzUsx/nSOzhdBqR+8D2xQ+JPZxORT5N +mfQhV2IPp9PDWXfCv+ZJ7OF0cm6QlZmXL7GH02lSZGLzor8k9nA65Rxcvbx8 +gcQeTqeGe388tPwtsYfD53VqVrtOocQeTqdi1i0WNfojsYfT6U1GwZPWfyX2 +cDrdtt/crMs/iT0cfk+vH/dsisjs4XQKSi5VeBwtPJxOTQP+Nm9WVGYPp1Pe +vddTY9DCw+nk8uhASPtiMns4nR5k9X79H1p4OJ0yFzyv0qO4zB5Op9EXBrjc +RwsPp9OnEid2Dywhs4fTadrJ7y+S0MLD6ZTwp0Yt15Iyezh8H6fmE1PQwsPp +FJrS+MTEUjJ7OFw/k5qbiRYeTqdHDVO12aVl9nA6dVsZuCUHLTycTn3dHFKW +lJHZw+m0oubNZn/RwsPh+S7l4O1dVmYPh78/O/BFmXIyezidtoe/b7YFLTyc +ThMKKvtXKi+zh8Pnx7ZLC0ILD6fTnz49ulpVkNnD4f1K6xQShhYeTqe3H62L +2lWU2cPpNCY4Z+IptPBwOvVwOXuvlUlmD6dTx5njml1CCw+n09/GPwMdJZk9 +nE6Ts1YVu4UWHk6ncXm5s51kmT2cTsahUW/j0MLD6aR1OzXAWZHZw+nk3UCn +l2jh4XQqf6heazdVZg+nU8/SvSLS0MLD6RR9bGTdGZVk9nB4fl+M3vcdLTyc +ThezBlVdaCGzh9MpqXmb3QVo4eF0svtbvvJqS5k9nE5XDz8NLFlZZg+nU7jX +1hob0cLD6WT5pFOoXEVmD6fTqF9vGu5GCw+n0wunuWdrVJXZw+lksszvHIoW +Hg7379S8hw2qyezh8O/NSB11Ei08nE7ue7t/bVFdZg+n09jde1ddQAsPp1PR +qEyLzjVk9nA6TW1vf/IGWng4na75T+zZp6bMHk6ngT8CUh+jhYfTafnj8yuG +1ZLZw+H9nJ5Y8yVaeDidYmulXxtnJbOH06mm4/fxaWjh4XQ6XFsvOaO2zB4O +62+VLye/o4WH0+nj0rfDF9aR2cPpFLXvXpHfaOHhcP2fnoxcbS2zh9Npz+IN +Y0rVldnD6eTxakLFTWjh4fD9hraKVerJ7OF0zL//PAPRwsNh/Xh1276Wjcwe +Tqedu3zTDqGFh9OpzrUeBxvWl9nDYb0/+mf0KbTwcDpViDhbvXUDmT2cTjdq +THp9CS08nE5nh6oHutjK7OHwPh6+5n4bLTycTsqMKXb9Gsrs4XQ6ll/BeIIW +Hk6nx+FnrrrYyezhsH7ec/Z/gxYeTqd2l/JGuDeS2cPh/sQFNsxECw+nU383 +h9+z7GX2cDpl3XgRn4MWHg7P/+ClR5Y2ltnD4feOr77qH1p4OJ1u9bnquq6J +zB4Oz4O7W9vyTWX2cDr5lihhGYAWHg77g29EbuVmMns4nWK6DXuxDy08nE43 +t/y9Wre5zB5Opws3T4YdQwsPp9P79uM2NW0hs4fD/XeqtDgGLTycTsf7PZrY +oaXMHk6nBUH+Q2PRwsNh/fLr171XK5k9nE7bFqptH6GFh8P3uZDSaGhrmT0c +9utjp61foIWHw/oR4ld9XBuZPZxOR35NsUxDCw+nU9WK/dUZbWX2cFjvWjso +ZrTwcDpVvG+vLmons4fDfmVja1mIFh4O60Noo+prHWT2cDqtCm5rXaa9zB5O +p05T+jXaghYeTicr9+ltLDrI7OGw33za1m0PWng4nVYOvjGkTkeZPRzW4/w/ +7kfQwsPpVNuhx6LGnWT2cDoVeOzaeBYtPBz2l2z9kENnmT0c9rdqo67+hxYe +TqcfTnHPezjK7OGw/rwcmPsALTycTqVs3lgM6SKzh8Pz7rOo7XO08HBYn0ZY +jRqryezhdLqfl7TqI1p4ODw/FHh0eleZPZxONhVnJHxHCw+Hz+vY78/CbjJ7 +OJ3eHeloX4gWHk6n9ic6jl7bXWYPh+sd3m9zmR4yezg8r2aP61vQwsNhff+8 +L9+ip8weTqfF2SnN9qKFh9Np96iWM6x7yezhML9tDDxyFC08nE4t35rSm/SW +2cNhHowMqh+DFh4O+6Vrm2kd+sjs4XTq0yX9ZCxaeDidyl45ltPLSWYPh3mt +0epOj9HCw+nU5auH77C+Mns4XI+xM5++RAsPh/X99Zp64/vJ7OF0Krx10jMD +LTycTuqSb3dn9pfZw+mUNrRHrRy08HD4vOgznksHyOzh8Pz/ahP3Dy08HK7P +mgS79QNl9nB4vk76+FYYJLOH0+n680GftqOFh8P76d7KqdpgmT0c9rOTzSIP +ooWHw35dqWelBkNk9nA63fm5YNlJtPBweP4uX01vOVRmD4e/f6H20Eto4eHw +fgzZH9tlmMweDutVRpsWd9DCw+m08PnnsP7OMns4zKvel6omoYWHwyzQ7/BW +1+Eyezhcj62RZVLRwsPpNOB0ovcUF5k9nE6BFS2LfkMLD4f5qMzC1QtGyOzh +dJpZNKdoAVp4ODwvrlvXrR4ps4fD/Dqvb/nSrjJ7OOy/J2x2bEYLD6fTsKlW +tSxGyezhdKpR2uH4HrTwcDo1/zqvnfVomT2cTo2XPLp7FC08HN6fCn1GNR0j +s4fDelk+PTsGLTycTrOeh63rOFZmD6fTugQfqxto4eHw/Izxu9RnnMweDt/v +1SmXeLTwcDp9i/yZO9xNZg+nU0T7KYFv0MLD4Xql5neYOF5mD6fTqRKnUj+j +hYfD+l7W32/uBJk9HM5PQ/1b5qOFh8N63C0qZYW7zB5Op+edfm8qMVFmD6dT +bviszhvRwsPp5JNSyqxMktnDYV4YcDc8CC08HNYz56jRtSfL7OF0OudKFkfQ +wsPptJHy4xtPkdnD4c+zRm6ORgsPh/Wly8f+HabK7OF0OlA30HQdLTwc1oef +nom9p8ns4XB/668IikMLD6dTpHR6/PDpMns4nb5UN9m/QQsPh/nxZGC++wyZ +PRz270YD7nxGCw+H+/uraeBcD5k9HPaHlZ1n5KOFh8P7VWdpl5UzZfZweL57 +fKhccpbMHk6n34OXmjeihYfDfHHC8ZE6W2YPh3nqUsuIYLTwcDpV/jh8Q505 +Mns4nYZsPjbzKFp4OJ0a1LYf0nSuzB4O5+t/b9udQwsPp9OWndfrdJons4fD +ebzns/I30cLDYf7wqvnLab7MHk4nr+CdmU/QwsPp1LVcl1cjFsjs4fD5rWvG +paCFh8O8sqrxrcmeMns47D9D5179ihYeTqcWVTLPL1gos4fDetp6Z3QBWng4 +zAfKwrNrFsns4bA/V90YXWaxzB4O893pZ+e3ooWH0+lDt5FXKy+R2cPheraT +b+1HCw+H+/fz72ObpTJ7OJ0ssm1enUALD4f7t2ZtZksvmT0cziM1qv66hBYe +Ds9b/YzyXZfJ7OEw75X7VuceWng47BctmjgMWi6zh8N+UfrokGdo4eHw/XJG +zRq7QmYPp1PKBKeNaWjh4TD/XJl/wmOlzB4O+8GM548NtPBwOv18tdhYukpm +D6dT6UXO1YqultnD6WQ+MqubH1p4OJ32vrg5S1ojs4fD87JoxN7daOHhdArI +aviw1lqZPRzWk1CHP+Fo4eF0KlLKt0Vjb5k9nE7lfCymRaOFh8P+5vs+pIOP +zB4O7/ey7NfX0cLD6ZT+qGM1p3UyezidNn+6P/IJWng4nZ60C94zYr3MHk6n +eo0iUlLQwsOh7f/YTPGV2cP9///3bJ/1DS08HN6PCh4XPf1k9nA6jb+zvmQh +Wng43I9uGc7e/jJ7OJ08v/sfKbdBZg+H9af9/IIAtPBwOuVtPji42kaZPZxO +bqPV4yFo4eHw70sPizfcJLOH06lk1Qfjo9DCw+m0+qMU23azzB5Op4ap+6z/ +QwsPp9OS5fPW9dwis4fD82e75csjtPBw2I8H5gxz3iqzh9Nptnvof6/RwsNh +fkkIsp+4TWYPp1Pbcq/2ZKGFh9Mpc/nkCvMDZPZwOt0L6rj6F1p4OOz/j8fk +rd4us4fDfLnh/uwyO2T2cDq90tZ+3ooWHg7Ph5vvlCo7ZfZwOvUe8TL9AFp4 +OJ0mBi2Z2mCXzB4O6+uOyV8i0cLD/f88EzKvzW6ZPZxOa7waFVxFCw+nk2uf +4ut6BMrs4fD9d9irj9DCw2FeiAsLHRYks4fD/jx9ZqvXaOHhsH4+W3fXPVhm +D4fnzdc8NgstPByer+yTefP2yOzhdApZcSXgF1p4OOxfR2o2W7NXZg+nU/E3 +T+LK7JPZw+m0dtuLudvQwsPp9F/b1pZV98vs4XB9uqZdOYgWHg77V73Pk2wP +yOzhdKo7pLschRYeTqeRnXKutT0os4fD/Xf9Nes/tPBweP6qu9TpFSKzh8N5 +N7ds8mO08HA6zR1ruWl4qMweTifpzpKeb9HCw2F9CWtddPIhmT0c1mMPJ/qK +Fh4O6/u2C6s8w2T2cJh//Fd1K0QLD4fz1P3Q0j7hMns4nL/uWMWXPyyzh8N5 +IjcvaAdaeDjMU48aTa5xRGYPp9M1utwqDC08HOaNnkdL2B+V2cPhfUwyPz+L +Fh4O62lqYGSHYzJ7OFy/9/vW3UALD4fz0sAi4/sel9nD6bRv741OiWjh4XSq +0jWtxqgImT0c5p9zk/+8RwsPp9OUOb3fTz8hs4fTaWiG/10dLTwcfn9Ak9NL +T8rs4bBfZrbcWzRSZg+nk7X7Hj9/tPBwWI8WT1qinJLZw+H7HQyYEYwWHk6n +pkPrullHyezhsP6WqeJyHC08nE5JHeYOanFaZg+n0/wJTftdQgsPh/Uod4hT +1zMyezicF+a8drqPFh4O+1Gfh/2HnJXZw+n04pf10Jdo4eEwr/955zohWmYP +9//7U3LSZ7TwcDjPpe6YOy9GZg+H9Ttmw6pfaOHh8HuvZ21bc05mD6dT6JqY +8LLnZfZwOjWbm3k5AC08nE4zSvsmVbsgs4fTqdqNbdmhaOHhsL/XKFWh0UWZ +PZxOiZs/2Z9FCw+nU69dbQZ2uCSzh8P5M9I8/wZaeLj///+Manv6XpbZw+lU +qzDqZiJaeDh8f/tz30ddkdnD4fqMsrP6iBYeDte3ZMVBHldl9nA6uRwfvzYH +LTwczgc3bC4uuyazh8N5P87VXPw/mT0cnuc+Rew3oYWHw/kjquo0C5LZw2E9 +WB12dB9aeDjszw6hn21iZfZwOjm6qE0j0cLDYf9xyfdsc11mD4d588Lg/66h +hYfDfvHTqlyvGzJ7OJ0G73YfGYcWHk6nWLnmcZebMns4PC8Z/QpT0MLD4fvu +MoZMvSWzh8P9PyBHfEcLD6fT0lVHSiy5LbOHw/p2MWZCkTsyezh8/v2O1/3Q +wsPp1L1Fp3rKXZk9HOblued9g9HCw+lkW+ZEtvU9mT2cTn6Lq4+MQAsP9/95 +vOStlvdl9nBYX997tLyCFh4O68ubgWHdH8js4TBfxJyq/AgtPJxOZTI3bnJ+ +KLOHw/myIKPEW7TwcPh9M2NXTX4ks4fD8xBb9c83tPBwWA8Xm5cveiyzh9PJ +27LPv79o4eF0Wl+jjo9vnMweDtejwtLycrzMHk6nTh7DdgWhhYfT6djlKGvr +JzJ7OJ2yVmw5fRwtPByeLwdz15YJMns4nebMSk6+jBYeDn8/xHFm90SZPRzW +R5fGJR+hhYfT6YZ6MMQ5SWYPp9Mi10DHt2jh4XTqEGX5bvJTmT0c1uug6muz +0cLDYb7dc8R2cbLMHk6nfh0ux/9DCw+nU3jhcC+/ZzJ7OJ3sp3rZKs9l9nD4 +vH+2z4PRwsPpNKifm3/dFzJ7OJ1OP6njeAItPBz2J8tZua1eyuzhdPoc0iPq +Klp4OPy+n0c9er6S2cPhvBsW2CgOLTycTm3sLL66vJbZw2Gelq1Ov0MLD4f1 +6t25RdPeyOzhcJ6r/aaLjhYeDvPkiIDyXm9l9nBYj6RnL4ulyOzh8P7ER0Rs +RAsPh/mxtrzS4p3MHg7nWb9SzvvRwsPhPLxjS+MGqTJ7OOwf946VjkILD6dT +hTPD09u9l9nD6bTh2a7bsWjh4TAv3ph+zOmDzB4Oz8/fhM2JaOHhsL5ZxC8c +/VFmD6dT8uYJ49PQwsPhfJTrP2BWmsweDs/vsz6d89DCw2E/v3Kg2ap0mT0c +3r+mm2zKZMjs4XBeu6zUDEALD4d550uzytU/yezhdKo04JMahhYeDt9/hoPa +OFNmD6fT9/h6FufQwsNh3i0bUdXxs8weDvtb2MPad9HCw+H72fnbDc6S2cPh +fjb62OYlWng4naq2eNXD/YvMHg7n34ezXb6ghYfTabhv6AzPrzJ7OJ2C9Plr +/qCFh8P1C/q4Z/03mT2cTu3L/jgvZcvs4XSixPDkILTwcDq19M7Ls/4us4fT +qdHxz9VPoIWHw3yatLJra7PMHg77d8D5GdfQwsNh/5wXsLuXLrOHw/5dXL4d +jxYeDtfrecu8kYbMHk6nYisK7D6ghYfD7/WZOt4jR2YPp5PlCq/gH2jh4XRa +9rNV8oofMns4nYr67qxUOldmD6fTrkv7nLehhYfDedd6QFC1PJk9HN6HQUdS +DqGFh8P7++yYbeN8mT0c5tlewxecQwsPp1NGy5PXHX/K7OEwrzc5pd5DCw+H +8/y90VOG/JLZw+n0dPe5q6/QwsPhebO/ajmpQGYPh/N5tbnzvqGFh9NpYE5C +/KLfMns4nNcHfGhepFBmD4fzxJXQnf5o4eF0ep0g/1b/yOzhcP6t13zSPrTw +cFhvehV5Uv+vzB5Op4THaxyj0MLD6dR10Lkoh38yezisf257691ACw+H/W1n +6z39iijs4XA/vNdUSkYLD4f57/rareOKKuzhMM+lO5gy0cLD4XnyCd86r5jC +Hk6nnW3vVPqNFh4O62nAgT0+xRX2cDrdsW9qYyqhsIfD/LR/4elAtPBwuF/L +F3axLqmwh8P64d40IQItPJxOv9JCJrcupbCHw3ln/+PCa2jh4XR6V+/c7t6l +FfZweD7kka0S0MLD4TxReD5xVBmFPRzmr9WJnmlo4eFwPm1zotrssgp7OOx3 +MV2v56OFh8N8ErTbY005hT0c5h+viKrlyyvs4XC++LHi3k608HB4H0KUZVYV +FPZwmA+qT2l+DC08HObR38syW1RU2MPpNDl1WNgVtPBwmAcnZo/vaVLYw2G/ +q93fOh4tPBz++zOz0kZKCns4zG/nhkV8QAsPh/3jzt/5M2WFPZxOI9bOccxD +Cw+H857PkQqrFYU9HNZHj/C3ZVWFPRze38TpZ3aghYfTadrIfN9alRT2cDgP +7Bkw4ShaeDjcj7azOrewUNjD6XT3gUvNK2jh4fC855b728NSYQ+H7zd8w4c4 +tPBwmF82JN8fWVlhD6eTb4tv0R/QwsPpFPYlIWRmFYU9nE6/R/hsy0MLD6eT +R+WS3qurKuzhcH/uOi8pV01hD4f7V85z7k608HCYZ1dP9LCqrrCH00l/UG/6 +MbTwcLgfG85Nb1lDYQ+nU//x1WZdRQsPh/kpe9CCXjUV9nA6VX7muvwJWng4 +nb5cauc3qpbCHg7rW6es3Wlo4eFwPvg379hsK4U9nE7qkfirP9HCw2E9SCr+ +dG1thT2cTh/tpewKdRT2cLi+Q4xygWjh4XSa+jmykbW1wh5Op9Td3QacQAsP +p9OOv6fnt6mrsIfD+3f71x5CCw+H8/LWWnec6ins4XQ6XKzWjyS08HA47936 +aTPORmEPh/li6ZmRmWjh4fB+3uu9dX59hT0crt/Iq/cK0cLD6TQsTirp20Bh +D6fTkoRuPRRbhT2cTg/KuKzfixYeDvNljb4P6zdU2MPhPHOmdqXTaOHhsN7P +ez62g53CHg7zbon5J26hhYfD/VDNvwc2UtjD6TSp+9BBL9HCw+H50YIPT7RX +2MPhvB99++83tPBwOgX0fDFqSWOFPRzm4YMJl4o1UdjDYT70OFtjM1p4OKyf +zstWV2mqsIfTyal4k8xQtPBwOqXXuze0cTOFPRzuz/gBsefRwsPptGDyteZd +myvs4fB731YNf4gWHk6nQwvGV3dpobCHw/yfvHNHKlp4OMxnkeclj5YKezid +TBF3tuSihYfTqfri2/LqVgp7OMwv16N3lWutsIfTKbfd9lq70MLDYf9ZP+FY +7TYKezidgsfUbRuBFh4O79vU5Dut2yrs4XR6NnzZKEILD6fTkWcWulM7hT0c +Pi/o0IanaOHhdCrfv76tm4PCHg7P08H9dz6jhYfDeah7heme7RX2cPj+mfNM +/9DCwxl0tnP8ef8OCns4g2rl2rhbdFTYwxk0jRbIB9HCwxmUOeDqdbtOCns4 +gzoM/bcwBi08nEG9V3Zu0qWzwh7OIEefxZ/uo4WHM2hP5VPhzo4KeziDrDLf +T3qHFh7OoBcnKjWc0UVhD4c/t+yR/QMtPJxBO54vuLBKU9jDGWR9NMy7XFeF +PZxBRRyeDt2FFh7OoCjHUvXrdFPYwxlUMKdDQQRaeDiDfq6el9imu8IezqDy +ticjY9HCwxn0rsqXjf16KOzhDJpVttmsZ2jh4Qz6dWbJkAk9FfZwBlW6d8/h +K1p4OIN2F6tTb3EvhT2cQSeqrpKL9VbYwxl093pGkc1o4eEMOn5uxI8qfRT2 +cAZNOJmYdQgtPByu77iRaU2cFPZwBpXw+Zx6ES08nEGbn61P7d5XYQ9nUD2p +xcc4tPBwBo34lJnp2k9hD2dQqWpRehpaeDiDRo/z+TOnv8IezqAn86ZX+I0W +Hs6gJiY3q/UDFPZwBvlmT26lDFTYwxnUM25lv31o4eEMqjY9YortIIU9nEGl +l332OYsWHg6/L7bTkc6DFfZwBt3LDX9wDy08HD7vRV1j2BCFPZxBCTYXar5D +Cw+Hzwt27ztjqMIezqDpOfWX5aKFhzNotl40avUwhT2cQc+H5KeXd1bYwxm0 +MKlUnUC08HAGzWzTYmzd4Qp7OIOatVu8PxItPJxB64Nepjq4KOzhDFpXzdX2 +Flp4OIMCvfLmDhqhsIczaNHys9deoYWHw+9J3VxxykiFPZxBNab6j9fRwsMZ +lJN4+PxyV4U9nEHn0z9KZUYp7OEMujqhx8wdaOHhDDpV9t5Dq9EKeziD/EPm +NI1ACw9n0L7PHXe2GaOwhzNo47Emf2LRwsMZNCC05/T+YxX2cAY1DfJ58Rwt +PJxBj4Z86TtxnMIezqCaO7xis9HCwxk0xbpVey83hT0cns8bludKjlfYwxl0 +umO91gFo4eEMyho25nzNCQp7OIO2JVzveAwtPJxBu5Y532rlrrCHM0irZjmY +0MLD4X7ML/Gu70SFPZxBLgPrzXuGFh7OoH8L55dyn6Swh8P3P2Mc+IYWHs6g +mAfhHZZOVtjD4fnf4P+yxBSFPZxBUy+FLtuGFh7OoKd1s+vUnKqwhzMobNuc ++0fRwsMZtOZxg4Wtpins4QyaH25hQ2jh4QxKTG3/rO90hT2cQSMHBm58hhYe +ziD1UpMe7jMU9nAGTf725983tPBwBllcLENLPRT2cAa1zRi4puRMhT0c1tee +Cb0C0MLDYX07tE2qNUthD2fQ1sebXx9DCw9n0Kod9yNaz1bYwxl0+GaPFbFo +4eEMatOkyLD+cxT2cAZdP1ho/wItPJxBrb90KDNprsIezqDkd1c+fUcLD2dQ +r4GrHyybp7CHw/tR2v906fkKeziDvrx5FrwDLTycQc1DZqyvvUBhD2fQpHo9 +F51ACw9nUL7D1OntPBX2cAadi05wu4kWHs6gO1PWuQ5aqLCHw/NW39vlNVp4 +OFzvWw9dpi5S2MMZ9Kfy+FE5aOHhDOpiaBNWLVbYw+H5tp/jUX6Jwh7OoO+7 +vywJRAsPh/tb/oJ/vaUKeziDaFzi/ii08HB4n6Y6nuvopbCHMyj39+/4u2jh +4QzKzimfPWyZwh7OoMcN5kipaOHhDNo0s0HrmcsV9nC4X/tbjvmJFh7OoPHb +d/r6rFDYw+F62ww4L69U2MMZdLLx2Mx9aOHhDPJYd7uW3SqFPRy+bxlfl3No +4eHwvgYc2t51tcIezqCiBVUTH6OFhzNosNUXi1FrFPZw2C9fVhudgRYezqA6 +ZY+Ez1+rsIczaIjfNv0vWng4g5a0ftV1o7fCHs6gjCzvXVV8FPZwBg303/I1 +DC08HPbDbwW9mq9T2MMZFJ93P/wqWng4rPfehSWd1ivs4bC+z98xIxktPBzW +z7CtiRN8FfZwBqXl652z0cLD4fkZeOWkl5/CHg733/+rVWl/hT2cQTf81u/c +gRYeDv990w0V62xQ2MMZdGbsT/+TaOHhsL7mJ5Rtv1FhD2fQyndVNt9GCw9n +UPDPB5WGblLYw2F9a/B1fwpaeDisHwOW23tsVtjDGfRsyPKr+Wjh4QzyrPpt +sM8WhT2cQYfWx2fKWxX2cJg31tr47EcLD4d5xfy1bqNtCns4PE+X7G6fRwsP +Z1DJm69ndA9Q2MPh3/tR3OIJWng4zAttw2LHbFfYw2E+nHN57me08HC4f/59 +bRbtUNjD4Xma5fy62E6FPRzmlxIvd21FCw9n0FKHl8Nq7lLYw+H35Ay3PI4W +Hg7Pb53Br9rsVtjDGVQh4t6hG2jh4Qzqtzx29qBAhT0cnr+1Do5v0MLDGbQg +ooUyPUhhD4ff++7kp1y08HAGLZdOXF8brLCHw/ts2+SgtEdhD2fQ78qtV+9D +Cw9nkO3D2El2exX2cJh/Wz3tfx4tPBzmzS5zHLrvU9jDGVQ/ZbvtE7TwcAZd +KKJVH7tfYQ+H/ThwsZyFFh7OoP92dCi3+IDCHs6gzxn+pUscVNjD4fd7TykT +gBYezqADoxIqWIUo7OEM8h7/0OIEWng47A9+w+s4hCrs4Qyqentus9to4eGw +/5W36jb0kMIezqCUweNGvkMLD4d51r/l/JlhCns4g0wn9m79hRYeDu/jiT2n +14cr7OH+/7w1T650WGEPZ9B7m3F/QtDCwxm0faONXdMjCns47MeRPiOvoIWH +w/rps2pjn6MKezjML6Ur30hGCw+H80Vzp0L3Ywp7OIPiflXrgMGPPRzelzH+ +y1YcV9jD4f0aFhRbLkJhD4f796p32SC08HDYD74EDa9/QmEPZ1BLn02Hz6KF +hzOobki9X11OKuzhcD06uQ1+jBYeDuuXi3ZiVKTCHg5//+vdsplo4eHw/P/O +81h4SmEPh+fDJy6hWJTCHg7zjc+gjtvQwsNhni70OlbrtMIeDuetb0OqnUAL +D4f5yyV5s8MZhT2cQe3alyp1By08HNajwPQ1w84q7OEMGufpWfQ9Wng4g/om +RPvMjlbYw+H+nQkvX4gWHg7vS7U+u/1jFPZwmHcqHLSpck5hD4fz3NaI8+Fo +4eFwnjrq0b/leYU9HP5914/phBYezqCGJ1XvARcU9nDoIwX1XqOFh/v/fLbv +3rSLCns4zCsnf87NQwsPZ9DXu3Itn0sKezi8D8fTHymXFfZwBnUbvWT1QbTw +cAatTr/frskVhT0c7u/Q18ZltPBwBrlFRp7pc1VhD4f1uUg3z2do4eGwH48I +7jDpmsIeDus1xZQw0MLD4X3pvitx1X8Kezj8fb1jeEVS2MMZtPP58aV70cLD +4bxSLHWoXazCHg7P19p3zS6ghYfDPDbpuNzzusIeDn//smNuIlp4OOz/O0Le +jr+hsIfD81Tkyf1stPBwOK+ocZeW31TYw2F/eL03stwthT0czn+zOxwOQgsP +h/PFm4iQBrcV9nAGHW2ffTAGLTwc5pM9JcO63VHYw2E9UY3jT9DCw+F5uxAT +M+6uwh7OoDf7B978ihYezqC5b2KTve4p7OEM8vKv8LXMfYU9HM7PV1uWCkQL +D4fzysbWNvUfKOzhMH/LlXpFo4WHw/4zMd6j60OFPRzmzf0zdsWjhYcz6MWL +9BtjHyns4QxyaNbtxxe08HD4+1dW23k9VtjDYX0LPuReJk5hD2eQX/qxg7vR +wsMZ1OPKzlSbeIU9nEENHKbWj0YLD4d5fan17K5PFPZwOL8duHU5Hi08nEFJ +t4aUH5egsIfD96nwwO0rWng4g3x2Nr3olaiwh8O/v3K1RdkkhT2cQVZZsZ6B +aOHhDCr8ZX5R/6nCHs6gD/FK1xi08HCYn7fYRHZLVtjDGWTv2qhWAlp4OINO +DLMJcHumsIfD+32oUtlstPBweF9m/PJZ/lxhD4f3PeFZifIvFPZwmL/+nPQL +RgsPZ5DZYoXc8KXCHs6gkC599p1HCw9nUPfDUuOerxT2cAYNn/2UktDCw+F8 +dzNwhPtrhT0c5oH4UTlmtPBwOC9csdqx6o3CHg73Izqtremtwh4O3+99ZMo+ +tPBwmPfmL9tgn6KwhzNo7faBHS6jhYfD589pmN3nncIeDvNV23JHnqOFh8P6 +UDl3wpRUhT2cQZGOWda5aOHhDGqRkpXu/V5hD4d5of7PSPWDwh7OoOk9K3mF +ooWHw7w5p3Pf5h8V9nAGhSYvtiK08HCYlyJu5g1IU9jDGaRb1016gxYeDvPM +nJ3RHukKezj03RpBBWjh4bAfuF1a7Z+hsIczaMPM2bOqflLYw+F5qd9p3FG0 +8HAGrbhRb1jbTIU9nEEXlzbofxstPBzmG++eTs6fFfZwWJ+qr+37ES08nEHf +Fr4dND9LYQ9n0ISEka5Fvyjs4Qxyn5o7ZRtaeDhcjwUxS2p/VdjD4Xq3CNxy +Ci08nEFHUg4c6/xNYQ+HefPiozuP0MLDGXTtt/Xn0dkKezg8n5kHpS9o4eEM +Onatdwev7wp7OHzfK9WnlzUr7OGwn1lX3xeEFh4O60nL3km2usIeDs9vszDT +BbTwcDjPjWk2sJehsIfD5xV8DUhGCw+HeWfQ25eTchT2cDh/Hija4AdaeDiD +7jcZvcj7h8IeDufV7l8eqLkKezisl/Wj6x1CCw9nUKMaMatb5Cns4bB/zvr+ +PhYtPJxBYydO6T04X2EPh+vXu/qZd2jh4bBeT6xQe85PhT0c3o9ijgF/0cLD +GfRg9unSW34p7OFwfsudtLZWgcIeDuvxhzFFI9HCw2G98g726fRbYQ+H+cu2 +ZoVHaOHh8H1rZgSOLlTYw+H6nPlp+wUtPBzOk+2GX/X6o7CHMyjoX6Fzub8K +eziD0kfpejBaeDj03lY77P4p7OGwfljedriEFh4O+1eNox/6FFHZw2F/LPZ6 +2wu08HAGta3j3n1aUZU9HOax+50L8tHCw+HPh88551tMZQ+Hz6v+07NKcZU9 +HPaz+S8djqKFhzPoaYxF0XYlVPZwWC86nnh8By08HOaR8QcOuJRU2cNhvlz5 +fUEGWng47M/6wQGLSqns4QzaWPmMfanSKns4gz462Zh2o4WHw/v3ueBH/TIq +ezisN85tU8+hhYfDeTnreXzPsip7ODzf5d7fTEYLD4f933LY1cnlVPZwBk2Z +3exSLlp4OIN8Fy2+vK68yh7OIC2gcaxlBZU9HM5XdQc/OIwWHs6gO1s+vmhT +UWUPh/NEx8wvt9HCw2F/2upW3MWksofDemTuWzsDLTwczsN3TnRZJKns4Qwq +tsx7UilZZQ9nkNOipM270cLDGbS/7v4rDRSVPRzmnaz3386jhYfDvNohzKa3 +qrKHM+jyig9uz9HCw+F9qRt6cGollT2cQbV3pX7MRwsPh/NAz0ON/SxU9nCY +r8M+La1qqbKHw/prdfbhMbTwcNjfGhav276yyh4O82vLN8vvo4WHM2hUlOMb +1yoqezicV9TGWhZaeDjMDwmHj3lVVdnD4bw1PtKyfDWVPZxBAa17+O5FCw+H +9e22xx/76ip7OIPsNtZfchUtPBye3z/z8/vXUNnDGVR51/Blb9HCwxkU/yiu ++OyaKns4gzrVTt32Fy08HOarMhvrbq2lsofD5xVPuFjbSmUPh3lga/Sw02jh +4TAfNmqdo9VW2cP9///nOgcmoIWHw/ltvaXmXkdlD2dQntPSbwZaeDicr2qu +OehtrbKHw365pqmLRV2VPRyuV8Fa5TBaeDjcL9PqhDb1VPZwuH5dbHffQQsP +h/XW0stthI3KHg77jaVXk0y08HAGyfcaFllaX2UPh/nnnO/zsg1U9nAGVZwa +FL0HLTwc5rUpY3ba26rs4Qx61yV56VW08HA4j60sMWlAQ5U9HNb3U1+GpqCF +h8P9H7ep1xw7lT0c1uOamY5FGqns4QzavKRIpwC08HB4f4o971zXXmUPZ1DZ +/rN6RKOFh8N5IePWoB6NVfZwBs2Z8s4tGS08HOaHcf95TmmisofD/Z44cUs+ +Wng4g0ZWeHLSr6nKHg7vR3bJJ9WaqezhDNpyqNTPCLTwcAaNjk2y6dRcZQ+H +8/f72S6P0cLDoUNebhrXQmUPh/05yPLed7TwcAaVmWJTZk1LlT0cnofDpQeo +rVT2cHi/82J3h6GFhzOoT96Q9NatVfZwBr3qedXhDlp4OJzf1hUNGNFGZQ+H +9XZkvexMtPBwBn13qzfYq63KHs6gVVrxC+XbqezhDIo9cKvufrTwcAZNrDN9 +R1MHlT0cno+ZRplYtPBwBk2zc/ce0l5lD4f1qejlYh/RwsPheu/7vd6zg8oe +DvP6kYZyqY4qezjsH/e7HQhECw+H5z+6Xwu7Tip7OIMylZ73L6OFhzOozfbm +U/p3VtnD4felSWVS0MLDYf2+mHZqjqPKHg7XI/b0qKJdVPZwWF+jFpbfgRYe +DvNAuzbXbTSVPRz214r6svNo4eEMWvopomOfrip7OMy/yyYVeYUWHg7zx5ra +Dzy6qezhDKp35m3QH7TwcDg/3QqZubW7yh4O896iGT2te6js4bA+eHeqF40W +Hs6gW4erlurZU2UPh+dhX5HsZ2jh4Qyqapv3alovlT2cQa1K/H5UgBYezqDS +ufKtTb1V9nA4P11pH2vVR2UPZ9C86ouvn0YLD2fQz+QHd7s5qezhDKoe3Tbp +KVp4OIN+Lb76cUpflT0c5r8vY3/9RAsPh/nsWU2Ljf1U9nAGjSj2u1Wt/ip7 +OJwHHQpGRqGFhzMotWdN764DVPZwBuXnup9NQgsPh3mp8pOMyQNV9nAGdVw8 +uc5PtPBweP4+2bhtGKSyhzNoUDs5rOZglT2cQRU62H09hRYezqBlN+Z16DpE +ZQ9n0JfwL5uT0MLD4fecD8qYPFRlD4f9M86rx0+08HAGVbm14+iGYSp7OIOK +zk2Xajmr7OGwn52ctyIKLTwc/ty5g7nrcJU9HNaH7l2nPUULD2fQmRF+6VNc +VPZwmHfmKNN+oYWHw/2ZkfJ94wiVPRyeVzt9udVIlT0c7u+WftIZtPBwmA+W +fT/S3VVlD4ff8yyl+zO08HAG+fvUyJg2SmUPh3nS4+jm32jh4Qz6u8Cnw5bR +Kns4nGfWx3ytM0ZlD4f7ubl9eDRaeDiDvi6wnNBrrMoeDvNwg771XqKFhzPo +/I6XWR7jVPZwmKeP377wFy08HJ6XkfKGADeVPZxBK7dfmWAzXmUPZ9B4p/uO +F9DCwxnUZV5H674TVPZwmJf+VSr7Fi08HK7vZ+e8Oe4qezjMN7ZFPhebqLKH +w/c9U+X9LrTwcPi9a/enNJyksofD+r9rx/sraOHhMB9n/P48cLLKHg5/vuBF +/nu08HAGRXe0L79wisoeDu+rY169MlNV9nBYH1Z27LYXLTycQRf+FE5uOk1l +D4f5P7bT1uto4eEMKveo8JrzdJU9HM6ftl2MT2jh4bAfvizZeNkMlT0c9o+v +Qz1MHip7OIMSZjQ8HYoWHg7zsJtfQeuZKns4zPcvPPveQwsPh++X9v3g6Fkq +ezisX9t/F2SjhYfDvJQTPHrtbJU9nEFXqj2+bjlHZQ9nUHi1wCbH0cLDYT8p +XXCg01yVPRzW/9/5lk/QwsNhPS23Y/vEeSp7OMzDgx5b5KOFh8P5OO3Yvg3z +VfZwBhXPsG1ktUBlD2dQqbn9r51BCw9n0KbQqi49PVX2cFif92z58QItPBzm +ueWng2YuVNnD4fpOX92tyCKVPRz2s3VFjB1o4eEwv+a1PGq7WGUPh/P1x2ru +V9DCwxlk73mh7qAlKns4rCeJFT9/QAsPZ9AMu9rnFi1V2cPh/h7+tr6cl8oe +DudBz2XjDqCFh8PzdftBx5bLVPZweL8fvLW6gxYeDt835nzpUctV9nCYP86P +yPuGFh4O/37pm1lrVqjs4Qz6701BmuVKlT2cQaGzi6YfRwsPh/kj70VW51Uq +eziDfKK88xLQwsNhPU4uXmbKapU9HK7v0dG1C9DCw2H/nLi505Y1Kns4g172 +2+tWd63KHs6gS6G+fufRwsPhehx3vtDXW2UPZ1D/YyW+pqCFhzOoZ3pwgwU+ +Kns4fP9jlaeWXqeyhzPoWKcVkXvRwsPh/n6N/9VsvcoeDue1kkr/W2jh4Qxy +S+4WPtJXZQ+H+x85tdg3tPBwBv15u2bKGj+VPRzO61d2PLH0V9nD4bwYfFCL +QAsPZ9Dh+KPnHTeo7OGwP8acapmEFh7OoGZh52OmblTZw2G9yb3eqRAtPBy+ +X5mkB9s2qezhDDK3/zqu/maVPZxBS7KkX5fQwsNhnlumBQ/corKHw/MyaHWX +j2jh4bBePkz4sniryh4Oz6tLuwMVtqns4XAeGxHtEooWHs4gm/Z9LNsGqOzh +MB9O/vnyAVp4OMwTfe+Eu21X2cMZ9MQlZuEPtPBwBmX9utXff4fKHg7r0ZEC +O6udKns4zKvxIypGo4WHw7z9OSWv9y6VPRzWn1nbM96ghYfDfJnk+WbebpU9 +nEHNd/m9KBWosofDeaTZk1d70cLD5VCW1cCPzYNU9nA5NCSthHEbLTxcDvkW +yS81OlhlD5dDW/Ia1DWjhYfLoYb9Aruv26Oyh8uhZz59ParvVdnD5dAL++7B +UWjh4XKo7QPvxz32qezhcujyF1OZV2jh4XIor9anPnP2q+zhcqin2bStxAGV +PVwOPczxexuMFh4uh7yujGjR7KDKHi6H1DcrNt5CCw+XQ7W//vniGqKyh8uh +Ej6vhn5HCw+XQzmdK8f6hKrs4XIo91x0q+qHVPZwuD7+5yKj0MLD5dAGV6um +PcNU9nA5NP2OOeYVWni4HPqxoFm3ueEqe7gcWlb6bXLJwyp7uBxq1LBwzl60 +8HA5pKzaKrc4orKHyyGbC8EX7qCFh8uhzitqTR5zVGUPl0M1V9eqZqCFh8uh +mXP3JfkeU9nD5dCtIvt31jqusofLocPp1mOi0cLD5ZBPcpNGThEqezj8fb+b +f1PQwsPl0H+X0l96nlDZw+XQNZvAK+VOquzhcqik36uwELTwcDmUFHZ2e9tI +lT1cDrl1qOv3CC08XA6lSo193E+p7OFyyC8rcf1PtPBwObR1e7VtW6JU9nA5 +1CSxWIjNaZU9XA6FLAw4fxktPFwONe13L2nwGZU9XA41qBeRn4EWHi6HPsa3 +r7virMoeLoce1/caVilaZQ+XQ2N+z9p4HC08XA5Vt6v6oEuMyh4uh8L9l0nP +0MLD5VCV/D2jZ55T2cPheg9feqrYeVX7H8w3Fps= + "]]}, "Charting`Private`Tag#2"]}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{-1.19261150404447807409012815183557425662`16., \ +-0.90661150404447807409012815183557425662`16.}, { + 3.138050252314118, 4.67774460927708661650482733282982060227`16.}}, + "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {-0.900653170711147, 3.138050252314118}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, "Primitives" -> {}, "GCFlag" -> False|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + ListPlot, "GroupHighlight" -> False|>|>, + "DynamicHighlight"]], {{}, {}}}, AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], Axes->{True, True}, AxesLabel->{None, None}, - AxesOrigin->{-0.900653170711147, 3.138050252322385}, + AxesOrigin->{-0.900653170711147, 3.138050252314118}, DisplayFunction->Identity, Epilog->{ RGBColor[1, 0, 0], { @@ -121971,51 +145642,52 @@ Dis= AbsolutePointSize[6], "DefaultPlotStyle" -> { Directive[ RGBColor[0.368417, 0.506779, 0.709798], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.880722, 0.611041, 0.142051], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.560181, 0.691569, 0.194885], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.922526, 0.385626, 0.209179], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.528488, 0.470624, 0.701351], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.772079, 0.431554, 0.102387], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.363898, 0.618501, 0.782349], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[1, 0.75, 0], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.647624, 0.37816, 0.614037], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.571589, 0.586483, 0.], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.915, 0.3325, 0.2125], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.40082222609352647`, 0.5220066643438841, 0.85], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.9728288904374106, 0.621644452187053, 0.07336199581899142], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.736782672705901, 0.358, 0.5030266573755369], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.28026441037696703`, 0.715, 0.4292089322474965], - AbsoluteThickness[1.6]]}, "DomainPadding" -> Scaled[0.02], + AbsoluteThickness[2]]}, "DomainPadding" -> Scaled[0.02], "PointSizeFunction" -> "SmallPointSize", "RangePadding" -> Scaled[0.05], - "OptimizePlotMarkers" -> True, "OptimizePlotMarkers" -> True, + "OptimizePlotMarkers" -> True, "IncludeHighlighting" -> "CurrentSet", + "HighlightStyle" -> Automatic, "OptimizePlotMarkers" -> True, "CoordinatesToolOptions" -> {"DisplayFunction" -> ({ Identity[ Part[#, 1]], @@ -122025,7 +145697,7 @@ Dis= Part[#, 1]], Identity[ Part[#, 2]]}& )}}, - PlotRange->{{-1.192611504044478, -0.9066115040444781}, {3.138050252322385, + PlotRange->{{-1.192611504044478, -0.9066115040444781}, {3.138050252314118, 4.677744609277086}}, PlotRangeClipping->True, PlotRangePadding->{{ @@ -122038,9 +145710,10 @@ Dis= 3.8953934327711163`*^9, 3.895393571101226*^9, {3.895472145191937*^9, 3.895472169642891*^9}, 3.895483351503405*^9, 3.895483386664092*^9, 3.895484618312623*^9, 3.895489389090776*^9, {3.895564394989255*^9, - 3.895564408410748*^9}, 3.895565426061647*^9, 3.8959063125139437`*^9}, + 3.895564408410748*^9}, 3.895565426061647*^9, 3.8959063125139437`*^9, + 3.901786876370243*^9, 3.9017900215085077`*^9}, CellLabel-> - "Out[1270]=",ExpressionUUID->"946a0c73-0870-4b73-988e-9428a0b04480"] + "Out[171]=",ExpressionUUID->"591a64b3-35e7-4057-a9b2-fb8a922880e1"] }, Open ]], Cell[CellGroupData[{ @@ -122073,7 +145746,7 @@ Cell[BoxData[ 3.895485488173436*^9, 3.895485488350518*^9}, {3.8954894054693203`*^9, 3.895489406776382*^9}, {3.89556442141098*^9, 3.8955644441711683`*^9}}, CellLabel-> - "In[1271]:=",ExpressionUUID->"5fa7afc4-10a0-4755-adba-bfa22cf5262b"], + "In[172]:=",ExpressionUUID->"5fa7afc4-10a0-4755-adba-bfa22cf5262b"], Cell[BoxData[ GraphicsBox[{GraphicsComplexBox[CompressedData[" @@ -122270,7 +145943,7 @@ OrZ/ROqZHu3N6vnaU1HXP7N8vmLw0hZ2/9zeb1N1mJ7tu7cf1WGBwGf3F2uN UxVzFT5mcnJsP6zpVfm1hq2/OseoRVvZ3ztiXhpRy9bXdiGkk8RUyl/K+mbw YKCY+x/AnqHh "], { - {GrayLevel[0.5], AbsoluteThickness[1.6], Opacity[0.5], EdgeForm[None], + {GrayLevel[0.5], AbsoluteThickness[2.], Opacity[0.5], EdgeForm[None], GraphicsGroupBox[{PolygonBox[CompressedData[" 1:eJxNmgn8lsP6xt9n5rGTLNmpiMoaFZVCCSFKsqZIi0gqJCKypYX21ZpIZSlL yL47jn2XPUuWOBwOjnMc/O9v1/X+X5/Pb373PDPzzNz3NTP33HM9b8OTB3c7 @@ -122516,9 +146189,10 @@ fy910hg= Ticks->{Automatic, Automatic}]], "Output", CellChangeTimes->{{3.895485116829515*^9, 3.895485250221826*^9}, 3.895485489010351*^9, {3.895489391523892*^9, 3.895489407482946*^9}, - 3.895564446971287*^9, 3.895906314715*^9}, + 3.895564446971287*^9, 3.895906314715*^9, 3.9017868766794863`*^9, + 3.901790022001638*^9}, CellLabel-> - "Out[1271]=",ExpressionUUID->"ad8cde4a-4b07-48d9-b316-684e7d7d39e8"] + "Out[172]=",ExpressionUUID->"c7e601ac-ea9d-4fd2-87b3-0a0d7c5ccb05"] }, Open ]], Cell[CellGroupData[{ @@ -122568,15 +146242,18 @@ Cell[BoxData[ RowBox[{"{", RowBox[{ RowBox[{"{", - RowBox[{"Red", ",", + RowBox[{ + RowBox[{"EdgeForm", "[", "Black", "]"}], ",", "Red", ",", RowBox[{ RowBox[{ - RowBox[{"Point", "[", - RowBox[{"{", - RowBox[{"#", ",", - RowBox[{ - RowBox[{"\[Mu]0", "[", "f35", "]"}], "[", "#", "]"}]}], "}"}], - "]"}], "&"}], "/@", + RowBox[{"Disk", "[", + RowBox[{ + RowBox[{"{", + RowBox[{"#", ",", + RowBox[{ + RowBox[{"\[Mu]0", "[", "f35", "]"}], "[", "#", "]"}]}], "}"}], + ",", + RowBox[{"Offset", "[", "2", "]"}]}], "]"}], "&"}], "/@", RowBox[{"E1RSBp", "[", "f35", "]"}]}]}], "}"}], ",", RowBox[{"Line", "[", RowBox[{"{", @@ -122635,22 +146312,104 @@ Cell[BoxData[ RowBox[{"{", RowBox[{ RowBox[{"Emax", "[", "f35", "]"}], ",", "4.6"}], "}"}], ",", + RowBox[{"FormatType", "->", "\"\\""}]}], "]"}], ",", + RowBox[{"Line", "[", + RowBox[{"{", + RowBox[{ + RowBox[{"{", + RowBox[{ + RowBox[{ + RowBox[{"E1RSBp", "[", "f35", "]"}], "[", + RowBox[{"[", "1", "]"}], "]"}], ",", + RowBox[{ + RowBox[{ + RowBox[{"\[Mu]0", "[", "f35", "]"}], "[", + RowBox[{ + RowBox[{"E1RSBp", "[", "f35", "]"}], "[", + RowBox[{"[", "1", "]"}], "]"}], "]"}], "-", "0.05"}]}], "}"}], + ",", + RowBox[{"{", + RowBox[{ + RowBox[{ + RowBox[{"E1RSBp", "[", "f35", "]"}], "[", + RowBox[{"[", "1", "]"}], "]"}], ",", "4.4"}], "}"}]}], "}"}], + "]"}], ",", + RowBox[{"Text", "[", + RowBox[{ + RowBox[{"Style", "[", + RowBox[{ + "\"\<\!\(\*SubscriptBox[SuperscriptBox[StyleBox[\"E\",FontSlant->\"\ +Italic\"], \"-\"], RowBox[{\"\[NegativeThickSpace]\", \"\[NegativeThinSpace] \ +\[NegativeThickSpace] \[NegativeThickSpace]\[NegativeThinSpace]\", \ +RowBox[{\"1\", \"\[NegativeVeryThinSpace]\", \"RSB\"}]}]]\)\>\"", ",", + RowBox[{"Directive", "[", + RowBox[{"Black", ",", + RowBox[{"FontFamily", "->", "\"\\""}], ",", + RowBox[{"FontSize", "->", "10"}], ",", + RowBox[{"ScriptMinSize", "->", "7"}]}], "]"}]}], "]"}], ",", + RowBox[{"{", + RowBox[{ + RowBox[{ + RowBox[{"E1RSBp", "[", "f35", "]"}], "[", + RowBox[{"[", "1", "]"}], "]"}], ",", "4.25"}], "}"}], ",", + RowBox[{"FormatType", "->", "\"\\""}]}], "]"}], ",", + RowBox[{"Line", "[", + RowBox[{"{", + RowBox[{ + RowBox[{"{", + RowBox[{ + RowBox[{ + RowBox[{"E1RSBp", "[", "f35", "]"}], "[", + RowBox[{"[", "2", "]"}], "]"}], ",", + RowBox[{ + RowBox[{ + RowBox[{"\[Mu]0", "[", "f35", "]"}], "[", + RowBox[{ + RowBox[{"E1RSBp", "[", "f35", "]"}], "[", + RowBox[{"[", "2", "]"}], "]"}], "]"}], "-", "0.05"}]}], "}"}], + ",", + RowBox[{"{", + RowBox[{ + RowBox[{ + RowBox[{"E1RSBp", "[", "f35", "]"}], "[", + RowBox[{"[", "2", "]"}], "]"}], ",", "2.85"}], "}"}]}], "}"}], + "]"}], ",", + RowBox[{"Text", "[", + RowBox[{ + RowBox[{"Style", "[", + RowBox[{ + "\"\<\!\(\*SubscriptBox[SuperscriptBox[StyleBox[\"E\",FontSlant->\"\ +Italic\"], \"+\"], RowBox[{\"\[NegativeThickSpace] \[NegativeThickSpace] \ +\[NegativeThickSpace]\", \"\[NegativeThinSpace]\", RowBox[{\"1\", \"\ +\[NegativeVeryThinSpace]\", \"RSB\"}]}]]\)\>\"", ",", + RowBox[{"Directive", "[", + RowBox[{"Black", ",", + RowBox[{"FontFamily", "->", "\"\\""}], ",", + RowBox[{"FontSize", "->", "10"}], ",", + RowBox[{"ScriptMinSize", "->", "7"}]}], "]"}], ",", + RowBox[{"FontVariations", "->", + RowBox[{"{", + RowBox[{"\"\\"", "->", "\"\\""}], + "}"}]}]}], "]"}], ",", + RowBox[{"{", + RowBox[{ + RowBox[{ + RowBox[{"E1RSBp", "[", "f35", "]"}], "[", + RowBox[{"[", "2", "]"}], "]"}], ",", "2.7"}], "}"}], ",", RowBox[{"FormatType", "->", "\"\\""}]}], "]"}]}], "}"}]}]}], "]"}]}]], "Input", - CellChangeTimes->{{3.8953934847060823`*^9, 3.895393584792397*^9}, { - 3.8954721067687187`*^9, 3.895472109733831*^9}, {3.895483265005067*^9, - 3.895483267661407*^9}, {3.8954834098190937`*^9, 3.89548353512925*^9}, { - 3.895483919826909*^9, 3.895483920059972*^9}, {3.89548406213096*^9, - 3.895484063755629*^9}, {3.895484241726009*^9, 3.8954842703381643`*^9}, { - 3.8954846348900843`*^9, 3.895484665613759*^9}, {3.895485252521915*^9, - 3.895485253529842*^9}, {3.895489418861456*^9, 3.89548949335816*^9}, { - 3.8955644617726097`*^9, 3.895564478868823*^9}, {3.8959063208752623`*^9, - 3.895906433484043*^9}, {3.895906466005575*^9, 3.895906555062579*^9}, { - 3.895906602751733*^9, 3.89590663056071*^9}, {3.895906678105215*^9, - 3.8959067772029877`*^9}, {3.895906819644492*^9, 3.895906841932371*^9}, { - 3.8959745754237747`*^9, 3.895974577867529*^9}}, + CellChangeTimes->CompressedData[" +1:eJwdxUsogwEAB/DPssnYPCJk2ijLaJuo0ZbHUlorB8r6ahQrS1LIbLWNw4Qi +zbwyEZFHeeQVJg5YDuLwbbnMYZKT+Q4KySO+/3f49cs2ddS1cAiCEDGwemba +G+bS2lpjxiomveQvl0drRxxH7C6ZpDOOuSK/hz1apXZhKufJg/nWr3Osj0Rd +4GChP4izFJ/sNk3JMy4PXNN43NGQGc/8vFwsxkP7Y63Y9rbDHu8xdgmxdcOO +S33HqcXM5tv7NCzliDghZl2vMhFvyitT8CphEuMiIZmLDa9UHn5pvFTghz6p +Bm8vWCrxz11ZFR5z0/XfzDcXGgOeu1psS0umtbOn+i68p9S1pTMn/UWsWBTe +suPB0V0nNkss/dhJLbnxevBrEp+2q6bwdkjgxR83inkcO+xYwY8F8h3sauYd +4LWBmEOsnvCcYEvNJ3uATPDjaoGPnd8to3DT2XsA/wPSKMJM + "], CellLabel-> - "In[1293]:=",ExpressionUUID->"3db8af64-4e72-4497-b50f-926281527094"], + "In[242]:=",ExpressionUUID->"3db8af64-4e72-4497-b50f-926281527094"], Cell[BoxData[ GraphicsBox[{{GraphicsComplexBox[CompressedData[" @@ -122773,7 +146532,7 @@ FDOS0tSWMfrxP/cVeJY= "], {{}, {}, TagBox[ TooltipBox[ - {GrayLevel[0], AbsoluteThickness[1.6], Dashing[{Small, Small}], + {GrayLevel[0], AbsoluteThickness[2], Dashing[{Small, Small}], LineBox[{1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, @@ -123059,7 +146818,7 @@ OrZ/ROqZHu3N6vnaU1HXP7N8vmLw0hZ2/9zeb1N1mJ7tu7cf1WGBwGf3F2uN UxVzFT5mcnJsP6zpVfm1hq2/OseoRVvZ3ztiXhpRy9bXdiGkk8RUyl/K+mbw YKCY+x/AnqHh "], { - {GrayLevel[0.5], AbsoluteThickness[1.6], Opacity[0.5], EdgeForm[None], + {GrayLevel[0.5], AbsoluteThickness[2.], Opacity[0.5], EdgeForm[None], GraphicsGroupBox[{PolygonBox[CompressedData[" 1:eJxNmgn8lsP6xt9n5rGTLNmpiMoaFZVCCSFKsqZIi0gqJCKypYX21ZpIZSlL yL47jn2XPUuWOBwOjnMc/O9v1/X+X5/Pb373PDPzzNz3NTP33HM9b8OTB3c7 @@ -123273,1578 +147032,4834 @@ gDCXB09DT02uJbrzkck9n3q9IeDW5J5SvaRyZjefHZU8ppr8UbiHUu+kPX4S tz3skfJG9dSqja+Hv0s1skf4fwT9bzC/yL1Z93Bvqh5NvemGzL2kelTdXe9k b9Ydqldel7m3VM/cibFW4doiz9b/HmvCd63/QdTr9Q7/r6CerzPzW4driWrS fy910hg= - "]]}]}, {}, {}, {}, {}}], {}}, {{}, {{}, {}, - {RGBColor[1, 0, 0], PointSize[0.003666666666666667], AbsoluteThickness[ - 1.6], LineBox[CompressedData[" -1:eJw0mnk0Vd3/xzXJPZOUqaSBEmWeMhTbEDIVRcgUGVNCxpAhEhmTkEikWaMk -alfSIJoezSrNKfecS6S53+e71vn99azXynPvPfvs/f6891qv2QGRrkFjxcTE -NEkxsf/9d/uOMbO/jRXi3JOTq09eFqD/52ye172Xf3JjnBAbpTYNHbkiQE5m -2oUV44X45DbfpQeuCpDWblubdROEeN4Avb+mXYCkRL5/FokLcW9n+++KawL0 -1S7uLDNRiCdbpXmWdgjQw9r8dX3AkpuszhdcF6Dmn/VKpyWEeEYKPXX7DQGq -XNH2NFMgxEUpLzdn3hSg5GP/FbkRQny+9Fxfyi0B8p3wxXYeKcTs6122CZ0C -hHzH/vsOXLg1+WT0bQFSap56rpMS4t97whXWdwnQ+Ek666toIa609c8J6Rag -D6F2czYwQvygwWd0zR0BunnF77m5pBBLPw0I9b4rQEemxZdITRLi+38in7vf -E6AdMQVL3wKn6Wctd7kvQJFdB8SapIR4b9X+Gw4PBMhl7sXm7MlC3OB2E9n8 -J0B6qT0bPKYI8awt31pRjwDJPP4yd760EJcaaRibPhSgUa1xL34BF9RGnDd4 -JEBPc6aVdssIcc3Ts8bajwWo9bWOQ42sEPuIiV+c/0SA9posHRslJ8QBBv6W -c58K0Jad/i2W8kLsVN5+a+YzAVozEL9ReqoQX7bTXjHtuQBZLymc9wF4bXDD -S+leAVKpbnjZPE2I305SiZB8IUASoxd3bVcQYi7kxC/BSwH6vOyh4+rpQmya -Z5k//pUAdR0aGKehKMTpla9m/QNuHDO+9S9wWlP2uR99AlTkpRB9b4YQf/+1 -0Hn4tQBFn9FV2z9TiE/nf/3IvhGglZR9X8wsIY7PbcnsfytAhkFrdi+ZLcTy -k3Nnv3snQFMvJTjLKQlxmHXQlZfvBeiXbNGEfmBLC4fApx8E6EXkwbYLyvD9 -qosm9nwUIHzzUsyOOUI8cZrR8TufBKh29qP5vnOFuNXA3O1WvwBlJglfa6kI -cc4el3/tnwUo6L/xFWPmCbH3usijl74IkK369OX/AWt0lHu2DAiQWpbexAOq -Qjx4s1twVihA5Ev7S3Fq8P7KmLZGVoBYw4BYu/lC7Oy8euNhToDuFSaqT1sA -6yV5VqVeJECnPxW9/QK885f8q+pBASq1OFR5UV2IHXRyKyqGBCiuErsUagix -6v2J7qVfBcjj6yOJNZpCvORXsXThsACZOLJYV0uIL95Vfbh9RICmH5gQP15b -iM9u6Nq99ZsA/f0zXfMRsPP3ZO8towLU567//qAO7P8dJspJ3wWo/YRDVaKu -EM90nDCw6YcAHZAIXOGgJ8Qt7i/ORf4UoG1rkghFfSHGXVczw38JUNiF4iss -8Po7Ta5BvwXIYcrhhMsGQiyefE7Z/48AaURc1ioxFOJ7I9e+ef0VIMmOxx8C -FwqxnPfr227/BGhQkdtrYCTEC+6SdcvFCPRfnLjbRGMh9o+0TnYYQ6Cmu4rU -U2Apn7xVNmMJVK5q0H7EBM7b+T59i3EESkp3TEo2FWK//dbSi8YTyPtZoI7z -IiG+YdI8YjiBQGZ6mz/NXCzEZvuNnuqIE2jWjpKaQWDDHzcvqU8k0Nj3h93b -zYRY2T+0YZ4Egd4tvkLvMof9MSpbpCQg0PWyJ9eCkRB3v+rZrEgQ6BDHbTay -EOIdxvvD5EkC5dpN1CMshdhm7hbPKRSB1tfO+PwceHv7OgeGJtCynwa1x62E -+KN+qLmAIZDOCiePLdZC/K1ik8F4SQJNObZW0mWJELtMKdb4BzwyPvm6ko0Q -V1y5OO/nJAI99tmZMgy8qeOn8ogUgVrOHdG/bgt5am6nJJpMoD2SV7/sthPi -vOUNSl+mECgl9On+sKVCPH+qzNwP0gTyuyLyNLWH93mhVO21DIEspklI0Q6Q -pyvnavfKEmhOzMybL4EnSNwweixHIPEuwy0nHYU49Vui1QN5An2a42yY4STE -vshsefdUAnWmBAlXOAtxnLi0381pBDr2KLl+7jJ4H2G/ItsVCFSgVbp6FPhA -7nDGpekE2phzdPKt5fD3uf92tygSyPX11VuVLkIs2j79xNkZBNI3eZYW4Qp5 -etjh5omZBJLdObjQbIUQbyPz3x6ZRaAfXyQ4yZVCvPVun1jDbAI9t57V8Br4 -s6ztrFolAl3cu9DnjBvs77GXLaqUCVTzzVk6y12I359xCNo9h0Dpy4Jvu68S -4gyHz7klcwkUeCglQ9VDiHd93nM6X4VAS8bsMv4JrHXavzdnHoHmeR0T3faE -fGs3ktiqSiDBmfaDe70gD83nGG5RI9AX8rlv5GohJmyVg5PmE+jO2iEZC28h -vvTLoDx2AYFOXhR0T/YR4uJU766N6gQqkZ299R3wSVHZ2AgNAm2KNDI95wvn -L+a9SYgmgdxvLhva5ifEdfPsYgO0CGQ0O+Swp78Q92viUz7aBJqWlOq/YA38 -niP2Ig8dAv1+sEvuD7BH62ftlboEerng+J07ATD/MmtilukR6PLWa1n7AoX4 -tVLYeXt9AtW9eL4oeq0QK7TZ/ltiQKAsw69frYKEeNpGUzsLQwKFFBJHZYKF -eLG/9c5FCwm09NPsgI/A/ccD+hYaEWiBhfHUlhB4H0llWnrGBKIrl9/LDYX5 -/fFVmqYJgbihkG3eYfB7ZM171EwJdN9hi5lmOLxv1bNqcxcR6Ex92cg/YD9z -8/RZiwm068/xY/fXwbxK7numYEagBPeOwLoImHdjyg3lzAnkdaJ3Wux6IZYQ -BZVORgRaJDF832aDEAeF2Q/TFgSasYbcLh8J76NwibvAkkBiF5TQZ2CvzFUX -xlsR6M1kk9HWjfA+Q9JmiVkT6No6l8b8KCGO9r6c8wu44VpokF80nOetcl+/ -LSFQjmLadJ0YIR4ak+03ZEOg8Ljd/43dJMRlI+QdoS2BnO425vYAx6YfNuu3 -I5CW6nWLhlj4/hu+p94tJZBU+ovv8XGw3z4tUOmzJ9DXp8MnlsZD/klI7X3u -QKCHulSIQgLkiQ0j+9iRQM15yjOEwGrXlYsfOBGo4p3Jw0uJQvzkkAtzx5lA -mxe77ihKEuLISeX5t5YRyKcszCpgM+TnjFGmYzmBzLm0n3rJQvxhZEPJZRcC -KdmVn5qQIsTVzf/k2lwJNL72ROhjYDbrcE3zCgJ9+HF95uFUIb6TEaF2ZiWB -brq+fJS0BebxPbumRjcCHTk6ku+YBlxkZnXEnUA7xtNLZqQL8aN3Tv8dWEWg -DT5zfnPAU0VxQbUeBFp+zvTMlQzgnpYfVZ4E0pVcEb4zU4iFp2WLyr0IJB0a -PjtoK5zHxnzV0tUEGr2c/sQwC85D/4z2Qm8CPZ1aUSiRLcTHsjr98nwI1Bp9 -0uYZsP6Bor/ZvgTae/vGn6Pb4H2GR9Vk+BFoy5xXZ1NyhNhzNMIy1Z9Aa1K+ -rVu2XYgjNmR+TFxDIKtHtPLsXCF+PHi2IDaAQHO15j4bAn5XN8YoKpBAE3MW -FV/LE+KXDWFvI9YSqL9vhV3ZDtj/isKi0CACdRmv+xeSD3mkugOtDSZQY0nG -OeMCmJ8DNkN+IQQq+lKxniyE95ur2LA6lEDR1qfmvAC+N3eS96owAq3ce/N5 -Y5EQD7yaIb0inECG316VpBXD8z1fesd5HYHkl40udS2BeWBanGsfQaCfB5kx -c3YK8VilETub9QTqFVM5PwJccSpWYLmBQJc8F0feKIXnoaZ0LY4kUO3plSoV -u2D+u3cXGW8kUCYZ8SK8DD7vZP0qgygCBa3NLF20G/aTWcUsnWgC2V6sdGDK -hfj27KNf1GMIpCZ7emwf8JTUZ+dVNxGIjLzVcqpCiI/EzsuZE0sg4Y2+jZmV -MB8XlHjOiiPQ3Vnf57ntgfN9ZZrG9HgCnUqUfKVSJcQmq6+MlU8g0M4HKmXf -gRUUsp9NSSRQ3AIzp8698P6Vw85KJhHIY6vb+KpqIU4qDy8iNxPI5EVE6/oa -OO97cjdMTCbQdMOt0eb7hJhZfst5XAqB/hbsUZOqFeLrT1R0/gH3fTzd9wa4 -0qNO5lcqga6izt1n9wtx1JDZ729bCFRf8do5uw7uC7d+vBtKI1D20PcJHvVC -/Orff3fZdAKFOky6qHYA+llLd9vnDAI51M/b9Av4uPzHox8yCaTxx2xBd4MQ -96BZe99sJZCku/ub6oNw/lYmFr3MItBg4/qKjYfg98QPZT3Lhj4yMWu55WEh -PtWVl/JoG/QR/6qJ0kegj8YtiX+QQ6DdLWcuvQf+UD4z5s52AiVOvh3bfBTy -xn1aVGcugVave6O+/RjM0wcGUdfzCLT42o+3XseF2Fg7OubqDgLNVJTao94I -319yN/5SPvSROFXXv8Blis6pFwqgj9wxF9w7Ad/3VZh9rhD6yLxVl2tPwnoY -nSg+XQR9JG1DfMwpuA9IllQ3FkMfeZqlueQ03H/2lB0/UkKgCN2972XPwPr/ -a7vUsJNAznlnqz4BU/4THuwvJZD2u9srLpwV4qevIj9W7yLQ5MVviR1NMG/2 -//5bWUag4V0/r/icg359+6j87t3QR1ipRK1mIV6XkqK/sxz6iK2a9pjzsJ69 -Ua6FFdBH9qGPD4AfUNuj8yqhj/xYVV3fIsRftNtLt+2BPuIa6RZ3QYgPRsxq -yayCPnI0m7JrFeLyt/tebdlLIOXx1e1T24R4RYu1RHI1gSb4NCV9Ad4kRekl -1BDoY1OXzsWLQlwlOeq3aR+BbjHvPhVcgvV+PKFwYy30kZBfNf5YiOt3GF2O -2A995PLkVbqX4fy6Fn4NrYM+MnU+M/4KnFcnQi2oHvpItEXHQ+DE2sP+aw5A -H7ntkXzwqhAvj1pf6dMAfWTORr3EdsjH126PPA8S6Hvyts/212D+ywRKux8i -0LOH1bXTO2B9tXetdD1MoDbNcx4s8NlVA2XORwhUva1b8vJ1OL+Noc/tj0If -6Xt3vfiGEFt5EEq2x6CPGP9OCbwJ8zXxfrjVcegjJVMMDG7B+zG81GTeCH3k -y/wB8U4h7jx1b+yiE9BHrC3rngBfnSRwNToJfaTK0+vIbSH+ERdSp3+KQN0j -G6WSu4Q4QWLgm/ZpAp1wzrnp1A3r2V/mqHGGQMUHa7bMvAP3wcWh9WpnCRQj -1mw4CJyk5v93bhP0Ec87wqt34T50P9VL6Rz0kdPv60vvwTzyuHZ+RjP0EfLP -6uD70C/eak9VOA99JFB6itEDyPfd1zbLtUAfaVvQKfgP7k+7t/RNuQB9RMYq -/TnwPokA20mtBNq/wcvoeA88LxNxkmoj0NYbUVzqQ8jb7r0KgosECp61vWH5 -I+hn4aM5Ey4RyC5xn4/SYyEOFSR+H4Ohjzxolh4GLrujFP4XmF5w93bHEyHO -fDn84udl6COZHzJ2P4X94cOtGL0CfaT3j3HYM+jXGyd3fb0KfcRAZtDkOeS/ -qa+NqB36SIH6IaoX5vubB1cHrhEo/qOV30vgD7kbLfo7COSJVsuefAF93WPh -1ffXCWRaEd2d/lKIDaLmLXlzg0CKQ9u3rngF+fgddb68CX3EodZ0bh887+St -Ls9vQR+pOz/0DZh8L3r2uBP6yO+7h2++FmKl0m3BPbehj7h99K98A89rbTd8 -rwv6SONfuYi3cN4U9LZ2d0MfmSh7d/E7IQ5eZCfbeYdAjv4a2ZLv4T7bve3I -9bsE0myxXvz6/f/ycRC13yPQpMnew6c/CHH7veyn+D6BhsJjjm79CPs132ZT -2wPoI+25Ae6fhHi2o45Uy3/QR6bvn6raL8SfdG1PNvVAH4ltufcD2DY4x+X0 -Q+gjd+5tu/0Z5gs5Mtz4CPrIvE9me79An7bJrzz6GPpI2r+RDQNC7LjYxfLQ -EwLNfip7HAmFOEvaYqD+KYHG6WqunczCeg16l9c+I9D73CUK74BDvtfbVD8n -0I233g+aOLg/L5s6WtkLfWTRpu3bREJcO+3C4d0voI/sykOeg0L8Zss239KX -0EfY/aPzh4RYtj5dpvgV9BHbC42/gUOPHbqT3wd9ZN/9oDtf4Xkv/d6e+xr6 -yI9P0/cNw/f/TbHd9oZA31zEeqJG4P5coj5x61sCPTkil2f1DbhG4lbaOwJd -GKdlKTMKz2c/OT/lPYGqvG1+fADOblm6IukD9JEmn5PnvwtxgdQJhfiP0EeY -2JDcH0L8Pd7yQ8wn6CMhO2Z4/4T71+TxZzb2Qx+5XPdQ45cQF00YTl//GfrI -1NYd/4Ar4yevCP8CfSTqgdX930J8Ld9PJWSAQLc7+3/u/wP3u/XPfwUKCXRc -eczpTX+FOGZR9n/+LIEKk+XDbP7Bfprlf9yHI1DUQ61Z8mIsfr84bLuXCPqI -pu3jfuDy1tqQVYPQR7b5FrSOYXHHJYHdyiHoI32xS/LHsnjy+tr5Ll+hjxjl -//Ydx+IFA6GSzsPQR4rrz2iPZ/G1Nf7f7Eegj3xuDR87gcW7vm57ZfuNQPus -/pvdA+x341Wn9SiBMqo+PzkgzuJPY0PPW3wn0NqRMUXxE1n89/asQ2Y/CGTj -PNV2qQSLN9kRlaY/oY8c1P47TcDiudVzCox+QR8Rs2saAB4aitxq8Bv6iIdf -xCWCxe0RA8m6f6CPnIpTLiJZvFWnMl7rL/QRouDZGorF6iGJm9T/QR8JPFCs -R7P4nF5ujJoYiWLb2uwmMCxWvti1SWUMiVbJ9Px7BJysZpGgPJZExhu+nDsk -yeL71R9TZo0jkcKNsRuSJrF4odXlLMXxJPozc9pcRykWdy26XThtAon6EnR6 -FSez+MwpiSo5cRJdvW+3kwOWuZlwRHoiiern+9tfmQLf16DQKiVBouzM+DE7 -peHfowe7GQGJQnsLzq+VYbGa6883JEEie4OGSENZFtdHGP6UIEmkXnBRRUIO -fs/HA1PEKRIxH3tePAUe99lOaxxNIpH5QOlReRbvrJjpJMaQ6EH5OMeUqSzu -mz5//R/gpsFp45ZNY7FrZWjhT0kS7bbXvTBLgcXuRs/PjE4iUWLd0qghYH/F -jGfDUiRa/dtf9dp0Fn+J9Bk3NJlEi90SXu1SZHGi+3pNbgqJZjYWloXMgO8b -PbV6QJpEYyYedDKeyeKbiQvy+mVI9Nbv0nhyFosbxz1r+yBLoo7zD1t7gd+1 -XhS9lSPRQSlhdONsFpO3Hqm8lidRbvj4+WlK8Hwuc/1eTiVRRLvCaxdlFn9I -PlzxfBqJnKfrlSvPgeeNCnz0RIFE2rH2y0aAP61cIfNoOokm31kjfmMui1cv -S3T/T5FEwyqJF8tVYD23Pay4N4NEj7YUbQqfx2JV5dBX3TNJdP7JwQWLVFms -a68z7/YsElXq4De0Gvy9sk7UzdkkSs59VPEKeLQn5GKHEon83gqXn5rP4rzs -h2S7MoksFk2QyFzA4pe+Sd6X55BIedd0vFKdxQNb3U9cnEuiCaxenIoGi9tm -ho9vVSHRRxsHje/Anu5Nq8/PI9GtmoB3tzRZ/GiZUVOTKomOfk/cs0eLxU56 -XyedUSNRvkux63pteH/zPmw4OZ9EkUcOCcx1WNy8Uuru8QUkchl3+fIkXRaP -eb1J56g6ifS9H8e/AR75OqnskAaJZJtYzbN6LL579v3vA5ok+k6Lf8jSZ/FD -+69BdVokehasuHeVAYtdXhjf36dNojasv1LNkMW7d7aYVeuQqFrekfwFPGZX -dOMeXRKlRQVe7VrIYk4iaFaFHokCOpMSq41YfEu2uLRMn0TWyiXaG41Z/O/9 -N6LUgEQqyYc/WpiweGJ1WWaxIYkEDy9XTzFlsW/wxr8FC0n0ReOJ23vg3OCt -m3cYkag7m6OaF8F5uP7g53ZjEp14JX4tZzGLS4+tTt5mQqJioxmbvcxYvM9i -pliWKYliig101c1Z/PyYYnbGIhK5fXbs/wPMyqxi0haTaKHV2n13EYtz6m+X -p5iRaGrV5lW1FrC/0zbP3WxOol/DJUyMJYsN7wefTUAkeul0pMPaCtbrUv6S -OAsSXW64kixrzeLvG0VPYixJtP/fE71PwFslCzdEWZFoq4foc8sSWL+rYeKR -1iQKPjVxf54Ni9GptH0RS0hkR8z09LFlsen4nkXhNiSaH2g4ScuOxQZvA5+H -2JKIanO6IbaUxSpx2slBdiRipYNSHwAz741nBi4l0b31yQb19vA+V6Vd87cn -0ZnrOwdiHVj8+du4CF8HEu2aebTO1pHFYz9fk/F2JFF8wlWvqU4s9vFsv+Lp -RCLP+0+lvgDPWP8vcpUziUznD95sc2ZxtFPiLLdlJFLMlEgrWMbiAiWd/1yX -k+jf85kL/Zez+Jj0vJzlLiR6rb+Q1XFhsaTjanNnVxK15zsfGOcK3zfY/d1h -BYkOfAjyfgj8aMGWs0tXkijHPGXKwRVwvudERtu6kSi8vLQzYSWL88dX6y5x -J5Hj4NF0ezcWm3wlRyxXkUjTvt1oujuch6ktLciDRJPqnnFC4ICaA2lmniQa -+jXYgFex+L/j95cu8iJRz0qBb7EHi/XXL5YxWU2ic8dnyQR6slhKvP/NQm8S -lYsbdel7sVistue0gQ+JkvyWZYqvZvHeYLEsPV8S+ZwPNnkCXLAlwlPHj0Tm -UqmDh71ZnCo9VVvLn0Szw3cd2uzD4tnO4gKNNSQa137Mz8kX8sFN/938ABK9 -V7gmO9OPxTGudVdUA0l0Y9PzbhHwqQ0ralXWkuhw99DWq/5w3h9YZ84JIlGe -CrGodA2LIw/GhygFk2j9ltlfgwJYfERmwGlWCImWPTE6sjCQxR42+w1nhJJI -V2f5GsFaFocGVM6eHkYi6dwQ+efAPSU9zLRwEn17k3r3WBCL94i5/JVbR6In -pmXZqcEsvt4jKZKJINGF0uOLl4ewOMhI6t2U9SSqEl4bnh0Kz7vS7ZnUBhKl -2vQe/Qrs79r7QDKSRP41XwM6wlg8fnVDN72RRJbfiWm7w1l8cPeZTjKKRHNc -lO6HrmNxms74TkE0iSYeMc4xiWBxt0v57YkxJOof62JOrYffN3vj3QmbSHR7 -dei3F8DvOvMejosl0fGzW46f2ADnI+7LizFxJCqkd69Nj2RxklPhp3/AUcGN -Cis2Qn9IjB/5E0+iFbjjwZwoFlfNqR//O4FEBvIvtn8Djo+Xkf2ZSCK5qGF0 -Mxr2w57bat+TSPTjFvm9Igb2Q+t182+bSdSrpHxi3SYWz/sn7jGcTKJLm02C -F8ey2KJ4R/RQCon29bgoSsaxeOaeVYWiVBJlaIT19AEH2YQ2sltItDY7Le90 -PIsvt126O5BGIptXuy23JsDzzFv99XM6iVSNTvxwS4T9fGrx1P4MEhHF10/O -S2JxemawxcdMEg30vwj5AbzjxsN177eS6I7lyIzbm1mccTCv/G0WiU7uoR5V -JUM+OOXceJ1Nop3DyvkbUmA/vLn9/dU26CNOptYolcXHi93VX+ZAH2lw/SW1 -hcVmW1UDerdDH/kXdvotsOc7i8pnudBHPNLDmtJgHvbu73mSB33kZPmsbeks -XrrLQerxDhK9Epx87JEB32eyaPnDfBJdCbhRMD+TxRNGNhX/V0CiutaXS34D -X+RGeu4XkihL+tvv7q0sLluJp90rgj6ynj5bkwXvx+l+wJ1i6CPX56yLymbx -tgnqx7tKoI/MXKRktY3FSg13f3TuhD6SsOKpdA70S882u1ul0EfuhRd9AFZx -GKq4sQv6iFqG7fntLLaqjxJ2lJHobEbF3+25LN5faGJ1bTeJyp6fbFqdB/1p -odOeq+UkStC/GaGxA+bp9cMjlytI5JX/SvkfcHfIcldcCX3kw7dn9/JZ/MLa -4tTFPdBHzJmS/QWQXznJk9uqoI+Uz126qRD2i8/YuAt7oY+IFonZFEF/ZB88 -P18NfWTpyma5Yji/waxVcw30kf3rNvQDX+VWNjbtI9H2XxlzW0vg88+KK5yt -JdG6lZW9O3bCeesbl3t6P4mcjp/a6VvK4iXVjr9O1pFIS/yWvfYuFmvIv95w -oh76iF/fmLFlLPZKvPLu+AHoI82j5/8DbhwQeh9rgD4ySXLjgd0w7/YGPz5y -EPpImMq8+HIWZzYtWHn4EPSRq4tf2lVA/vsv/u/gYegjCm67plVCnnTuWdlw -hES+myIcB4D/Tbd9Un+URKg7c9ylPSy+kGnuW3eMREoqey4UVsF+Vsv6UHuc -ROO3nI5asxf6q4l81L5G6COPb6nqVbPYue/7n+oT0Ee0X78aX8PiRbbz8vee -hD6y/XvZI2CryroZVaegj7yRdD60D9Z3/IbTlaehj5jOm5BUy+Ibp7LtKs5A -Hyk1a3PYD/2gS9i3+yyJ9IRuMYp1LM5Or9tc1kQiGZv18zng7z8Pyu86R6LR -6q2vL9dDn1n7q3lnM4meju4pLznAYsvPez1LzkMfWX5m2doGFk9vLvxT1AJ9 -5HCnuOFBeJ/f7tUVXoA+MvbNxYmH4P7Qs8axoBX6yOofm54Cm6ZYju5ogz5y -dpL60cMs1lOIqc+7CH2EVn2bfATuJ8++rsi9RCKJYPNK56Msnvbx2oTtmESf -L7m7zDoG8z3iQ8u2yyTqktsgMQRcXeaxMfsKiRo3ZuH24/D5xQpqWVehj9yq -itvVCP0hR+tdZjv0EaWzGiEnWBx7sLQ24xr0kc233xmdhPMwzWFNegf0kZ43 -e4hTLN7MrFBOuw59ROOnay+wUeOxj6k3oI9kSRGNp+F+oOLRmHKTRC9eql7Z -cobFjvvd4pNvkQgvRAkuZ2H/Ox+w3NxJotqiVVrKTdC/VtlOSrpNosz+DR+G -gdf1m/YldEEfsczee/0c9GetzNPx3dBH9uxdWd4M/X2V4ra4O9BHhs+S4edh -3uwgfGPvQh9x6rpq2gLz/Zf9wk33oI8ceJtIX4C+dffl5Jj70Ef+/tR+Bbzf -8Loo6gGJTq+a/OlkK4ujvMfc3/gfiUpPqtVktEEeri86E9lDojiBhfvKi/D7 -y2LKNzwkkUeAB61yicWrxh3dsv4R9JHWyGujwNqvDcMiHkMfkd62+RZm8Xpv -Obd1T6CPRFTr7rnM4hO1jlbhT6GPdDT1R1yBef7ikV7YM+gjM7r3mV1lcf+i -cyqhz6GPxL9bNakd9vPAZ4WQXhJtu/eLeQNcJB87JfgFicLUplw/cw3ud+xK -OugliRwy5qdkdcD9oTJXsPYViTSeW+ivug75Yy8rEdgHfUTf84vqDbgPz/8u -EfAa+siOjft/Ak8K1abWvIE+8n6bZ9dNmK9aWMr/LfQRs5pJ1bdYbN1YP9Xv -HfSR3eduRHZCfku9VvZ9D31E1J1qcZvFJVmbtH0+kMh76XuDKV0wj438zL0/ -kshs/++Bd8D33GuXr/5Eolm/ptSf62axNGO61qufRGNXLlidcwfeV556kudn -6CPHLCd73YV+9ju2xOML9JEJXrcW3IP1zZc7vmoA+ohvVNof4PIIqU53IfSR -5pyFd++zWOdGYL8bC31k0j523wOYZ50M6cZBHwlrPhD9H4sV6ydrrRSRSOfq -HW/rHujr6ZFuKwZJNEXhwxTZh9D3Cuemug6RaCTmT+dHYOk/+oddvpLocZd0 -RssjFp/8tvfR8mHoI3PVjfMew33miL/48hHoI6lWIu8n0OeXpBgt+wZ95LHX -Qc2ncJ6+fY1wHoU+oh3tK/YM8qz/Wp3Td+gj27fLPAA+7CzqdfwBfeTNvq66 -5ywmXOPkHX+SSNz0fGZsL4t/zV7l7vCLRJ923jWxfcHiNQMlZfa/SdQ58GFQ -/iXc516pPV36h0THlvw99BnYXnX6jKV/SVRQLePf9gre70hYkN0/6COj6nIF -fXDfjp1ywlaMQiuWW9/xe83inw/lf9mMoZDB4dVZOm/gPFsnLbUZSyG5sTGL -xr2F+6BoYeWScRT64ZX7tQd4xkRXofV4Cj0/U3uk4R2s17mbltYTKHSRalmT -8J7FPzSqKq3EKVQTdE/e/gOLH1R2DVtOpFD6pY93FT6yuGWBl4ulBIUC5f5l -C4ElJZectBBQyGajrBn+xGLzmHwpC4JCqrc0Ror6WdyarReLSAoRSkuOBXyG -PpCw8Lk5RaGBJO9A/S9wXmIrrMxpCt35L2aa+ACLFRo9G80YCp1Uz7v/GFh+ -eYKCmSSFSrL25xwWQh7ljuQunkShTS9bzDezLF6Qd//3IikKuS+8/82Rg/eR -TkUtmkwho6JPx2eIoA9XNXw0nUIhhf5/a0XAq4h9/qbSFPpjITf96iCs/8/v -z01kKPSqUvO/nUMs7qw85WkiS6ErX5fkBn1l8RyFzqfGchSqc/SxWDgMf3/E -0ttYnkJZBzZ9lxhh8ZM4hddGUykU8jfvxDNgo5MrQ42mUWjpqrrgY9+gD2Wx -gwsVKLTg5AXF1FHo03P7UxZOpxAteNCz7DvMX2xNLVSkkGhNf97sH7DfM8bs -NZxBoQcXxKy+Ai+onqltOJNCZ6fI/7z2E/qmRU2HwSwKlUVonSr7xeJLe9J8 -DGZTKKHDJjT0N3x+b/uovhKFvGb4zjT5w+IG3bBSfWUKLYqPfUT+ZfHkOxv1 -9OdQaMa9HfkvgKVfPuvRm0shMbV66xP/4PlKDiboqVDoTXrrrzQxDvdOfTxD -bx6FOp49OO06hsNX6sNu6KpS6KDe57A5YzkcF+AXratG8T4ch2szzs/UnU/x -PhyHR/Vj7+osoHgfjsO6h3el66hTvA/HYQXJaYY6GhTvw3E4rWysUFuT4n04 -DptHLmvQ1qJ4H47DprfEArS1Kd6H43BQj+wsbR2K9+E4fO5ycZ+WLsX7cPB9 -l6LqtPQo3ofj8Kff50K19Cneh+Pw4LE12loGFO/DcTjyb9RPTUOK9+E4XDj7 -03XNhRTvw3E4z+baLk0jivfhOFy3VzxE05jifTgOz111wkTThOJ9OA6H1F2a -pGlK8T4ch2+f1u/XWETxPhyH25qkrmkspngfjsOnet1qNcwo3ofj8AKPMeka -5hTvw3H4rId0oAaieB+Ow2+YQlsNC4r34TjsfjRKU8OS4n04Drf6tslpWFG8 -D8fhDs/IcRrWFO/DcVi/O3dQfQnF+3Ac7uln3qjbULwPx+HEvl896rYU78Nx -eOwbl051O4r34TjsNVPqqvpSivfhYD/cMm5Tt6d4Hw5+j1TXeXUHivfhODxO -9WazuiPF+3Ac3mmm2aLuRPE+HKxf9t82dWeK9+E4/FbLuF19GcX7cPD/r395 -W305xftwHL62gX2k7kLxPhyHo4LD3qm7UrwPx2H/rGXD6iso3ofjsOj3PnGN -lRTvw3E4/6+PgoYbxftwHD7amq2r4U7xPhyHu4MUHDVWUbwPx+EUzemhGh4U -78Nx2NV2e7aGJ8X7cBwWvg44qOFF8T4ch39qHe7UWE3xPhyHdQK9RRreFO/D -cXjF8WR5TR+K9+E4rIEIK01fivfhOFzuNGGjph/F+3CwvhM31Gj6U7wPx+Gm -Guv7mmso3ofjsLPd9glaARTvw3HYRm/RIq1Aivfh4PlKfGK11lK8DwefXy48 -qRVE8T4c/L74AVYrmOJ9OA4f9PfS0g6heB+Ow4bbFkZrh1K8D8fhOYpbm7XD -KN6H43D7SvRPO5zifTg4T/4RS3XWUbwPx+HiaIkynQiK9+E4vPei9Hud9RTv -w3FYclOxoe4GivfhOLzpZmqubiTF+3Ac9hX29ulupHgfDj5f5pixXhTF+3Dw -PmIGSvWiKd6H4/Al4+ohvRiK9+Fg/fZccdXfRPE+HIeX3HZv0o+leB+Ow+K/ -faYZxFG8Dwe/z/9xukE8xftwHP6xoP2LQQLF+3Aclime7WGYSPE+HIe/Xx26 -bphE8T4ch88MGxot3EzxPhyH13qyRxcmU7wPB+dvzlQloxSK9+HgvOQ0Vxql -UrwPx2Gi5ZaM8RaK9+Hg9/Qv22mcRvE+HIcDneynmKRTvA8H+SzXssskg+J9 -OHg/yVXTTDMp3ofj8ITG4VrTrRTvw3G4v+/KgkVZFO/DcXiN3fjzi7Ip3ofj -MCnfZrN4G8X7cBzekdn/eHEOxftwHK68tGOd2XaK9+E4PPz7wBjzXIr34eB5 -ow0qzfMo3ofj8FVXUwO0g+J9ODiP95sfoHyK9+E4/EL5aLRFAcX7cBzOSJaR -sSykeB+Owx8kR1ssiyjeh+PwtMnL11gVU7wPx+FFzbNI6xKK9+E4HKsX1my9 -k+J9OA7/uqAWvKSU4n04DjMZAXI2uyjeh4N/b5ty26aM4n04DivuW5xuu5vi -fTgOP/LrM7Yrp3gfDp5f+/ewXQXF+3CQVzbFp5dWUrwPB/PqcU20/R6K9+E4 -vFBW1cChiuJ9OA4nGM3/6bCX4n04Dh+JbrjiWE3xPhyHs39U5TnVULwPx+EH -YxgP530U78Nx2O/yD5VltRTvw8F+Cff/vmw/xftwkP96ll3L6yjeh+NwuGvN -fpd6ivfhOCz7K36z6wGK9+E4fDegy31FA8X7cBxuvFSlv/IgxftwHH5m1S/t -dojifTgOZ807Pep2mOJ9OA6z1aO97kco3oeD/H3Vcm3VUYr34TisN+NHo8cx -ivfhONxZ1LTH8zjF+3AcLo3gcr0aKd6Hgzz42JC8+gTF+3CQ9wa9G71PUrwP -B/lWUBjic4rifTgOG6tc8fc9TfE+HIeX6kV5+52heB8O+sCXGi//sxTvw3H4 -QqbT6jVNFO/DwXzVTfINOEfxPhyHxTRV1gY2U7wPB/v/qEvE2vMU78NB3r7+ -HRfUQvE+HPAE1a3BFyjeh+NwldN/O0NaKd6H47Cn6O+B0DaK9+FgfZWPXgi7 -SPE+HMxfxSf3wy9RvA8Hzy+T/WUdpngfjsMnzZsmrr9M8T4cvL+eIJUNVyje -h4N8lyi3jbxK8T4ch99PcVy3sZ3ifTgO26tnFEddo3gfDvZDjsmF6A6K9+Gg -T/jGvo+5TvE+HOTtB90psTco3oeDee0WYxV3k+J9OA7bvjWMi79F8T4cfP71 -lKMJnRTvw0F/MLB7m3ib4n04yKeQMsXNXRTvw3FYrjTUK7mb4n04DqcPXqpI -uUPxPhyHpZornqfepXgfDs6Xwo+Zafco3ofjsMqqF8Hp9yneh4P12mdzMuMB -xftwkBcaBr8z/6N4H47Dvxcets/qoXgfjsOnR/bvyX5I8T4czJtqJW7bI4r3 -4eD8hKku2f6Y4n04+PzCs3tzn1C8Dwd5anPje95TivfhIH+u+7vnP6N4Hw7y -zzb9XMFzivfhYH+OUZta1EvxPhyHMxd4pBa/oHgfjsPyf5kPJS8p3oeD/n3S -aXnpK4r34Th8ebP0xV19FO/DQZ6WBarvfk3xPhyHB4xNq8vfULwPB/tt757J -lW8p3oeDfvFj6/Y97yjeh4N5kjU6du97ivfhYB4kfU2t/kDxPhycX6nEPzUf -Kd6H47BPek5q7SeK9+HgfY9TGlvXT/E+HHze3SU59Z8p3oeDvJgxPKnhC8X7 -cHC+1TSqDg5QvA8H+091UO2wkOJ9OA4LVqILR1iK9+E4PP/9NKdjHMX7cBze -LZ3+5riI4n046IsKMUknBineh+Nws86g9Kkhivfh4P5Q/OvU6a8U78PB+4wu -cTk7TPE+HIeNJM99bRqheB+Ow/dqgsubv1G8DwfnyafevGWU4n04Dv9Lj+y/ -8J3ifTjYDzY3d7X9oHgfDtb3baP1pZ8U78Nx+HyJ8jf8i+J9OJgnBSpHrvym -eB+Ow4+Z8/7tfyjeh4M+4/xkasdfivfhOJycve3h9X8U78NxeL+oY+dNMZr3 -4Ti86/rOlZ1jaN6H47C05aBc11ia9+E4zFW9fNE9juZ9OOjPUt4Nd8fTvA/H -YZMnYVH3J9C8Dwd9WF3C/D9xmvfh4O/tFko+nEjzPhycl7Wjrx9J0LwPB+vZ -Ztf8REDzPhz0r9wFhc8ImvfhoJ9O3BfWS9K8Dwf5G9lg85KieR8Ozu8vM5U+ -muZ9OOjL78Mk3jA078PBv4fPE76VpHkfDubH1fie95No3oeDvFZdfemjFM37 -cJC/T+4f6Z9M8z4c/F6yt+LLFJr34Ti8709inlCa5n04yIdPR7dwMjTvw0Gf -koiOG5SleR+Ow2N2d0V+laN5Hw7mxd3WdSPyNO/DcbhMaB4+OpXmfTgOH1/g -ue7HNJr34Tis3DEx8pcCzftwHNYccYj9M53mfTjoH6NzU/8p0rwPB3kuXrZ9 -zEya9+E4fMCnave4WTTvw8F5MDY+NGE2zftwHJ7dE9E6UYnmfTjI0/CF9wXK -NO/Dwf9vWNFPzqF5Hw76b2zJeGYuzftwHEYBykqTVGjeh4P7qZGj5eR5NO/D -QZ7o00HSqjTvw3F4W0lwrqwazftwHC7K9zgtP5/mfTgOSwS87Z22gOZ9OFg/ -x4mEojrN+3DQl7ZdM56pQfM+HNwnXRQiZmvSvA8Hv+8dWausRfM+HIetU8ue -zNWmeR+Owze8Wier6tC8Dwf5cS5p2Xxdmvfh4L7S3VOgrkfzPhz0+Re37mnq -07wPx+GZs71kdAxo3ofjcMWjLG89Q5r34eC+beLUYLCQ5n04+Peck0MLjWje -h+Nwy98TFibGNO/DcXjDU4edi0xo3oeD/ua29aOZKc37cHAfPelpZrGI5n04 -mO8qXbutFtO8D8fhryPPvy4xo3kfjsN/vba72pnTvA8H962dPWfsEc37cBz+ -9PyinJMFzftwMK+il6Qus6R5Hw76UOm6jy5WNO/DwfxI1V6x0prmfTiYF5Gl -V9yX0LwPB/lRv1vX04bmfTjoO6tNGlbb0rwPx+GL95Kn+9rRvA8H62Hlt8t/ -Kc37cByOGHw9KdCe5n04DivJTygMcqB5H+5/8/WmZKgjzftwHJ53U2NnuBPN -+3Dwed16U9c707wPB/lg/bw2chnN+3AcVg2foxG9nOZ9OOh/KZKtm1xo3oeD -fnKxyiHeleZ9OJhPIV0vE1fQvA8H+XahelPySpr34TicNDyF3uJG8z4ch8+t -VD+U7k7zPhzMp6mflmxdRfM+HJyHdNsP2R4078PB83XZb9/uSfM+HLzfhUOa -O7xo3oeD+TfW7HHBapr34ThcHaGZUexN8z4chzefbdcq9aF5H47DDlP/virz -pXkfjsMGz16UVPhB/n6Wm0SJiXCkYaBdlT+N5u+u+7IJ2Gp9gVjNGshjK60b -L4DFL/m11gbQiBJd2G8zRoRnhz5OqA+EfK6yST0BTB0dMTq4lkas3QNP+bEi -vOz6hV+HgyCvR3wM0oEzf6hcORZMo3u1/ZM+Ay/farL9RAjkt3PsgOs4+P6G -kRWnQ2l0+qfYzVbgwzUes5vCIM8P7qibM16En50IHGwOp1HpCvkt+cCfZeWu -XVgH+S5W7/UN+KF0TMXFCBrFHdMy9Jsgwgf646Mur4e892iVugkc/3CuY/sG -GnlMsBVqi4twrHyK2vVIGnWeenCzAvjlUIrg1kY4n76+9WMnivCfetWB21Ew -D4jPW9YBa0em3L8TDee1OXZ1D/DH4uSW+zEwHwLHLFwsIcI1Tir1PZto9E8y -f3ID8Kc3ScWPY2FetMmzjECEA0uS0p/F0eh1aP2teOArVXM3vYiH+SGjfaAP -+KplclhfAo3ar7SmLSVE2LQjdc3bRJgnG2y9TwPTQRreH5JodGDafwsVSBHW -CMzx7N8M8+WG75StwDViRZ4DyTTaFvOZHQDenGrtzaXAvJkZ1+lGifB7xYY1 -Q6k0Cusa03AJWGZWU9jIFpg/Cfnp82gRdrsetel7Go0c5k71KQL+49Kb/isd -5tH9eqMfwAnjR4r/ZtBII1VbOoARYYuZbfVjtsJ8mt/GdQK/e6tzYXwW5Mdj -29t6kiIsVur+YGI2zKvM/xqqgK2i5guJbZAnWn4ZEyaJcMTF4wSTA/Or97PP -BmCnC33zpbbTqCcnzvgx8I3D7U7SuTDPDMbKICkRvtPtHiOXR6Nzr/NFh4CD -Qyv3TNsB861gapfUZHjfrQXXFfNpVG5y4GAS8GmB/vCsAph3H7Uz3wJfL82d -M6eQRkk723wdp4iwWk3JqnlFMP/M7UyagI3WOebPL6aR98B/MjOkRdjP9HyH -RgnMw3K/wWxgBddHYjo7aWS25EsXB/xrsMFMvxTm42DcIQ8ZEa71mb9l4S4a -zaoeu/UKMH7sf9WkDOalfYHffFkRlq+zlzDbTaOxo1NNdwJnjP203KIc5mfd -AdnfwO+MjKusKyDflukMrZUTYcPtZp9tK2Ge/m7r7gbONPxu4rAH8u6Q3WFD -eRH+kBlc4FwF89WtZ2sNcP7Z7e9c9tLo8Bh/f4mpItxMBix2q4Z52/jFNAq4 -qYsr96ihUZ5XvNwz4M8meqOr98H8FR/31XKaCN+uUPfwq6XR+jMFd44Cv1Dv -bQ3YD/PYb9oRaQURblloqRRcR6NlVENWCrDgr29eWD3M5/M6az4A57brjkYc -oJFO0MVFy6aLsETXxaCNDTCvpZbKnwcOWi32OOYgjaZc6vk6S1GES5p+2scf -gvkd7n93+/94xvErSYdpNCI7cGQIOOWZnGnqEZjn7fHZq2eIcJKGaXP6UeiP -keMCrgFfWy1nmHUM5vv0wsUaM0W44fCx5pzjkL83p00tA96y+pfpjkaY97EN -w3+BrzSNay88AXk8W/deyCwRFv7ucNx5Eub/nYtH7wFXxFo+LTtFo9SkpduM -Z4vw+rWJoZWnoQ+oPAzYD6zMrPu59wyN/P/zNyOVRDirWa6w9iz0gy0DUzcB -bzqwReVAE40s1RNGeoFfTa+7fOgc9IUn4+4vUYb1DcjwOdZMozlZhccagR3b -FP+cOA/9QUchR26OCO/YEFtzpoVG4i8bAtOAOy5tt26+AH0iV9e8H9hqyGvg -QiuNPhlemuY6V4RDnfvLLrVBv3i79NsF4APyBtZXL0KeFT68r6wCz19mNtxx -CfJs0ZrjO4ALafGDtzDk2aeBnJH//Xt7nnf3ZcizXQlrfeeJMPn3rvT9KzAf -LMajG8ATxv13t+cq9BG2UEFbFc6n/K78J+00iqpUGC0Hfp4p69x7DfqJzcEH -Y9Rgf+WtkurroNGKr7qN4cDfYz0ev70OfaXm0vb/gO/nTN338QaNDBztgxbN -h/1P7Fn35Sb0l+8P0QFgX4sXxtwtGskdWDOdWSDCXTF9xNdO6DMuwtE4YNtP -9S+/3abRjz8J/70C9no/v+lnF/SbI+NP2KmL8MFT0QV/u2n03L0o9xTwq53J -4WPvQt8ZNz14mgas/wO7peL3aHTxxEGLTGDLpsfzifvQf7z1FAeAdTPUJJkH -NKqRwN9XaopwZZrZN6n/IM+a7HsuAheMyvbJ9ECerXl0QkVLhPU1z3RNfQh5 -RgfkFQJfXzelTfERjdZeEAZ/By4aXdg4+zH0peBEyzXaIjwsP7tu7hMa2UyZ -MKMTeK3c3Uq1p9CfcNEPXR0RDjOx3KXxjEaqEdMf7gHeeyupROc59Cn5QyfH -64pwI5VYYtBLI6JDb8d6YF+HxbuMX0C/isIhj4Azum9ULn5JowFFBytzPREe -/1y+zuIV9K3ORzMOAb9q1W5c0kejO3EBPyfpi/Cc85JtS19D/1JmHyYCj8qd -63J6Q6OTdxNPvQEuUp7T5/IW+ljyhHwHAxE2Vvb65vaORiWqxaFngV39PCS9 -3kM/ezjdWtFQhJ1nzVzg+4FGm9IPzcwGPtPYuDTgI+SZhv4vFlhxxcR1wZ8g -z57hR6sWwue5qxWG90OeZTucvgzcKSZzbsNnGhnrPc5XMxLhQ3s6X0V/gT73 -KiCsBLg10p6KH6CRwg7W+hfwuOulppuF0O+MkmatNYb8+Hh4/RaWRn/eTfjd -BVygmLs/k4O+V1z82MAE3tcpg2fbRDR6tVjxTDXwvS/HpHcMQv/7fKhgoinM -G1mRS9EQja6U6YdvBC7a/K+49Cv0QavLS54Cnwt83lM+TKM6zmG25SLoI/Jb -p+0dgX5Y9fj3EeAT734E1H6jUZZd4JMpi0X476TFjQdGoS8Os2eSges/Ov0+ -/J1GIbVJhe+BS06rOzX+gP7oJL7O2UyE77Y+3Xf6J42W/iy2aQaOcXIbPfcL -8qxBUWmWOXz+qZrlrb8hz1Yc/pMD3KHbcgz/gTz7p/90EJhR2E9e+wt5duzy -WS8kwiNnvdbf/Ad908OxqB34j/3b+11iDBKNf7JO3UKEL8xbZHx/DIPWnAq0 -3QX8eFdQ3cOxDHrgwyn9BZbp85v0bByDrIjNf4MtRdjGZUHay/EMOntO/Nld -4JX6N4feTGDQ3MCSJiMrEV7UYxj6UZxBZZIzimuBpZLj+75MZNDEtsMRhDXM -y7jc1SIJBiWEGtjFABtO2vh0WMCgfukryr3AU3aqev0gGOR1xfGf9RIR/mF/ -9sUfkkG31z95dhx4beaUtWNpBi2atvacrI0Iv91mIxRnGHT8Ole8BXhGvVMi -KcmgGTGb138C7lZWk5g0iUGFMycudbGFfuX6tEJaikFiXSVzLgC/SfbVnDqZ -QVEJM8SU7UR4Hnu+Q3EKg97MOfI8D7h26JOfkjSDVt43aB4Gjn3E/laRYVBH -ypUSn6UwH993Vi2QZZDhfKcN14Hnr08x15Zj0MFHT5Zq2Yvw5fPi7/XlGSSf -uXZuOfA0mZB846kM2q4lEhvjIMK37lQbmU1j0M/nm3vDgKN0Gz9YKjBoXc7E -8w+A7+7Ytdt2OoN69XfuNHWE8zTfzcFRkUFOr2dE1gOP8xSOcZnBoEv5R+xp -JxFuW+vb6jaTQVomhipxwFzloXivWQza9+HKmFfAHXZdhn6zGSS10+mFrTPM -09Zb3wOVGJRh/vT8SeA1qPZiqDKDvn5ZWzp1GazvnBVZ6+cwaG25KDIDOO3c -q2XRcxn00DrZ4QvwbUtbxXgVBtkMTpy3cjn8frlc4eZ5DGreu3PsRWDtjEOX -01QZpGY/8+VcFxHuu7e/LEuNQZXfjrQUAG9wSYzMnc8gss5w1yhwr4u6Q+EC -BiUvu7rR3xXm3aw2tVJ1Bgl/OTneAv6PUCMrNBjke+jpPN0VcH79Yri9mgy6 -uzJo3B7g3ev3PNqvxSA0ZvDluJUiXJ5Re/mgNoNOHU++EAHsLcw6fkyHQUpe -EmUPgdN/2+09pcugneKlUWZusH5/Pxee02PQ+DMznQ4CP7aMyGrVZ1Cs31HV -Se5w/uW6Uy4bMOgDuXB8IvCyLjqxw5BBq85fffUa+PQJzfjOhQy6uda51X6V -CI+V0k64a8QgY6lnZWeAU8wnJ/cYM+jIxaDo6R6wn3IfZjw1YZBC+KBTFrCL -RdKOl6YM2iGbosYC7zwjVvF2EYP+XpWYsMoT5qd22KFPixkUGVnah4GbJc5e -EJoxqE9hVpuqlwgTea/vDpkzyOXm0d3FwAcmDn0cRQy6umlhzE/gxDvvx/6x -YJDe7HbnwNXQB7QuzhprxaD6buf5XcATNydYTLRmkEzSswkG3iIsN0Y+iFrC -oGyV4Nd7genJVXlSNgwafTDYJu4DfeDv+CZZWwaFbkkpjwReKbvitYIdg54u -EGx6Anz2SPak2UsZZP+kdJmFL/Rfap+lij2DWrfOWnAE2CKvJn6BA4PUdY6J -T/GD70/MOqHtyKC9Lxa+2Qy8WsX1s4ETg5jc9ovvgK3eTVA1dWbQFsNlFU7+ -MM/G1YaiZZBnb55tOgcc+Ej52JLlkGeFwctnrhHhmccLhuxdGPSf6dCCHOC4 -p69Nl7syyPpTysRB4A/HFHPcVjCoqVTw1jNAhMckWj72WskgFYtdl64CxxYu -V/N3Y9Bu4azKBYEwTy3tUoPcGSRReSy2FDjnjdqj8FUMSrQxcvkD/O3qiPZG -DwZ9HmpXD14rwqsMjhXEejJodc0yibvA3XlOXJIXg7ocnr9dGAT5L//MNW01 -gxZ/D8b7gH9ouLZkeTOosX6oUhAswutmnFPK82HQTJfUuGjg8EXihUW+DCr6 -I3B9Dlz0zPLvLj8GjTmyS8M6BOadQ8TGPf4MinafLTgOrPkm4/2+NQx6O/b4 -O5lQEV4xmO3TEAB5dsLocirw6O2EJ0cDIc9WX9vzEXhBh4f7qbWQZxLL45eH -QV5pz3t8LohBh84+d20Bnuj/zqstmEFT14RoKoXDvD9U9PpKCINy6a+CPOA1 -3urrboQy6FdL6vuvwF13m0e7whgUEUxc8V4H89ddb9uDcAa9mFxW1QHsZlUz -9ck6Bjnj2QmaEdA3v/xqfBHBILzu+IrdwDq1S23frmeQtryxlth6eN8tOW8+ -bWBQ7bVrRBiw1vaWNDaSQZOjln+4D+wZ2Dt7eCODMhV7r5hsEOGjVYMdP6IY -NHwrZG8dcNH2HxH/ohkUFPc1gYqE+0rqsOyETQx6pLRlZSyw3r037UQsg2zv -Etovgc3+uxYzKY5B5zeXkbYb4fz0VqrIxkOeqSp9PAF8EwX1KiRAnvUcvyof -BfnvNmfX7ETIs3Tj6nTgcxueLJ+XBHmm0ZH4Gbj6TbqkxmYGsU+Xu62Ihr8X -n31fN5lBftm92m3AFzXP7TJKYdA93VBqbowI95yy8DFLZZDFq68f84HHvW+f -Z72FQafztrR/A/6huHhkaRqDlI3IGr9NIpx6+njHsnQGlb4rS7oJHPNdpsIt -g0ETipXcdWIhP5fERa7OZFDc4kadSuBTojt2a7Yy6GO/MT0uDub3sllzQ7IY -5FHW8Wkd8IXm8PHrsxl0y9LlWg/wjrTGD9HbGGTC9dYsjhdhdbGB2wk5DDq6 -J3RzA7BWvvLZ1O0Mmm437C6ZAOc71a1may6D8oe36CYAz5+fkZ+bB3m2j2Re -A3d9PJxatAPyzGl3/9JEEZ7NdEeX5UOe/VDqOA1sNvwlrKoA8qyhcZ9CEvTN -QfG1+wsZ1O5qkrwVuMF7xppDRQzS/9exSgi8N193TWMxgw4cddFz3wx5/Nxq -7dkSBsl6vGAwcGq1a/iFnQzaNj7s87xkET6p4RdzuZRB308OdxQBy7wO23J9 -F4PCfNJqfwCXTIop6Cpj0DMBlRKQIsIq0pv3PdjNIIdzuz1uA3+xymh6Us6g -tgBlff1U+P+/53S/rGCQhuQJyb3AwzmFn95VMqi61eTLhC0iPOhcJv5lD4Mk -Q69f3wBcsH/vvMEqBqVJu+5/DLyu94DD6F4GDV5+kYLSRNjc7UT0n2oGBawP -8zwM/CroQtW4fZBnU0f0J6eLsFHIjVuCWsiz62mTNgOvOP3oh+R+yLNoauDt -/3jfJ3XZOsizmeU3HDMg/3L/BEyvZ1D5beW6JmDZTpkqpQMMEiScSJ2RCfen -8zpPVBsYlDTH1GsbcPMJFzmtgwz6cu+6gQh4UCLO0+AQg7xTXKU8t0Kez6ip -Nj3MoG61lwNXgOml3R8sjjDI7FHYzflZ8H7fiunaHWXQiYyRup3AYXYm/9ei -fcfl9P5xHLfJuM8g2TMUSUSy6pAyvzKzKaOEKGXPrGRmhEhEhEhWMj9lC5E9 -k5GM0Dl32eP3/j2uz5+vR1T3GZ/rungu6HXApNVpFjr/N9oqc2Zm/4Mmbe3T -8kPHLs2neo+owdBEk1Zs2WanDLRxovxcn0MmLbiltdo6DOvbde+Hfkkm7U32 -oU/b0RYTz7ScdNikDVjV7lqZZfm0r7B2ZMgRk3a5zZW4IDSdX/F91lGT1vpt -3wVP0B3si4wMPYZ5ti5rqFs45uP6uVfDjmOeuY5vfQB9pmeJVquTMc8+FqqW -y/PJNnlD3IYTmGebQj/PRT9o3Mxqa4pJC+hcIf0t2qXUvZWxJ01aVv7m3Z4r -ME93LSq595RJ89xmHZqCPj7SJTTxtElL7ZY0rO5K7J8jSxQ5fsakNf/aznk5 -euOOB6Gnz5q0nTuvVDSjE54fK3X+nEmr6Nnvy9BVuB97t6++SiZt8a+s9Ivo -9OGbqt5KNWmF8eP3NF2dT5MHR8ffTzNpvv2/hm5El/+e6PzsvEl7WGTh8H/o -Vhtv3Xh1waR1PVihzbg1+RQV8Xf0+4sm7eTgqEqZ6JKd2//9csmkNS7VIL9N -RD55Vwjf+vWySdt6JOn6TnRSt5x2f66YtPIj28eXW4vnxavPi+LXTNrcclcX -hqAz1txcUjYd8+xEvxHP0S37D2mmXMc8G/Oijce6fDpX5PtTqxuYZ/IEy0Po -f8aeFbVumrROZ7/mW63H+W6Zn0uDDJN21H/hjQXopbXbFjS5ZdKsK5v2vkcv -tKx9sMVtkxZ5PmpR3w35tPNqZf82mSat1OQGI0+jy22qa6PdMWnTqx9uax2Z -T7+zXD943DVp7660r7wKffdv0KH/7pm0wSFX9UK0xYCU6f3vm7T0Ov1vjtiI -90er6Db0gUlrd/PF3ivoirUXKaMemrQDMycsdtiE97F3mdfjHpm0mg2/jYxC -N3fcdWLyY5O2+s7CdsU251Ngw/5rpj0xaf/mmawmoPuEVx8/96lJC2yyxbiL -rnn6R5fFz0zay4cNMtpH4bxT/YvNiucmre/iw/t2o+tY/C6/LgvzzKHDEtMW -7Mff1CnY/ALz7PlV7+noohVGZG3PxjwL798+G73m1dHre16aNCunbKtuW/Op -xqV6Zw6+MmnLXk0wH0anVko4dOy1Sfux+ltGteh8Otj8vz2n35i08e0W7V+E -dppjseN8jkl7mmtamoe+1j87+tpbk9ZzwxafAduwf6l+J/p2rkk7qzXscA7t -2+LF9ofvTJr9p8NVGsXk05vvpfdkvTdp26M6FKxBD7rd81DOB5Mme1y79R19 -uWri6byPJi3U6J/gsx37QSe76+Y8k2bEZC9NR7uFXXn+85NJG91j4ijHHZiP -AQvMRb+YtHvfvnWIRuf0GFjeIt+kucctqloyFu/Pwp42sm7SkntLhQHoWiHe -XawMk9boz5bbD9C/Qtf71zJjnu1reMB1J94v09vVDQowz7yOhO1FV5o96IRd -IeZZMZfRyq58im788ZXjV8yzxGsus9At58co7b6ZtOFDB1R7jf7v6RS3Tt9N -Wkbpl4U94vJpTuy4Gd1+mDTXYxMzj6GDWi5I6v3TpCV5fz9Qczeet68nPw78 -ZdLqVli8bCm6fM/KjUf+NmnrTkpjvqCTNkZO8P1j0or7bnUdtAe/T1vnpIC/ -Ji1EbVQ9Db006u+3kH8mLefcka+28XieqrzvNKeIpHlNcLmzDj282veIRUUl -7YpV+sFf6O/F7F4tLyZpzhcHhI/Zi3notMRpXXFJ2xf4csxN9ByrEqujSkha -tZoBmtM+7K/K7Xu3o6Skrbj2vfp2NE0N8dhbStJ+T138rfT+fCpy2jf+UGlJ -C6gn3w1Ez3NdUO5EGUnLytia+Bh93i9tyjkLSfOc3Wh5pwR8/s2Nn18qK2mp -jY6OTUD3dTrT/WY5SWtxz6VjpQP51H3PtNP3ykvargXpNeaivf8b0uxZBUmr -1NTrew46JcJvz2uTpC15/PJur4P5tOV+TJ2PkqR9XRJw6AR6QmiRGEOWNL8W -P5bXScT+5MfKWj8VSXuUtdg3HF0xrvPOohUlrdsKuZOB9nrbyNaikqSdah1d -c+gh7D8btj4qW0pakzeNflxAt0+folWpLGnREUfv2SXlk8n56e3aVpJWoYNr -UiS61oPAMY2qSNq89+kr/qIflG35y76qpH2J9PLzO4z51b5BpFM1SfPu9KrT -bfT8O51buFSXtMzPAbXaHMH70GL9HfcaktZp648fsejHF+Vp/9WUtKNdltwv -ezSf/L6crTGglqRZF8iHg9Fnq269PKy2pEXuiF75DG2TsDd4TB1JK/2fzTj3 -Y5iv5XLrT6wraTN+HHVL/H/Hej0Mridp73e71rY6ju9/79uq2fUlbUjf6z/n -o5ubrndZZC1p1/96PXiHVo7fLrGigaS1T3h1uE9yPq1qbnFpXUNJOzhw0qpT -6McfQ5ZtaSRptUr8HFf/BJ73npU8d9pI2pqkJZ1Xon1O51bZbytpRYYrdQrR -h2K/5BxuLGlBFtt+DU/Beuxnl3yyiaS9Om7z8DK61PSY8DQ7Ses36tiRZifz -6UNrD+9rTSUNS8zqzehzFa3bZtpLWqvT1/2Lnsqni8HOVo+bSdoev4Hu49He -aYu+ZTtImlWl13Xuoq18Sz1911zSlqVO+t3uNPbr11PT8ltI2o+JPx/GoTcO -PZrw3VHSxldderTCGbxPC7I2F2klac8uKWumnfn//690Ci/jJGn/Tdk2/gU6 -0z57jtxa0s7VsvXoehb7uVUngqs4S1qz68fqHkbfsr0WUKeNpO2Yrv2peg7z -eJLlBJu2kqZY33i0EN3+UvQEh3aStvD2wGMf0UW2jJzs3F7SzHNer+lPeF4H -jpimdZC0MbaTJ5xFR4+LWtDVRdLu3//p0TA1nx45K6t7u0qax8Kl9daglzhe -jhmkSdoJe/XvN7TdxZQj3h0lzebptsfeafk0w+v9tXGdJC0qzPb4tbT///vo -oDeBbpJWtuXxiBbncX+alSo2s7Okzc7WJm5FF+9lrhfqLml5K290KXEB87hW -nS7hHpI2vM2g+gHo0+VXTVrbRdIycl7/vY/ev6RtVFRXSXNdN/mJy8V8ulJo -cyW2m6Qddvl1PB798Nqg7/u6S1q9j0vXypfyKW1Sut2RHpK2fpMaMBO9rs+8 -Mad6SlqJzjFdX6FnvAjZfv4/SZuab2vd4zK+35LE5+m9JO1t9PF/R9EFh5vU -vuspaQO7dXxa40o+bc3MG/20t6RdLbyRvAQ9s6+R8LqPpLXZOWjdZ3Tgzvbf -PvaVtP293gQMvIr52OyGe0E/Sav+a3K3VPQbv9hNv/tL2sr4X9a213B9T57J -K+ElaX/6hRVZh767vpZ7hYGSNqlIxWc/0RPHXNlhOUjSXhyIOTE6HfN0y4l/ -NQdLWu/BjdffQC+J++LTcIikpZVMntTqOtYfffIV+6GYZ0c6do9B79GdHVoP -wzwbcbNB6Rv5pFbsEe06HPOs3OCigeitl/aU6zpC0paeePPsEfr+jJ7zeo+U -tG+jA1M63sTni25fMMhb0sbJv9fvR/vumB7g4yNpj8+ETa6YkU/bC/689x8l -ad39K/aYg+5hcdt/ymhJO225vWEOOqXX57xZYyTN7nzjYr1u/f/fEwYHLxor -adsmJT9PRlNT5c8KX0kzVe90svbtfDqwt/LyDX6SNv/KzQ3L0EWsxlfbNk7S -8oMHB+ro1PflEnf7S5pPnZweQzJxvl/3u3PieEm7cyOw0QX08ND2L5InSJrb -zN/F7O7g79vemEMTJe1Yg2VZG9CxPxNqXg2QtAZ3Kp76g/7n9yzt9iRJ2zhv -e6Tv3Xwq8Xq4/+PJmGdNmgTdQp957lDpVSDm2cPkns73cD5PH3D+QxDm2aJO -NrH3/r8fuhlsniJpQx0yipe9n0+3O22y+R0saTeeDX4xBX3j6LHsElMlrUN4 -zqmn6MLsBtEVpklaYqugjZ0f4P3s8HFI5emSVvvV76CD6JPtStasPUPSIlYv -+6/yQ+wvPUNeNZopaUXbVbKdjw7Ic05wmCVpU3K3l3iHXrek3/Q2syXt9fom -2b0fYT+0+qJHpzmS1l87cfok+t3slVV7zJW0S3mdNtV7nE+fUhO+9JsnaU5R -GVNWoH9n1rs2bL6kxbsP6VWA9qlv7B67QNKqGDm2w5/gfGdbbemkUEkLjwkq -eRldffBW/+kLJe1n9z/Z9k/x8xpO671gkaRN+LbszCb0vNIJbcMXY57tqrS5 -yLN86hbY1mbdEsyz3juC/dEjHlhX3boU8+x3E8876GqHxleIC8M823eicbvn -+VQssHzJg8skLXaAW6k4NK0uWyQ5XNLUYrdels/KJ+vQsX/PLZe0RYlDzk5F -252tWeTqCkkrGPJ2cxb6e4pjycyVkja29JSQLi/y6c6H+ApPVknag6N/PJPQ -ja/Nqvp6taR18Q5vUjUbz3/6AZu8NZKWUt6y9EL067Eu7QojJM325I5XH9B5 -ikOfv2slbctYu3P9XubTVfeF40uvl7RyakrUGXTijFZh8gZJm3PObWqDV3je -6nWPrxopaZ/G3+q9Gu1xMjW93kZJG2E11O4bumVylN5kk6TduvC2tPdrnAci -7ldvtVnStMApr6+i16WGdHOJwjyr8fdc8zdYH89Nn9VlC+bZtfAtW9B3ir5I -7L0V82yq5bTiOfmUXzzh7eBozLN6sX0moh06PKs7epukTcuwa3ofPaphsPfE -GEnLnZVSxuVtPs12Dtw5dbukDWrU+c0e9Ja8u7nzdkjatbu3SMrFfuxMjMOy -WElru2Do1hnodnUy56zdKWkJdrnTXqKvrZtwY8suSavxeErf7u8wP1dNqh0X -J2mrlvxtehTttPzJ1IO7Je1v8+UWNd7j/hZPupW8R9ImZ1nmLEaPnfLFLjVe -0rKXx6Z+Qof2jVp1ba+k9WndNNrrA84LtRL1O/sk7fzrlOmEjvO0H/xsv6Q5 -RnTuZ/Mxn3qPqnYxJ0HS4trftl/78f/n7yktvhyQNMv3Q8v+RLe0co77fhDz -LDI3Z1QezvNJ46sWO4R51jE47Tq6uVW5deWSMM8+/41u+Qnv89WqJsvDmGdb -ls/Yhh7vumlVrSOS1qNL5f6lPmOef18k2xyVtDPm2GaT0XsmZ29sfkzSmu5o -Wu4RelLdg3XaHZe0mJ4n32pfsF9d/uFg52RJk350Pr8PbdkoyqXXCUlbsPv2 -NjUf55+Qk3cGpkia3mfYzNnoO196jPc5KWmj/ub2f4O2qtyn5IRTknZ3f7DD -fzrWrzHXdoWclrTOA/+VS0aPHHzcfd4ZSTtefEVuLQPn7SDLj2FnJa1hUuUL -YejwJh/Wrz0naZuG7YzJR5cr2VLbSpJWxsJ+1mAz1pM55i9xqZI28/jJAefR -qmy7KzFN0j74uDdvUpBPa13uD045j3lmyiy/AR2/9HvF8xcwz04Ne/cb7eK3 -PvP6Rcwzv3cXxhZi/zByz7r7lzDPKoZsz0AHfm4+8MVlSauT+m9W66/5dGq9 -U+33VyRt7cQVXjvQrzOOfTCuSlqxqlYtLL5hf1z74Mnf1yQt+NLOClPQlUrV -WVnquqS9CbJ//wRtV8w0Sr4haQNqnbro9j2fOm6Y3q7aTUm7nO6+4wD6Rvvh -VawzJK319MzZlj9wP+bQ96a3JG1v/eED56G/34151vq2pFW9/a5FLtrv4q8L -HTMlbfmcEFPvn/n0KuNBYo87kvbLpsiHFHRaiMO2AXclbeL9FZfq/sL63dpi -zch7kvY81Cp2OTp7s89i//uS1st+1xwzOrFa27nBDySNntgPGvYbP89l/cy5 -DyXNIeyU4yX017CAmWGPMM8cPST7P3geZ1ybs/Yx5ll25oeN6Iy18Yu2PsE8 -Wzn88j/0z4FlVu9+KmmFzu9jx/3Np7n/5W099EzSfHNC5maipxT2O3jyuaQ9 -XFtkcNt/+VT3ruv5C1mS1tVlZctd6GLjk57cfCGxh9PpRIc9Xx9mS+zhdKp4 -p27lVy8l9nA6WSXUb5P3SmIPp5Pe88DIr68l9nA6/W13JrxIjsQeTqerv/ud -KPtWYg+nU9lKIe8q5Urs4XRKKFWzZu13Ens4nc4P9hxg+15iD6fThnXl1jp+ -kNjD6RTp1Pd2h48Sezidxl2vX7FrnsQeTqfsBwsG9/0ksYfT6XeW/65hnyX2 -cDp99n+R7/tFYg+nU6W/LzsG5Uvs4XT6U3fKxtm6xB5OJ99hEZ+XGBJ7OJ1c -WnboHmGW2MPptNNh5r4tBRJ7OJ2eP+pcfnehxB5Op4BjO6Yc+iqxh8P16b7q -2clvEns4nSZYl+t+8bvEHk6ntKvVTmf8kNjD6dQh9Uyzxz8l9nA69Vivx7/+ -JbGH02lZ+sn6n39L7OF0Sv1suev7H4k9nE7GslINi/+T2MPp1FDxeFCqiMwe -TqfKO/tVX4kWHk4npb3dKKWozB5Op+/1b+/fiBYeDvcnxrWwejGZPZxOC/VZ -HWPRwsPp5OOzcE3D4jJ7OJ1iHb1eJKCFh9Mp5uBXh+YlZPZwOqVXGrM4GS08 -nE5HE2Metysps4fD9Urd45CGFh5OJ/+1c8I9Ssns4fD3x9Z5cx0tPJxO6uJ1 -Wp/SMns4nbq3uBvzAC08nE7rk1/9HVpGZg+nk+3ANO+XaOHhdBo5ZPJFXwuZ -PRzub6lPtnlo4eF0mrWz7dqgsjJ7OJ2Whgz6+RUtPJxO8y94jJ1TTmYPp5Pz -g5J3ipaX2cPpFG2O1MLQwsPplDTqW1L5CjJ7OPx+4xvXX4cWHg7fr2+zTVYm -mT2cThd8SlXYhhYeTqeMF4cW15Nk9nA61atk9zceLTycTt4es2c2lWX2cDpp -57Z9PYIWHk6njRfWT3VWZPZwOv0XM/zrWbTwcDpN3Phrhpsqs4fD+1IQ8Ocq -Wng4nRb8O7aoV0WZPZxOxYtmlruHFh5Opwodz0cOriSzh9OpUZnwui/QwsPp -VBDT4NAYS5k9nE7Ne212+YAWHg7zaMTLW5Mry+zhdJpRtejoQrTwcDqFXvn2 -bZaVzB4Oz+vhS6uLVJHZw+lUp96ERkvRwsPpNMDnXVq5qjJ7OJ1MJzuMWIsW -Hg7v75QJvytXk9nD6TTpUVB0NFp4OJ3CHfu41Ksus4fTSc6weBWPFh5OpyLG -1rCmNWT2cDoFvS/d7ChaeDhc77//PXKuKbOH0+nc7EmLzqGFh9OpT4K/Q+da -Mns4ne49dH1xDS08nE5ve+hrPGvL7OF0cus7r9N9tPBwmB+tcr8OqSOzh8P3 -62h3MBstPBx+v9T/xvrWldnD4Xr97lE7Dy08nE5z3Bo9Daons4fT6WPWi83f -0MLD4f2vNm3Q3PoyezidNnX6ULW4tcweTqeaO9s/X4YWHk6n+ksCdpoayOzh -8Hy3nuu/AS08nE4hJSe2qNZQZg+nU27btn+2o4WH08nO+t21Bo1k9nB4fypM -25yAFh5Op0Nub8Y1t5HZw+n0TXVsdwItPJxO1ldHSR1sZfZw+PxJwTnn0cLD -4edb+Z7t2lhmD6fToh5tN2WghYfTqVdU/pT+TWT2cJgvvcJ7P0ELD4f5caKE -g7edzB5OJ4t6o5W3aOHhdPJ4FlcwoanMHk6n1Y7pj3W08HB4/6feTZ1uL7OH -w/XJT933By08HNYbY92GRc1k9nA6PbjaLdTCQWYPp1PU+ZzJa9DCw+H5aOrn -bdlcZg+nk9PgjL5b0cLD4X6sr96lbguZPRzmQ2PPDvFo4eHw/AT4t2rqKLOH -06nVgfHNjqKFh9PpWst+Tdq0lNnD6bTXq74toYWH06maz1Mb91YyezidFu+a -3fg6Wng4rHcBJez7OMns4XQKNE1zfIgWHg7P64PbbYe3ltnD4fmrZNn5NVp4 -OJ3aVHPz9HeW2cPhfXYaOuwLWng4nYJPDp8wtY3MHk6nD+buc36hhYfD8+RU -f01oW5k9HNaP2293lW4ns4fTaWqljadWoYWHw/vRp/ndiu1l9nA6dXyS8ikK -LTycTkP+2pWt00FmD4fPJ6222YMWHg7v94hnXe1cZPZw/99fVZlwBC08nE5P -/3Rc4+wqs4fT6Vb+4OPn0MLD6dR/nPfzzprMHg7zOXlA6eto4eF0SrFr69in -o8weTqfkmiafh2jh4XD9n2ZGDO8ks4fDvE5Zcv41Wng47AdKN/7q7yazh8N+ -rxo1yUcLD6dT0c7uo6d1ltnD4Xo9PBP9Gy08HNZjm4aPFrrL7OHw/i0LtbTw -kNnD6XSw563+a9DCw+n0+rK00bKLzB4O+40ebo+3ooWHw+e3G1+rXleZPRye -d1o8di9aeDidfg1cn2jfTWYPh+eh28Yfx9DCw+kUl7vKo113mT2cTtPCZkWm -oYWH06nU3GFvu/SQ2cPpdL1GyzYZaOHhsP6fLrq6f0+ZPRzWm4OX3jxBCw+H -/W6XBR18/pPZw2Eevmi+ORctPBy+f/qTgoBeMns4nb4Ond2vAC08HPafHyoe -m+Ups4fD9bsRV7lob5k9nE4lvOxnh6GFh9Np7vOklxX6yOzhdDqcYtd9A1p4 -OJ12dNh5rFpfmT2cTuPPK3Vj0cLD6dR72+w1jfrJ7OHwfFhn/zuIFh5Op1qH -XKe07C+zh9Op2fotb0+hhYfTKbGRPqzjAJk9HJ6nC273r6CFh9Pp1Nl1nr28 -ZPZwOs0OyLp+Dy08HPYLjRt1HzpQZg+nk7l7QPpLtPBwOu1rdLjnuEEyezid -3lmZb39GCw+HnxfsOHDqYJk9nE5T1k158QstPBzu340k/4VDZPZwmE+zPheW -GSqzh0MXNFm8Bi08nE7d1vtXqjxMZg+H+ZAYvycaLTwc5tGOt23rD5fZw2Ee -pjbM3IcWHk6nnHHj/B1GyOzhdCpjJBQ/gRYeTqfpx/XtHUbK7OF02lW+rctF -tPBw2I/0WZLV3VtmD4evP7u7IBMtPByeH4uGDQb5yOzhsH44zLmehRYeDvvt -Aw+Cx46S2cPhvPKwVa08tPBwWH8rbEmfMlpmD4d5tKf4zB9o4eFwnvo7xXbB -GJk9HJ6/wHdPS42V2cNh/+M1JmIVWng4fL4KuR6VfGX2cFhPPwf+24IWHk6n -dQOKnarrJ7OH0+luzNZpe9HCw+m0pkGHVs3GyezhdFrrnlt4HC08nE5XhmxJ -ae8vs4fTqXOa19wLaOHhdHLFutJ9vMweTidLc175TLTwcDq5T7/ycOAEmT0c -5v+5A3FZaOHh8D402BY8dqLMHg5/3yKqcx5aeDidwi7vsAoOkNnDYd6fOpb3 -Ay08nE4X3e5fWDBJZg+H73eu2LbSk2X2cPg8yzrMWI0WHg7vb5GlAywDZfZw -OM8sfNYyGi08nE5HJnWsXD9IZg+H81294z/2oYWHw5//1fqFwxSZPZxOWwan -Xz6BFh5Op21bJia5BMvs4XRaWbN29CW08HA6De/4KrxniMweDuvd2OSZd9HC -w+nU/t3WCUOmyuzh8D7arh/5Ei08nE4vw6MHjJsms4fD+uKX8t8XtPBwOvWt -n9tl2nSZPRzmUQ3bzn/QwsPp9PPovE6LZ8js4TAPur3rVG6mzB5Op/gOvu7r -0MLD6VRF/96t6iyZPRyel4s7eu9ACw+H80GVEYMbzZbZw2G992w+JhEtPBz2 -i1erBbWaI7OH06l0brUFZ9DCw+nUulyLtW5zZfZwOB9t8o5LRwsPh+ubt+dk -n3kyezjsZwNKZj5CCw+nU7s5cz6MnC+zh9PpxqzypXLRwsPpdPr68fqTFsjs -4XC+zZzhVogWHg77py8Dxs4JldnD6TQ27L/w4gtl9nC4/39GHlqOFh5Op8Kj -qx4qi2T2cJhPpodFo9DCw+E8GtTevs5imT0czn+u54bFo4WHw/vzfNgq+yUy -ezidSiZWST2OFh4O16OyUdB+qcweTqems943uYgWHg7rn8u/MT3CZPZwmIdX -HHfcQQsPp1PjWWHPBy+T2cNhv3z6Z42XaOHhcL7JXzViXLjMHk6n/fM67vyC -Fh4O68t1y3fTlsvs4XQ6066cw1+08HB4P53qzVqyQmYPh/1qnaGXy6+U2cNh -3o1MrrQBLTwcntehLcdWXyWzh8Pnm3X/xE608HCYT3W3lG+8WmYPh/1IysLR -h9HCw+Hz7VlzxnmNzB4O60+fNKtUtPBwOvWrV2VqlwiZPRz2R/M33MtACw+H -9+OWk5PXWpk9HPYns/9FPUcLD4f14+Pnf2PWyezhdLIKKzkuDy08nE7OyW53 -gtfL7OF0WvZyn8svtPBwOkUGOR9cuEFmD4f99a0vNctGyuzh8PNG3Y5YixYe -TqceG5+UrLpRZg+H8+Ed09wdaOHh8PUFAV8bbZLZw2F/YvEt6BBaeDidij1O -+OK0WWYPp9OggSsnn0MLD4fz8pfNunuUzB5OJ9+yd6beRAsPh/ejovPv/ltk -9nDY3069vvgZWng4nQZuXSmN2Sqzh9PJ8cvs6I9o4eF0WvUsqklwtMweDue7 -jLdnfqKFh8N5u/no3gu3yezhsD+eLL21iJHZw+HzFX6cuxYtPJxOhv0Pq6rb -ZfZw2N8va31sB1p4OJzfJsX3tdkhs4fD+atnN/MhtPBweF8jam5sHSuzh9Np -3h7rdoQWHk6n979GvPLYKbOHw/P458aKDLTwcLgeVSe39tols4fTSTvrnvMc -LTwczqNefSLHxsns4fB891nX5RNaeDh8vtoWv0N2y+zh0HbJR36jhYfD/jQ3 -asLiPTJ7OJ3G0bGG5eNl9nD4fvVKv1mPFh4O58nQtbuq75XZw+k0olv/sbvQ -wsPpdOGDp22TfTJ7OJ3+3lv85QhaeDidMqebT7TdL7OHw/xwi154Hi08nE4t -4ud7dk+Q2cPpZF8pptYdtPBw2K/+/PZl8AGZPRzm4/VVF16ihYfD9fo6Msr/ -oMweTqegz5OCdLTwcDr51D/dY2aizB4O+5PS7jZFD8ns4bD+lqtQOhwtPJxO -dWMrvpOTZPZwOg1rN/j6ZrTwcDq96pGVVOewzB4O53v3XZv3ooWH02lm1N6F -Dkdk9nA6PTz9eVIKWng4vI+1pw3Xjsrs4fC8OLn2uooWHg7r0dTuHXsfk9nD -YT/ba7PTI7TwcFjvnGztvY/L7OHwfsX+afQOLTycTpWLVbYOTJbZw+mUf3NK -ve9o4eHw9ZmV6i84IbOHw/Wf8atBmRSZPRzWO82mSQRaeDisL+5bW1Q5KbOH -0ynvb//2O9DCw+k0K3tAV5tTMns47D+9Y7yS0MLD6dSowN7P+bTMHk4nG7XM -rFS08HA6LXVovKbrGZk9HPY7lyL33EYLD6dTr649UgedldnDYT1o1uNZNlp4 -OJ289cif487J7OF0qlHKrrqOFh4O+8s3FVxmksweDj+/ZPvRRVNl9nA4n2Ul -Lw9HCw+H8+GHeceUNJk9HNbb7Ruyo9DCw2H+T/tuqndeZg+H9+ndftf9aOHh -8PxOOjClxQWZPRzW3wV/4k+hhYfDehUV86LTRZk9HN7n5hFVr6OFh8P9PH9n -QL9LMns4PI+XfTc8RQsPh/P8iZ73R1+W2cNh/y0tqZKHFh5Opz7d5REhV2T2 -cHhfX37e/RstPBzOk5518xdfldnD4fsre9tXuCazh9Op545FKyLRwsNhvZhx -7FnNdJk9HP5+5XYOe9DCw+F6f7Vaan9dZg+H/ei6HlnJaOHh8Hn9Hzi73pDZ -w+n0rDAl8gpaeDidHh83F3jelNnD4XzfMMzrEVp4OMyXC8GnvDNk9nA6jSp/ -qs57tPBwOkXNHRIedEtmD4ffP3JAwQ+08HCYz5kJPgtvy+zhdKKk4ZllM2X2 -cDoNPjzBbT1aeDhcj74PTlS/I7OHw/1QY+zj0MLD4XwceCHe7q7MHk4nzzyP -+sfRwsNh/1LSNrbDPZk9HJ6n9uPrXkYLD6dTBblcXK/7Mns4nSYVLW/7EC08 -nE4vIgKSRj6Q2cPpVNXVoe07tPBwWM9W9b8c+FBmD4f3+9eT/j/QwsPhfF7h -Yk7oI5k9nE5tO8kzyz6W2cPpVL1mqmk9Wng4vB+17+2p/kRmD4f180bPjnFo -4eEwH/Y0zrJ7KrOHw/dvNmnucbTwcDjffLCq7fJMZg+H6z/U5sJltPBwOjUv -ucPf87nMHk6n8xPmq4/QwsNhnqpXznpnyezhdEqaN33Ce7TwcJh/jmurT3kh -s4fD+eN4pYyfaOHhMP+XF1u0KFtmD4fP13po2/IvZfZwOjXQahdsQAsPp1NA -E8+kmq9k9nD4vPM+TtqDFh4O5wMqaNbstcweDuf/qRPMJ9DCw+nUtUHvk9ob -mT0cznNeu0KvoYWHw/MbMbZn3xyZPZxOnwZtqvoULTycTiuc27wf/VZmD4fz -WHKP03lo4eF0ujnk1pqpuTJ7OFzfgxd9/6KFh9PprJOdFvZOZg+n05D5pWrI -72X2cNgvyv1/bkYLD4f9/BTLp3U/yOzhdAqx73FuP1p4OMy/jMJdjh9l9nD4 -vM8tV55BCw+H+fw6YZp7nsweDn9+QsroDLTwcPi8Rdv3G/hJZg+nU2LT1u7Z -aOHhcH/m7G/j/1lmD4fz38yNDgZaeDidsg/9sp39RWYPp1PNhCcNSuTL7OHw -+b85Wq9CCw+nU9m6ZRpU1mX2cDotODbQZjtaeDisjy717W0MmT0cnv+eE5wO -o4WHwzwZ79CxrVlmD/f/f68K7nUBLTycTqlyyxE9C2T2cDr9OxoceB8tPBye -z+0tlowolNnD4fqPCorORQsPp9OV5Q7JgV9l9nA6Fd0beOcHWng4nA+6tdAX -fpPZw2H/+XeqWv67zB4O5wOv9k6RaOHhdDrwasmwWj9k9nA4H7fotyQeLTwc -zpMP4pIcfsrs4XCe856ddRItPBz2y94PTW6/ZPZwOuX4nex4Ay08HPZ7ZepN -H/BbZg+H89x95VAWWng4vP8jw9/7/ZHZw+n0xjm8oY4WHg77hULFd9ZfmT0c -9rMNrfcW/yezh8N5wCc1byVaeDicF5xzHSsXUdjD6bTdJWrudrTwcPh9LR5e -symqsIfDfs0utsoRtPBwOI+1++7frpjCHg7z4MazsxfRwsOhQzwr9SqusIfT -qdy13gEP0cLDYb6OeHnVu4TCHg7nixtFG31ACw+H9Tr+SFhwSYU9HPabYQUf -fqOFh8PzXPRq76WlFPZw2D8nNj0plVbYw+nU17qhdRRaeDidqvw6vLZeGYU9 -HOZFembRA2jh4bD+NV4a0spCYQ+nk9exW+/PoYWHw37oX6JP17IKezjMy93W -zzLRwsNhvdKaDx5aTmEPh/OL3/1Hb9DCw+HrJ5Shk8or7OEwf6+8ffENLTwc -5nPLAX6hFRT2cDpV2jRcL2tS2MPh/q0oMm8DWni4////jkuFWpLCHg7n+8gq -2+PRwsPpVPzVasfmssIeDuuL/c70U2jh4XB+tOo3prOisIfD/qDtziIZaOHh -8Pu2iNg+UFXYw+k0YFvNji/RwsNhPa3aI2d8RYU9nE7b3KquLEALD6dTzKll -reZVUtjD6bSrxpaXpS0V9nDYD5XpFbEWLTycThk1dnesXllhD6dT+x/bC+PQ -wsPh/NPB9YC9lcIeTqfjyxaNTUELD4fzzeyAup2qKOzhMJ9O/HlxHS08HPab -T1vGDqiqsIfDeS684tgXaOHhcH9HbWniX01hD6dTE4v0AgMtPJxO+2rtSp1T -XWEPp1Owe8M1pWoo7OHw/tXp7x2BFh4O+6E+TVtWq6mwh8N+Y+6RsnFo4eEw -z9zfvG5aS2EPp5Nr7wt0Ai08HPb3rr1jOtZW2MPh/HtyxfzraOHhdNroM230 -gDoKezicj57L3V+ghYfTyfrOUEf/ugp7OJ225AysbUYLD6fTybMlTXPrKezh -dLpVxPdfqfoKezjspwfMNEeghYfTKXaU9qGatcIeTqfwW6dfx6GFh9PpnFve -C/sGCns4ner73clKQQsPh/X85aTsTg0V9nA6RQw7/+YGWng4zIv5Nz96NVLY -w+nUujCiMBstPBzOb2MrFJtgo7CHw/M1200pRAsPh3mU7Vh/vq3CHg7Xy/VF -a4vGCns4zOdOHp7r0cLD6bQ50t+/ZhOFPRzOi6+7LY1HCw+H9e9R7u7mdgp7 -OPz52p2vnkYLD4f1w3fkJ/emCns4zLfBbS1vo4WH0+l23H3XIfYKezg8j9+a -B7xBCw+H5+eP57ZJzRT2cNi/+zrc/o4WHk6niS/ullzkoLCHw36vSjuXCs0V -9nA6uZPvzE1o4eGwX1g88ETdFgp7OJ3eVTZ9T0ALD6eTc6MV7ZwcFfZwOu0Z -eys0FS08nE4FC5+md2+psIfDecshqfJ9tPBw+HqtXmNHtlLYw2H+FUlOfo8W -Hg7vy7J3ZUOcFPZwOnXxe+vzFy08HK5Pn6TTy1or7OEw3797VKnorLCHwzxW -EqZvQwsPp9Mxz+ePG7VR2MPh8/pluRxBCw+HeVb+0J72bRX2cPj8hZ7KFbTw -cAbl3U+b16edwh7OoGFjSn55ihYezqD1XtVH+bZX2MMZlDOqxKN8tPBwBhXX -0nrP7qCwhzPoa1zfGyVdFPZwBtl0O9k9Ai08nEGD3xRer+aqsIczaJ+9Re/d -aOHh8POyzQ+aaQp7OIM+H0n2PoUWHs6gLyP6furcUWEPZ1CjmCtzbqGFhzNo -SWMraUgnhT2cQQPOdYx7gxYeziCtUtf2k90U9nAG9c5t/PAHWng4gz4aH0IW -d1bYwxl031hmKbkr7OEMarGr5MkotPBw+HmpPiOtPRT2cAYllY4pcwgtPJxB -Ba1OH2vTRWEPhy5zavRFtPBw+PMuWy09uyrs4QxaHjky/TFaeDiD3G+UXjim -m8IezqASOze0/4IWHs6gHg9K/ZzZXWEPZ1BR25GnSvRQ2MMZNHFIzNw1aOHh -DGrV5JJbtZ4KeziD/IfdK78bLTycQVMP3XjU7D+FPZxBtm8T40+hhYcz6OT5 -2TPdeyns4Qw6U7plr9to4eEMajLjUYOhngp7OIN8H/oXeYsWHs6gpu/fPQ/s -rbCHMyg+yOvcL7TwcAaNbHU0dmkfhT0cPv+Pf2FKX4U9nEGJCzsERaOFhzOo -36aJwxv1U9jDGdT/z6qeR9DCwxnkPHunS4f+Cns43N+H+x2vooWHM4iexDfp -N0BhD2dQQI8tDbPQwsMZVNlYaO3vpbCHM6jTplENCtDCwxmUXbyt7fyBCns4 -g7oULetQdpDCHs6g1oPvtolECw9n0OP7Gz3qDFbYw+H6dRzglYAWHs6gJ36S -v9MQhT2cQZsrXp6XhhYezqA0edamnkMV9nAGrWpid/QhWng4g6IdnmeOGqaw -hzPoV94q8ye08HAG1bJ3rTJzuMIezqB3abpriREKeziD9kzbM34NWng4g+Tq -IzZXG6mwh8PzNq/qtd1o4eEMyp38+LeDt8IezqBXFON4Bi08HL6fy/iALj4K -eziDyp1tv/8OWng4g7zKW30YPkphD2dQm78/7N6jhYfD+zfm7ZSQ0Qp7OINO -q89P/0MLD4ef/ySr9IoxCns4g65E5HlVHquwh8P9L1N6XyxaeDi8r5bN/tr5 -KuzhDJqwaqxXClp4ONxv94TDbn4KeziD6qtF5Vto4eEM2pHuFzRknMIezqDy -7tn3c9DCwxk0cNCEDkH+Cns4zMunFnt/o4WHw/sdf8Zy2XiFPZxBK9cvXlpx -gsIeDu/DjFE/Y9DCwxlU2mFgUOOJCns4g3quH/3xOFp4ONz/OcvGdQxQ2MNh -nl2+/O4GWng4zNMetScOmqSwhzPoWu464zVaeDiDHk6rN2fyZIU9nEHV7meU -+YUWHs6gsS82b14aqLCHM6hIcGgTNUhhD2fQ/oDwtG1o4eEM2nTw8BDbKQp7 -OMwT5dfXY2jh4TBvZvhu1IIV9nAGVThf4HwDLTycQdMy9mQNDFHYwxnUfN7c -sNdo4eEMcjsy03HyVIU9HOZNz+hXP9HCw+F9bPp2w9JpCns4gx60GdRdna6w -hzPoW19zsRi08HAGJQxLOWc7Q2EPZ9C9tnvnHkcLD2dQRuYF144zFfZwBgWX -Kl/yJlp4ODwv50JvDpqlsIfD/c1qEPUGLTwcrmevH36BsxX2cLgf5t9tfqOF -hzOoQ3JzadkchT2cQc/mbs6tOFdhD2fQiRYtLmxHCw9n0Oqz/2KbzFPYwxkU -V7rY4hNo4eEwn3+28Xebr7CHw/UN3dvnFlp4OINGLenVYegChT0crodhZ5eL -Fh7OoDXHO9cKDlXYw+H9OLmx4j+08HAGeX6sX37FQoU9nEELnT+VtlqksIcz -6MZqc6ldaOHhDJr+rLVFs8UKeziD+sinTafRwsPh+ledZ9VlicIezqAh70Lr -30ULD4fPP/FKi5FLFfZwBm3f5On+ES08HJ6XIdWHTg9T2MMZVC++SUjxZQp7 -OIOUwNCINWjh4TCP99ZMqh6usIczyORW9G48Wng4PL8tm/5wXK6wh8PvE7Sz -XipaeDiDxn328ey5QmEPh/c9duL8R2jh4QzqtuDCkTErFfZwmE+hfu/z0cLD -GTRm2+D6c1cp7OEMmpO+xdtitcIeDu9LUbvYSLTwcPg87Uw5ddco7OEMeh7Y -yS4RLTwcnofoa9PaRijs4QzamxJ38TJaeDi8H6n3LPutVdjDGRSbOGT8C7Tw -cAY1WND6/IR1Cns47P+aT6z5HS08nEGz6cfsxesV9nB4/2xePpc3KOzhDCo1 -vr7bNrTwcAbtXpSWYBupsIfD7xdyxioZLTycQRfaW4Z12qiwhzPozrMbPzLQ -wsPh8w14M3noJoU9HO7HvmHvc9HCw+HnP3HyDdmssIczKOVD8NsiUQp7OOwf -siqNX4UWHs4gx5PV9KpbFPZw+Pnzl87egxYezqCWrQdbOG5V2MPhfcxZv4XQ -wsMZ1DiidbOe0Qp7OLyfLu5XHqGFhzPo5vezo8ZuU9jDGWRxeWdRAy08HPZb -hwp2zYtR2MNh3p891q3cdoU9nEEvf742NqGFh8PzHbRou/UOhT2cQel2GzwP -o4WHM+hfa6viLrEKeziDpmyvcCodLTycQYWTZ4QM3KmwhzNITx7U4g1aeDi8 -zwsPmQN3KezhsH9/OTflD1p4OPz9lxcXLI9T2MNhfkUu6Wm1W2EPh+e5bFr1 -OLTwcAZN6j/js8MehT0crv+iAxfPooWHw/q1f0RM93iFPRz240/XzH6IFh7O -oLuNOw4bs1dhD2fQwQPBmo4WHs6gQ1NtbObtU9jDGeSx1btiuf0KeziD5jvW -LLYZLTycQWUGjCywTlDYw+H6W9l8OIwWHg7zbu2M1y4HFPZw+DzP+2RfRwsP -Z5BLpePZgw4q7OHwPPba9SYHLTwc9qN7a+ZNSVTYw+F9atfg2z+08HAGnbM+ -XXLVIYU9nEHqgmyrakkKeziDksdvbBqPFh7OoMnFsjxaHlbYw2G/NDZldBpa -eDhc36MNF/c6orCHw7wt13jvU7TwcAZ1XX3h1rijCns4rFfDCn4WooWHwzze -fNZ20TGFPRzmw5g6w+TjCns4g4Je11y3DS08HPZvzieuN05W2MNhXoV/KpOC -Fh4O+52fad3cTyjs4QzaltRq9R208HAGLbvb7cHIFIU9nEGfwv/V/YQWHs6g -rb8GBs06qbCHw/Xp0/9i6VMKeziDQo8WVotECw+H/UrPDlPrnVbYw2FedrG7 -ewgtPJxBWRkXWnY4o7CHM+itqeSWdLTwcAa5ttSLDTqrsIfD/ZsYPjkHLTwc -1secjBdTzins4QwafvN8vyKksIcz6Eh/3+ur0MLD4fslnPKonqqwh8N5tGja -pb1o4eEM8lk+q6tTmsIezqD8ae8yLqCFh8Pz/bPc4D7nFfZwWI+7vX2bhRYe -zqANm2bMmHhBYQ+H61HtYoWfaOHhsB8tk74n7KLCHs4g+00r3CwvKezhDKr0 -z+LNTrTwcAYVm+GxzOGywh7OoNdtOzmcQwsPZ5B36N+nPa4o7OGwf1oyZ8Vj -tPBw2M8sOuvid1VhD2dQ5+TzhQVo4eEMOjZkVdLCawp7OHw9sdZkOV1hD2dQ -9S/Tm8eghYfDejNsy7cm1xX2cFifW4WlnUQLD2dQ31Oua7rcUNjDGVSj6SXv -+2jh4XCevVXFafRNhT0czrtv2kk6Wng4g0YnNsubl6Gwh8PzMaXwRvlbCns4 -PJ/TVh/eghYeDvP+368om9sKeziDdvVzXZqMFh4O59/Tg6d1zlTYw2G+zOs5 -/g5aeDh83pwqo7zvKOzhsJ9pmzr8M1p4OJznrroNn3NXYQ+H/cfTOO+y9xT2 -cAb9OPvGbzNaeDjc33MlghveV9jDGbTUttTCY2jh4Qy61OvDhk4PFPZw2E/N -PZJwGy08HM6vJb0vj3iosIfDedu54E0eWng47Mf8AkvPfqSwhzOo5Nv7TS0e -K+zhsN8v3XDQJrTwcAZ9rT1qaYMnCns4PM+LV6QcRQsPZ9CIlbs+d3yqsIcz -yHpVgs1ttPBwBv3MivMd8UxhD2dQyJOIvXlo4eHw9UeBn2c9V9jDYT/Q0sPZ -IkthD2dQlW7q0k1o4eEMuhz04GGDFwp7OKwP5TY0PYYWHg7nu5CeYZ2yFfZw -OD+WLZpzGy08HM6f9kc9Rr5U2MNh/rmMOfAJLTycQc22WVae80phD4fz1IGr -i8q+VtjDYb5nzCvcjBYeDvd/XJsJjd4o7OEMWvf8x5vjaOHhcL83pI3qnKOw -h8PzYax9cwctPBzWM++J433eKuzhMM/d+xZ8QQsPh/NZWfeF83IV9nBY/6t5 -VKrwTmEPh/Uk22v/VrTwcPjzV2Z0bvxeYQ+H/V+Hg69S0MLDYd4kFi7u8kFh -D2eQ1fJ+TR6ghYfD9Xe9en/MR4U9HJ53bcBiM1p4OLzPv385LcxT2MNhf/z2 -7Cf5k8IeDvvBKdF7t6OFh8N5tWKUn/1nhT2cQf+5Hm98Fi08HL7fREPv8UVh -D4fzi9z37BO08HAG1dp9f6V/vsIeziCnnfO8v6OFh8N6FdrLOUxX2MNhfT/n -UamyobCHM6jT/XEFcWjh4QwK65j8yNGssIczaFGoQ9p5tPBwWK8qPj7Yp0Bh -D4fndcbhmGy08HDYPzY7vX5yocIeDuvx6W8r/6KFhzOof8y45au+KuzhDHo6 -VFpZ45vCHg73a8antQlo4eHwfs8qEd32u8IezqAPuQP2X0MLD2fQ4q6vzwz6 -obCHw/7MOvFuLlp4OKx3pVI+T/upsIfD+XxmMVOpXwp7OIOMUmuaR6KFh8N+ -scPIwda/FfZwBrXbH7LkKFp4OMzjZ7ePd/qjsIczKHXU1A+ZaOHhcD9uja7v -81dhD2dQ2aPbvPPRwsPh+8da75z/T2EPh/tv/zPXVERlD2dQzOfaLWLQwsMZ -NHfGpgVNi6rs4fD9Ro+6ewYtPBzOHx4LG/csprKHM6jime9LnqKFh8P+fMSV -nPHFVfZwmNdXv3T7iRYeDufZjcFHwkuo7OGwXvv2r121pMoezqCCh+sj9qKF -h8M8C3cs5VxKZQ+H57lGqwVX0MLDGfSi+Za/XqVV9nCYjwFjQt+ihYfD5w+J -LDOtjMoezqDNH+w3lLRQ2cNhPzOthXUkWng43P/U2BTrsip7OMzvwHl9jqGF -h8N+1CH9s1s5lT0c1pP9SyPuooWHw/xYedxpdHmVPZxB+0IHvTTQwsMZ1KtN -UMTCCip7OHx9VNHOqkllD4f5llj2dyxaeDh83ozVKc0llT0c1veQNTPT0MLD -Yf31Mrn2kVX2cNi/2lSweIkWHs4gm9hVDwMVlT0c9icz1u4voqrs4XA+9q22 -MAItPJxBgQ0aj6hTUWUPh+sTeN4lCS08HOZ7iZz6WiWVPRz2TzHrK9xGCw+H -9+PPrV8jLVX2cHgeXm79/AUtPBzOT2V/5MyvrLKHw/dv//6lZKWyhzPofNtJ -r7ajhYczaNW18NxmVVT2cAa1PdRBJ7TwcJiXR1f886yqsoczKGnbVDUbLTwc -9t/Of2wDq6ns4Qya5WntUaS6yh4O72dinm8EWng4g/LqDlpZp4bKHs4g06xx -yUlo4eGw311aO0erqbKHMyiiyvwqmWjh4Qz6WCy8t08tlT2cQTOruq7S0cLD -4fzQfFdGaG2VPRyel7onKql1VPZwBt1KnTNyJ1p4OINqvy9MbFFXZQ+H/X2I -dfELaOHh8Pu7lh3Wr57KHg7PT4N9J1+jhYfDeapk8Roh9VX2cHgfUqsuKmGt -sof7//+HfPm8AS08nEFXuy4Z2aCByh7OoOhnz+8dRwsPh/nw4Gcvj4Yqezic -t2tk3XyAFh7OoB6bwvv4NVLZwxlUudm/x9/QwsPhvJOh+S2zUdnD4f3x6f2j -iq3KHs6g9/ebRexDCw9nUHDVl03aNlbZw+F61vC9kY4WHg77+9upQUObqOzh -sH9rZK6ehxYezqBpVv/S59ip7OEMmrrh7bwKTVX2cLh+GxNax6CFhzNoZaXe -hfb2Kns47IcsHpwgtPBwuB5BrvN7N1PZwxnUsuvqHi/RwsNhPVhxscYUB5U9 -HPY/7d8YxZqr7OHw84Z+ubkeLTwc1sf3uQetW6js4fB5P91adxwtPBzm2fh9 -czwcVfZweP78p014iBYezqB5uvPIcS1V9nBYX8qbB/5ACw+H9f3KngHLW6ns -4fD7NPUaVN1JZQ+H9aVXce8DaOHhsN46J03s0FplD2dQ1L8R8zLQwsNh/39c -iRzprLKHw3yalJ6UjxYeDvNHW5EZ2kZlD2fQHzevb2pblT0c5vXapvXi0MLD -GfSgc8W+rdqp7OEMcpxpEXYZLTwcvt5TTRvYXmUPZ1CrF82KvEcLD2fQoD5j -Os/qoLKHw/7j0uFV5VxU9nAGWfhUexaNFh7OoB1ddzSzd1XZw+H5jHNfRmjh -4bC/iC7/tremsofD/nvYt66v0MLDGdRCLnM4uKPKHg7vS45Wq2QnlT2cQSfK -x0VsRAsPh+cj2bGMjZvKHg77K0tj8Um08HAGmYe/LNWjs8oeDvPncvHVz9DC -w+F8GDa02iR3lT0c9otPPiT8QwsPZ9DuH0c7rfVQ2cMZtKneyax6XVT2cAY9 -Xv97/jG08HDYP6xa0NCjq8oezqDBfbvceYgWHs4gvwb9Fvl3U9nDGTSh5542 -v9DCwxkUa3IrXNldZQ9nUPHjTZJr9VDZw2F/sXzUnCS08HB4vp+879Kpp8oe -DvOu8EKVe2jh4bCe2po/j/1PZQ9n0IGrM9O/oYWHw/rVaEhCeC+VPRzO01s2 -rq3uqbKHM2j7jOZzD6KFh8P9qN50kmtvlT3c///9ccWYTLTwcHhea/b2Ht1H -ZQ+H84DTHJ9CtPBwBrkuV8eF9VXZw+H3nW0ZUrWfyh4O63dA2JIEtPBwBrW5 -PD66Q3+VPRz2o5/SUm6hhYfDPPlvzROfASp7OKxXfe4XLUALD2fQ9bEb7Zd6 -qezhMD9+3vOuMlBlD4f1IHT95v1o4eEw/wLu3W8/SGUPZ1AJxy1VbqGFh8P6 -0i3X22ewyh4O89b2XKIZLTwcno9edYstHaKyh8P+rVXFoVWGquzhsB8buvHk -frTwcPh93A7W7DBMZQ+H+TB5SNgttPBwmIdjdnz1Ga6yh8N6vjd0QgFaeDic -5y8Vebt0hMoezqCLnev4Vh2psofD+3zs1ccEtPBw2G/t7D7dxVtlD2fQgB1D -ymSihYczKEez3D7aR2UPh/Nt1bntvqKFh8P5OnXD82WjVPZw6FsjllQfrbKH -M2j8y+ctEtHCwxnktqHSW22Myh4O73dYye130cLD4foPPTrcd6zKHs6gu8fr -1PuBFh7OoGrteuet8FXZwxm0a33nM7X8VPZwBm30L7LuMFp4ODxP/ksDOo9T -2cMZ5NDxca+HaOHhsD7s/NVqvL/KHg5/3yW/3h+08HAGFbtx0jJivMoeDvuj -okNN9Seo7OFwntn8oHwyWng4nCf+a6Z0m6iyhzNIfe5X/RlaeDiDppdZ1GRy -gMoeDueXtYs6FpuksoczqI7LxOGRaOHhcB6/5zrfZrLKHs4g35pF40+jhYcz -aFzOkXu9AlX2cAY1+DmwzCu08HAGZTcxd5wapLKHM6j5f2GhZaao7OEwrx2t -rmxFCw9n0NFju9VmwSp7OIPuJ7Qacx4tPJxBMwqunR4QorKHM6hkwNiq79HC -w2EefS07d85UlT0c9qfBZ99K01T2cAZ5ps/32oUWHs6gs6/633CarrKHw/se -79o1HS08HOZZCbf04TNU9nC4Ph99+upo4eGwH+6xPXvxTJU9nEG/q/0NqTJL -ZQ+H/aFnqHQALTwc5vPrJkmus1X2cDgP3Cky8C5aeDiDrlQrXsJvjsoeDuep -s61O/EQLD2dQn7NbA1fPVdnD4X2p6+xQb57KHg7nXb3C1+No4eEMqtu2zvlu -81X2cAb9LT1lw3O08HAGvZtQKiBogcoeDvuJGdk9Soaq7OHw/Hcu3jwKLTwc -9pe5QTWaLlTZwxnkNLeZKQ0tPJxBQfVcSw1YpLKHM+jXt10l3qOFh8Pfr+Nj -MXexyh7OoKIXZlZSlqjs4bC/LKU32I0WHs6gcKv0Dm2WquzhML/rlxl2Ey08 -HPYrQxIX+ISp7OEMctYpoRAtPBzWt5ra8/BlKns4g9yrtLCsFa6yh8N8qhnV -7whaeDiD4ifO2OyxXGUPh5/vcff1E7TwcHievxxoNXmFyh4O729SmdXFV6rs -4XA+z3yftwktPJxBXjv69bVbpbKHMyghoNu5VLTwcAaVX5nhMGC1yh7u//ub -t/veo4WHw/pfeYPtvDUqezjsH5yeHlIjVPZwBt1WqH08Wng4g06Vcbvdbq3K -Hg774QXjx99GCw+H+ZbtXG7sOpU9HObNhsNHfqCFh8N6bPHIe/V6lT0c5s/h -Q5b1N6js4bD+/m2XeQItPBz2V/4L1veMVNnDGaRNXDDsJVp4ODzvi1ztpm1U -2cPhfXBIK1Zuk8oeDvvLb2Wyt6OFhzOTy/BqF1tuVtnDmWnAp++J19DCw5lp -U8u9O0ZEqezhzBR8oUmUGS08nJnWNg3bvGyLyh7OTFkW52JqblXZw5kpzLib -cAQtPJyZii25QV2iVfZwZqo4KuHJM7TwcGa60TTkd9A2lT2cmTauadygdIzK -Hs5Mji3u9I9GCw9nJrowaUXz7Sp7ODOdKix+7TJaeDgzlZsbWWHYDpU9nJmK -qI0G62jh4czkFXgmYWmsyh7OTNrAwSVr7FTZw5lpdHhR38No4eHMlHMj5abH -LpU9nJnGfVzY/hlaeDgzWR4ZfSQoTmUPZ6YYfUSz0rtV9nBm6jhvxtFotPBw -ZrrV7JhLiz0qezgzHXlpmXkFLTycmT5NiR0/PF5lD2cm68tDypnRwsOZaUea -+9Fle1X2cLh/nr6jau1T2cOZ6fWw1CrH0MLDmWn704EPuu1X2cOZKTLVYesL -tPBwZlpTwtNvaoLKHs5MVeOS25Y7oLKHM9OS7RMsY9HCw5npy8+gb04HVfZw -Zup67mb2DbTwcGbqV7gwc1Siyh7OTEmHIq99RwsPZ6ZnRcpeW31IZQ+H57HI -m1vWSSp7ODPVvdYo6xRaeDgzXZr12Nz7sMoezkzDnP/IuWjh4cwk225qNfeI -yh7OTKYZCT4Vj6rs4cy0tL9L5D608HD4ffR+t12PqezhzHR/ab76AC08nJn6 -e1YZPvG4yh7OTDuX30oslqxq/wNlsVsM - "]]}, - {RGBColor[1, 0, 0], PointSize[0.003666666666666667], AbsoluteThickness[ - 1.6], LineBox[CompressedData[" -1:eJwsmnk0VW37gA2Fs6dSGkQUjcpQNCj0VN5KkjRKJBqkCUmERmlUIfNY5ohE -pQw9IUMzMpWQqUx77yMVJfW7v/Xbf33rWm/fcc7ez77va691TbV32rhXSkJC -Yg4pIfG//73sJzn1pxSLdQ9oB2U+E6GDHRPry6RZvMcGf0ktFCEzI50b4SNY -7KKnvjSxSIS0Q1evOjiSxTYKOwJii0VIXrxz2ECGxfUzHLrCn4tQ/5rjDxhZ -Fq8JWr0yqESEam5fO/gZeLbvn5jrpSKU8ztBLUuOxUNTL/y5VCZCEZvyP/iI -4N/fbN/hUy5C3nff+28hWHxLcmLByRcitHNkz+qZJIsjgqdM8XgpQmin1L9B -4Cr3v+ePvhIhtRzFRy8pFrMV93sOvRahEaPnHY6iWRz8Yslmhzci9GX/mmlH -GBZ7Xwl/avdWhMoLbRuWjWLxDoO3GtbvRCh1knug/GgWi2U/hG2tECE/1+sm -bcDS43PlLCpFyOl1osRDeRbvvOHiaVolQhbTC3IujGFxXdQ/9r/3IqR7qvqI -5Vj4/g57dqNqERpX1zNdQ4HFARNufVxSI0ID2tKNQ8CRtVmbFtSK0IdLk4Le -jGPx1jdRb7XrRCivZZ5p7HgWP5q201SjXoSil5hIuUxg8ZORAy+mfRCh0zd3 -PVkxkcX24Q5rVT+KkF2vu7OCIovz5DJfKzaIkPF/N2Z+Aa71rtig8EmEZsQk -NeVMYvFrlRe1TKMIyQ0UBF9WYnHuhIidoiYR6javWbdDmcVl/is7pZtF6HVK -r7TmZBZPyS5x/QucITki7y/wr+Qpkr8+i5C/ldLRChUW+4Vt9u9vEaGj2fNn -x6myWCnDbirXKkKbqbWfXaewmJNf86CzTYQW7rUL/W8qi7ewsiZt7SKk+NRj -/QQ1Fic53m5u7BChofH+I7uAl2UqeNR/EaFGp+T8XHUWn++2G/P+qwjh8qeu -ftNY/Hn55Yw3nSJ0e2qtxs7pLC5tvrKuvEuEfDzZFu0ZLM5g9/UWdYvQ3vcj -wiVnsnhi8OTrBT0itHqu8ob3wNf+Zcx73CtCs311ZRNnwfnZqlSXxYoQ2bT2 -6fHZLH5fbH8qnRMhbqG92xoNuJ/OvjNTeBGquHFi7qQ5LF510acqTixCWZ3+ -bT3Ab3RsTkf3iVDQ8pSIgrnw+0PHaIV9E6HjEdjihiaLu9vimwL7Rciyv1bO -TovFA0g+4Np3EVqyjsPztVlM1lv9d+mHCCknjnQfocPivqozQ+d+itDfYWWt -WuDTO3yyTw6I0Oeteh3J81jsH2l/2GNQhIrvmUadmM9i2QKV2a6/RChRbvcm -U134Pl05Xw7/FqGLdp7EZD14Hky1kvYPiZBjbkAhBzyaPuew+48ImY694/Fs -AVzfbdkaO4dFSPPQM+3AhSzW21LEW/4VoVEldV92L2Kxls69R5v+iVDfZD56 -wWIWXxjjeXq9BIHeH5fZIqvPYssZ6mtNJAn08N1k6gNwSXDqeGMpAoXNWlCc -ugR+75XRHUbSBPI8u87TeymcJ50tD/VHEMj64+556w1Y7H7P46LeSAIZ6Xp1 -qhqyeKmu1w5tGQJN8QuM7QPO6rCepyFLIKmOO1uLjVg8p2+yaLocgdoNC+ng -ZSwePP20VVVEoNKQ+uf7EHxevtHTSQSBUnjea/FyFhOVMZHjSAJdWSOrS6yA -+9PZ5DmaItDh2yrdDcDKc//tIGkCmf9ecDt9JYt9y/4ZyTAEmrfJzPK0MTw/ -7Z/VJUcRaOzdPaMs/mNxSEYc8Qf4xwjvUrVVLN5k/l//z9EEqrO5efI7cHxf -eWOfPIGePErVK13NYrVnmi97xxAoclRRT+gaFrt1uD7+OpZAJ/d/iHM0YfFq -v8iUVgUC2RaKty9dy2KT5oSIxnEEWj5JTp42ZfEikf/1+vEEmuaqWt4EXKFn -c/79BALJvF54OnMdi5v8KO+3EwnUOW39wnNmLNYxiHF7oUiglyf3spvWszj6 -8BiX55MIdLfWO2G6OcyvdY5HsBKBrmsH7RgAXiMVfzhXmUDOl9LGvNgA9zMP -H3k4mUAbW4peRFiweFYcdslUIZDeko9nDm1k8YOWuONpqgQaf7NvkdEmFg8n -OJ5MmkKgXz1y/KjNLB41QeHC7akEajCektQC/Mc+zj9KjUAF0Ytssrew+GO2 -QnSoOoFif65X8N0K51XvYFrgNAKdNd/3aus2Fv8enZR3bTqBdqecPDfLEual -a8mbSzMI9J9ksP5v4BcXX7T4zCTQTKu74lfbWdzskTVwahaBRNnFydFW8Lwf -PTPKczaBesiGnU47WFwUozvbTYNAb/d8G7fcmsXzNF4bO88hUGaB6M0YGxbj -ZWvtD84lUOD4qefbgSuIjLP7NAl0zGnx0kc7Wfz20UCcnRaBtpabf7toC+fP -aWaptTaBFk91uLN9F4vtbA17tukQaJLnqV1z7GBfZOmP2TSPQH+qgicMA+8K -Vl66fj6Bmuakv31rz+Ll87/sNdEl0LPzz31v7WaxxsOQQGM9AsU3Nhgc3QPz -2ESrcNkCAvku7O9fuZfFYUrpfUsWEsjhBpE2bh+LnbaOnbZwEYFMOqfafwUe -mG5nOW8xgeYs11d84sDi3och1+fqE4iO2FBxZT+L5ec/KJ25hED8N4eL1o4s -nl/6REJ9KYEqTU8baR1g8bSYZAMVAwJlJ4T8+Af8rOOkp6IhgYKH0+9WHmRx -V7lBroIRgTy2luyOP8Tiy67tQ6OWEcjq3qdJbodZHCjvtoxEBDKQ+1656gjc -77fceZnlBFKxIy9PdIL7VWfxWnIFgSRy1VA3sIRlzLhh4NYxSwbynOH59qre -NbiSQM8PWmRcc2HxjyPf0/uNCZT0fP9e26MwP23+/uH+I9ClyWeU57nCPjz2 -zax7FYEOHA99L3WMxZeaK251rCaQ2buMK9XAoS/Df3xeQyDtWaXLk9xYrGJv -tu6TCYHkzzYOuh9n8d6qnoS6tQTq//D9nok7i3NWu/2rMiVQzXzKQcmDxQe4 -rh1v1xEo56q6Cgv84ZtJ7gszAoW3L6l5eoLFjmeDJ5WsJ5CX4UY/f0+Yn6Wv -vZ+ZE8gmxHGlvReLYzn+c94GAi3jz/zW9Wbxghn/VuVYEEhtTdj9kSdhv4b/ -zsjaSKARt+/trwNucWudmLGJQF9+lareOcXicV8fnb+zmUDlG5tqPU/D9VT0 -+JawhUCpaT+urTvD4sVLZ9jf2kogvxH0fypnWXzUvfB95DYCHbGZ9ocHth4y -WR1qSaANj5ZmF55j8b1+nB+4nUDzR206cNMHzts1db3rVgRS2H9g6t7zcL2+ -H0u/vINAA8/O1i/0hX269cEsX2sCfVAMvyF3gcXXP7cknrEhUN7RzFUfgd89 -HZ7mvZNA0a/KhtMuwt9XkUtytyXQ6WnND05eAj+cKznbdReB7E7+PGh+Ga7H -uK70I3YEWllLq0+9wmJV2Wd6B+wJNF17+sdvwJzmhYK9uwkke8kg4PlVeH5z -lq6x20Ogrs+b1oT4sfjhu8/V1nsJ9Fr/4D+HayxuS3DbbbmPQBmB5x7pX4fz -tft3/yYHAvn3hB8mb7A4SN/pgvl+Ah01vj+tEdhrU/UkU0cCbY4ub8jwBz9q -mn1/1QECLfzZHHgmAPxLztlkxUECTTQfMNkYyOL9f5PaDA8R6HcyIzntJos9 -2Len9Q8T6JPEjMc/gGdKd05ecIRAT7cbOpUFsbjx0LcCHScC3c7aPCM8mMUy -lpztXGcC+ZCHGg+EwO/51iA9y4VAe/f4BBmEwvOyP++O+lECrS6IMGXCWMx3 -+lmouhJo9vgsqc/AL25tGJp0jECk04sn98NZnFkgkzzejUBs2WdnnwgWrzx8 -b/OY4wR6N2Vw5pZIFk9vXDuCcSfQ/ROjmmdEsVhu6YeHIg8C3ayaETIIHPzA -ynHkCQIdn2Nk9jIa9pf7OxVJTwJZnt8yIiqGxa/uL6r9A7yk8VDe4VgWSwXc -vDHoRSDlheePLrsF53Fl29rv3gT6ez1ytvxtFtf8mCEnPkmgz1+zPrcCa9ft -LOs5RaAi9DL0QRyLx4y7eunraQIlhLesvxAP7weNaaZtZwh04dvgSMsEFq/d -WTi6+SyB9puOLpidCPuy5FXdx3MEMk2YeWwI+MryV7dqfQikOWw0500SixUk -nh2sOk+gUVu3tsYks9hWO3XxW18C9WUcDndOgf0wfFn25QXwEVnfDSvuwPMa -ZltfchF8ZFeUrEIqiw9pz0krvESg0CfZTzuAL/O9pwsuE+jEmFduOWngC7KJ -W59cIdCOg61zL9+F/Z+4SfvhVQIZPv/VZpUO13NwUHTfj0Cqk+Uj52bAvNcM -/nL3GvjI8Vkb/wIPuM4qSbkOPvJ2majiHov1f2clJtwAH5m57dntTBbHcHqX -bvmDj5w54u56H3z3dMahqADwkQ++Wv9lwT5sUN0UFkigQ/OjO8Zns/jlvMtL -g24SaP3VB1GdwHRm93T/IALptL/alPsA/NPXeIxfMIHGGLYRfg/BXz6HSFwK -IdD34N+FNo9gv/AtYp9Q8BFO/oR2DuyLlultp8PAR1bP1pF8zGKHDvs6r3Dw -kVvoaxVw/+zwN+4R4CO/tsUkPGFxR2N5iWsk+MhGpy3Hc+H86nzDTlHgI2kX -qDV58Hnm4/IPRhNIfURMsWI+nIcD83MdYgg00uahZw+w4X2T3N2xBPr68PW8 -ggK4vxY78m1vEegF0955/SmLKS+HZztug484DMXuwjA/rY+UbosDH3k2Ztv8 -ZzAfpju/3RQPPqKowYwohPknfbjePAF85Ojykhpgo1l7200TwUdeWXonF8H5 -LLT8tjoJfGSas+6JYpjnw6uljJMJNOh9sXvtc7hftK4CSiHQx5qY28olMB9V -J80yuEOgfK1HlhzwgP2w4eJUAsVcfDPqWSmcP9nGLXpp4COf20sDysDXDJ44 -6dwFH9H/c3J3OYunzgu8OjcdfCRw7IIFL1j8V2H/nVkZ4CM9Gr0yL2EfTVj6 -Yto98BHjFfH1wMv2kz1TMsFHorZbpb6C95OFH5jJ9wn05oezvPdr2CdZCXqK -WQS6t/5SudkbeB8gj1iPyyZQQHLsadW34H/OCy7IPyCQq0TOwj7gSPmh+/RD -8JHtb9midyzuUcHNokfgI1kdCUEVLP5SdnaUTA74CDm8Y18lizcYrFwu9Rh8 -ZLfC2MVV8P6WJu32F7gpf85L0Xu4XquKU38/AR8Zt/JsA/BBg3OtP3MJFHfE -anF6NVz/fKTcn0eg82Uu/KkaeD8e/LuNzyfQvimXkzbUsvicSkFwTwGB1py4 -ZaNWx2JXW6+ar0/BR6pyFL4DL+7Rn9COwUfmvHtVUs/i9r5Bq8/PwEd8vpwL -/cDim/GPb30qBB/5NKzv+BH2r86Jzvoi8JEF4/qWNMD8Kl8yv6YYfOT63BTq -E8yPqOGTlc8J5P51pW0TcFlD4as3JQTajnaMz2xk8eaci0ovSwm0NPzom7NN -cP1tzQ+XlhFo8rfL5zc1w76VViwsKgcfMb29dPpnuJ417ePxC/CR+MfffgLX -jsg+kvcSfOTPuzvlLTDPi3zKc16Bj2z5uiuilcUJhtumPXgNPpLxd8KhNnhf -StE8l/kGfER2/DvDdpjP82Vb774l0LpdmhdGdcDzRrWvvPOOQFpPjA1bgA0c -nicnVhBo9Bjr71lf4Pe6ptBxlQT6dsA17fxXFt+283eLqQIfKb5iv7UT9rO9 -d3PEe/AR5TjFWV0sZpIPmYZWg4+4Pan4BVxpa/fkZg34yNuKi6+6/7cvrGb7 -14KPzOw0iu6BeddnGelXBz5y5t+PI73w/My1HnW5nkBTP4xPRyyL82P2+Pp+ -IJD0fK09YzgWD504Onz2I4E6rvyn1A48wPm6n2ogUFmbddVDnsVPtGL7PT+B -jxgcu3xRzGKLA09d3BvBR4Kvou198L5c1dbn2gQ+wsUNaHyDeRE+6phzM/jI -6tyMP8Ar+OWDhz6Dj9yq3Pu2H+btSK9Tji3gI786lW99h+eNzpPZ10qgnxYS -1S4/WLx1sVSAfRuB6lMnXF35E/ZxzobJtu0EypXWXjFuAHw2PyltRweBoqxX -/foC3O4+wsDyC/jIQ5vMx4Pwfqh04O3mr+AjjJvDlV/wvvChzt6iE3zEwU/F -+jfMjzazX2Zd4CPP4ms0h2D+OL4OWNsNPqKY5/cP+HL0prmre8BHXKpWVv4B -H73fVr6yl0CvXnb9jhtm8ec6bwfEEihdXTLr2F/YV8tV5Qw5At3wnui46h88 -v6qvUvV5ArnUaE+ZKMFh6YQz5gvF4CNaq+u6gA0k0c/5feAjF3dez5PkcPp+ -Uaz2N/CRz27/XZPisCbVaDK3H3xk8bU/O6U5HDY57+es7+AjAQnZOiM4XP82 -PnH6D/CR7rwDUiM5/MkidKvaTwLdWvl+ajVwTH2wSHWAQOeiuusTZTg8JTj2 -qdIggfb8kPR3l+Ww85MHbhN/EWjVesXVJnIcvuVeozXuN/hIss7fSSIOV0hK -dcsPgY9IrHnYCzzzypJk5g/4iKXtoacEh6tXnN5HDoOP3D+u7k9yeMzOiply -f8FHiOsf7SgOqzNaPSP+gY/sTgzQpTm82TcsU1KCRG75+WtGMhwe/DHK4y/w -tnHV/2qBjwQGLR+SJJH+kZ5HKaM4PPnaDHpQikRKZVJHPEdz2H1i6cfv0iQa -Vp00fZ08h3/sc03tG0Gizx7zPk0ew2HFNC1vbiSJiirX3OSBXSYMmvfIkChB -Y9fawrEcDmx6N71TlkQXfNwlbypweJLuo+F2ORLt/3T98Z5xHM5anVbXIiLR -2gVJTgvHc5gwv5fdRJBo7vWCGXITONzkVRTQQJKI+Vrd+AG4T6LDpZ4ikXhZ -b1DaRA7Ly0/YXEOTqCpMet1JRQ4rNFsurmJI9LBvkrT5JA5/jE5VeTeKRKFr -5+dOUeLwujOU7OvRJDoRb+LyDdj6yam+cnkS7fiza9ZzZQ7Leks2lYwhkeEW -j+bgyRy2GAp6XTSWRKoZN0IcVDi89oB+AVYgkaRsspm+Kofl/nD38seRqM32 -6QhyCocz2h4mPBlPopLHNXmfgB02X498NIFEyfLs0YypHN571iMoeyKJrhwY -oXFGjcOd0cduZCqS6FCxUouFOocXNJ7zS59EovXKumHq0+A8HY2/mqpEIh23 -teY/gL0Dav2SlUk05q2dTNl0DuseVvZPmEyi7zNOFITN4LC2vlvwbRUS1Z72 -P3ZgJoe/zmqJilEl0eP65DkGs+B6OO1KipxCooh5uJWezeGA5f33w6aSyPtK -bXgz8K3KcBysRiLbNnbDfQ0Ox2/a/C5QnUTLDUbK+czh8LIhtZYb00ikHqyM -N8/l8LQ/I3/4TSfRSE73+AxNDpNXhokrM0j0dZWp5iDwo3qR+sWZJHoRa9/+ -Qgvun9Icw/OzSJQ2eCIyUhv+/kW77Wdnk+iaRcDGwzpw3szT3U9pkMgpNUW0 -bB6HLyczYV5zSGQh/ezZ6Pkczi/2zfWYSyI96zr3VmCyfkyzmyaJxj/ktB7o -cthr4qORrlokGqRlvvjqcVjpiZOWszaJPu6bHL1tAYdluGXbD+uQKB/rbZ69 -EO5/z4wLB+aRKGbiOnIIeG3HtIcO80l0xmV30etF8O9HL/myR5dE9i89T8Qs -huczdp+ivR6JjNUDdZz14feUp663XUCiGd53vi5fwuE8LHPBeiGJRDXPYsYu -5fDVx554+yIS9WjWb+kALv8qM7R1MYneXOCpHAMOt55NW7xZn0T3mmWeXzLk -8Ka8/R4WS0gUsFjFy8qIw9HlRrnrl5LINWDB/LnLOGzepPnX1IBEW7rXdQ0D -S8xbaGxiSKJFK/fceoc4vOHHNr9VRiRSjPLadns5h7ttb9auXEaioe+BjOsK -DvskdqotRyRqMkstMV4J8+z3Nhej5SR6llToPd6Yw9lBLYVLV5Ao7l+9bidw -R7Kvgv5KEp23FHc/+Q+uj6Ox40JjEu27Lxt3dRX8Hlrlme5/JFpDqG63Wc1h -s5djFOetIpHG7oWjtdfAvHqvdkxrNYmofLMyCRMO77JdXzlnDYk4hb2nqoD/ -3g7WmW1CoorD3gsS1nK4pGIwYMZaEmWX3ux1M+VwzRSPH+qmJApWTYtfvY7D -O8rG7pi6jkTuHkVWimZwfX+9KlIxI9H2yg/yPcDxvbfmKq8n0VKNvvL89Rxm -awLDFM1JNNlH7sx1c7g/3bdlJmwg0b8G1UW7NnD4wK63xxUsSNSit4ibZwHf -79DELvmNJCq+tj5ReiOHRxmfsRm1iUSJX/Za1wCLlWWqqc0kurTs5NjkTRy+ -Mjd1HbGFRAfCgl56bIbPSzlUJruVROv60s6u3cLh2aVmxiO3kUhrbfFi5a0c -PphnVixlSaLR8R95Frih4JCxxHYSfRvqS8LbODxfOq1sGLh6s2hngCWHdQrl -zIasSPQofcq43dvh+dS8UD24g0RhMotf61lx2PKU+s6f1iTytDX3kdnB4UVd -n7v6bUhk83jfknrgGQlP3ft2kmiZ/Km+O9YwfzvyZXlbEk09EJziZQO/r7Uh -vHcXiaSL79qa7eQwU6mk1W1Hog6l5+NVbTms0en9/Ks9icqONbwRA5+0+Wfd -sZtEd958O1+0C76fQ/xA6x4SXZ1BGATZwfxf6hj0eS+JDp+e2r/XnsOnRlvo -Nu0jkXn94tRFuzkcrryjusGBRPPnbbAT7YF5HXLR/cN+EilccZjYALw6u1a5 -zpFEP1tPvbu7l8MhqWueVx8gUf3SkAun9nHYKavxcNVBEuUGpRtucIB5Lhmi -WHGIRFHs8+9T98M+f+NS9uYwiU6t+pTWD6y23sX91RES7Yrtty9xhPn1JGj2 -CycSrRgkJoUe4HDKf58aS51JNM1CrXL/QZjnGmuCnruQSDZV/9KSQxweH12/ -rugoibqkLJZRh2Gf1VyTfeZKolc79v9sBF5F7H9ecIxE6Q9Op987wmHRUQef -PDcS3aBD95x14nDuSj/jJ8dJ5LIvQ2mTM4eNH9XK5riTaBMuqZrmwuEIidVv -HniQaMHExss/gfO2NwZlnSDRBJfvqPwo7MfB8J2ZniT69YIcDHeFealwUiPD -i0Sf1NTvHTzGYY/2C4Np3iR66rVkn6Ebh2/E5pTfOUmiW9UWk0cdh99zclRk -8ikSndN0rP4MrPbgulPiaRLtuXDmapY7nA/vBaviz5BoVXPoivMeHN5DjVC9 -fZZEsxbf+7XlBPhS1O9fMedIRASUZs70hPu1W7EuyodEvV2NDr+AbcN2PYo4 -T6K3K36ovPKC++taERrmS6LMSKo2yhvmxVxHr5ALJLr5Xf3akZMcHvFbwy7o -IviI2VJjdAr+nsJ4k8BL4CNJG4fkT3N4dLaGrv9l8JF/jlltwLm0o+r1K+Aj -lmcdH57hsKp1Je13FXwkM2zKxbMcHlm7++9lPxI1izLrLM9x2Ch7St/FayQq -tC+7ruHD4eQ5cl98r5MoPq/pvz/AM90nNfrcIJGvws8/b87Dvn6zrfasP/jI -YfpBrC+H9R2eVZ4OAB8pnXbQ5QLMe6/N704Ggo+oGqitvAjzc6XCO6+b4CMe -mz4oXAKf+/mv4kQQ+EjFAf8vwE9fT6hxDwYfmX1u9ePLML//7mhwCyHRg3Ph -fy9fgfP6/HWbayiJQhoyH+64Cv6y9QDnEkYiD73yQ5p+cD579Yacwklkda1Z -/R/wfDyXOBIBPvLl58eKaxzeKLtR6VAk+MgyJjDuOofHDidoHYgCHwmbbnLs -Bsyn+unG+6PBR8QGEqv8Oez6vnrHvhjwEZPNORMCwA+mZ7ntiQUfiTt4pAu4 -UOZpgP0tEl0eOjc9L5DDVZmD93bdJtHBzRGf/G5yeIK1fcXOOBKZpd+/uTMI -5vvyoW/W8STSlnmxVicYrnd48YQdCeAjtp8lpULg90flGW1PBB/JGXj8Hjjj -fJvDtiTwkdGjnBNDOfzz8tKbW5LBRxxnzHQP4/C1juJnm1LAR4oMm9aEc/ho -hbfY4g74iNKW4EkRHL7vs09tQyqJdh47tK4X2Hbx2a3r00iE3vhIP43kcOzM -N37r7pJIbUZk7o0oDkdeWVuyNp1EI05nudhFc9gv4te/NRngI3UvZunGwH7z -/2iw+h74iE5L84hYDu9MYr3+ywQfuTwYUgvcoaBbsPI++EjrqPUptzicNi5N -YkUW+MjSmSM9b8P5a92yCmWDjwQZ5ZvGwfnM1r1u9IBEuuwW18nxHJZ6blxv -8JBE41Yd1uCBfdddnrb0EYkGYs63PEvgcPMFSVf9HBJ9GIgMC0wEP7qbXrzo -MfjIhmzzPUnw/A9cG7/wCfjInZcyC5M5nHo77qBeLviIVGuBbAqHTdt7iubn -gY/s+HXsA7DzL0flefngIw9Gz027A34/abKHdgH4CD2rzTuVw6/PjazVfEoi -uX3LItancfjzAfWFczGJup9utZhyl8N6tHuYxjMSvZ5wRO4bcFW8xPCsQhJl -OPvi4nQ4//uf7Z5ZBD7yIup4cAb4cMij19OLwUfUHmg63OPwmX1ti6Y9Bx/x -etW+OBPm9djViWol4CPVrZHEfQ7X1bUoTC0FH9H8vfET8A02+4JqGfiIrzyR -kQX76EbB78nlJGpsmlV4Oht8/+dfZ+UXJMKLkIfFAw6b2Hp0TXpJotv+27TV -H3L4g5TGHsVXJPLpOvLlO/B4ZYWWCa/BR1ZciC59BPOxY+Gu8W/ARyKjN4fl -gF+HB7YovAUf+f6APPCYw2WuM/eOfQc+Yva6aOkTDrek/+iWrwAfSWw7QefC -9Ts3fHR0JfjI3986zcB1msuGmSoSZW0b05mZx+EtXbmX6fckCsqcHXsun8Nb -xc4TqWoSHRct37q5AHzopN0dooZElvaW9IynHE567WcgqgUfyXN6PgDcP6G/ -UrYOfEThotcLzOGiiCBHmXrwkUMx8yOfwT71d5Ee+QF8pORh16FCDu/WvRIr -/RF8ROXNLaMiDvvjJkOpBvAR9/Zto4s5PODk1CTxiUQXK4aYVuCW0wZn/wE7 -zh5bmv0c/r7Gqhl/G0lkek7jpG8JzMM0vzd/mkik2bBcb1sp+KbRaPehZvAR -ve09s8pgP6hUqv3+DD7i5xz3GzjiwruKwRbwkY6L21+Xw/nLJs8OtIKPGMWO -jnnB4ffNvro/28BHQh+VOb0En1xt1Pm9HXxE/ObU8lfgFzMXxPZ3kMjapGPB -2NfgJ08OWH77QiKjuD+97cDKS5rH9n0l0ZShsQmP3nA4qCOwku8kkdTmOTsu -vYV5O+AbwHWBj9xdMcbqHYdr0x5vYrvBR0ZavZhTAe8HRnMm9vaAj+x0OTMM -HNfT2NTdCz6Sc2nRu0qYn3xFchcLPjL6FnerisPbfEe4dnLgI445iUffc3hp -twf6ypNoXtFba+Nq2G9WWqO/iEk0VunL2PE1cD3kp7e295Hoh+vwy6/A2aY2 -j9q+kajutcK5J7Ucnrqqzq+1H3xk+lz9q3XwvBjc3NvyHXzk1EqxdT2H5x0K -QJ9/gI/UWSVrfYB5O7pqcvNP8BGdozslPoIvOGwZbhwAH7l8eVwVcHSaUvOn -QfCR1luv4xs4PEVpenHDLxLJLH3s4/YJ9vE3lzsff5Oo8+a7JasbOXz3sFTg -hyESvez90jexCd4HX9Z61/8h0d3//qZ0A8cb8451wyS6HjNuV34z3B/Fddtr -/4KPDMydcP0zvE9dYNfW/AMf2WD81rYF/KT8vVG1BIUW3NnhO68VfEvpn957 -SQpNkHI1kG6D/VXgpFklRaFfVlf6q4G/d0+fVSlNoYbs26lJ7TBvW6ZOrxhB -oQLqiZ1HB4f/a9o97d1ICsXurZi49guHbVR6p7+VodDZp1/fKX2FedqcO/uN -LIV2T/h3gQVutqnQfi1HoVXO441wJ4cl6+cufiWi0KwXmj/8uzj87UrFipcE -hQi1/+7ad3NY80Ge+QuSQr2e1rv1emB/B3A7yykKvX3vOkmmF/zO1tG5jKZQ -5tyrlXXASjZzz5cyFAr0jbt0h4XrWbYoomQUhY41PVnmxXH4ecPVrOejKbR1 -UeXPdTyHj9fPelMsT6HF/p3pKmIOqw8x3UVjKKTU9W+PGDjlrJGoaCyFhpdP -UC7q4zB3J0ejUIFCzRFa729+43DPfc/1z8ZRqLD/vyt7+2Ff1V08hsdTKH6d -zfJF32H+bW+OejqBQr6JxwblfnDY7djZsoKJFHL4e/XeR+APDke+5ytSyGRb -/L67P+H92CVJPX8SheZk5k4+NQDz7t3sLXlKFKJFVdXmg7A/nw1eylWmkNiu -6+rUX7D/z43FTyZTqCpXYmU/8K9NngOPVSj0YOzE389/w+e5as5/rEqhkEPa -90OGOOyoqumUM4VCHiWr9u//A+9bIScyHk2lkJXKTtUlw7Afx48VP1SjkIG7 -Wy35l8N3mn/pPlSnkEqF37VG4O3aWp4PplFIYnaC8b1/sL+NM4uyp1Oo9Wze -0BkJHnvuPENnz6BQyceqrI2SPL6aF2OVNZNCybrdjtOkeFwWQaben0Wh/+/h -eDx5adlQ5mxK6OF4fKmh0jxTgxJ6OB6PyZuTdG8OJfRwPK6YWPM3Yy4l9HA8 -btN9uz1DkxJ6OB57mU7ISdeihB6Ox+9jMsena1NCD8dj3cMRHnd1KKGH47H4 -R/WntHmU0MPx+KDHrpVp8ymhh+Oxgp7h3VRdSujheLxn/8EJqXqU0MPxuGNz -z/k7Cyihh+PxHP2C7ykLKaGH43H95pZ9KYsooYfjcfjXbQ3Jiymhh4N/P2/q -xmR9SujheLzVxfBV0hJK6OF4zH+9uyppKSX0cDyuq3Z6nmhACT0cj/+6XzBO -NKSEHo7Hu+T7ShOMKKGH4/HIlnumCcsooYfjsfTM4qp4RAk9HI9Pqs+xjl9O -CT0cj+PGd36NW0EJPRyPM9Fvt7iVlNDDwfdpsZOJM6aEHo7Hj5eoht/+jxJ6 -OB4fvjpf6/YqSujheHxaIark1mpK6OHgv4+3tr21hhJ6OB4XVh4dijWhhB4O -rvfFz+Gxaymhh+Mx6Z64JNaUEno4Hlu2FjbGrKOEHo7HeaKFPjFmlNDD8VhS -S2pOzHpK6OHg9/rPqIk2p4Qejsd3nOPPRm+ghB6Ox19Gn9CJtqCEHo7HBfeT -W6I2UkIPx2PDIO3gqE2U0MPx+Myv0aZRmymhh+Nxlto66agtlNDD8VjWoqUg -cisl9HA8Limp8IzcRgk9HI8NiiYtibSkhB6Ox68u4qGI7ZTQw/G4aRvGEVaU -0MPxmHOddCFiByX0cDw+N7lyfYQ1JfRwPNYKaVOMsKGEHo7Hgaobv4bvpIQe -jse2Q8o54baU0MPxeMNB48vhuyihh+Oxzv1ym3A7SujheFzNpOqF21NCD8dj -9dJOOnw3JfRwPGYUfbvC9lBCD8fjYJPTZWF7KaGH43FpeF1y2D5K6OHg/phe -vxLmQAk9HI+jbsc7he2nhB6Ox7GNE7aFOVJCD8dj0YoeFHaAEno4HmvIq2iG -HaSEHg7O97VMpbBDlNDD8VjxVxwVdpgSejgevwv58S/0CCX0cDyWu5PyI9SJ -Eno4HlsfzWdDnSmhh+PxoQXzOkNdKKGH43GXnmxH6FFK6OF4fDPbqD3UlRJ6 -OB4v+F7XEXqMEno4Hqfqv+8KdaOEHo7HH0t1xKHHKaGH43Fuk3gw1J0Sejge -mz0fOyLMgxJ6OB4n5YbLh52ghB6Ox60jfKaGeVJCD8fjeV/e6YZ5UUIPB+fl -9pk1Yd6U0MPx+LdnsG3YSUro4Xg87iFzIuwUJfRwPD57szco7DQl9HDwPG/X -zg47Qwk9HI/VTOveh52lhB6Ox/vS2n+GnaOEHg6u79NtyuE+lNDD8bi9VMc4 -/Dwl9HBwHkXOR8J9KaGHg3nwYlxk+AVK6OF4bGWq9jL8IiX0cDxeVxU8FH6J -Eno4mA9xztoRlymhh+Nx37+svRFXKKGH4/E9LZuYiKuU0MPB+Tzq9CHCjxJ6 -ONgvo7vHR16jhB4Ozs+6N1sjr1NCD8fjEEfF8MgblNDD8fh8+tvGSH9K6OF4 -vNCanRYVQAk9HI+Vc92ORAVSQg/H40fk/tyom5TQw/H4evBzueggSujheLwi -6ur26GBK6OFg/+0puBsdQgk9HI/Z+VZSMaGU0MPxePc6e6uYMEro4eD3/ah5 -EBNOCT0cjx+6PpSPjaCEHo7He0lJl9hISujheIwln1TFRlFCD8fjysjGhbei -KaGHg+uv4hR9K4YSejgex7w7LHM7lhJ6OJhv/R9cbt+ihB6OxzYV2c23b1NC -DwfXN3XIPC6OEno4Hl8sv18UF08JPRw8H0dqF8UnUEIPB/ujzfFefCIl9HA8 -rjp4aHZCEiX0cDy+bNaUmJBMCT0cj9e/xdMSUyihh+Nxs658UuIdSujhYF89 -r5qdlEoJPRzMi+cjMpPSKKGHg/vtlbw4+S4l9HA8fqGVV5ycTgk9HDzf05BF -SgYl9HCwL+IWtqTco4QejsctXIzrnUxK6OF4PHvDCbnU+5TQw/HYiSqNTc2i -hB4Ovs8BX/20bEro4Xi85l5OTdoDSujh4PlVszl29yEl9HA8jiCOj0t/RAk9 -HNzfdKkn6TmU0MPB/tgobZvxmBJ6OB4/WOYhe+8JJfRwPB6Rb5d1L5cSejg4 -n8wz28w8SujheKx68uao+/mU0MPxONH8U+H9Akro4Xj85HXE8aynlNDDwbxc -+k4zG1NCDwfzqvXE1+xnlNDDwXkRRcY/KKSEHg7+/wPz7R8WUUIPx+Pe/uXq -j4opoYeDef1f+ZdHzymhh+Oxtl7p3ZwSSujhYP/JGrg9LqWEHo7HCSM10JMy -Sujh4Plx96dzyymhh4Pvl+DUmPuCEno4Hhe/L7uX95ISejgeHzELP5//ihJ6 -OB7P2t6+o+A1JfRwPC43urPg6RtK6OF4rLeqUx6/pYQeDj4/57YYv6OEHo7H -Fh9rKp9VUEIPx+NJA+cfFlZSQg8H53HLvciiKkro4eA8G205X/yeEno4+Pzf -x52eV1NCD8fjbRUKNiU1lNDD8ThSpG1WWksJPRyPnbmSZWV1lNDDgd+VfdAr -r6eEHo7HDZ8OzH3xgRJ6OHhe3U/MePmREno42BefR6q/aqCEHg7ut+1otdef -KKGH4/Exo3D1N42U0MPBPi65NfNtEyX0cDz+aThT610zJfRwPA4Qz11U8ZkS -ejgeu87IWlHZQgk9HMy7WTkbqlopoYeDeYkM7N63UUIPB9crZ/mx6nZK6OFg -HtWUXa7poIQeDvZvx9vbtV8ooYfjcbbOtvy6r5TQw4Gv/9v1ob6TEno4HndH -9Q5+6KKEHg7ul8XvSQ3dlNDDwfzcd2XZpx5K6OF4bDozel9jLyX0cDxe/mm+ -fxNLCT0cj5PfmcJrMCX0cDx2MO3s/sxTQg/HY49wOeVWMSX0cDAPpNPN2/oo -oYcDX6mu8W3/Rgk9HPjVVp+nHf2U0MPx2KXq4a8v3ymhh+Px65uHF3X+oIQe -jseh7anuXT8poYfj8dJRR3K7Byihh4PP25nzr2eQEno42N9KF1ezvyihh4Pn -/WZjAPebEno4Hl9h8pr4IUro4WB/16tq9f2hhB4O5pUBdebbMCX0cDy+df5M -df9fSujheDz3j/ecH/8ooYfjMc1J+v6UoIUeDq5n4qiWAUla6OHAnw8nLfsl -RQs9HI+3+5fe+i1NCz0cj/2snEf8GUELPRy8/4xPODA8khZ6ODgPo+3f/5Wh -hR6OxxduphpJyNFCDwfzrvvkXUkRLfRw8D5j90lZmqCFHg5+r3HpjREkLfRw -sE+blo6UoWihh4N96GV4UpamhR6OxxMdXg3IMbTQw8H9YTuOEaNooYfjsZ3V -te/kaFro4eD7/io8TsvTQg8H12Pi+SFmDC30cDwekqg+N3osLfRwMA8ksqgx -CrTQw8F/360SPnYcLfRwPL59XHHWuPG00MPBfPCNfzJ+Ai30cLBfPuWbTZxI -Cz0cj6XK97YrKtJCDwfzIDjmpNIkWujhYJ/5OyhOVqKFHg7eh2QLc1SUaaGH -4/Ef4zTLKZNpoYcDvwqd8WeqCi30cDyeYKobp65KCz0cnLf0yrXTp9BCDwfP -ByH1c8ZUWujh4LxkPo+fpUYLPRyPjXsVN2uo00IPB35BSMnOnUYLPRzMl+0n -8zWn00IPB+/P0/2Oac+ghR4O5muBlva8mbTQw/F4yeG9vfNn0UIPx2MjH527 -erNpoYfj8b91/kcWatBCDwe+O9FXd/EcWujhYP/PHzWkP5cWeji4Ht1aJUs1 -aaGHA//0aw8w1KKFHg7ul4OO3TJtWujhwNdfjtFdrkMLPRzsL0k/2ZXzaKGH -47GJdVST8Xxa6OHg/MwyfrxKlxZ6OPDhBz5Ba/RooYcDn1m/w3XtAlro4eD5 -+e/V5nULaaGHg3349f3i9YtooYeD/XTOTXXDYlro4Xj8dF+m3EZ9Wujh4P2w -5fz3TUtooYfjccqK/tYtS2mhh+Mxqh96v82AFno4Hrv3xZRtN6SFHg58uvFT -wQ4jWujh4P2g++Ejm2W00MPB+4CjRpYtooUejsc7kpdk2i2nhR6Ox5+/f8nc -vYIWejgeD97SfrB3JS30cODTQwq5Dsa00MOBf20MLXL8jxZ6ONj3A4/eHFxF -Cz0cvC8sPtZweDUt9HDwfrX3XY/TGlro4WA+vC3/62JCCz0c7IPiXQrH1tJC -Dwc+EhQ697gpLfRw8DwFHF7tsY4Wejg476K2PZ5mtNDD8Xil2eB57/W00MPB -+U9PTz5lTgs9HI/HnJB+c2YDLfRw4F+Sv7+fs6CFHo7Hz0ICVH030kIPB593 -+eW6i5tooYeD3zs70fvyZlro4XisWT713tUttNDD8bjiIWq/tpUWejiYtyul -lP230UIPx2OqcN/WQEta6OFgn585cjNoOy30cDxurFR8H2JFCz0cXB8Zx3Hh -O2ihh+OxtLO1VaQ1LfRw8Dzu/H472oYWejjYl9Pm9cbuhPnbPWE0JSHGg/Jj -9eNsaaQRGt9zDPinR8SlhF0wj1dqlzUCv0gt+5hkRyNKnBu3SlKM+cEI7Tv2 -MJ+jVp26Bzz8QOFi2m4acWuqtk+UEuMwnQUt6XtgXv+wWXAW+HjBH8PMvTSq -uN01uhvYLv1gdNY+mN/r3Xo3Sovxgo3n/j1woFHWb4nyPODWYbQnZz/M82S/ -+GkjxNhBKuXVE0caBW2aePoa8If7OQvyD8B8l0iw+glsvdM57ulBGh2/q73Q -dqQYz7Gqki88BPPeMk++HPjGQKNP8WEaWY5czerIiHHHpZuDJUdo9PJ+VXk4 -cOyufqdyJ3g+d+5MkJIV42WVw10vnWEfEN2nDwJv18ze98YFntcctx3VwCFl -Y7+8Owr7YbfkIkM5Mb7/TXV/lSuN/o26NiYJ+LhkfW/1MdgX+RM5RiTGpasM -jtW50ahlf8ILd+CgcWZ/PxyH/TFOJ/EzcPsz4uondxoVF+adMSFAPSPdJjV7 -wD45sto6C/jMr2t3W07QKHHS+0VKpBgv1Ny8vN0T9kvZzrHngdGV8vovXjS6 -6NrN9QJL7+k52uUN+0b1+MstlBj/oAtG9Z6kkeNryaSnwLENhve4U7B/PK6d -nUmLccyUIxZ9p2lkOl3Rxh84Tmf9z/4zsI8qExb/Aj5k2xT98yyNNE/pKNgz -Ylwlobrm1znYTxr5/Evggv2jfwz5wPyoW/1Kd5QYz+7JSvh7HvaVz/ukKGD1 -TzLbJC/APNG2PTdytBi/uUFRIy7C/vrUbXME2MbqebHMJRpVXzquXwes5K91 -SnQZ9tkCqXFIXoztfU2XUldo9KjlmjgF+NZ1pSHmKuy364qv5ceI8TaJ2AJ5 -PxqFLUlM9gRW1q49p3AN9t1XHZ824DCnwrUTrtPI82b+znVjxdh3vN24STdg -/y1bs+Qh8AOPh63K/jSy7n0/TkVBjEf2PM1SDYB9GGbbdwHYueikr1ogjYz+ -63nNAw9v+mY1/Sbsx77jKZbj4Pn4rqw7K4hGU2KkzhcCS/8epucEw75ce91W -Y7wYix8H92iG0EhqQHHpTeAvF9pf6YTC/oxPHP8H2PxJd4ZuGMw383nf9kwQ -47TElKCF4bBP/+S/eQN89bbKSf0ImHcpa+4snCjGN6XM9htEwn7dUn0+FviU -it7WZVE0uiO5a5ecohj2efWqFdGwbzN6lroAL2vSXPJfDI2uWrlP+Ajco7lc -Z00s7F8Z6f4Vk8R4qj8z2/QWjQ5nX3+bBvzXKmTa+tuwj20npSooiXFme72a -RRyNzKkk35PA3j616pvjYT8/nmf3BfjxtRsztyXQaN7eAgNzZTF+u32EllUi -7Gt5k4mPgY2MFiyySaLR2KfV/VMmi3FEgNrKXcmwvw/sencZ+Erqa4vdKTT6 -Mb439RtwabPu7n13YJ8Xu1/YoSLGqpcs3R1TwR+dpO2fA7v/XnL9UBrsd+Ub -hpqqYvz8WkOy012Yv+WTFEOAx4QveX40Hfa9W9L3v8CnXC3b3DJgHk+dX+Ew -RYwNjy0YeeIe7P+3BWkVwEHfKmd7Z9LolKfJRf2pYvz5Py2L0/fBB2bU2McB -+5es9TqXRaNd73cZkWpiPPPFzBTfbPCD072Kx4CNUkrqLj2g0Yq5Hj8+AZ/N -nQYeDL5QL135nzrMh23/LbvxiEbTfG/czQC+XTfTPTAH/GGe0qUJ0+D7BL6+ -H/yYRjJNSbvPAJ9p1uXDnoBPXJm/rAv41ISd2lG5NOpc+HTSxunwvASaHo3N -A79oM/mZC7wt5U9OXD7Msxs1leoz4Hm87yKRVADzzMAu3Q+4TybN9M5TmGed -vZd+AB+RuBN+F8M8C/bYs3OmGOOfB7vvPYP9sHwEKgO+uOK7YXYh+Ah3Q0ln -lhh7GawIelREI5cIpYEw4P2GW9knxeAnq5KrJGeL8dwYHZOC5zTa1D8/4wBw -Xk5l8rMS8JXYp5ffA09p0xc9L6XRgnVr9xpowP074Xi4rAz8ZbAGJQL3v99V -/bKcRhMS7ZSZOWL8yXSK0dsX4DMW7MBx4BtLUlIrX9Lo17DH+2Zg71+DijWv -wG9SR9xbM1eMZ7WO8at/TaOGrf5X7gPPW/9T4tMb8B1p5X2TNMXYODTeo/kt -jQruJS/3AZ41Qam/9R34j7Xu5F7ghzNtnL9U0ChWDg9u1hLjBrUD4q5KmGcP -11YXAMfar3Blq2Ce2dXem6EtxhOXfxkUv4d5RttfvQF8fOLWs9+rabQnl903 -CDy0IpAarAFf2ndihZ2OGEsoRUYM1dJo1diRKi+B+387z/lXB/6E/X/Nnwf3 -z1ABS32g0axDyjWRwM8NfLfIfASfmpiSOWK+GH/d9JwTNdCIKNH1Owzs01h5 -hf4EfuWCHWqBXfQyZss30qh3sunKZbpiHJ29/ZVCE/jWy1qVFGDyUbXTxGYa -vT1u/3u0nhi/D1GdoPwZ/EudqzkBrH5/SaFqC40y35243wpsuGvGEfVW8DHv -kddMF8D9/9c6eWYbjQJnBex/AGza7Vyh0Q5+VqNsPHkhXG/vCl+tDhodO5ui -egG4dLSU0fwvMM809YY44CfSMr8WfIV59hHXblsE+y3z0yP9TphnF0yzngG3 -ul5wN+yikb5u3bXZi4FTpJcu7wafa7Z3DASuTdss+V8PjZT8OOMh4Pr6Ey/X -9ILfLfacskdfjCf5uYasY2k03D7yz2vgtqkr9m7gwPcCAuoWLIHn92fHws08 -jZoNJ2fHAAfvsyUtxeB/3SnXZZeK8Ym3Ga07+mhUGKJ3wBn405XqfNtv4IMr -n/33AfjoYEX47n4axfOmU1cYiLHMsYQTDt/BD6Pq/qQCn7Aytz74g0a+a3bX -jzUU4yK5quVOP8EXv3PZ3sC9DXM1XAdo5HDb80YHsPK8XePcB8EfzWQOrjeC -+b73kLTXLxqZ/A5YlQN8sdbi+6nfMM+SJqtNWQbnq2V057khmGeb7gxfAq5u -u9N04Q/Ms396H/qA5y+dXH9lGObZ3WcPrJAYL/nvYPX1v+Cbluv8i4Hv2Aa9 -D/xHI/GI+oNzl4vxls6wmhAJBtnd3706GHiW4YmPEZIMqrLh1f4CT89f0Boj -xaCVhNfffSvEeHfx2944aQY9eCTz8R2w/H3j30kjGDR9d+DDxSvF+FhbKJE2 -kkEho1QCbgN3PS6ffE+GQbL5dw4RxjBPb9TpZssyyGP/gjWuwK2lz9flyDGo -S6FQ/ROwf77//jwRg6wK1/0z/g+et3dLL2KCQa8O139MB27YWZpSTDLIYNKe -R+NXgV+80HlTRjEovZQPOA187rDX91c0g1RcvQ53Ag+kJqlUMAy6oSprYrFa -jO99zjKtHsUgideB03KBuw/f8qofzSAXDxUJ9TWwz+87ZXySZ1DrtNSGq8Cb -qKntn8cwaHPlgpzvwM6fHih3jGVQycnCQBsT8N+zcyy7FBi0UMPsSCnwGWvf -EHYcg5Jr602018L9flVU1zeeQRN99kwPA5bXaVf6OYFBl7XFEpKmsP87u+x/ -T2TQ7wavT47AHlur7/5VZNDBS7KPq4B76+N/SSkx6JPezZtL14nxyQpLE1ll -Bpm1qDglAJ+K7o8kJzPo6bXUtbSZGFvdOtY3SoVB2ksWzjgOHKD/yURBlUG3 -vhRKNgMXvNBInDiFQfI3zRpXrxdjv/u7pCdPZdC5ZR8eZwKXWZzaM1WNQf09 -e4IUzcV4HXm+fLo6g/aEiZ3OAY9ZdlRbYxqDaoy9TXuAp683CdeazqBVfbIz -N28AXwkXyejOYFBO9E2pAuCWc9lui2YyaPZa1abpFvB8HlzduXQWgyJ+pj65 -DlyUXWKDZjOIjF8YPABs81Sr1liDQd7mRc67NoKf9Z6zMJnDIHbIbN0LYDa2 -6J3ZXAbtTPkwc/4mMd61hNuwUZNB7zbvlY4EfjpZpmarFoOQZF+T9Gbwr7uE -9Q5tBt1P9849BIxW/+mw1WGQmpVcSA1wtEXD0T3zGHRTJsjFaAv8fc0UKcf5 -DBqRrWqWDPzBYHfwYV0GudmmzRq9Fc5XKzXnqB6DvpCLRpwA/nwmsfj4AgZt -e1zU3AIc7Klp67WQQeV71uet3Qb3Y37i8OlFDNKX/xiSDSxJ0rHnFzMotWDv -UWVLmCcOe1de1meQ0oE+M1/ge6kZ3deWMMhv/MnZHHDloq6gwKUM+lskN3Lb -djEmjo5bEWrAICenoM8YODFf91ukIYM+K03Jn2UlxlJexom3jBhkUZ4WGgAc -M3rNjsRlDCo6tsj1NzDRYqSQihikO7V4/e4dYvzNfHZFxnIGJbxZr/EaeFKh -7I3sFQwa5/lx5AJruB5hHzc8XsmgCzP2tUQDZ+rHjSswZtBAVV++jA34mMi2 -sfA/Bu0/fTLMCbjygHxK6SoGfZgjOlYPLF38xO3VagatrQ8yX75TjOnjlqsq -1jAo7/yUOanA1p2sYo0Jg+bOuysz1laMPU95ij+sZVB046JWL2CZ6L8vmkwZ -xFwpLmgHzk3xTGpbx6DTC83DzXaBf8jwvp1mDBK3fjz2CHhokvV+dj3Msxv7 -NqjagV+YFpl9M2fQ+6Xf5lwCHvwzdeHABgYZd56U7QN+EOQ19Y8Fgx4Gidq2 -24vxL/d3oyQ3MWjG8uCnRcBalIqkzGYGhbJTIubshnn7yOEHsYVBchF33YJ2 -/2//3u0dtZVBJ1YtthgGFnewXxS2Maj7W/HcfXvgfW7BnHZFSwbtiDWXewe8 -Sndvu8p2Br02bWhbtBeeJ+Oor+pWDDIc3IdvAdu+rWBn7WBQRsK3CNE+4MXS -A5rWDFK1OHX8KLBVp660rg2D/IdFGxuAs413j1m8k0GSqcGaxg7gB9kB0wxt -GXR061RROrBfFNZfsYtBbVLp7eP2w/vFXtZitR3Ms3uLn50CHjqmdHidPcyz -Hc8jvwI7z1971WI3zDO5De4bHMU4dYTn3a17GJTyoGHjE2C8Ma1ix14GKdo5 -aIEa4U8+TQO79jHoCt0vugps+m+s2j4HBg09OdXRD3xo7jrzg/sZdGgfUWh9 -UIzzj1487ezIoMYxIVElwBX6pVluBxi0Hk/10DoE/tMl1+V5kEH4YPqmUOBJ -XeZqZw4xSGeivrbEYfi+tyJ3+h5m0O3nzwlH4EGP3ugrRxg0xmXDl0rgmR9W -fL7hxCCfyZ8KlxyB95HZsdODnRn0/YVDdDzwQJXkkQgXBu093u9BOcH9MDz4 -JPYog2rVTm92A55e2SCb6Mqg1e8InSZgzYFN21OPMeixVwi52lmMR0+sTr/n -BvNsltrXe8BRUdYjHx6HeVadXjTRRYwfsaxtrjvMs7P6MWeB7Y9dKsAeMM80 -S050A+eGz1UpOcEg7sOGLZuOivH30o9nX3oyyPbCJ518YLu9NzvfeTGoYv5+ -arqrGB9s2rqxxptBy5v7v14DDoucjj+eZFDW1dPFP4Evykhofz7FIPXFZKzt -MXieL3253XGaQUHtIZ7lwBl+Hyb0nGHQyAC1rfPc4H56ffAXn2XQccOMeRHA -sIGon+cY9LVLn5Y+LsbxxZJ+Qz4Msgwp6TwIPKJPg5H0ZdCLFRbPq4EPZdnf -lLnAoCX8p1hDdzHecPSOEnWRQWmR+72SgJdF/kuSv8Qg5TXft47yAP8K3btg -wmUGXft+er4HsNT7hlLlKzDPbpFMC7BXjv0Otaswz8xCu0xOiLFJ5u9vM/1g -nv1SK8kC9pmVcE3zGsyzpIxbSp5ibHnVdo7udQYVb1zifR54vLHm68U3GKT3 -r2QbC6z+lHY28mdQYpqF7lYv+Ht7JScYBzBovGUjg4E3JMgVmgQy6OIIx+6Z -3mJc8lX9iPlNBg1mfi/xB14QuUllSxCDHG3O3P4F7K8RVmkVzKCPIuqk/UmY -95LfLu4KYZDpo1DLV8Cm1+yW7wtlUL69up7eKXie9b8OHwxjkOaoe6OigQNd -fApcwhkUk7ekZ+RpMV4Zv/CMewSDRu0vLT0C/GSJ5KqTkQw6o7Axrg44PqGN -8YliUN+zxpPojBi/Mmv6eCmaQfaHHbffAVaM77tzPQbmmeIPvTFnxfi6vIp3 -UCzMs9Izo72ATWT2WETcgnl2lOptA/bhimffug3zTDWsbN058IFFRiOT4hgU -9ko9/iFwx/r3bWnxDBJ53Dul4iPGVJxPyf0EBnlOW2p1ETgjxjw1J5FBPRWl -C8TAgVmLAguSGGR9cqP89vNwHk0NThYnM+jN7KbeQuD8OpuDL1IYZFTrWK7h -C9+3JMr6/1q077gcvz+O4/bMfQ17JyUZ4YuE5JJdssksO6tkk+zMrLLLKHuv -iKxPQsguIyuRkd11QhH5vX+P8/nz9fhS932Ncz7n63l3v8U4uuDHzrXo9Pm/ -uj88YDGsG8yf+wc9LmhKp2cHLUboM6uBIxfjfFjT0vbVIYtRYOkmpzvokDcJ -xvvDFmNyE1u92ZJM6tlge5svRyzGm7SjX7ajnSdtbJ911GL0WdnyRrGlmVS5 -yrEuv45ZjITm13ZNRP+M+ND333GL0exdz3lP0a+GtBtROBrrWVjqwLbL8D4/ -jZtS8iTWs9Zjmx1CJ0/zWaqdwnr26Ydedjm+3x7r7eVjsJ5tnP91NjojpVBs -1dMWw69dqcR36LGzrR7VPGMxUjM37e4Wgp9f0PmnQ6zF6LbVdv4ZdL3nSyo2 -PGsx4jofG1RjRSZ1mvantdM5i9HoZ0vn5eiubhvGuJy3GDt2XCudhZ56rM8G -twsWo3S3Xt8GrswkpVHLhE4XLUZwbmriFfSKJh1/dSWL8WPv2D31V2G/rBvY -oE+cxRjV++f8Dej5ix+MHnjJYjzOt2DwP/TYmL67h8ZbjE6HSzUfvRr3o17+ -t76XLUZs/81l7qNX9Xtg73/FYtQpYpfZfA32j0P3/KZctRgRJ47d3IEeGv4z -JjDBYlj5uOwtGYrzQliHgvOvWYzZJa8vmIJ2LnKp55LrWM9O9/J+gd7mO2r3 -yhtYz0a8bN4hDP+9drPctYlYz9RxZY+iH8Y27h1+02K4XfiZWX4t5rdVg45F -3rIY0WMW3JqHfl3+hLL3tsWwLWfZ9wG94HqTSYfvWIz18ZsX9lyHeVB/+zj6 -rsUoMsHO5xzac/Rl4+w9izG98vEWtuuxP7rcOxR332JkXHMptxLdOb9VlWtJ -FqP/lOvmD/Qjh+mrbidbjETr3re9N2C+q60XevDAYrS8/XLfNbT7xBdBTx9a -jEMzxwU33Ijz77QnOWmPLEbVWtk+m9HvDhSZ8f6xxViVtKBlgU2Y9xaM/P0l -xWL8m2MpPw4dG/Bz7vcnFiOgbrhIRqdlxBbLfWoxXj22u+OyGfu197F1+Z9b -jJ7Bx/fvRv9p/7RmsRdYzxq2WmQJx/uvO8dYUrGevbg+ZDr6sHOiR9mXWM+W -9XZJQ7vWC31TOc1ilHdKK985IpM2D105z+aVxVj6elzWcXRVT6ru8Npi/FqV -fafSFszvw+3jG6RbjLEtFx5YiL5UIcHX6Y3FePbesvgz2vl1hNrqrcXosi58 -aJ+teB6a7D/f9p3FuGDUanURfTb46zj39xbD8cvxCvbbMP90HF+tR4bF2L65 -1ffV6DJZNg+8PlgMtcONuznou7n6Su+PFmO+6H1w6HacZx67dB75yWKIbWmL -E9E7cyOLjf9sMYZ7jB/WOBLzcGrrm5O+WIwH2dmttqBHfK8YOvOrxWi/a2HF -wlH4/ScbDJj3zWLEdFd++KFLr59fa0mmxbD/G37vEXpLSfXnShPr2f5ah1rv -yKQdq55dXyewnvU9sWQfOnTdm20RWVjPCrgO13Zm0o+1dWfs+I717MgN10D0 -9ILHe+//YTEGD+xTKR39uv/UJsd+Wow7RV/98NiVSdUsM8ufzrYYrU+Ov38S -3XTj+b8XcizGsSE5h6ruxvM33nh/5ZfFqFEqeOli9JFChR/c/G0xwmKVEd/Q -9LjElaRci1FwVETrfnswzw7uefrJH4sxRbevfAntYPX8cNpfi/H24omfDnsz -6eugfXvf51mMvuNck8LQLa+d3vX1n8W4Vj7xcC669OUSu3/kUwznK32WjdiH -88v1qH1/8ivG/oBXI26jq3affbRgQcWoVNXPcNqPeef+1tgShRQj5EZO5e3o -YwkFr2mFFePP1ODsogdwvtxz9HGFIorhZ6MmB6Anfor6VL2oYqTeiTjyBG1T -6lkB+2KK0W2W/XK3g5gHFg2s6lhcMeLso0ceRLe6VbNl0xKK8d8D1zZlDuF8 -O6jJIJeSirFzXmKV2eh3h1fOa2ulGGXq9815i27TsuE+91KKsejJq+SuhzPp -xuwqyT0sivFzkd/R0+h233rm768ohu9/v5ZbH8HzXfzBf0NUxUhJDR61DH2v -Q6Svr6YYnUNUN4FWapzZ7q8rxtlmW6oOPJpJUXqVZ1NLK0bdN/a/LqO/rr9V -cXYZxdiyJvpBvWM4n9slDgwuqxilWrU+th5d0KVsVEg5xZjzITEkD230PvIh -rLxifFvf19f3OObBD+ubhldQjCFur93uoWnqreCoiopx/6tfteYn8P2n9ny8 -r5JiuEX8+hWF/jysdv1jlRUjuuOihyWiMQ+d7br4dBXFsP2uHp+Mvvrz6uuL -VRVjfeSWFc/RjdatckuophhFPWuPbn8S869l/+7b1RVjxq/otkfQ9V6VtXpo -rRgfdreuXv4U/v7Sh1Of11CMAT1v/p6LHjQ/43W6jWLczOv7KAPdsWO3Xp9q -KobLwdfHe8Rg/vEqcU3YKsZhL/+VZ9EO9Su5/rZTjGqFfo+ueRrvZ4e5Z/Lb -K8bqY4varUDfrd7CqXhtxcg3WLP+gW7g5HFadVCMicW35g4+g/WtSHTLCnUU -4/Wp2o8T0Ccsky5Xr6sYvYadPNEgNpPm3lza1b6eYmBLWbUJve9s9nPH+orR -9NzNMfnPZtLj/uTv5KgYe3y92o/9f9dKK+jaQDHKl0m3TkZv2D5gS/uGirE0 -zv9Py3N4/twaOHs2Uoxf438/3oU+usL7ce//FGNsxcXRpc5n0uq/GTMHNVaM -51e11dPQzqXvVh/RRDE8J20d+xLdtot2Y1xTxbhYzaFDpwuYN+yPTZ3spBgN -bp6scRy9ouYhu1nNFCNyuvG34kWcp6nwkwXOiqHZ3kpZgE4JvLB6eXPFWHDP -6+Qn9JgHyZ3DWihGVlD66t6YnuM8OhcNb6kYIxwmjLuAHjWk2vUoF8V4+PB3 -h1pxeN/Deq3Y30oxOixYbLMaHTDkQ6/jropx2lHPy0av90irFttaMWo/2/pk -yKVMWp7s/CXOUIzNSxxO3UAvnpF18XobxSjR5NSa/+IxP51V191zU4xZacb4 -CHS1yqHjU9oqxucVtzoWuozPW3Fqp7R2ijG4eb+afugHzS7YZ7RXjDtv0/Me -onN+jCmR2UExWodNeOp6BefBh7MyszsqxnHX3FN70YW9sp7866QYNp8Wh6pX -MT/8uZdQ1F0x1m7U/WaizQ5lTyseilGo3bZOr9GNz8QdKN9FMaZmOth6JGTS -h/vJUdU9FePdllP/otGibLct9l0Vw6tzm2dVruH3FWkc3qCbYlz/cStmEdrW -bl5Es+6K0XxHv7Cv6PPfnSJb91CMA13f+Hldx/6f7bWvY0/FqJw7oXMcutix -9OhuvRRjxd5cW4cbmZQv6mm8V2/F+NtrSb4wdDvPVg99+iiGf77Sz3+j7zWz -+uTbVzFeHtp2enhiJl1O71gowEsxuvevs/YWetiDTOsZ/RTjUuEY/6Y3M6ns -yqJt5vXHenaijfs29OjQFSOWDsB65n3bruitTCo1cl7ImoFYz0r2zx+ATglJ -P7VpkGIsPv3meQr68rKY9MjBipE9POBMm9uZ9On1rzL7vRVjtPpn7QF0WOUj -nY/7KMaT80smlL6DefdM8vzYIYrhPqa0RxC6eqtxFy4NVYxzZbfXeotu3WDq -nxvDFKNefJ0CXe9i/iotXJOGK8ZW/5gXMeiB/q+Dn45QDEtlt9jq9zLJ72qH -O69HKsbca7fXLUXf21q98qdRipE5uX+Aif4U5Dcuy1cxhlq/9RhwH+eXjIaU -O1oxkm4F2F9G7xg5tlyhsYrRduafAvWSsN4EVppoNU4xTtotTV2Hjj7c5m6Z -8Yphl1T67F90SHBaw6p+irFhzvb1o5LxPC/KXm/nj/Wsbt2Jd9Etmy3Jqz8B -69njmC7ODzBvW68Z6xSA9WyhW+0odGKC/tR1omIMbHinYImHuH8nFM+OkxTj -1vP+Lyeh541ZcrnbZMVotezt2WfoPZMDW/WbohhHmk7c0O4R1rd+H84OmaoY -1V//mXgYXXTbg5ZjpinGmlVLPcs9xv582yVu4nTFyN+yjMNc9Fo/m06BMxRj -0vvthTLQ54osTl4wUzHS19ZN656SSfetRw8LCVSM3sbpc7HoQu1ufF87SzGu -fnbbaPME5+ec/cu3BCmG0+Y7k0LQra+VsN09WzH2th/Q9Tt6lPPnuMNzFKOC -eOsw+Cnm6Qddh8bMVYxl2yYWTkAft2tcmOYpxm/3v2mOzzB/Rm8+dG2+YozL -Xnp+I3pLsYVe9xZgPdtZZlO+55hnD38r/GQh1rPukZPHoLfWTTv9Khjr2Z+6 -3ZLQZUv18fu4COvZ/tN1Wr7A+vmsa62sxYoR1adtkV3oajXuvM5dohh6gbuv -rFKxvvsm7yi0TDEWHhlwYSr6R3OfUaWWK8b3Ae82paLz20yqXy5EMUYWnTSl -48v//3usVU61FYrxKPpvt2Po7t4NEuxXKkbHIcvqVkzLpObXn25suEoxzliV -LboA3Wuy4td8tWI4xEa+/ohO+ni7vdsaxQgfWe9ir1eYD86Ws/EIVYyS+pnN -59Hrx3/M3ztMMYIutp1q9xr3b1Xnt4PWKsaXsXe7r0K3PNDk1sh1iuFdfmC9 -bHRtz0Mx/usV4+7ld0WHpGN9KXB81/QNimEETEq/jn7p1XbDvI1Yz6rkXWz0 -Bu93yvCQZZuwnt1YFh6O1rTSwWGbsZ5NLTut4FvMa6t6zIsIx3pmE9VjPNo6 -tfq8XRGKMe1OvfoP0dNXzFl4eItivA88U8z1Hd6fWv7LY7YqRj/7dm/2oF+0 -+LaOtinGjeS7pLzH+bFPgZ3XtytGi3kDI2ag5xbed/J+pGIcrPd+2iv02cdp -N55GKUaVJ5N6umdkUvCAw6/TdyjGykV59aPRRatY/n3eqRh5jZYXr/Ihk9Yd -KFj95y7FmJBa9m0wei/mhX+7FSNteVTcF/Sr0UfHFNurGD2a1d/S9yPmoZhR -67R9ihGffmY6oe1KnoqvtF8xGq9p16v2J8w32eHfax5QjF0u9xxD0buLV6xT -/6BilP0wsMRv9NX7DYY7HcJ6tv7922GfM6nZy5fbWx/GetZm8qWb6HOXHNI6 -HcF69jVvS5MvmeRS3GLb8yjWs/DlM7aib7dfOW7gMcXw6Fiud5GveF+K7osZ -cVwxzmdFNZiAbv7Su7D/CcWoH1m/ZAq6+OATXtOjFWNbl9h3xjdcP23n4Xkn -FUP51S5+P3rmqkZFl59SjHm7723VM7GfjvAesTZGMcweg2bOQh+tWefqltOK -MSzvfe836Afe6x32nFGM5AOTG3qamZS3JzL0aKxitPP6VzIGnbqyy98zZxXj -VMGQ99VEJvXYvXl8/DnFqHWs3OUlaO+5S1/ePK8YGwft2JaJbnqkYt+HFxSj -WHHHwP5ZmNeueN5LvagYM0/F9olHP+pl1zWDFOPj0PaN6n7H5/8WedeMw3pm -uW+1Dl2g7qXeuZewnp0dlPEHPWrT4heFLmM98824PPJHJjneNMdYrmA9Kz1l -+x308b4Ff5e/qhjWcf8Cm/3MpMl3zq2skaAYoeND+kaiayZUtqt7TTEKVCz/ -X/HsTNp4v2Zck+uKMfnqjlKT0A+DH3i73lCMNxMdPzxFF5/imL9TomL0qXb2 -StucTFrZ+L+9PW4qRkJi+8hD6Ldead0H3lKMZtPvzyr7C/PM1OZ5I24rxr6a -g73moHNquB71v6MYFe9l/Pcevc78OnzGXcVYHjTF0v039ruZXaosuKcYubXz -fTyDftXNKyXkvmKMfxhytUYu3p/i6qb1SYrxYn75qOVoJ5fpA7cnK0ZXx51B -Wei82Stt9j9QDHrq2G/QH6yHI3p9OfFQMRouOdv4KvrP4hvnzj/Ceta4g+L4 -N5OuB2auTHiM9Szt/scN6FuPrg+/l4L1bMXghH/oS416tXr6RDF+OH+IGp2H -+c5mTaU3TxVj1Nsps++jWxnzcr88U4zHofn6t/iH85SNbVr2c8Xo5LqiyU70 -DJ+g6/lTFfZwJuVbs+xkyZcKeziT/D267CqbprCHM+m4w9WN1V8p7OFMehP/ -fZXDa4U9nEndjj5b1jhdYQ9n0sglgUtbvVHYw5l0Lf3+8o5vFfZwJrUb/HpN -j3cKeziTHq44FD7wvcIezqSdJRvtG5mhsIczKf/kgNgJHxT2cCZNHDTuzsyP -Cns4k3YMtXm/8JPCHs6kCVU2FFz1WWEPZ9KrWtdqbvqisIczqWHlmI47virs -4Uy6uHb4hEPfFPZwJlWrey88JlNhD2dSqaA/N+JMhT2cSTNrfPiTKBT2cCYF -xWxo/DBLYQ9nkvejwv4vvyvs4UxaX6XZoQ8/FPZwJl21rfs166fCHs4kWv66 -cV62wh7OpEUfB88u9kthD4frlbY1Uf+tsIczqV+xnZWr5irs4Uzq8s8vwP6P -wh7OpGdD/11v9FdhD2fSx1tedi55Cns4k0JfTF/U4Z/CHs6k5RWOTamdT2UP -Z9KUE0v3HUZLD2eSV8kOqf/lV9nDmVRlUkbZM2jp4Uy63DSgW6sCKns4k4bv -frE8Hi09nEl11UbXOxZU2cOZNOLq2KK30dLDmTS2TEinnoVU9nAmRXcJC3mM -lh7OpLsX5t0bVFhlD2fS0NN9y79GSw9nkv2yMkN8i6js4UzKXnD2wGe09HC4 -v987ZU8sqrKHM2muY1z7bLT0cLie86w3BBVT2cOZNKfF2Iz8xVX2cCa5Ht7q -sgQtPZxJf2qfC7MqobKHM6lpXvzHULT0cPj5s0+1K19SZQ9n0pLssMgtaOnh -TNIuDMyrYaWyhzPpbzXVey9aejiTDP9jVK+Uyh7OpIxcl5on0NLDmVS1YszS -ZhaVPRy6fJXM82jp4fD3O07o76ao7OFwPX4fvXINLT2cSYkLUxt5qip7OJMG -NM6NTEJLD2fSsIFF9H6ayh4O98Mz36IXaOnhTGo56MOvYbrKHs6k3JRLARlo -6eFM0sst/+BXWmUPZ9KpkW4jstDSw5nUuNKntBllVPZwJmUtCvbJQ0sPZ9K0 -XEvawrIqeziT+txePqx4OZU9nEltu+a8W4WWHs4kq/tefmXKq+zhTFq7b/+P -zWjp4UxKsf86t3oFlT2cSZlRdla70dLDmWQZ2z28TkWVPZxJgcn+dY6hpYcz -aWOj+eebVlLZw5n0+vGS7ufQ0sOZZFZc+M6orLKHM2mSx+Q5CWjp4bDexPWr -0KWKyh4On/dW45P30dLDmVTgcqGeXlVV9nAmOfy6aT5HSw9n0pH4ZWuHVVPZ -w5n0fLJrswy09HAmlR368blfdZU9nEmPUlcGZ6Glh8P1b+PgONNaZQ+H9y/1 -/JM8tPRwJh0s3nlJcA2VPZxJARXuOJWwUdnDYf336ZKxGi09HJ7nJlciytZU -2cOZZPu5aY8ItPRwWM+fRRWrYauyh8P63b9Y/B609HD4frFjZtezU9nDmfSh -R0KLE2jp4fC8zqj2u1ktlT2cSTWDJ527gJYeDu/rjfg5be1V9nAmRWxT2t1A -Sw+H6zugf8lutVX2cCa96xH54AFaejiTZj9/s32Ag8oezqQ23e390tDSw5l0 -vuBol1F1VPZwJq3z3FvqM1p6OJOWBr9Nm1hXZQ+H9fKfTUw2Wno4kzzLDlk5 -u57KHg6fv+rWUQXrq+zh0P5P2yxDSw+H59WnQnXFUWUPZ9KDTl5569DSw+F+ -zd/4slIDlT2cSU+HPImPREsPZ9In16r7ajVU2cNh/Z08fPUhtPRwJjn2PTTj -v0YqeziTerTOGX4GLT2cSZ8Xd+zh+p/KHg7v96Jw4wpaejiTNoSZjdwbq+zh -8HwU9bS7h5YeDvfD5XClvk1U9nAm5SzT9edo6eFMKtd5dslhTVX2cNgPk74U -yUBLD4f9fO6IQv5OKns47I/7XhX8jpYezqSEvSMLBzZT2cOZdOVhZrF8zip7 -OHy/FQsti9HSw5m0wrZaOavmKns47Kc5cdXD0NLDmfRk6Pi6FVqo7OFM8j1r -3XwbWno4k2oPSu1k21JlD4f1Kmr3gANo6eFMik2f5t/QRWUPZ1LJOT2DY9DS -w+F5SXTe4tJKZQ+H/bhmnZh4tPRwJgW/qZXUyVVlD2dST98GmXfQ0sOZVKNo -O7VPa5U9HO5vtZH/PUNLD2fS9eJr+w41VPZwuH82d4Leo6WHM2nP1XK7/dqo -7OEwj3n63c1CSw+H9bxsUu5MN5U9nEnNAtvXyddWZQ9nUsyjawMWo6WHw361 -pP9Kq3YqeziTOr7/fSkMLT0cnv9eh3IqtFfZw5l0396/0Xa09HD4fXHGeLsO -Kns4k9YE2u4/iJYeDu/78YoZjTqq7OFM2nTZ2uEMWno47L9WLca7dlLZw5mU -nDHy+BW09HCYt2J25bh3VtnDmVQo6Web+2jp4UwqtnnQSi93lT2cSTdGP3r6 -Ai09HJ6vbSPqjPBQ2cNhPdlUNOgjWno4rF8n6W5AF5U9HL5f15V22Wjp4TA/ -XwuYPdtTZQ+HeTRw7OOCXVX2cFjfrgU2Xo6WHs4ku1I7QtVuKnu4/z/vaeYG -tPRwJvX95dS7aneVPZxJCwbvPrMTLT2cSWWa1Ktep4fKHs4k8ezmkmNo6eGw -vpwMFk49VfZwJt2u7OVzAS09HJ7nSW3vtO2lsofD/S7n3joRLT0c3o/R4050 -762yh8N6cH2v/WO09HAmha/7t21wH5U9HNar+pMrvEFLD2fS26wC68b2VdnD -4ft3OKqZaOnhTKq0ZEbodC+VPZxJSWV8SuehpYczaVn34RuD+6ns4Ux6HL6o -asn+Kns4PG+9E3aHoqWHM8kp1a5hhQEqeziTSm/edX4bWno4k6zfuXnYDVTZ -w5kUViv/84No6eEwH5576f/fIJU9nEkVir4sGIuWHg7Xa+y/8NaDVfZwmHc7 -tGmSgJYeDtcjb9fdLt4qeziTDmfV8UtGSw+H+WJpstUAH5U9HOaPqtuPpKGl -hzNpd/lVPX2HqOzh8Pw8jsz5gpYezqQZcY8jpwxV2cNh/2/S2CMXLT2cSZEb -o7PnD1PZw2F98ui/p9hwlT0c7t9JW6/VaOnhTBrYpFyJciNU9nAm+TVwpC1o -6eEwbxbzm1ZzpMoeDvO2bXKDA2jp4fD+vh3yqeEolT2cSR7Hyu0/jZYezqSV -Gd9Hu/qq7OHw80Vunato6eFMqvVfvW8eo1X2cLhfVotPJaGlhzPp2Jvic/qP -UdnD4X20PtMpDS09HM4vtqFlfceq7OEwb/VZ/+YLWno4k1pXuHpqyjiVPRye -tyTrZblo6eGwfmXs814wXmUPZ1KduMFOxf1U9nAmdabW6hq09HDYzz26fS7n -r7KHw/ueuCJxK1p6OJy/onIO2E5Q2cNhvWq2duVBtPRwJhURgyb9F6CyhzPp -e7O+/WPR0sOZNGvCXDdjosoezqQG/1LqX0NLD4fzs+fwyl0nqezhTKocX63k -Q7T0cDj/XC75d+BklT0c1rszdczXaOnhTMorGvR+zBSVPRzOW5XypWWipYcz -6V7f00+nT1XZw2Fett3xOA8tPRzu7+e4R4umqezhsP6ULZNiNV1lD4d5vOiW -Z2vR0sOZVLxu/1eVZqjs4Uw6l+HxIQotPZxJd/ZNyao9U2UPZ1JqcvK/o2jp -4UzalzTG0ixQZQ+H/dXSrPpFtPRwmO++tfyv/SyVPRw+f+qMjrfQ0sOZFNXh -q3evIJU9nElfVm+Z8RQtPRzO07bB64bOVtnD4fzjt/tEBlp6ONyvh/mSJ8xR -2cPhz1/d8OMnWno4k/7b51tpzlyVPRyuR8bkNoXnqezhTOr27dzYFWjp4fD8 -1zY2lJ6vsofDeS0v35VwtPRwOB88y8uqsUBlD2fStjotau1HSw+H86RX9ICG -C1X2cPh9t8eGnkZLD4f16+eQRNdglT0c9j9jQ+EEtPRwmC/LlGzruUhlD2dS -izRa8AAtPZxJXy2nrgxcrLKHw3xh9alYOlp6OJMKdxjWbewSlT0c7q995U0m -Wno4XL8q5dJnLFXZw2E9C+vVMN8ylT0c5umfyXOXoKWHw3x0auN9y3KVPRze -N5ftdhvQ0sOZVCXn46yqISp7OMyHfWc92IWWHg7niyvdG9RbobKHw/xwbNyK -aLT0cDgvLb31qcVKlT0c3rfYyZ7xaOnhMC+dGHq88yqVPRzms1cbyt9HSw9n -Uv1Tpef1W62yh8N5NCLl40u09HC4nwXfefmuUdnDYT0f6HLtK1p6OJznyjx3 -nhaqsocz6W741UN/0dLDYT7z+WWzKExlD4f38/70CKu1Kns4kyYPbVNuHVp6 -OJzXZ/ddW3mdyh7OpI8bTus70dLDYb+tMnJdnfUqezicR+f6VDiBlh7OpHEN -925rvkFlD4f3e5uL/SW09HAmpbe0PtFpo8oezqTBM3q2voeWHg7r6dXkO16b -VPZwJh1Yu2vIS7T0cPj7va9+H7VZZQ+H5yHQKeQrWno4k3ZOzak5LVxlD4f1 -7kxJ+ouWHg7P28mAQYsiVPZweF6f2/+x2qKyhzNJ3dFo2zq09HDYD6avcquy -VWUPh/nlruuHnWjp4bBeVG0bVnebyh7OpPFJEa2i0dLDYV4Z1vlTi+0qezjM -x226RMSjpYczacyrXZ7ukSp7OMznMb0KJKGlh8Pz3Lh/bP8olT0c1tPbpya9 -QksPZ1KH7DGOY3ao7OHw8+2mfc5ESw+H9/PW00Mzdqrs4XCe9Nk0Id8ulT2c -SXN6H22yFC09nElbq1v/UXar7OEwLzb9dHUjWno4k/6V1MKq71HZw+H6lg8b -shctPRzOb7f9GzXYq7KHw7y1c2+h02jp4Ux6obR66rpPZQ+H/XtJgxMJaOnh -cH/nzV3Rdb/KHs6kopPqj3mElh4O++P95p28D6js4TAvld3t8A4tPRyep5MT -SvkfVNnD4fvYhWf9QEsPh/Xnpe3z2YdU9nDYzweo1wofVtnDmTTTavDJlWjp -4UwK8iu5q+wRlT0c1tMflTdsRUsPZ5JD5dXL7Y6q7OFwnvAaOf8wWno4k0Js -tgc2Paayh8N5+LvLtAto6eFM8mnfekr74yp7OJP6r9oz5TZaejiTSnSaPL3P -CZU9HJ6vT7uDXqClh8M8ZroGj4xW2cNh/Ul2XfUFLT2cSa9L7gmfelJlD2eS -Unrq/r9o6eGwHg4/dHbRKZU9HM6z07rcKRWjsofDepHQ5816tPRwmP/vXP9T -9bTKHs6kd8X2lN+Dlh7OpIXfvjRxPKOyhzNp+9/I3jFo6eEwHx6haa6xKns4 -nJeD3CMS0NLDYf5ObxPf9azKHg7vm8+eT4/Q0sNhPR43o7zPOZU9nEl68Jn2 -79HSw5k03dZ32oTzKns4k1rdCN6fjZYeDu//V+3l3Asqezjs/5pSvthFlT2c -SVuOBPVYg5YezqRVbgNXVSCVPZxJ690P3o5ESw9n0s+2fopDnMoeziTbQ1G9 -jqOlhzPpQr7O4c0vqezh8PNoePoltPRwmG9HCUf3eJU9HOaHyT+CktDSw2G/ -Gel3e8BllT0cnvdzA6zT0dLD4XkSF6aOu6Kyh8P+vnzj7Sy09HCY3/Nn2Add -VdnDmbQ65WhwoQSVPZxJJ1d+S1+Blh7OpLTIve3LXlPZw+F8Fpqyfytaejjs -p2kL1FrXVfZw+Dyl9s48gpYeDvPMpvZvnW6o7OHwffP79CK09HB4vxKzLndM -VNnDYf+eXKjZPbT0cDg/zQk91O+myh4O58txEbav0NLDYR49b7N9zC2VPRzm -L2FfRaClhzNp3pqDEYG3VfZwJh0vf6RqwTsqezicR0o03BGClh4O3+9jI4cy -d1X2cPjzLU+e2IKWHg7rx9zTrnb3VPZwJgU3d7l9GC09HNbPz27eTvdV9nAm -JVe+ZV5ESw9n0l/3lCUdk1T2cPi+f32t76Glh8N+dXrWuX7JKns47Od/S/d/ -hZYezqQ/PRr9GvNAZQ+Hn9fiQYRASw+H7+/y15j1UGUPh/fl2aGMgo9U9nAm -Nd+aHrYCLT0c9ssiO1qXfayyh8P6vSXj61a09HAm1Xt7OrJWisoeDvPnKLXP -UbT0cCbN3y1KOj9R2cOZ1MbB52ocWno4zA/T+87v/FRlD4f5o/yT1klo6eGw -365+n2/gM5U9nEmzRy24ko6WHs6kxTUPLB//XGUPZ1L+rj69fqClh8O84rej -2pwXKns4PI/Fp3wukqqyh8P+cODB+dVo6eHw/j2+srrCS5U93P//PaD9yCi0 -9HD4fr/7tKqTprKHM2lCvpzy0Wjp4bB/n6r7o+UrlT0c9oOd5oMraOnhcL17 -up/2fK2yh8Pz7+m05RFaejiTbKrELPRJV9nDYX3unOiXgZYezqTNQyYPmPhG -ZQ9n0oiPxzv/RksPh+dz4uKWC9+q7OFMOjHfbGD1TmUPh/10V1at9Wjp4fD5 -Rq20rvZeZQ/3//8fTVX2oqWHw/MnllVumKGyh8P7+OBLlVi09HAm9V34ydrt -g8oeDvNEyEL7m2jp4TCfz4xp2Pujyh4O62lKsMsLtPRwWH+bfXMf9UllD2eS -xebnwG9o6eFMircLnzDjs8oezqTfd58tyv9FZQ9nUu8j57YtR0sPh8/btcXZ -0l9V9nC4fq79UragpYfDflOq3C+7byp7OJMSOk2pchQtPRzmq7mT3ZwzVfZw -JnU1yoy7hJYeDvNHJa8N7qbKHs6kqVtdriSjpYfDftjv6vdBQmUPh/XsmWn/ -Di09nEn+MfGDJ2Sp7OFM6jPTeUMOWno4fP6Tve7P/66yh8N6nlNGKflDZQ9n -UsXHQd3WoaWHM6l0WkhY1Z8qezisf7vdUvagpYczKe50lHXDbJU9nEkb9+8f -F4uWHg6fz2pgrFuOyh7OpCuTYorfQksPh/Xf//ygPr9U9nB4XsL8TqSipYfD -/DkxscTo3yp7OJO0bckjTbT0cDh/HFx2OTBXZQ9n0hDHLzUL/VHZw2Feic1b -vBItPZxJ919e/Fzur8oeDucTJ8c+kWjp4bAe9fSMc8hT2cP9//9vVnGMRksP -Z9ISh63bXP6p7OFw3rDc1RLQ0sP9f16LXtItn8YeDuvL6c75nqClh8N+unvt -rGH5NfZwON/5hf76hJYeziRjV9vAqQU09nAmxaYd+JuHlh4O82HstYVLC2rs -4TBPXA230gtp7OFMqh1huykCLT2cSYFJI2vZFdbYw5l0qoDP6SNo6eFwvy6r -Hs5FNPZwuP+HZ726hJYeDuuPV2SgR1GNPRzOvwPnlnuIlh7OpNDuFU95F9PY -w5n07Z5/3wy09HA4D0yd93ticY09nElZj7pG5aKlhzMpbPVT90UlNPZwJuU6 -22ZbSmrs4XD+8qu3ZxNaejiTjiVkedlYaezhsB69DLQ6hJYeDu9fr/jLTUtp -7OGwHp5PnE1o6eEwX8Ssa9HZorGHw/713Pp3Elp6OLyPNyecH6Ro7OGwH1Zd -MP8dWno4k5oG9OscoGrs4Uya4ZNV+jdaejisr0t7vVqoaezhMH8tmXm8lK6x -h8P5/NfI4I1o6eFMcpxdcUCN0hp7OMxPR9f9dxAtPRz2X8eUUk3LaOzhcN7a -+ObTRbT0cJh/l5291amsxh4O+9+uAceS0NLD4flYdHXDoHIae7j///tr7tx3 -aOnhMK9WyBsXUF5jD2fSj2K3B/xGSw+H58N1TJfgChp7OJOq9E82LBU19nAm -vc8p6bwJLT0c5tWLpf+zqaSxhzOpuscnx0No6eHwPrRe6+hUWWMPZ9KgVlaN -4tDSw+HPv+vr5F5FYw+H9fnZZNcHaOnhcJ6PG97Zu6rGHg77v5O9VwZaejiT -Dqdd9J1UTWMP9/9/76o76w9aejiTGgz2C11cXWMPh+8zbdEB1VpjD2dSt+5T -EsLR0sPh/Vvd4q1tDY09nEnLU1KKHEVLD4d55m63us1tNPZwmF8qRPa8jJYe -ziT3/olBnjU19nAmvXK6u/8xWno4k6jv0SdDbTX2cJhPvMdZfUZLD4f9+HtB -t2l2Gns4zMMXZwbmq6Wxh8N5dMTtU8vR0sOZ9Gz5v6wy9hp7OLy/T9Wm29HS -w5lU4Xf+mQ61NfZwWH9CkykaLT0c9ttOi4u7Omjs4Uz6mFCp73W09HD///9X -obt71tHYw+E8dv5T9nO09HD4+YsdPH3rauzhTCp/1mO3iZYeDvNSgV75gupp -7OFM+lzM8C5SX2MPh3lremlag5YeDtc757ZNZUeNPRzWX6eAZbvR0sPhfXn+ -WzRooLGHM6nQen+fs2jp4TCvWd26066hxh4O5+3PpdvcRUsPZ9LZ9A4x/Rtp -7OHwvG8Z6fgGLT0c/v6VgP3+/2ns4fDni4yx/4WWHg7zpdZt38LGGns4PI9h -NetZmmjs4Uya5vT+xCa09HAmLT0Y7lKzqcYezqTU7a43DqOlhzPp7aXkfs5O -Gns47N9xAz7Fo6WHwzzs/mCeZzONPRzWQ0ubiilo6eFMCrgQdXKYs8YeDutv -keyeX9DSw+F6bW7zfXpzjT0c1ttaCzYVaKGxhxOU4xPbeiVaejhB7X68/1C+ -pcYeTpDtJsvGHWjp4QTV/l2/Y30XjT2cIIfbHX6fRksPJ8jjcv9jbq009nCC -fq/yHXMbLT2coG+vA+z6uWrs4QTVmzTtzWu09HCC/n2bscevtcYeTtBfZea4 -HLT0cIKsFk9vvNDQ2MMJ6ldkal6pNhp7OEED2k+6tQktPZygJ9kTttZ009jD -CXqT5D/xCFp6OEEpIRM6NW+rsYcTNDhlks0VtPRwgsb4z/zXtZ3GHk6QS6Hg -l0/Q0sMJGtF9bfyI9hp7OEHni+/b9w0tPZygce/jQwM7aOzhBL2LfDO7cEeN -PZygr19K+a1BSw8naO3i1j6VO2ns4QT9VzOw9x609HCCpgZd7NKos8YeTlBf -j1KdzqOlhxNUpbNvh47uGns4XI8GtzsmoaWHE5R9unWXwR4aezjc363UKwMt -PZygYZs9vSd30djDCVro+3FcHlp6OEF74zcELfPU2MMJajmq15oyXTX2cILy -W2rs3Y6WHk5QkWn5LtXpprGHE3RggHhxCi09nKCIGdl/je4aezhBT1eoNW6h -pYcTdKq3a0evHhp7OEF3V84PeI2WHk5QhZ9Pt/j11NjDCUr28LiVg5YeTtAG -z+S8hb009nCC2p+d3ETprbGHE1SxR32/cLT0cPg89/Ptt+ujsYcTtDv36/tj -aOnhBPmuznFw6auxhxM0xLPahGto6eEEDf855HRPL409nKCNHpcKpKKlhxM0 -2WL0GNNPYw8n6Gq+1B3f0dLDCTr0JiJ7bn+NPZygLUGB3UoO0NjDCRoYEnhg -A1p6OEGFHmwpZjNQYw8nqFil12MOo6WHE1Snhscd50Eaezjcz8MpTa+gpYcT -NG9hSGS3wRp7OEHpviMsz9DSw+HzVR8xd5S3xh4Oz2dASJaJlh5OkGL9bOxs -H409nKCe33u9LTZEYw8n6Gb0z2Hr0NLDCWptdyW9+lCNPZygROWC70G09HCC -XrR4/dVpmMYeTpCbf5OZ8Wjp4XC/Jp0s0nW4xh4Oz4tl+MYnaOnh8PtLtak7 -coTGHg7Pm1O3+Ey09HC4X71XDQoaqbGHE+Te8N+voqM09nCCNq3bEb4WLT2c -oPseM12r+2rs4QQ9tA5+ewAtPZygl6mXVzuN1tjDCZrfo3mreLT0cIL2tHv7 -xXOMxh5O0Prg61FP0NLDCfr8Ir3fyLEaezi8X1WdS5to6eEEldcS7gWN09jD -4c8vWhVabLzGHk5QA/ewPuvQ0sMJalsvqYq1n8YeTlDG767vD6KlhxPUfFHx -U838NfZwuD7hBRZfRksPJ8hSsuWAbhM09nCC1u2JbvQMLT2coCut/Kx8AzT2 -cIIWR43+KNDSwwmqunPPzTkTNfZw2B/K1D5WYpLGHk7QvoQvGzegpYcT9H1F -zgKbyRp7OEEJzTtPPIKWHk5Q48i0YS2maOzhBB1fS14JaOnhBGkZ77r1nKqx -hxO0aKKXRypaeji8P4V097HTNPZweP+nle/yEy09nKA7EaN7LJiusYcT9Na9 -yADLDI09HN7f/ubIcLT0cIK6basztdZMjT0cntdvJ5acQEsPJ8jPLmSra6DG -Hk7QynInYxLR0sNhv4twTO47S2MPJyg8JFe8RksPJ2hZXOVyE4I09nDY70qv -cclFSw+HzzOo/6glszX2cILyTZ+xtvQcjT2coAUuXy9vR0sPJ+jMknPZdedq -7OEEbW/8yvEMWno4/P7KQ8a0m6exh8Pnr9x87z209HB4XxW/jEHzNfZwgrYl -/6n3AS09nKCZ7T9MmbpAYw8nqKZb47j8CzX2cNif9j6zrEJLDyfIsVf6kErB -Gns4PL+2HjF70NLD4ff9Kq00XqSxhxN08LjrOEJLDyfIvv6tRI/FGns4rC8e -F+qnoKWHE1Tuq75uxBKNPZygL99v/81ESw+Hz9/m29jZSzX2cFg/Tk1/VnyZ -xh5O0IXGo7ttQEsPJ+j61ksJNss19nDYvx8FtzmKlh5O0Oj4E9QyRGMPh/W3 -XZc219HSwwn60LpnQu8VGns43L/wq11foaWHE1S0+d6nfis19nBYH/LljPmN -lh5OUMnHZ/4sXqWxh8P6tONjWOnVGns4fP7Oq+pFoqWHw/oUvetGvTUaezhB -udccx8aipYfD9fOvb+kQqrGHExS5KupUElp6OOyn+oohPmEaezhBR159sXxG -Sw8n6NO7a3Ez1mrs4fC+lqw8rfA6jT2coB0tMhzD0NLD4X3xbvip2nqNPZyg -4sO+HziIlh5O0KxGTf2dN2js4XD9z2Q1uYqWHk5QnzcN//XYqLGHE+QU9eVW -Klp6OOxPz+tsG7dJYw+H9Tr4w6QctPRweP+X1PFYtFljDyfoUfLXWnq4xh5O -0NZ+zYpsR0sPh/encOEPdSM09nCCghP73T2Dlh5OUJlNjWPbb9HYw2H98dmw -JwktPRzWE3XBRp+tGns47I/bs0M+o6WHw/PxJ3vhzG0aezhBQysFzy2yXWMP -J6jRly1z1qKlh8P8NLrtfOtIjT2coOdzZiw5jJYeDvezVqvQFlEaezjspz3D -tl1DSw8nqPrvGUd779DYwwkSmrj8Ci09HOaBNXnP/Hdq7OGw/ozfnp2Llh5O -UP2tD8st26WxhxOkVtrRvNxujT2coA7PCg/ZiZYeTlD/J/mXN9yjsYcT1KXU -xtMX0NLD4fkOSMhw36uxhxNk83dN1RS09HD4+4ez+4zcp7GHE1Q2KDdUoKWH -w341Yuv9ufs19nBYr4ellil1QGMPJ6jEZBoYjpYeDu9DaJs99gc19nCCgs6P -/H4SLT2coMOZ9Tq4HdLYwwkKq7cp4i5aejisL/77vg86rLGHEzQtxrvHR7T0 -cDgPFTx/fPoRjT0c9rPel8oWPqqxhxM06tCE2WFo6eGwX5a4nlH9mMYeDs9j -wE2vw2jp4XCeeRWY2OK4xh5O0FLvx8Z1tPRwOJ98enW2zwmNPZygriERzulo -6eHQboXPBkRr7OHwPJaxbp2Hlh4O71fRL9dCTmrs4QQtsfbrXfGUxh5OUKWR -UW/2oKWHw3zzbPmMJjEaezhB8SF11Hi09HCC6gbNP9jttMYeDs/rqTXuL9DS -wwk659r/y9gzGns4Qc1snq/NQUsPh/s3upLr4liNPZygE1XKfi59VmMPh/en -0+2tUWjp4bD+/Gjbq8E5jT2coLONAq0uoKWHw3xddOIN9/MaezhBqxc6LE9B -Sw+H9TR6V9dRFzT2cJjnd6aX+46WHg7zybD3r+df1NjDCfL6d+yEQhp7OLwv -S9ss3oqWHk7QxVLhg+vGaezh8DxvP+cci5YeDs+/597yHS9p7OFwvnLw/v0A -LT0c3qf2r9KGxWvs4XA/TjS6mYmWHg7rUXD32DmXNfZwgtrccT1odUVjDydo -zc6/keFo6eEEUYVV4bWvauzhBO13MzfGoKWHExTtVHtzuwSNPZygGyWabEtC -Sw+H8+zDsnuHXNPYw/3/vHAn+itaejicxw74XAm6rrGHw/15eyOlxA2NPZyg -plMt5ia09HCCek9xLGWfqLGHw3r1p079U2jp4QT9qFW4R9ubGns4vH/KxRn3 -0dLDCfK533eXzy2NPRzm9aX3kr+gpYcTdK97vaJBtzX2cIL+dBznWuKOxh4O -+3PIqpmb0NLDCWrVfOOZWnc19nCYLwMW555ESw+HebfbYLe29zT2cIKcs6us -vI+WHg7v45KEZz73NfZwOD/VGOj4FS09HOaVd8+Cg5I09nCYf391flkiWWMP -h+dr1p5Wm9HSw2HeChXb7R9o7OEEeXo3KBKDlh4O96uId0C7hxp7OOxXR+em -JqGlh8N5dc767kMfaezhsJ5sjkz4hpYeDvtN7R3GnMcaezjcz+4RF61SNPZw -mL9arjQi0NLDYf1XZyY4PNHYw+H88sun+xm09HDYn6zbpnZ4qrGHw+c/UjPg -IVp6OOzPdwsUGfFMYw8n6N/hV9sFWno4rMfTLrWa/1xjD4f1rO/Ol8oLjT2c -oMczly7ahpYeTlAVZVKD+qkaezhBUe2GvjiHlh5O0JTOXqvdX2rs4TA/evZp -/wQtPZygzvMG/fNN09jDCZpa2v/CT7T0cHifnEPmLnqlsYfD/ml9qn2Z1xp7 -OLwf/z4rO9HSwwnaUKxJaqN0jT0cnucJIcfi0NLDCdJHf1/c7Y3GHg7Xs3bA -0FS09HCChr/719rvrcYeDn8+ZZfNH7T0cHh+mgwpHvJOYw+H9bFx4+8V32vs -4XAetFRP34eWHk5Qiz+2j5plaOzhBH1s1uF2Alp6OOw/uQuv9/mgsYfDejj/ -5bU3aOnhcJ4sPODm5I8aezjc7yvfkwp80tjD4fzy+1hqKFp6OEGl367+av1Z -Yw+H9zs+rMAxtPRwgkrdP1up9ReNPRzOs92LN7uDlh4O55/5c70Gf9XYwwnq -tbNa0Ge09HCCZuS83zXrm8YeDvNp/PP7JTI19nA4b7TLKxCOlh5O0IBLns4O -psYeTlC72bcmnkFLDyfozflZRzsKjT0cvv/VQeYjtPRwmF9eBDQblaWxhxMU -6x47/wdaejg8T32a3Qv+rrGHE5TW7rNNmR8aezjMt8OezNyJlh4O542f/x78 -91NjD4f5ocvQJvFo6eFwPY7kbeyRrbGHw5/3ffIvDS09HPbnK2JcQI7GHk7Q -a63L839o6eEEPdvyrvvqXxp7OLwv0ZdvVPutsYfDeW/3mw5H0NLDYT6Nd7/e -KldjD4d5pX+252209HCCfp779HjQH409HNYrF4dRn9HSwwmqUe94zqy/Gns4 -zDepwatL5mns4QRN2Le7TgRaejg8zy/KJNb5p7GHw7yclOx3Fi09nKCRL9LL -uufT2cNhf+rTIf4JWno4Qa5hfyaNya+zhxPU8lPR2r/Q0sMJ8o8d82ppAZ09 -nKAhfWtur1BQZw+H56mK09B9aOnhcN4ftdPeuZDOHk7Q19XjxTW09HCC4n6E -XfIqrLOH+/95sfz692jp4TCff/ozfnoRnT0czjvN2nYuWlRnD4f1tPlnh41o -6eGw/3b/ZbEvprOHw/6VOi4nBi09HM577dq/61BcZw8nqPKbxSmP0NLDCbpU -47+7o0ro7OEEpQ7plPgTLT0c5rMiN28sLqmzh8PzOO3c7XJWOns4QYMqVn60 -By09nKC5vT+9diqls4fD/ri6/vcEtPRwmE+apBf3sujs4TAPHyhl+x4tPRzm -mcFH3KYrOns4rLcxCSOLqjp7OOz3Nv1WbkRLDyfovNWIWHtNZw+H5yn904fT -aOnhsP6VNqt10nX2cIKqWk3tl4KWHk5QksvMDaNL6+zhcL3L/kvJQUsPh/m+ -WDHrZWV09nA4Py5aP65iWZ09HO5nvv3n9qOlh8M8/KWt2qKczh4O6yH5jklE -Sw+H8+7nstcGlNfZw2H9+NDN4RNaejicV+qXXzOrgs4eTtDyhn65JSvq7OEE -BYztNW4LWno4PN+9b76sV0lnD4fzzOhH/S6gpYcTZKkx7ZFnZZ09HO7n74P9 -UtHSw2G9mjDzpX8VnT0c3o9/L8f+Q0sPJyjQ/tXv1VV19nCYZ0bNWW1dTWcP -J+hoy9jax9HSw2HerL02oU11nT0cnq/DpcYkoaWHE2TVtY463FpnD4fndcXH -c1lo6eHwPHzuNj64hs4eTtDlrIE1ytro7OEEdbJXn+1GSw+H61/Kf7NTTZ09 -HOYlx+mDrqGlh8P6pdW37Wers4fDed0IzcxASw+H399+96WZdjp7OFy/A2M3 -lqils4cTNDH39cQItPRw2P+uKj3q2evs4bAfrxdNLqClhxMU8mtF1a61dfZw -mJfmp5V4iZYeDuvXha9/Jjjo7OEEFXCNzcpXR2cPJ+i/9W2+haKlh8P5p8+y -bzZ1dfZw+Hylw75Ho6WHw/lu2OC8dvV09nB4H1I+Wz1CSw8nqK+Vm7VvfZ09 -nKCN67ydc9DSw2H/L96uzzJHnT0c9otC36dVaqCzh8M8UT1g60G09HD4/j9i -r7s01NnDYX+rkZxzGy09HO5Pmwv1fRrp7OGwHouZvplo6eEErUgqsWf+fzp7 -OPy8BRM/6I119nCYd6KONtqFlh4O61dSwpymTXT2cJhPTp25l4CWHg7PZ+Zi -+35NdfZwmP/sGi/8gJYeTtDO3IvpgU46ezhBi8rX62zVTGcPh/OidVD0VrT0 -cHh/6ZhNA2edPRye9y03N8ShpYcTNHvoHaVnc509nKBfsWdXpqOlh8Pn7xGm -Tm2hs4cT1PBh301FWurs4TBPi+J2m9DSwwk61O9wjIOLzh4Oz9NpN89zaOnh -BD24eDOjSyudPRzmyRruy1LR0sNhvw8lxwBXnT0czmeX6z/J31pnD4fz3LgN -S9eipYcTNLB1roudobOHw37+Y/DPGLT0cIKOd4g72amNzh4Oz8PHmjOeoqWH -w/oXsdwY76azh8P+VvpnqTy09HD4PMrotNVtdfZwgp57vD5do53OHg7nr0Wj -1kWjpYfD3w/6ObV9e509HM4rmWsHPUZLD4fz8w6j05gOOns4QcV75TnnoqWH -E7Ql4Y7jyo46ezj8/rhoh+qddPZwmC+LHHU4jpYeDuc573jHtp119nCYd1Z9 -c36Ilh4Of36Icydfd509HD7/lm2DfqGlh0OXsp0W4qGzh8Pnm5u4rmoXnT0c -ft75tWeOoqWHE/Rp3fxXbTx19nB4nx+uVx6gpYfDftAtyW1UV509HM5f951n -5aClh8N5p8HNM8u76ezhBDVrGZJbpbvOHk5QxRuBbY+ipYfD+fJIxJo2PXT2 -cJgP4r69TkZLD4f9IGVGi1E9dfZweF5uOW/MQUsPJ+j29Ea/lvfS2cMJGnNy -xJCqvXX2cNhfvR/cOoqWHg7zS48Frm59dPZwgk76BZx6gJYeTtD2NZGNfPvq -7OFwv8PLRP9CSw8nqJD/neYrvHT2cIJ8P9+9Wq2fzh5O0MVflbyOo6WHw3kz -8PiXtv119nCCdvVZv+wRWno4zHMTr9cZM0BnDyfo3aku93PR0sNhvS1lO3vV -QJ09nKBaQ7s71hiks4fDfr8t+U00Wno4rJfHjkZ2GKyzhxP0YvG7oU/Q0sNh -P1UDa4/31tnDYZ52Gf89Dy09nCDvvItXQ3109nA473WcssV2iM4eTlCu1aoZ -p9HSwwmq1tYywH2ozh5OUGjaT+MFWno4QRnPO9cPGKazhxP0oWHx6gWH6+zh -cD8fOZXbgJYeDvP4jQe6wwidPRzWk0Jvy5xHSw8nKGjJmCrdRurs4bC+dhvh -8BotPZygmt6PW04dpbOHw/4afaVXMV+dPRzOSz0dJ0agpYfDebx1uXWOo3X2 -cIJ6zplz/hJaejjM1+XGfOw9RmcPh/XbklI1Ay09HNajCbf7zhqrs4fDfNnO -Y71lnM4eDuevjb2eRKGlh8O8PDXDpul4nT0c3u/PJSddR0sPJ6hJybiEgX46 -ezish6+L1PiGlh5OUPrC1/MW+Ovs4XC+KtDnXdkJOns4QUUmDemxHy09HNb3 -jCLxLgE6ezh83iB353to6eEEiQ71Tw6fqLOHw+cdcrxpNlp6OPz59JTzyyfp -7OFw/b/s7lxtss4eDu9/eKXnx9HSw2HeLdF8SvspOns43M9BBfUnaOnh/v/v -VbNOjZ+qs4fDflZ/l3e+aTp7OEE9vs8ptQ4tPZyglDqWePvpOns4QWpOr6Bz -aOnhBJUL7unSbYbOHg7zXE7JAulo6eEEXZ+74Pa0mTp7OOwHHc5sKxGos4fD -+xN4dOo2tPRwgkZ3Htvzv1k6ezjMm28+NklASw+H+zOncdUBQTp7OJy3OnUo -+RUtPRzWqxl2/+bP1tnDYf9wS84pO0dnD4f1Ka1Hzn609HA43yzb+bfVXJ09 -HM4T0xOLJaGlhxOU7931iqPm6ezhBO3Qoxr+RksPh+/bso/nqvk6ezhBxSLf -T7BZoLOHw/efP2BTDFp6OEEdax5LcF+os4fD/n794+9UtPRwuP6nrZpODtbZ -w2HedKowteginT0c1vNVyrkItPRwWK+L/SjScLHOHk5QbzOx3xW09HCCbqxb -e6zfEp09HPaHNj0sX9DSw+Hzdiwyaf5SnT2coLs/Tz4ru0xnDycofKePxwG0 -9HDYP6OKXXJdrrOHExTW4YRLMlp6ODxPH7wv+obo7OEEPUxXO/xBSw+H9WF1 -YvKaFTp7OEFjO67ytVups4fDeX+Md/6zaOnhBG3u0yqq6yqdPRzOG2Prd0xH -Sw+H/bRko6zpq3X2cILqhbvvtlqjs4fD+j07aHAUWno4nP8r3ajsFKqzh8Pz -8+S/tES09HCCztieP+ATprOHwzzm6zvrO1p6OEHTSzXruWytzh5O0O9F9RtU -W6ezh8P6Znjq0Wjp4bCfH9mU23G9zh5OUGZb/dNztPRwWO+nnE+buEFnD4d5 -MWbz8yIbdfZwghosOJQagZYeLouyume/a7hJZw+XRW+2Bv24ipYeLovOvXUt -MXCzzh4ui5L3GHaZaOnhsshuaHCHReE6e7gs6r7Byr9ShM4eLovW3ngWcRQt -PRz+/tzvd9tt0dnDZdG21v1LPEVLD5dFtUKtPCZs1dnDZVHHPDWs0DadPVwW -LdHHvNyMlh4uiyoNLN+4wXadPVwWvRhVbeUVtPRwWeSdMO9L/0idPVwWPS3Z -pvc3tPRwWdTq5tBLwVE6e7gscgh/26TSDp09XBZ9U+4eOYqWHi6LNl+1btB+ -p84eLove9Xlx6ilaergsch9ctG3ALp09XBb9nBn1qPBunT1cFj3wOBIQgZYe -Dt9nSkOt0R6dPVwWTdlY50wCWno4XP/+20cO2quzh8PnHRZaUaClh8uiiP4F -HyzZp7OHy6KWH7PXVd2vs4fLonZxEwdFo6WHy6I9yybX6XxAZw+XRWV+/stL -RUsPl0VWpys+nXJQZw+Hzzv38rkSh3T2cFk0Gxc+Ei09HL5/3v0wp8M6e7gs -OmvrsvQWWno4fJ9GbYKHHdHZw2WRz6v0xTlo6eGyaJOotWbVUZ09XBapdqUi -bY/p7OGyaGWLzTFn0dLDZdGhn7eTux/X2cNlUWq1I9nv0NLDZdHkQBeb2Sd0 -9nBZtCZpVq/S0Tp7uCyqLPxD9qOlh8uicXsqJLY+qbOHy6Ls87OUR+j/AXLk -Dis= - "]]}}, {{}, {}}}}, + "]]}]}, {}, {}, {}, {}}], {}}, {{}, + InterpretationBox[{ + TagBox[{{}, {}, + TagBox[ + {RGBColor[1, 0, 0], PointSize[0.003666666666666667], + AbsoluteThickness[2], LineBox[CompressedData[" +1:eJw0mnk0Vd3/xzXJPZOUqaQMJco8D8U2hExFETJFxpQQImSIpIxJkkikWaMk +alfSIFI9mlWaU+45V5Hmfp/vWuf317NeK8+99+yz9/vz3mu9lINjPELHiomJ +aZNiYv/779btY5S/jRXirScnV5+8LED/z7k8r34n//jGOCE2TW/6cuSKALla +6hbtHi/EJ7cELDpwVYB0djnYr54gxHMG6f017QIkJQr4M19ciPs623/vviZA +Xx0TzzIThXiybYZPWYcAPagtWN0PLLne9nzhdQFq/lmvclpCiGek0VO33hCg +yqVtT7IFQlyc9mJj9k0BSj32X7EnIcTny871p90SoIAJnx3mkELMvtrpsKFT +gFDA2H/fgYs2p56Muy1AKs1Tz3VSQvx7T5TCmi4BGj9Jb00VLcSVDkF54d0C +9D7CcdZaRojvN/iPrrwjQDevBD6zkhRi6SfBEX49AnRkWlKp1CQhvvcn5pnX +XQHaHl+46A1whmHOEvd7AhTTdUCsSUqI91btv+F8X4DcZ19szp0sxA2eN5H9 +fwJkkN671nuKECtt+taKegVI5tHn2XOlhbjMVMvM4oEAjeqMe/4LuLA2+rzR +QwF6kjetrFtGiGuenDXTfSRAra/0nGtkhdhfTPzi3McCtNd80dhYOSEONgqy +mf1EgDbtCGqxkRdi14r2WzOfCtDKwaR10lOF+LKj7tJpzwTIbmHRnPfAq8Ia +Xkj3CZBadcOL5mlC/GaSWrTkcwGSGL24c6uCEHPhJ34JXgjQp8UPXFZMF2KL +bTYF418KUNehwXFaikKcWflS6R9w45jxrX+BM5pyz/3oF6BiX4W4uzOE+Psv +E7fhVwIUd0ZfY/9MIT5d8PUD+1qAllFO/fFKQpyU35I98EaAjENX7lqoLMTy +k/OV374VoKmXNrjJqQhxpF3olRfvBOiXbPGEAWAba+eQJ+8F6HnMwbYLqvD9 +6vMn9n4QIHzzUvz2WUI8cZrp8TsfBahW+eHcgNlC3Gpk5XlrQICyU4SvdNSE +OG+P+7/2TwIU+t/43WPmCLHf6pijlz4LkIPm9CX/AWt1VPi0DAqQRo7BxAPq +Qjx0s1twVihA5AunS4ka8P7KmbZGVoBY4+AEx7lC7Oa2Yt1hToDuFiVrTpsH +6yV5Vq1eJECnPxa/+Qy845f8y+ohASqzPlR5UVOInfXyd+/+IkCJldi9SEuI +1e9N9Cr7KkDeXx9KrNQW4oW/SqSLhgXI3IXF+jpCfLFH/cHWEQGafmBC0nhd +IT67tmvX5m8C9PfPdO2HwG7fU/02jQpQv5fhu4N6sP+3m6umfBeg9hPOVcn6 +QjzTZcLg+h8CdEAiZKmzgRC3eD0/F/NTgLasTCEUDYUYd13NjvolQJEXSq6w +wGvuNHmE/hYg5ymHN1w2EmLx1HOqQX8ESCv6sk6psRDfHbn2zfevAEl2PHof +YiLEcn6vbnv+E6AhRW6vkakQz+sh65aIEei/RHHPiWZCHBRjl+o8hkBNPYrU +E2Ap/23L7ccSqELdqP2IOZy38/2G1uMIlJLpkpJqIcSB++2k548nkN/TED23 ++UJ8w7x5xHgCgSwNNn6cuUCILfebPtETJ5DS9tKaIWDjHzcvaU4k0Nh3h73a +LYVYNSiiYY4Egd4uuELvtIL9MSpbrCIg0PXyx9fCkBB3v+zdqEgQ6BDHbTS1 +FuLtZvsj5UkC5TtONCBshNh+9iafKRSB1tTO+PQMeGv7ameGJtDin0a1x22F ++INhhJWAIZDeUlfvTXZC/G33eqPxkgSacmyVpPtCIXafUqL1D3hkfOp1FXsh +3n3l4pyfkwj0yH9H2jDw+o6fqiNSBGo5d8TwuoMQ51s5qogmE2iP5NXPuxyF +eNuSBpXPUwiUFvFkf+QiIZ47VWb2e2kCBV4R+Vg4wfu8UKbxSoZA1tMkpGhn +yNNls3X7ZAk0K37mzRfAEyRumD6SI5B4l/Gmky5CnP4t2fa+PIE+znIzznIV +4gBkuaR7KoE600KFS92EOFFcOvDmNAIde5haP3sxvI/IXzHtCgQq1ClbMQp8 +IH8469J0Aq3LOzr51hL4+/x/u1oUCeTx6uqtSnchFm2dfuLsDAIZmj/NiPaA +PD3sfPPETALJ7hgysVwqxFvIgjdHlAj047MEJ7lMiDf39Is1KBPomZ1Swyvg +T7IOSrUqBLq418T/jCfs77GXratUCVTzzU06x0uI351xDt01i0CZi8Nuey0X +4iznT/mlswkUcigtS91biHd+2nO6QI1AC8fsNPsJrHM6qC9vDoHm+B4T3faB +fGs3ldisTiDBmfaDe30hD61mGW/SINBn8llAzAohJhxUw1LmEujOqi8y1n5C +fOmXUUXCPAKdvCjonuwvxCXpfl3rNAlUKqu8+S3wSVH52GgtAq2PMbU4FwDn +L/6debg2gbxuLv6yJVCI6+Y4JgTrEMhUOfywT5AQD2jjU/66BJqWkh40byX8 +niNOIm89Av2+v1PuD7B36yfdZfoEejHv+J07wTD/smviFxsQ6PLmazn7QoT4 +lUrkeSdDAtU9fzY/bpUQK7Q5/FtoRKAc469fbUOFeNo6C0drYwKFFxFHZcKE +eEGQ3Y75JgRa9FE5+APwwPHgfhNTAs2zNpvaEg7vI6Vcx8CMQHTlkrv5ETC/ +P7zM0DYnEPclfItfJPweWateDQsC3XPeZKkdBe9b/azG7PkEOlNfPvIPONDK +KlNpAYF2/jl+7N5qmFep/U8VLAm0wasjpC4a5t2YCmM5KwL5nuiblrBGiCVE +oWWTEYHmSwzfs18rxKGRTsO0NYFmrCS3ysfA+yha6CWwIZDYBRX0Cdg3e/mF +8bYEej3ZfLR1HbzP8AwlMTsCXVvt3lgQK8RxfpfzfgE3XIsIDYyD87xZ7uu3 +hQTKU8yYrhcvxF/G5AZ+sSdQVOKu/8auF+LyEfKO0IFArj2N+b3ACZmHLQcc +CaSjft26IQG+/0bAqbeLCCSV+fx7UiLst4/z1PqdCPT1yfCJRUmQfxJSe585 +E+iBPhWusAHyxJ6RfeRCoOZtqjOEwBrXVUvuuxJo91vzB5eShfjxIXfmjhuB +Ni7w2F6cIsQxkyoKbi0mkH95pG3wRsjPGaNMxxICWXEZPw1Shfj9yNrSy+4E +UnGsODUhTYirm//JtXkQaHztiYhHwGzO4ZrmpQR6/+P6zMPpQnwnK1rjzDIC +3fR48TBlE8zju45NjZ4EOnJ0pMAlA7jY0vaIF4G2j6cXzsgU4odvXf87sJxA +a/1n/eaAp4oSQ2u9CbTknMWZK1nAvS0/qnwIpC+5NGpHthALT8sWV/gSSDoi +Sjl0M5zHxgL1shUEGr2c+dg4B87DwIz2Ij8CPZm6u0giV4iP5XQGbvMnUGvc +SfunwIYHiv/mBhBo7+0bf45ugfcZFVuTFUigTbNenk3LE2Kf0Wib9CACrUz7 +tnrxViGOXpv9IXklgWwf0qrK+UL8aOhsYUIwgWbrzH76Bfht3RjT2BACTcyb +X3JtmxC/aIh8E72KQAP9Sx3Lt8P+VxQWR4QSqMts9b/wAsgj9e1oVRiBGkuz +zpkVwvwctP8SGE6g4s+715BF8H7zFRtWRBAozu7UrOfAd2dP8lseSaBle28+ +aywW4sGXM6SXRhHI+NvL0owSeL5ni+64rSaQ/OLRRR6lMA8sSvKdogn08yAz +ZtYOIR6rMuJov4ZAfWJq50eAd59KENisJdAlnwUxN8rgeagpXQtiCFR7epna +7p0w/726i83WESibjH4eVf6/Pl2/3CiWQKGrssvm74L9ZLlbSS+OQA4XK52Z +CiG+rXz0s2Y8gTRkT4/tB56S/vS8+noCkTG3Wk7tFuIjCXPyZiUQSHijf112 +JczHeaU+SokE6lH6PsdzD5zvK9O0picR6FSy5Eu1KiE2X3FlrPwGAu24r1b+ +HVhBIffplGQCJc6zdO3cC+9fNfKsZAqBvDd7jq+qFuKUiqhiciOBzJ9Ht66p +gfO+J3/txFQCTTfeHGe1T4iZJbfcxqUR6G/hHg2pWiG+/lhN7x9w/4fT/a+B +K73rZH6lE+gq6tx1dr8Qx36x/P1tE4Hqd79yy62D+8KtH2+/ZBAo98v3Cd71 +Qvzy3389bCaBIpwnXdQ4AP2spbvtUxaBnOvnrP8FfFz+w9H32QTS+mM5r7tB +iHuR0t7Xmwkk6eX1uvognL9lycUvcgg01Lhm97pD8HuSvuQ8zYU+MjFnic1h +IT7VtS3t4RboI0FVE6WPQB9NXJh0P49Au1rOXHoH/L5iZvydrQRKnnw7ofko +5I3XtNjOfAKtWP1ac+sxmKf3jWKvbyPQgms/3vgeF2Iz3bj4q9sJNFNRao9m +I3x/aU/SpQLoI4nqHn+ByxXd0i8UQh+5YyW4ewK+76sw91wR9JE5yy/XnoT1 +MD1RcroY+kjG2qT4U3AfkCytbiyBPvIkR3vhabj/7Ck/fqSUQNH6e9/JnoH1 +/9d2qWEHgdy2na36CEwFTbi/v4xAum9vL71wVoifvIz5UL2TQJMXvCG2N8G8 +2f/7b2U5gYZ3/rzifw769e2j8rt2QR9hpZJ1moV4dVqa4Y4K6CMOGrpjzsN6 +9sV6FO2GPrIPfbgPfJ/aGretEvrIj+XV9S1C/Fm3vWzLHugjHjGeiReE+GC0 +Ukt2FfSRo7mUY6sQV7zZ93LTXgKpjq9un9omxEtb7CRSqwk0wb8p5TPweinK +YEMNgT40deldvCjEVZKjgev3EegW8/Zj4SVY70cTitbVQh8J/1UThIW4frvp +5ej90EcuT16ufxnOr0fR14g66CNT5zLjr8B5dSU0Quuhj8RZdzwATq49HLTy +APSR296pB68K8ZLYNZX+DdBHZq0zSG6HfHzl+dDnIIG+p2755HQN5r9MiLTX +IQI9fVBdO70D1ld35zKPwwRq0z7nzQKfXT5Y7naEQNVbuiUvX4fz2xjxzOko +9JH+t9dLbgixrTeh4nAM+ojZ77SQmzBfk+9F2R6HPlI6xcjoFrwf40tNVo3Q +Rz7PHRTvFOLOU3fHzj8BfcTOpu4x8NVJAg/Tk9BHqnx8j9wW4h+J4XWGpwjU +PbJOKrVLiDdIDH7TPU2gE255N127YT0Hyl20zhCo5GDNppl34D64IKJe4yyB +4sWajYeAUzSC/s5ugj7ic0d4tQfuQ/fSfVXOQR85/a6+7C7MI+9r52c0Qx8h +/6wIuwf94o3uVIXz0EdCpKeY3od833Vto1wL9JG2eZ2C/+D+tGtT/5QL0Edk +bDOfAe+TCHaY1Eqg/Wt9TY/3wvMy0SepNgJtvhHLpT+AvO3eqyC4SKAwpa0N +Sx5CP4sazZtwiUCOyfv8VR4JcYQg+fsYDH3kfrP0MHD5HZWov8D0vJ7bHY+F +OPvF8POfl6GPZL/P2vUE9oc/t3T0CvSRvj9mkU+hX6+b3PX1KvQRI5kh82eQ +/xYB9qJ26COFmoeoPpjvr+9fHbxGoKQPtoEvgN/nr7Me6CCQD1ohe/I59HVv +k6vvrhPIYndcd+YLITaKnbPw9Q0CKX7ZunnpS8jH76jzxU3oI861FrP74Xkn +b3Z/dgv6SN35L9+AyXeip486oY/87jl885UQq5RtCeu9DX3E80NQ5Wt4XjvH +4btd0Eca/8pFv4HzpmCwubsb+shE2Z4Fb4U4bL6jbOcdArkEaeVKvoP7bPeW +I9d7CKTdYrfg1bv/5eMQar9LoEmT/YZPvxfi9ru5T/A9An2Jij+6+QPs1wL7 +9W33oY+05wd7fRRiZRc9qZb/oI9M3z9VfUCIP+o7nGzqhT6S0HL3B7BDWJ77 +6QfQR+7c3XL7E8wXcmS48SH0kTkfLfd+hj5tX1B59BH0kYx/I2sHhdhlgbvN +occEUn4iexwJhThH2nqw/gmBxulrr5rMwnoN+VXUPiXQu/yFCm+Bw7/X21c/ +I9CNN373mzi4Py+eOlrZB31k/vqtW0RCXDvtwuFdz6GP7NyGfIaE+PWmLQFl +L6CPsPtH534RYtn6TJmSl9BHHC40/gaOOHboTkE/9JF990LvfIXnvfR7a/4r +6CM/Pk7fNwzf/zfNYctrAn1zF+uNHYH7c6nmxM1vCPT4iNw222/ANRK3Mt4S +6MI4HRuZUXg+p8kFae8IVOVn/+M9cG7LoqUp76GPNPmfPP9diAulTigkfYA+ +wiSE5/8Q4u9JNu/jP0IfCd8+w+8n3L8mjz+zbgD6yOW6B1q/hLh4wnDmmk/Q +R6a2bv8HXJk0eWnUZ+gjsfdt7/0W4msFgWrhgwS63Tnwc/8fuN+tefYrREig +46pjTq//K8Tx83P/C2IJVJQqH2n/D/aTUtBxf45AsQ90lOTFWPxuQeRWXxH0 +EW2HRwPAFa214cuHoI9sCShsHcPijksCx2VfoI/0JywsGMviyWtq57p/hT5i +WvA7YByL5w1GSLoNQx8pqT+jO57F11YGfXMagT7yqTVq7AQW7/y65aXDNwLt +s/1PuRc48MbLTrtRAmVVfXp8QJzFH8dGnLf+TqBVI2OKkyay+O9tpUOWPwhk +7zbVYZEEi9c7EpUWP6GPHNT9O03A4tnVswpNf0EfEXNsGgT+8iVms9Fv6CPe +gdGXCBa3Rw+m6v+BPnIqUbWYZPFmvcoknb/QR4jCpyspFmuGJ6/X/Ad9JORA +iQHN4nMG+fEaYiRKaGtznMCwWPVi13q1MSRaLtP77yFwqob1BtWxJDJb+/nc +IUkW36v+kKY0jkQKN8auTZnEYhPbyzmK40n0Z+a02S5SLO6af7to2gQS9W/Q +61OczOIzpySq5MRJdPWe4w4OWObmhiPSE0lUPzfI6coU+L4GhVYpCRLlZieN +2SEN/x431M0ISBTRV3h+lQyLNTx+viYJEjkZNcQYy7K4Ptr4pwRJIs3Ci2oS +cvB7PhyYIk6RiPnQ+/wJ8LhPjjrjaBKJrAbLjsqzeMfuma5iDInuV4xzSZvK +4v7pc9f8AW4amjZu8TQWe1RGFP2UJNEuJ/0LSgos9jJ9dmZ0EomS6xbFfgEO +Usx6OixFohW/g9SvTWfx5xj/cV8mk2iB54aXOxVZnOy1RpubQqKZjUXl4TPg ++0ZPrRiUJtGYiQddzWay+GbyvG0DMiR6E3hpPKnE4sZxT9vey5Ko4/yD1j7g +t60XRW/kSHRQShjXqMxi8tZDtVfyJMqPGj83QwWez3124IupJIpuV3jlrsri +96mHdz+bRiK36QYVqrPgeWNDHj5WIJFugtPiEeCPy5bKPJxOosl3VorfmM3i +FYuTvf5TJNGwWvLFCjVYzy0Pdt+dQaKHm4rXR81hsbpqxMvumSQ6//jgvPnq +LNZ30ptzW4lElXr4Na0Bf6+qF3tTmUSp+Q93vwQe7Q2/2KFCosA3wiWn5rJ4 +W+4Dsl2VRNbzJ0hkz2Pxi4AUv8uzSKS6czpepsniwc1eJy7OJtEE1iBRTYvF +bTOjxreqkeiDvbPWd2Afr6YV5+eQ6FZN8Ntb2ix+uNi0qUmdREe/J+/Zo8Ni +V4Ovk85okKjAvcRjjS68vznv156cS6KYI4cEVnosbl4m1XN8Honcx12+PEmf +xWNerdc7qkkiQ79HSa+BR75OKj+kRSLZJlb7rAGLe86++31Am0TfafH3OYYs +fuD0NbROh0RPwxT3Ljdisftzs3v7dEnUhg2XaRizeNeOFstqPRJVy7uQv4DH +7Ixr3KNPoozYkKtdJizmJEKVdhuQKLgzJbnalMW3ZEvKyg1JZKdaqrvOjMX/ +3n0jyoxIpJZ6+IO1OYsnVpdnlxiTSPDgcvUUCxYHhK37W2hCos9ajz3fAeeH +bd643ZRE3bkc1TwfzsP1+z+3mpHoxEvxa3kLWFx2bEXqFnMSlZjO2OhryeJ9 +1jPFcixIFF9ipK9pxeJnxxRzs+aTyPOTy8AfYFZmOZOxgEQmtqv29SAW59Xf +rkizJNHUqo3La61hf2dsnL3RikS/hkuZeBsWG98LO7sBkeiF65EOO1tYr0sF +CxOtSXS54UqqrB2Lv68TPY63IdH+f48NPgJvlixaG2tLos3eok8tC2H9rkaK +x9iRKOzUxP3b7FmMTmXsi15IIkdipo+/A4stxvfOj7In0dwQ40k6jiw2ehPy +LNyBRFSb6w2xRSxWS9RNDXUkESsdmn4fmHlnNjNkEYnurkk1qneC97k841qQ +E4nOXN8xmODM4k/fxkUHOJNo58yjdQ4uLB776ZqMnwuJkjZc9Z3qymJ/n/Yr +Pq4k8rn3ROoz8Iw1/2KWu5HIYu7QzTY3Fse5Jit5LiaRYrZERuFiFheq6P3n +sYRE/57NNAlawuJj0nPylriT6JWhCavnzmJJlxVWbh4kai9wOzDOA75vqPu7 +81ISHXgf6vcA+OG8TWcXLSNRnlXalINL4XzPiolz8CRRVEVZ54ZlLC4YX62/ +0ItELkNHM508WWz+lRyxWU4ibad20+lecB6mtrQgbxJNqnvKCYGDaw5kWPqQ +6MuvoQa8nMX/Hb+3aL4viXqXCQJKvFlsuGaBjPkKEp07riQT4sNiKfGB1yZ+ +JKoQN+0y9GWxWG3vaSN/EqUELs4WX8HivWFiOQYBJPI/H2b+GLhwU7SPXiCJ +rKTShw77sThdeqquThCJlKN2Htroz2JlN3GB1koSjWs/FugaAPngafh2bjCJ +3ilck50ZyOJ4j7or6iEkurH+WbcI+NTapbVqq0h0uPvL5qtBcN7v22XPCiXR +NjViftlKFsccTApXCSPRmk3KX0ODWXxEZtBVKZxEix+bHjEJYbG3/X7jGREk +0tdbslKwisURwZXK0yNJJJ0fLv8MuLe0l5kWRaJvr9N7joWyeI+Y+1+51SR6 +bFGemx7G4uu9kiKZaBJdKDu+YEk4i0NNpd5OWUOiKuG1YeUIeN5lnk+l1pIo +3b7v6FfgII+++5IxJAqq+RrcEcni8Ssauul1JLL5TkzbFcXig7vOdJKxJJrl +rnIvYjWLM/TGdwriSDTxiFmeeTSLu90rbk+MJ9HAWHcrag38PuV1PRPWk+j2 +iohvz4Hfdm57MC6BRMfPbjp+Yi2cj8TPz8ckkqiI3rUqM4bFKa5FH/8Bx4Y1 +KixdB/0hOWnkTxKJluKO+7NiWVw1q3787w0kMpJ/vvUbcFKSjOzPZBLJxQ6j +m3GwH/bc1vieQqIft8jvu+NhP7Ret/q2kUR9KqonVq9n8Zx/4t7DqSS6tNE8 +bEECi61Ltsd9SSPRvl53RclEFs/cs7xIlE6iLK3I3n7gUPuIRnYTiVblZmw7 +ncTiy22XegYzSGT/cpfN5g3wPHNWfP2USSJ10xM/PJNhP59aMHUgi0REyfWT +c1JYnJkdZv0hm0SDA8/DfwBvv/Fg9bvNJLpjMzLj9kYWZx3cVvEmh0Qn91AP +q1IhH1zzbrzKJdGOYdWCtWmwH17f/v5yC/QRVws7lM7i4yVemi/yoI80ePyS +2sRiy83qwX1boY/8izz9BtjnrXXl03zoI96ZkU0ZMA/79vc+3gZ95GSF0pZM +Fi/a6Sz1aDuJXgpOPvLOgu8zn7/kQQGJrgTfKJybzeIJI+tL/iskUV3ri4W/ +gS9yI733ikiUI/3td/dmFpcvw9PuFkMfWUOfrcmB9+N6L/hOCfSR67NWx+ay +eMsEzeNdpdBHZs5Xsd3CYpWGnh+dO6CPbFj6RDoP+qVPm+OtMugjd6OK3wOr +OX/ZfWMn9BGNLIfzW1lsWx8r7Cgn0dms3X+35rN4f5G57bVdJCp/drJpxTbo +Tyaue65WkGiD4c1ore0wT68fHrm8m0S+BS9V/wF3hy/xwJXQR95/e3q3gMXP +7axPXdwDfcSKKd1fCPmVlzq5rQr6SMXsReuLYL/4j028sBf6iGi+mH0x9Ef2 +/rPz1dBHFi1rliuB8xvG2jbXQB/Zv3rtAPBVbllj0z4Sbf2VNbu1FD7/rLjC +2VoSrV5W2bd9B5y3/nH5p/eTyPX4qR0BZSxeWO3y62QdiXTEbznp7mSxlvyr +tSfqoY8E9o8ZW85i3+Qrb48fgD7SPHr+P+DGQaHfsQboI5Mk1x3YBfNub9ij +Iwehj0SqzUmqYHF207xlhw9BH7m64IXjbsj/oAX/HTwMfUTBc+e0SsiTzj3L +Go6QKGB9tMsg8L/pDo/rj5IIdWePu7SHxReyrQLqjpFIRW3PhaIq2M8aOe9r +j5No/KbTsSv3Qn81l4/d1wh95NEtdYNqFrv1f/9TfQL6iO6rl+NrWDzfYU7B +3pPQR7Z+L38IbFtZN6PqFPSR15Juh/bB+o5fe7ryNPQRizkTUmpZfONUruPu +M9BHyizbnPdDP+gS9u86SyIDoWe8Yh2LczPrNpY3kUjGfs1cDvj7z4PyO8+R +aLR686vL9dBnVv1q3tFMoiejeypKD7DY5tNen9Lz0EeWnFm8qoHF05uL/hS3 +QB853ClufBDe57e7dUUXoI+MfX1x4iG4P/SudClshT6y4sf6J8AWaTaj29ug +j5ydpHn0MIsNFOLrt12EPkKrv0k9AveTp1+X5l8ikUSYVaXbURZP+3BtwlZM +ok+XvNyVjsF8j37fsuUyibrk1kp8Aa4u916Xe4VEjetycPtx+PwSBY2cq9BH +blUl7myE/pCn8za7HfqIylmt8BMsTjhYVpt1DfrIxttvTU/CeZjmvDKzA/pI +7+s9xCkWb2SWqmZchz6i9dOjD9i08diH9BvQR3KkiMbTcD9Q825Mu0mi5y/U +r2w6w2KX/Z5JqbdIhE3QBvezsP/dDths7CRRbfFyHdUm6F/LHSal3CZR9sDa +98PAqwcs+jd0QR+xyd17/Rz0Z53s00nd0Ef27F1W0Qz9fbnilsQ70EeGz5JR +52HebCcCEnqgj7h2XbVogfn+y8lk/V3oIwfeJNMXoG/1vJgcfw/6yN+fui+B +9xtfF8XeJ9Hp5ZM/nmxlcazfmHvr/iNR2UmNmqw2yMM1xWdiekmUKLD2WnYR +fn95fMXaByTyDvam1S6xePm4o5vWPIQ+0hpzbRRY95VxZPQj6CPSWzbewixe +4yfnufox9JHoav09l1l8otbFNuoJ9JGOpoHoKzDPnz80iHwKfWRG9z7Lqywe +mH9OLeIZ9JGkt8sntcN+HvykEN5Hoi13fzGvgYvlE6aEPSdRpMaU62euwf2O +XUaHviCRc9bctJwOuD9U5gtWvSSR1jNrw+XXIX+cZCVC+qGPGPp8Vr8B9+G5 +3yWCX0Ef2b5u/0/gSRG61MrX0EfebfHpugnzVQdLBb2BPmJZM6n6FovtGuun +Br6FPrLr3I2YTshvqVeqAe+gj4i6061vs7g0Z72u/3sS+S16ZzSlC+axaaCV +3wcSWe7/PfgW+K5X7ZIVH0mk9GtK/bluFkszFqt8B0g0dtm8FXl34H1t00zx ++QR95JjNZN8e6Ge/E0q9P0MfmeB7a95dWN8CuePLB6GPBMRm/AGuiJbq9BJC +H2nOM+m5x2K9GyEDniz0kUn72H33YZ51MqQnB30ksvlA3H8sVqyfrLNMRCK9 +q3f87Hqhr2fGeC4dItEUhfdTZB9A3yuane7xhUQj8X86PwBL/zE87P6VRI+6 +pLNaHrL45Le9D5cMQx+ZrWm27RHcZ44EiS8ZgT6Sbivyewx9fmGa6eJv0Ece ++R7UfgLn6dvXaLdR6CO6cQFiTyHPBq7VuX6HPrJ1q8x94MNuoj6XH9BHXu/r +qnvGYsIjUd7lJ4nELc5nJ/Sx+Jfyci/nXyT6uKPH3OE5i1cOlpY7/SZR5+D7 +IfkXcJ97qfFk0R8SHVv499AnYCf16TMW/SVRYbVMUNtLeL8jkaGO/6CPjGrK +FfbDfTthygkHMQotXWJ3J/AVi38+kP9lP4ZCRodX5Oi9hvNsl7LIfiyF5MbG +zx/3Bu6DIpPKheMo9MM3/2sv8IyJHkK78RR6dqb2SMNbWK9zN23sJlDoItWy +csM7Fv/Qqqq0FadQTehdeaf3LL5f2TVsM5FCmZc+9Ch8YHHLPF93GwkKhcj9 +yxUCS0ouPGktoJD9OllL/JHFVvEFUtYEhdRvaY0UD7C4NdcgAZEUIlQWHgv+ +BH1gg8kzK4pCgyl+IYaf4bwk7La1oil057/4aeKDLFZo9Gm0ZCh0UnPbvUfA +8ks2KFhKUqg0Z3/eYSHkUf5I/oJJFFr/osVqI8viedvu/Z4vRSEvk3vfXDh4 +H5lU7PzJFDIt/nh8hgj6cFXDB4spFFIY+LdKBLyc2BdkIU2hP9Zy068Owfr/ +/P7MXIZCLyu1/9vxhcWdlad8zGUpdOXrwvzQryyepdD5xEyOQnUu/tYmw/D3 +R2z8zOQplHNg/XeJERY/TlR4ZTqVQuF/t514Cmx6clmE6TQKLVpeF3bsG/Sh +HHbIRIFC805eUEwfhT49eyDNZDqFaMH93sXfYf5iO8pEkUKilQPblH/Afs8a +s9d4BoXuXxCz/Qo8r3qmrvFMCp2dIv/z2k/om9Y1HUZKFCqP1jlV/ovFl/Zk ++BspU2hDh31ExG/4/L72UUMVCvnOCJhp/ofFDfqRZYaqFJqflPCQ/MviyXfW +GRjOotCMu9sLngNLv3jaazCbQmIa9XYn/sHzlR7cYKBGodeZrb8yxDjcN/XR +DIM5FOp4ev+0xxgOX6mPvKGvTqGDBp8iZ43lcGJwYJy+BsX7cByuzTo/U38u +xftwHB41TOjRm0fxPhyH9Q/vzNTTpHgfjsMKktOM9bQo3ofjcEb5WKGuNsX7 +cBy2ilncoKtD8T4chy1uiQXr6lK8D8fh0F5ZJV09ivfhOHzuckm/jj7F+3Dw +fZdi63QMKN6H4/DH3+cidAwp3ofj8NCxlbo6RhTvw3E45m/sT21jivfhOFyk +/PG6tgnF+3Ac3mZ/bae2KcX7cByu2yserm1G8T4ch2cvP2GubU7xPhyHw+su +TdK2oHgfjsO3TxsOaM2neB+Ow21NUte0FlC8D8fhU32etVqWFO/DcXie95hM +LSuK9+E4fNZbOkQLUbwPx+HXTJGDljXF+3Ac9joaq61lQ/E+HIdbA9rktGwp +3ofjcIdPzDgtO4r34Ths2J0/pLmQ4n04DvcOMK817Sneh+Nwcv+vXk0Hivfh +ODz2tXunpiPF+3Ac9p0pdVVzEcX7cLAfbpm1aTpRvA8Hv0eq67ymM8X7cBwe +p36zWdOF4n04Du+w1G7RdKV4Hw7WL/dvm6YbxftwHH6jY9auuZjifTj4/9e8 +uK25hOJ9OA5fW8s+1HSneB+Ow7FhkW81PSjeh+NwUM7iYc2lFO/DcVj0e5+4 +1jKK9+E4XPDXX0HLk+J9OA4fbc3V1/KieB+Ow92hCi5ayyneh+Nwmvb0CC1v +ivfhOOzhsDVXy4fifTgOC18FH9TypXgfjsM/dQ53aq2geB+Ow3ohfiItP4r3 +4Ti89HiqvLY/xftwHNZChK12AMX7cByucJ2wTjuQ4n04WN+Ja2u0gyjeh+Nw +U43dPe2VFO/DcdjNcesEnWCK9+E4bG8wf75OCMX7cPB8pf4JOqso3oeDz68Q +ntQJpXgfDn5f0iCrE0bxPhyHDwb56uiGU7wPx2HjLSZxuhEU78NxeJbi5mbd +SIr34Tjcvgz9042ieB8OzlNQ9CK91RTvw3G4JE6iXC+a4n04Du+9KP1Obw3F ++3AcllxfYqy/luJ9OA6vv5merx9D8T4chwOEff366yjeh4PPlzlmZhBL8T4c +vI/4wTKDOIr34Th8yaz6i0E8xftwsH57rngYrqd4H47DC297NRkmULwPx2Hx +3/7TjBIp3oeD3xf0KNMoieJ9OA7/mNf+2WgDxftwHJYpUfY2TqZ4H47D369+ +uW6cQvE+HIfPDBubmmykeB+Ow6t82KMmqRTvw8H5mzVVxTSN4n04OC95zZWm +6RTvw3GYaLklY7aJ4n04+D0Di3eYZVC8D8fhEFenKeaZFO/DQT7Ltew0z6J4 +Hw7eT2rVNItsivfhODyhcbjWYjPF+3AcHui/Mm9+DsX7cBxe6Tj+/Pxcivfh +OEzKt9kv2ELxPhyHt2cPPFqQR/E+HIcrL21fbbmV4n04Dg//PjDGKp/ifTh4 +3jijSqttFO/Dcfiqh4UR2k7xPhycx3vN91EBxftwHH6uejTOupDifTgOZ6XK +yNgUUbwPx+H3kqMtNsUU78NxeNrkJSttSyjeh+Pw/GYl0q6U4n04DicYRDbb +7aB4H47Dvy5ohC0so3gfjsNMVrCc/U6K9+Hg39um3LYvp3gfjsOK+xZkOuyi +eB+Oww8D+80cKyjeh4Pn1/097Lib4n04yCv7ktOLKineh4N59agmzmkPxftw +HDaRVTdyrqJ4H47DG0zn/nTeS/E+HIePxDVccammeB+Ow7k/qra51lC8D8fh ++2MYb7d9FO/DcTjw8g+1xbUU78PBfokK+r54P8X7cJD/BjZdS+oo3ofjcJRH +zX73eor34Tgs+ytpo8cBivfhONwT3OW1tIHifTgON16qMlx2kOJ9OA4/tR2Q +9jxE8T4ch3PmnB71PEzxPhyH2erRPq8jFO/DQf6+bLm2/CjF+3AcNpjxo9H7 +GMX7cBzuLG7a43Oc4n04DpdFc/m+jRTvw0EefGhIXXGC4n04yHujvnV+Jyne +h4N8KywK9z9F8T4ch83UrgQFnKZ4H47Diwxi/QLPULwPB33gc41v0FmK9+E4 +fCHbdcXKJor34WC+6qcEBJ+jeB+Ow2LaaqtCmineh4P9f9Q9etV5ivfhIG9f +/U4MbaF4Hw54gvrmsAsU78NxuMr1vx3hrRTvw3HYR/T3QEQbxftwsL6qRy9E +XqR4Hw7mr+Lje1GXKN6Hg+eXyf28GlO8D8fhk1ZNE9dcpngfDt5fb6ja2isU +78NBvktUOMRcpXgfjsPvprisXtdO8T4ch500s0pir1G8Dwf7Ic/8QlwHxftw +0CcCEt7FX6d4Hw7y9r3+lIQbFO/Dwbz2jLdNvEnxPhyHHd4YJybdongfDj7/ +etrRDZ0U78NBfzByfJN8m+J9OMin8HLFjV0U78NxWK4swje1m+J9OA5nDl3a +nXaH4n04Dks1736W3kPxPhycL4UfMzPuUrwPx2G15c/DMu9RvA8H67XP/mTW +fYr34SAvtIx+Z/9H8T4ch3+bHHbK6aV4H47Dp0f278l9QPE+HMybahVuy0OK +9+Hg/ESqL9z6iOJ9OPj8orN78x9TvA8HeWp/4/u2JxTvw0H+XA/yKnhK8T4c +5J9D5rnCZxTvw8H+HKMxtbiP4n04DmfP804veU7xPhyH5f8y70tfULwPB/37 +pOuSspcU78Nx+PJG6Ys7+yneh4M8LQ/R3PWK4n04Dg+aWVRXvKZ4Hw722949 +kyvfULwPB/3ix+ate95SvA8H8yRndOzedxTvw8E8SPmaXv2e4n04OL9SyX9q +PlC8D8dh/8y89NqPFO/DwfsepzK2boDifTj4vJ6FefWfKN6Hg7yYMTyp4TPF ++3BwvjW0qg4OUrwPB/tPfUjjsJDifTgOC5ahC0dYivfhODz33TTXYxzF+3Ac +3iWd+fq4iOJ9OOiLCvEpJ4Yo3ofjcLPekPSpLxTvw8H9oeTXqdNfKd6Hg/cZ +V+p+dpjifTgOm0qe+9o0QvE+HIfv1oRVNH+jeB8OzpN/vVXLKMX7cBz+lxkz +cOE7xftwsB/sb+5s+0HxPhys75tGu0s/Kd6H4/D5UtVv+BfF+3AwTwrVjlz5 +TfE+HIcfMeeD2v9QvA8Hfcbt8dSOvxTvw3E4NXfLg+v/KN6H4/B+UceOm2I0 +78NxeOf1Hcs6x9C8D8dhaZshua6xNO/DcZirevG8exzN+3DQn6X8GnrG07wP +x2Hzx5Gx9ybQvA8HfVhTwuo/cZr34eDvHU0kH0ykeR8Ozsuq0VcPJWjeh4P1 +bHNsfiygeR8O+lf+vKKnBM37cNBPJ+6L7CNp3oeD/I1psH9B0bwPB+f3l6Va +P03zPhz05XeREq8Zmvfh4N+j5gjfSNK8Dwfz42pS77tJNO/DQV6rr7j0QYrm +fTjI38f3jgxMpnkfDn4v2bf78xSa9+E4vO9P8jahNM37cJAPH49u4mRo3oeD +PiURlzgkS/M+HIfH7OqK+SpH8z4czIue1tUj8jTvw3G4XGgVNTqV5n04Dh+f +57P6xzSa9+E4rNoxMeaXAs37cBzWHnFO+DOd5n046B+js9P/KdK8Dwd5Ll6+ +dcxMmvfhOHzAv2rXOCWa9+HgPJiZHZqgTPM+HIeVe6NbJ6rQvA8HeRplck+g +SvM+HPz/xrsHyFk078NB/00oHc/MpnkfjsMoWFVlkhrN+3BwPzV1sZk8h+Z9 +OMgTQzpUWp3mfTgObykNy5fVoHkfjsPFBd6n5efSvA/HYYngN33T5tG8Dwfr +5zKRUNSkeR8O+tKWa2YztWjeh4P7pLtCtLI2zftw8PvekrWqOjTvw3HYLr38 +8WxdmvfhOHzDt3Wyuh7N+3CQH+dSFs/Vp3kfDu4r3b2FmgY078NBn39+6662 +Ic37cByeqewro2dE8z4ch3c/zPEzMKZ5Hw7u2+auDUYmNO/Dwb/nnfxiYkrz +PhyHW/6esDY3o3kfjsNrnzjvmG9O8z4c9DfPzR8sLWjeh4P76EkfS+v5NO/D +wXxX69plu4DmfTgOfx159nWhJc37cBz+67vVw9GK5n04uG/t6D3jhGjeh+Pw +x2cX5Vytad6Hg3kVtzB9sQ3N+3DQh8pWf3C3pXkfDuZHuu7SZXY078PBvIgp +u+K1kOZ9OMiP+l36PvY078NB31lh3rDCgeZ9OA5fvJs6PcCR5n04WA/bwJ1B +i2jeh+Nw9NCrSSFONO/DcVhFfkJRqDPN+3D/m683JSNcaN6H4/Ccm1o7olxp +3oeDz+s2mLrGjeZ9OMgHu2e1MYtp3ofjsHrULK24JTTvw0H/S5NsXe9O8z4c +9JOLVc5JHjTvw8F8Cu96kbyU5n04yLcL1etTl9G8D8fhlOEp9CZPmvfhOHxu +meahTC+a9+FgPk39uHDzcpr34eA8ZDq8z/WmeR8Onq/LaetWH5r34eD9mnzR +3u5L8z4czL+xlo8KV9C8D8fh6mjtrBI/mvfhOLzxbLtOmT/N+3Acdp7692V5 +AM37cBw2evq8dHcg5O8nuUmUmAjHGIc4VgXRaO6uus/rgW3XFIrVrIQ8ttW5 +8RxY/FJga20wjSjRhf32Y0RYOeLRhvoQyOcq+/QTwNTREdODq2jEOt73kR8r +wouvX/h1OBTyesTfKBM4+4falWNhNLpbOzDpE/CSzeZbT4RDfrslDHqMg+9v +GFl6OoJGp3+K3WwFPlzjrdwUCXl+cHvdrPEi/PREyFBzFI3KlspvKgD+JCt3 +7cJqyHexet9vwA+k43dfjKZR4jEd48AJInxgICn28hrIe+9WqZvASQ9mu7Sv +pZH3BAehrrgIJ8inaVyPoVHnqfs3dwO/+JImuLUOzmdAQP3YiSL8p1598HYs +zAPi06bVwLoxaffuxMF5bU5Y0Qv8oSS15V48zIeQMSYLJES4xlWtvnc9jf5J +FkxuAP74OqXkUQLMizZ5lhGIcEhpSubTRBq9iqi/lQR8pWr2+udJMD9kdA/0 +A1+1SY3s30Cj9iutGYsIEbboSF/5JhnmyVoHv9PAdKiW3/sUGh2Y9p+JAinC +WiF5PgMbYb7cCJiyGbhGrNhnMJVGW+I/sYPAG9Pt/Lg0mDczEzs9KRF+p9iw +8ks6jSK7xjRcApZRaooc2QTzZ0NB5hxahD2vx67/nkEj59lT/YuB/7j3Zf7K +hHl0r970B/CG8SMlf7NopJWuKx3MiLD1zLb6MZthPs1t4zqB377RuzA+B/Lj +kcNtA0kRFivzuj8xF+ZV9n8NVcC2sXOFxBbIE53ArAmTRDj64nGCyYP51ffJ +fy2w64X+uVJbadSbl2j2CPjG4XZX6XyYZ0ZjZZCUCN/p9oqX20ajc68KRIeA +wyIq90zbDvOtcGqX1GR4362F1xULaFRhfuBgCvBpgeGwUiHMuw+62W+Ar5fl +z5pVRKOUHW0BLlNEWKOmdPmcYph/Vo7mTcCmq10K5pbQyG/wP5kZ0iIcaHG+ +Q6sU5mFF4FAusILHQzG9HTSyXPi5iwP+NdRgaVgG83Eo8ZC3jAjX+s/dZLKT +RkrVYzdfAcaPgq6al8O8dCoMnCsrwvJ1ThKWu2g0dnSqxQ7grLEfl1hXwPys +OyD7G/itqVmV3W7It8V6X1bJibDxVstPDpUwT3+3dXcDZxt/N3feA3l3yPGw +sbwIv88OK3Srgvnq2bu5Brjg7Na37ntpdHhMUJDEVBFuJoMXeFbDvG38bBEL +3NTFVXjX0Gibb5LcU+BP5gajK/bB/BUf99Vmmgjf3q3pHVhLozVnCu8cBX6u +2dcavB/mceC0I9IKItxiYqMSVkejxVRDThqw4G/Atsh6mM/n9Va+B85v1x+N +PkAjvdCL8xdPF2GJrouh6xpgXkstkj8PHLpC7FH8QRpNudT7VUlRhEubfjol +HYL5HRXUs/V/POP4lZTDNBqRHTzyBTjtqZxF+hGY5+1JuStmiHCKlkVz5lHo +jzHjgq8BX1shZ5xzDOb79KIFWjNFuOHwsea845C/N6dNLQfetOKXxfZGmPcJ +DcN/ga80jWsvOgF5rKx/N1xJhIW/O1x2nIT5f+fi0bvAuxNsnpSfolF6yqIt +ZsoivGZVckTlaegDag+C9wOrMqt/7j1Do6D/gixJFRHOaZYrqj0L/WDT4NT1 +wOsPbFI70EQjG80NI33AL6fXXT50DvrC43H3FqrC+gZn+R9rptGsnKJjjcAu +bYp/TpyH/qCnkCc3S4S3r02oOdNCI/EXDSEZwB2Xtto1X4A+ka9vNQBs+8V3 +8EIrjT4aX5rmMVuEI9wGyi+1Qb94s+jbBeAD8kZ2Vy9CnhU9uKeqBs9fbjnc +cQnybP7K49uBi2jxg7cw5NnHwbyR//17+za/7suQZzs3rAqYI8Lk3x7pe1dg +PliPRzeAJ4z7r6f3KvQRtkhBVx3Op/zOgsftNIqtVBitAH6WLevWdw36if3B ++2M0YH9tWy7V30GjpV/1G6OAvyd4P3pzHfpKzaWt/wHfy5u678MNGhm5OIXO +nwv7n9iz+vNN6C/fH6ADwAHWz824WzSSO7ByOjNPhLvi+4mvndBn3IWjicAO +H+tffLtNox9/Nvz3Etj33dymn13Qb46MP+GoKcIHT8UV/u2m0TOv4vxTwC93 +pEaN7YG+M2562DQtWP/7jovE79Lo4omD1tnANk2P5hL3oP/4GSgOAutnaUgy +92lUI4G/L9MW4coMy29S/0GeNTn1XgQuHJXtl+mFPFv58ISajggbap/pmvoA +8owO3lYEfH31lDbFhzRadUEY9h24eNSkUfkR9KWwZJuVuiI8LK9cN/sxjeyn +TJjRCbxKrqdS4wn0J1z8Q19PhCPNbXZqPaWRevT0B3uA995KKdV7Bn1K/tDJ +8foi3Egllxr10YjoMNi+BjjAecFOs+fQr2Jx+EPgrO4blQte0GhQ0dnWykCE +xz+Tr7N+CX2r8+GMQ8AvW3UbF/bT6E5i8M9JhiI867xk26JX0L9U2QfJwKNy +57pcX9PoZE/yqdfAxaqz+t3fQB9LnVDgbCTCZqq+3zzf0qhUvSTiLLBHoLek +7zvoZw+m2ykai7Cb0sx5Ae9ptD7z0Mxc4DONjYuCP0CeaRn+YoEVl05cHfYR +8uwpfrjcBD7PS6MoagDyLNf59GXgTjGZc2s/0cjM4FGBhqkIH9rT+TLuM/S5 +l8GRpcCtMU5U0iCNFLazdr+Ax10vs9gohH5nmqK0ygzy48PhNZtYGv15O+F3 +F3ChYv7+bA76XknJIyNzeF+njJ5uEdHo5QLFM9XAdz8fk94+BP3v06HCiRYw +b2RF7sVfaHSl3DBqHXDxxn8lZV+hD9peXvgE+FzIs96KYRrVcc7KNvOhj8hv +nrZ3BPph1aPfR4BPvP0RXPuNRjmOIY+nLBDhv5MWNB4Yhb44zJ5JBa7/4Pr7 +8HcahdemFL0DLj2t6dr4A/qjq/hqN0sR7ml9su/0Txot+lli3wwc7+o5eu4X +5FmDooqSFXz+qZolrb8hz5Ye/pMH3KHfcgz/gTz7Z/hkCJhR2E9e+wt5duzy +WV8kwiNnfdfc/Ad909uluB34j9Obe11iDBKNf7xa01qEL8yZb3ZvDINWngpx +2An8aGdo3YOxDLrvz6n8BZbpD5z0dByDbImNf8NsRNjefV7Gi/EMOntO/GkP +8DLDm19eT2DQ7JDSJlNbEZ7faxzxQZxB5ZIzSmqBpVKT+j9PZNDEtsPRhB3M +y8T8FSIJBm2IMHKMBzaetO7JsIBBA9JXVPuAp+xQ9/1BMMj3iss/u4Ui/MPp +7PM/JINur3n89Djwquwpq8bSDJo/bdU5WXsRfrPFXijOMOj4da5kE/CMetdk +UpJBM+I3rvkI3K2qITFpEoOKZk5c5O4A/crjyW5pKQaJdZXOugD8OjVAe+pk +BsVumCGm6ijCc9jzHYpTGPR61pFn24Brv3wMVJFm0LJ7Rs3DwAkP2d9qMgzq +SLtS6r8I5uO7zqp5sgwynuu69jrw3DVpVrpyDDr48PEiHScRvnxe/J2hPIPk +s1fNrgCeJhNeYDaVQVt1RGJjnEX41p1qU8tpDPr5bGNfJHCsfuN7GwUGrc6b +eP4+cM/2nbscpjOoz3DHDgsXOE9zPZ1dFBnk+mpGTD3wOB/hGPcZDLpUcMSJ +dhXhtlUBrZ4zGaRjbqyWCMxVHkryVWLQvvdXxrwE7nDsMg5UZpDUDtfnDm4w +T1tvfQ9RYVCW1ZPzJ4FXotqLEaoM+vp5VdnUxbC+s5bmrJnFoFUVopgs4Ixz +LxfHzWbQA7tU58/At20cFJPUGGQ/NHHOsiXw++XyhRvnMKh5746xF4F1sw5d +zlBnkIbTzBez3UW4/+7+8hwNBlV+O9JSCLzWPTkmfy6DyDrjnaPAfe6azkXz +GJS6+Oq6IA+Yd0ptGmWaDBL+cnW5BfwfoUHu1mJQwKEnc/SXwvkNjOf2ajOo +Z1nouD3Au9bsebhfh0FozNCLcctEuCKr9vJBXQadOp56IRrYT5hz/Jgeg1R8 +JcofAGf+dtx7Sp9BO8TLYi09Yf3+fio6Z8Cg8Wdmuh4EfmQTndNqyKCEwKPq +k7zg/Mt1p102YtB70mR8MvDiLjq5w5hBy89fffkK+PQJ7aROEwbdXOXW6rRc +hMdK6W7oMWWQmdTT8jPAaVaTU3vNGHTkYmjcdG/YT/kPsp6YM0ghasg1B9jd +OmX7CwsGbZdN02CBd5wR2/1mPoP+XpWYsNwH5qdu5KGPCxgUE1PWj4GbJc5e +EFoyqF9BqU3dV4SJba96vlgxyP3m0V0lwAcmfvkwihh0db1J/E/g5Dvvxv6x +ZpCBcrtbyAroAzoXlcbaMqi+221uF/DEjRusJ9oxSCbl6QQjPxGWGyMfSi1k +UK5a2Ku9wPTkqm1S9gwavT/UJu4PfeDv+CZZBwZFbEqriAFeJrv0lYIjg57M +E6x/DHz2SO4k5UUMcnpcttg6APovtc9GzYlBrZuV5h0Btt5WkzTPmUGaesfE +pwTC9yfnnNB1YdDe5yavNwKvUPP4ZOTKICa//eJbYNu3E9Qt3Bi0yXjxbtcg +mGfjaiPQYsiz10/XnwMOeah6bOESyLOisCUzV4rwzOOFX5zcGfSfxZd5ecCJ +T15ZLPFgkN3HtIlDwO+PKeZ5LmVQU5ngjU+wCI9Jtnnku4xBatY7L10FTiha +ohHkyaBdQqXKeSEwT20c00O9GCRReSyhDDjvtcbDqOUMSrY3df8D/O3qiO46 +bwZ9+tKuGbZKhJcbHStM8GHQiprFEj3A3dtcuRRfBnU5P3tjEgr5L//UI2MF +gxZ8D8P7gH9oebTk+DGosf5LpSBMhFfPOKeyzZ9BM93TE+OAo+aLFxUHMKj4 +j8DjGXDxU5u/OwMZNObITi27cJh3ztHr9gQxKM5LWXAcWPt11rt9Kxn0Zuzx +tzIRIrx0KNe/IRjy7ITp5XTg0dsbHh8NgTxbcW3PB+B5Hd5ep1ZBnkksSVoS +CXmlO+fRuVAGHTr7zKMFeGLQW9+2MAZNXRmurRIF8/5Q8asr4QzKp78KtgGv +9NNcfSOCQb9a0t99Be7qaR7timRQdBhxxW81zF8vgy33oxj0fHJ5VQewp23N +1MerGeSGlTdoR0Pf/Pyr8Xk0g/Dq40t3AevVLnJ4s4ZBuvJmOmJr4H235L3+ +uJZBtdeuEZHAOltbMtgYBk2OXfL+HrBPSJ/y8DoGZSv2XTFfK8JHq4Y6fsQy +aPhW+N464OKtP6L/xTEoNPHrBioG7ivpw7IT1jPoocqmZQnABndftxMJDHLo +IXRfAFv+dy1+UiKDzm8sJx3Wwfnpq1STTYI8U1f5cAL4JgrtU9gAedZ7/Kp8 +LOS/56ydysmQZ5lm1ZnA59Y+XjInBfJMqyP5E3D160xJrY0MYp8s8VwaB38v +rnxPP5VBgbl9um3AF7XP7TRNY9Bd/QhqdrwI956y9rdMZ5D1y68fCoDHvWuf +Y7eJQae3bWr/BvxDccHIogwGqZqSNYHrRTj99PGOxZkMKntbnnITOP67zG7P +LAZNKFHx0kuA/FyYGLMim0GJCxr1KoFPie44rtzMoA8DZvS4RJjfi5Vmh+cw +yLu84+Nq4AvNUePX5DLolo37tV7g7RmN7+O2MMic66tZkCTCmmKDtzfkMejo +noiNDcA6Bapn07cyaLrjsJfkBjjf6Z41m/MZVDC8SX8D8Ny5WQX52yDP9pHM +K+CuD4fTi7dDnrnuGliULMLKTHdceQHk2Q+VjtPAlsOfI6sKIc8aGvcppEDf +HBJftb+IQe0e5qmbgRv8Zqw8VMwgw38dy4XAewv0VzaWMOjAUXcDr42Qx89s +V50tZZCs93MGA6dXe0Rd2MGgLeMjP81JFeGTWoHxl8sY9P3kcEcxsMyryE3X +dzIo0j+j9gdw6aT4wq5yBj0VUGnBaSKsJr1x3/1dDHI+t8v7NvBn26ymxxUM +agtWNTRMh///e173i90M0pI8IbkXeDiv6OPbSgZVt5p/nrBJhIfcysU/72GQ +ZMT162uBC/fvnTNUxaAMaY/9j4BX9x1wHt3LoKHLz9NQhghbeZ6I+1PNoOA1 +kT6HgV+GXqgatw/ybOqI4eRMETYNv3FLUAt5dj1j0kbgpacf/pDcD3kWRw2+ ++R/v+6gpWwd5NrPihksW5F/+n+Dp9QyquK1a1wQs2ylTpXKAQYINJ9JnZMP9 +6bzeY/UGBqXMsvDdAtx8wl1O5yCDPt+9biQCHpJI9DE6xCC/NA8pn82Q5zNq +qi0OM6hb48XgFWB6Ufd76yMMsnwYeXNuDrzfN2L6jkcZdCJrpG4HcKTj/7Vo +33E5vX8cx20y7jNI9gxFEpGsOqTMr8xsyighStmzUDIzQiQrM5KVzE/ZQmTP +ZCQjdM5d9vi9f4/r8+frEdV9xue6Lp5tF/Q6YNLqNAud/xttlTkzs/9Bk7b6 +afmhY8Pzqd4jajA00aQVW7LRKQNtnCg/1+eQSQtuaa22jsD6dt37oV+SSXuT +fejTVrTFxDMtJx02aQNWtLtWZkk+7SusHR1yxKRdbnMlPghN55d9n3XUpLV+ +23fBE3QH+yIjQ49hnq3JGuoWifm4du7ViOOYZ67jWx9An+lZotXKZMyzj4Wq +5dJ8sk1eF7/uBObZhtDPc9EPGjez2pxi0gI6V0h/i3YpdW/59pMmLSt/4y7P +ZZinOxeW3HvKpHlusQ5NQR8f6RKaeNqkpXZLGlZ3OfbP0SWKHD9j0pp/bee8 +FL1+24PQ02dN2o4dVyqa0QnPj5U6f86kVfTs92XoCtyPvVtXXiWTtuhXVvpF +dPrwDVVvpZq0wj3jdzddmU+TB8fuuZ9m0nz7fw1djy7/PdH52XmT9rBI2PB/ +6Fbrb914dcGkdT1Yoc24VfkUE/V39PuLJu3k4JhKmeiSndv//XLJpDUu1SC/ +TVQ+eVeI3Pz1sknbfCTp+g50Urecdn+umLTyI9vvKbcaz4tXnxfFr5m0ueWu +hoWgM1bdXFw2HfPsRL8Rz9Et+w9pplzHPBvzoo3Hmnw6V+T7U6sbmGfyBMtD +6H/G7mW1bpq0Tme/5lutxfluiZ9LgwyTdtQ/7MYCdHjttgVNbpk068qmve/R +YZa1D7a4bdKiz8cs7Lsun3ZcrezfJtOklZrcYORpdLkNdW20OyZtevXDba2j +8+l3lusHj7sm7d2V9pVXoO/+DTr03z2TNjjkql6IthiQMr3/fZOWXqf/zRHr +8f5oFd2GPjBp7W6+2HsFXbH2QmXUQ5N2YOaERQ4b8D72LvN63COTVrPht5Ex +6OaOO09MfmzSVt4Ja1dsYz4FNuy/atoTk/ZvnslqArpPZPXxc5+atMAmm4y7 +6Jqnf3RZ9MykvXzYIKN9DM471b/YLHtu0vouOrxvF7qOxe/ya7Iwzxw6LDZt +wn78TZ2CjS8wz55f9Z6OLlphRNbWbMyzyP7ts9GrXh29vvulSbNyyrbqtjmf +alyqd+bgK5O25NUE82F0aqWEQ8dem7QfK79lVIvNp4PN/9t9+o1JG99u4f6F +aKc5FtvO55i0p7mm8Dz0tf7ZsdfemrSe6zb5DNiC/Uv1O7G3c03aWa1hh3No +3xYvtj58Z9LsPx2u0igun958L707671J2xrToWAVetDtnodyPpg02ePare/o +y1UTT+d9NGmhRv8En63YDzrZXTfnmTQjLjs8He0WceX5z08mbXSPiaMct2E+ +BiwwF/1i0u59+9YhFp3TY2B5i3yT5h6/sGrJ7Xh/wnrayLpJS+4tFQaga4V4 +d7EyTFqjP5tuP0D/Cl3rX8uMebav4QHXHXi/TG9XNijAPPM6ErEXXWn2oBN2 +hZhnxVxGKzvzKbbxx1eOXzHPEq+5zEK3nB+ntPtm0oYPHVDtNfq/p1PcOn03 +aRmlXxb2iM+nOdvHzej2w6S5HpuYeQwd1HJBUu+fJi3J+/uBmrvwvH09+XHg +L5NWt8KiJeHo8j0rNx7526StOSmN+YJOWh89wfePSSvuu9l10G78Pm2dkwL+ +mrQQtVH1NHR4zN9vIf9MWs65I19t9+B5qvK+05wikuY1weXOGvTwat+jFhaV +tCtW6Qd/ob8Xs3u1tJikOV8cEDlmL+ah02KnNcUlbV/gyzE30XOsSqyMKSFp +1WoGaE77sL8qt+/dtpKStuza9+pb0TQ1xGNvKUn7PXXRt9L786nIad89h0pL +WkA9+W4gep7rgnInykhaVsbmxMfo835pU85ZSJrn7EZLOyXg829s/PxSWUlL +bXR0bAK6r9OZ7jfLSVqLey4dKx3Ip+67p52+V17Sdi5IrzEX7f3fkGbPKkha +paZe33PQKVF+u1+bJG3x45d3ex3Mp0334+p8lCTt6+KAQyfQE0KLxBmypPm1 ++LG0TiL2Jz+W1/qpSNqjrEW+keiK8Z13FK0oad2WyZ0MtNfbRrYWlSTtVOvY +mkMPYf/ZsPVR2VLSmrxp9OMCun36FK1KZUmLjTp6zy4pn0zOT2/XtpK0Ch1c +k6LRtR4EjmlURdLmvU9f9hf9oGzLX/ZVJe1LtJef32HMr/YNop2qSZp3p1ed +bqPn3+ncwqW6pGV+DqjV5gjehxZr77jXkLROm3/82I5+fFGe9l9NSTvaZfH9 +skfzye/L2RoDakmadYF8OBh9turmy8NqS1r0ttjlz9A2CXuDx9SRtNL/2Yxz +P4b5Wi63/sS6kjbjx1G3xP/3dq+HwfUk7f0u19pWx/H9731bMbu+pA3pe/3n +fHRz0/UuC60l7fpfrwfv0Mrx2yWWNZC09gmvDvdJzqcVzS0urWkoaQcHTlpx +Cv34Y8iSTY0krVaJn+Pqn8Dz3rOS5w4bSVuVtLjzcrTP6dwq+20lrchwpU4h ++tD2LzmHG0takMWWX8NTsB772SWfbCJpr47bPLyMLjU9LjLNTtL6jTp2pNnJ +fPrQ2sP7WlNJwxKzciP6XEXrtpn2ktbq9HX/oqfy6WKws9XjZpK222+g+3i0 +d9rCb9kOkmZV6XWdu2gr31JP3zWXtCWpk363O439+vXUtPwWkvZj4s+H8ej1 +Q48mfHeUtPFVw49WOIP3aUHWxiKtJO3ZJWXVtDP///+VTpFlnCTtvylbxr9A +Z9pnz5FbS9q5WrYeXc9iP7fiRHAVZ0lrdv1Y3cPoW7bXAuq0kbRt07U/Vc9h +Hk+ynGDTVtIU6xuPwtDtL8VOcGgnaWG3Bx77iC6yaeRk5/aSZp7zelV/wvM6 +cMQ0rYOkjbGdPOEsOnZczIKuLpJ2//5Pj4ap+fTIWVnZ21XSPMLC661CL3a8 +HDdIk7QT9urfb2i7iylHvDtKms3TLY+90/Jphtf7a+M6SVpMhO3xa2n///fR +QW8C3SStbMvjUS3O4/40K1VsZmdJm52tTdyMLt7LXC/UXdLylt/oUuIC5nGt +Ol0iPSRteJtB9QPQp8uvmLS6i6Rl5Lz+ex+9f3HbmJiukua6ZvITl4v5dKXQ +5sr2bpJ22OXX8T3oh9cGfd/XXdLqfQxfLV/Kp7RJ6XZHekja2g1qwEz0mj7z +xpzqKWklOsd1fYWe8SJk6/n/JG1qvq11j8v4fosTn6f3krS3scf/HUUXHG5S ++66npA3s1vFpjSv5tDkzb/TT3pJ2tfBG8mL0zL5Gwus+ktZmx6A1n9GBO9p/ ++9hX0vb3ehMw8CrmY7Mb7gX9JK36r8ndUtFv/LZv+N1f0pbv+WVtew3X9+SZ +vBJekvanX0SRNei7a2u5VxgoaZOKVHz2Ez1xzJVtloMk7cWBuBOj0zFPN534 +V3OwpPUe3HjtDfTi+C8+DYdIWlrJ5EmtrmP90SdfsR+KeXakY/c49G7d2aH1 +MMyzETcblL6RT2rFHrGuwzHPyg0uGojefGl3ua4jJC38xJtnj9D3Z/Sc13uk +pH0bHZjS8SY+X2z7gkHekjZO/r12P9p32/QAHx9Je3wmYnLFjHzaWvDnvf8o +SevuX7HHHHQPi9v+U0ZL2mnLrQ1z0Cm9PufNGiNpducbF+t16///njA4eOFY +SdsyKfl5MpqaKn+W+UqaqXqnk7Vv59OBvZWXrvOTtPlXbq5bgi5iNb7alnGS +lh88OFBHp74vl7jLX9J86uT0GJKJ8/2a350Tx0vanRuBjS6gh4e2f5E8QdLc +Zv4uZncHf9/2xhyaKGnHGizJWofe/jOh5tUASWtwp+KpP+h/fs/Sbk+StPXz +tkb73s2nEq+H+z+ejHnWpEnQLfSZ5w6VXgVinj1M7ul8D+fz9AHnPwRhni3s +ZLP93v/3QzeDzVMkbahDRvGy9/PpdqcNNr+DJe3Gs8EvpqBvHD2WXWKqpHWI +zDn1FF2Y3SC2wjRJS2wVtL7zA7yfHT4OqTxd0mq/+h10EH2yXcmatWdIWtTK +Jf9Vfoj9pWfIq0YzJa1ou0q289EBec4JDrMkbUru1hLv0GsW95veZrakvV7b +JLv3I+yHVl706DRH0vprJ06fRL+bvbxqj7mSdimv04Z6j/PpU2rCl37zJM0p +JmPKMvTvzHrXhs2XtD3uQ3oVoH3qG7vGLpC0KkaO7fAnON/ZVgufFCppkXFB +JS+jqw/e7D89TNJ+dv+Tbf8UP6/htN4LFkrahG9LzmxAzyud0DZyEebZzkob +izzLp26BbW3WLMY8670t2B894oF11c3hmGe/m3jeQVc7NL5CfATm2b4Tjds9 +z6digeVLHlwiadsHuJWKR9PKskWSIyVNLXbrZfmsfLIOHfv33FJJW5g45OxU +tN3ZmkWuLpO0giFvN2ahv6c4lsxcLmljS08J6fIin+582FPhyQpJe3D0j2cS +uvG1WVVfr5S0Lt6RTapm4/lPP2CTt0rSUspblg5Dvx7r0q4wStJsT2579QGd +pzj0+bta0jaNtTvX72U+XXUPG196raSVU1NizqATZ7SKkNdJ2pxzblMbvMLz +Vq/7nqrRkvZp/K3eK9EeJ1PT662XtBFWQ+2+oVsmx+hNNkjarQtvS3u/xnkg +6n71VhslTQuc8voqek1qSDeXGMyzGn/PNX+D9fHc9FldNmGeXYvctAl9p+iL +xN6bMc+mWk4rnpNP+cUT3g6OxTyrt73PRLRDh2d1R2+RtGkZdk3vo0c1DPae +GCdpubNSyri8zafZzoE7pm6VtEGNOr/Zjd6Udzd33jZJu3b3Fkm52I+diXNY +sl3S2i4YunkGul2dzDmrd0hagl3utJfoa2sm3Ni0U9JqPJ7St/s7zM8Vk2rH +x0vaisV/mx5FOy19MvXgLkn723ypRY33uL/Fk24l75a0yVmWOYvQY6d8sUvd +I2nZS7enfkKH9o1ZcW2vpPVp3TTW6wPOC7US9Tv7JO3865TphI73tB/8bL+k +OUZ17mfzMZ96j6p2MSdB0uLb37Zf/fH/5+8pLb4ckDTL90PL/kS3tHKO/34Q +8yw6N2dUHs7zSeOrFjuEedYxOO06urlVuTXlkjDPPv+NbfkJ7/PVqibLw5hn +m5bO2IIe77phRa0jktajS+X+pT5jnn9fKNsclbQz5u3NJqN3T85e3/yYpDXd +1rTcI/SkugfrtDsuaXE9T77VvmC/uvTDwc7Jkib96Hx+H9qyUYxLrxOStmDX +7S1qPs4/ISfvDEyRNL3PsJmz0Xe+9Bjvc1LSRv3N7f8GbVW5T8kJpyTt7v5g +h/90rF9jru0MOS1pnQf+K5eMHjn4uPu8M5J2vPiy3FoGzttBlh8jzkpaw6TK +FyLQkU0+rF19TtI2DNsRl48uV7KltpkkrYyF/azBZqwnc8xf4lMlbebxkwPO +o1XZdmdimqR98HFv3qQgn1a73B+cch7zzJRZfh16T/j3iucvYJ6dGvbuN9rF +b23m9YuYZ37vLowtxP5h5O419y9hnlUM2ZqBDvzcfOCLy5JWJ/XfrNZf8+nU +Wqfa769I2uqJy7y2oV9nHPtgXJW0YlWtWlh8w/649sGTv69JWvClHRWmoCuV +qrO81HVJexNk//4J2q6YaZR8Q9IG1Dp10e17PnVcN71dtZuSdjndfdsB9I32 +w6tYZ0ha6+mZsy1/4H7Moe9Nb0na3vrDB85Df78b96z1bUmrevtdi1y038Vf +FzpmStrSOSGm3j/z6VXGg8QedyTtl02RDynotBCHLQPuStrE+8su1f2F9bu1 +xaqR9yTteajV9qXo7I0+i/zvS1ov+51zzOjEam3nBj+QNHpiP2jYb/w8l7Uz +5z6UNIeIU46X0F8jAmZGPMI8c/SQ7P/geZxxbc7qx5hn2Zkf1qMzVu9ZuPkJ +5tny4Zf/oX8OLLNy11NJK3R+v33c33ya+1/e5kPPJM03J2RuJnpKYb+DJ59L +2sPVRQa3/ZdPde+6nr+QJWldXZa33IkuNj7pyc0XEns4nU502P31YbbEHk6n +infqVn71UmIPp5NVQv02ea8k9nA66T0PjPz6WmIPp9Pfdmcii+RI7OF0uvq7 +34mybyX2cDqVrRTyrlKuxB5Op4RSNWvWfiexh9Pp/GDPAbbvJfZwOq1bU261 +4weJPZxO0U59b3f4KLGH02nc9foVu+ZJ7OF0yn6wYHDfTxJ7OJ1+Z/nvHPZZ +Yg+n02f/F/m+XyT2cDpV+vuyY1C+xB5Opz91p6yfrUvs4XTyHRb1ebEhsYfT +yaVlh+5RZok9nE47HGbu21QgsYfT6fmjzuV3FUrs4XQKOLZtyqGvEns4XJ/u +K56d/Caxh9NpgnW57he/S+zhdEq7Wu10xg+JPZxOHVLPNHv8U2IPp1OPtfqe +178k9nA6LUk/Wf/zb4k9nE6pny13fv8jsYfTyVhSqmHxfxJ7OJ0aKh4PShWR +2cPpVHlHv+rL0cLD6aS0txulFJXZw+n0vf7t/evRwsPh/sS5FlYvJrOH0ylM +n9VxO1p4OJ18fMJWNSwus4fTabuj14sEtPBwOsUd/OrQvITMHk6n9EpjFiWj +hYfT6Whi3ON2JWX2cLheqbsd0tDCw+nkv3pOpEcpmT0c/v7YOm+uo4WH00ld +tEbrU1pmD6dT9xZ34x6ghYfTaW3yq79Dy8js4XSyHZjm/RItPJxOI4dMvuhr +IbOHw/0t9ck2Dy08nE6zdrRdHVRWZg+nU3jIoJ9f0cLD6TT/gsfYOeVk9nA6 +OT8oeadoeZk9nE6x5mgtAi08nE5Jo74lla8gs4fD7ze+cf01aOHh8P36Nttg +ZZLZw+l0wadUhS1o4eF0ynhxaFE9SWYPp1O9SnZ/96CFh9PJ22P2zKayzB5O +J+3clq9H0MLD6bT+wtqpzorMHk6n/+KGfz2LFh5Op4nrf81wU2X2cHhfCgL+ +XEULD6fTgn/HFvaqKLOH06l40cxy99DCw+lUoeP56MGVZPZwOjUqE1n3BVp4 +OJ0K4hocGmMps4fTqXmvjS4f0MLDYR6NeHlrcmWZPZxOM6oWHV2IFh5Op9Ar +377NspLZw+F5PXxpZZEqMns4nerUm9AoHC08nE4DfN6llasqs4fTyXSyw4jV +aOHh8P5OmfC7cjWZPZxOkx4FxcaihYfTKdKxj0u96jJ7OJ3kDItXe9DCw+lU +xNgc0bSGzB5Op6D3pZsdRQsPh+v9979HzjVl9nA6nZs9aeE5tPBwOvVJ8Hfo +XEtmD6fTvYeuL66hhYfT6W0PfZVnbZk9nE5ufed1uo8WHg7zo1Xu1yF1ZPZw ++H4d7Q5mo4WHw++X+t9Y37oyezhcr989auehhYfTaY5bo6dB9WT2cDp9zHqx +8RtaeDi8/9WmDZpbX2YPp9OGTh+qFreW2cPpVHNH++dL0MLD6VR/ccAOUwOZ +PRye79Zz/dehhYfTKaTkxBbVGsrs4XTKbdv2z1a08HA62Vm/u9agkcweDu9P +hWkbE9DCw+l0yO3NuOY2Mns4nb6pju1OoIWH08n66iipg63MHg6fPyk45zxa +eDj8fCvfs10by+zhdFrYo+2GDLTwcDr1ismf0r+JzB4O86VXZO8naOHhMD9O +lHDwtpPZw+lkUW+08hYtPJxOHs/iCyY0ldnD6bTSMf2xjhYeDu//1Lup0+1l +9nC4Pvmp+/6ghYfDemOsWbewmcweTqcHV7uFWjjI7OF0ijmfM3kVWng4PB9N +/bwtm8vs4XRyGpzRdzNaeDjcj7XVu9RtIbOHw3xo7NlhD1p4ODw/Af6tmjrK +7OF0anVgfLOjaOHhdLrWsl+TNi1l9nA67fWqb0to4eF0qubz1Ma9lcweTqdF +O2c3vo4WHg7rXUAJ+z5OMns4nQJN0xwfooWHw/P64Hbb4a1l9nB4/ipZdn6N +Fh5OpzbV3Dz9nWX2cHifnYYO+4IWHk6n4JPDJ0xtI7OH0+mDufucX2jh4fA8 +OdVfFdpWZg+H9eP2252l28ns4XSaWmn9qRVo4eHwfvRpfrdie5k9nE4dn6R8 +ikELD6fTkL92Zet0kNnD4fNJK212o4WHw/s94llXOxeZPdz/91dVJhxBCw+n +09M/HVc5u8rs4XS6lT/4+Dm08HA69R/n/byzJrOHw3xOHlD6Olp4OJ1S7No6 +9ukos4fTKbmmyechWng4XP+nmVHDO8ns4TCvUxaff40WHg77gdKNv/q7yezh +sN+rRk3y0cLD6VS0s/voaZ1l9nC4Xg/PxP5GCw+H9dim4aMwd5k9HN6/JaGW +Fh4yezidDva81X8VWng4nV5fltZbdpHZw2G/0cPt8Wa08HD4/Hbja9XrKrOH +w/NOi8buRQsPp9OvgWsT7bvJ7OHwPHRb/+MYWng4neJzV3i06y6zh9NpWsSs +6DS08HA6lZo77G2XHjJ7OJ2u12jZJgMtPBzW/9NFV/bvKbOHw3pz8NKbJ2jh +4bDf7bKgg89/Mns4zMMXzTfmooWHw/dPf1IQ0EtmD6fT16Gz+xWghYfD/vND +xWOzPGX2cLh+N+IrF+0ts4fTqYSX/ewItPBwOs19nvSyQh+ZPZxOh1Psuq9D +Cw+n07YOO45V6yuzh9Np/Hml7na08HA69d4ye1WjfjJ7ODwf1tn/DqKFh9Op +1iHXKS37y+zhdGq2dtPbU2jh4XRKbKQP6zhAZg+H5+mC2/0raOHhdDp1do1n +Ly+ZPZxOswOyrt9DCw+H/ULjRt2HDpTZw+lk7h6Q/hItPJxO+xod7jlukMwe +Tqd3Vubbn9HCw+HnBTsOnDpYZg+n05Q1U178QgsPh/t3I8k/bIjMHg7zadbn +wjJDZfZw6IImi1ahhYfTqdta/0qVh8ns4TAfEvfsjkULD4d5tO1t2/rDZfZw +mIepDTP3oYWH0yln3Dh/hxEyezidyhgJxU+ghYfTafpxfWuHkTJ7OJ12lm/r +chEtPBz2I30WZ3X3ltnD4evP7i7IRAsPh+fHomGDQT4yezisHw5zrmehhYfD +fvvAg+Cxo2T2cDivPGxVKw8tPBzW3wqb0qeMltnDYR7tLj7zB1p4OJyn/k6x +XTBGZg+H5y/w3dNSY2X2cNj/eI2JWoEWHg6fr0KuRyVfmT0c1tPPgf82oYWH +02nNgGKn6vrJ7OF0uhu3edpetPBwOq1q0KFVs3EyezidVrvnFh5HCw+n05Uh +m1La+8vs4XTqnOY19wJaeDidXLGudB8vs4fTydKcVz4TLTycTu7TrzwcOEFm +D4f5f+5AfBZaeDi8Dw22BI+dKLOHw9+3iOmchxYeTqeIy9usggNk9nCY96eO +5f1ACw+n00W3+xcWTJLZw+H7nSu2pfRkmT0cPs+SDjNWooWHw/tbJHyAZaDM +Hg7nmbBnLWPRwsPpdGRSx8r1g2T2cDjf1Tv+Yx9aeDj8+V+tXzhMkdnD6bRp +cPrlE2jh4XTasmlikkuwzB5Op+U1a8deQgsPp9Pwjq8ie4bI7OGw3o1NnnkX +LTycTu3fbZ4wZKrMHg7vo+3akS/RwsPp9DIydsC4aTJ7OKwvfin/fUELD6dT +3/q5XaZNl9nDYR7VsO38By08nE4/j87rtGiGzB4O86Dbu07lZsrs4XTa08HX +fQ1aeDidqujfu1WdJbOHw/NycVvvbWjh4XA+qDJicKPZMns4rPeezcckooWH +w37xarWgVnNk9nA6lc6ttuAMWng4nVqXa7Haba7MHg7now3e8elo4eFwffN2 +n+wzT2YPh/1sQMnMR2jh4XRqN2fOh5HzZfZwOt2YVb5ULlp4OJ1OXz9ef9IC +mT0czreZM9wK0cLDYf/0ZcDYOaEyezidxkb8F1k8TGYPh/v/Z+ShpWjh4XQq +PLriobJQZg+H+WR6WDQGLTwczqNB7e3rLJLZw+H853pu2B608HB4f54PW2G/ +WGYPp1PJxCqpx9HCw+F6VDYK2ofL7OF0ajrrfZOLaOHhsP65/BvTI0JmD4d5 +eMVx2x208HA6NZ4V8XzwEpk9HPbLp3/WeIkWHg7nm/wVI8ZFyuzhdNo/r+OO +L2jh4bC+XLd8N22pzB5OpzPtyjn8RQsPh/fTqd6sxctk9nDYr9YZern8cpk9 +HObdyORK69DCw+F5HdpybPUVMns4fL5Z90/sQAsPh/lUd1P5xitl9nDYj6SE +jT6MFh4On2/3qjPOq2T2cFh/+qRZpaKFh9OpX70qU7tEyezhsD+av+5eBlp4 +OLwft5ycvFbL7OGwP5n9L+Y5Wng4rB8fP/8bs0ZmD6eTVUTJcXlo4eF0ck52 +uxO8VmYPp9OSl/tcfqGFh9MpOsj5YNg6mT0c9te3vtQsGy2zh8PPG3U7ajVa +eDideqx/UrLqepk9HM6Hd0xzt6GFh8PXFwR8bbRBZg+H/YnFt6BDaOHhdCr2 +OOGL00aZPZxOgwYun3wOLTwczstfNuruMTJ7OJ18y96ZehMtPBzej4rOv/tv +ktnDYX879fqiZ2jh4XQauHm5NGazzB5OJ8cvs2M/ooWH02nFs5gmwbEyezic +7zLenvmJFh4O5+3mo3uHbZHZw2F/PFl6axEns4fD5yv8OHc1Wng4nQz7H1ZV +t8rs4bC/X9L62Da08HA4v03a09dmm8weDuevnt3Mh9DCw+F9jaq5vvV2mT2c +TvN2W7cjtPBwOr3/NeKVxw6ZPRyexz83lmWghYfD9ag6ubXXTpk9nE7aWfec +52jh4XAe9eoTPTZeZg+H57vPmi6f0MLD4fPVtvgdsktmD4e2Sz7yGy08HPan +uTETFu2W2cPpNI6ONSy/R2YPh+9Xr/SbtWjh4XCeDF29s/pemT2cTiO69R+7 +Ey08nE4XPnjaNtkns4fT6e+9RV+OoIWH0ylzuvlE2/0yezjMD7fYsPNo4eF0 +arFnvmf3BJk9nE72leJq3UELD4f96s9vXwYfkNnDYT5eX3HhJVp4OFyvryNj +/A/K7OF0Cvo8KUhHCw+nk0/90z1mJsrs4bA/Ke1uU/SQzB4O62+5CqUj0cLD +6VR3e8V3cpLMHk6nYe0GX9+IFh5Op1c9spLqHJbZw+F8775z41608HA6zYzZ +G+ZwRGYPp9PD058npaCFh8P7WHvacO2ozB4Oz4uTa6+raOHhsB5N7d6x9zGZ +PRz2s702Oj1CCw+H9c7J1t77uMweDu/X9j+N3qGFh9OpcrHK1oHJMns4nfJv +Tqn3HS08HL4+s1L9BSdk9nC4/jN+NSiTIrOHw3qn2TSJQgsPh/XFfXOLKidl +9nA65f3t334bWng4nWZlD+hqc0pmD4f9p3ecVxJaeDidGhXY+zmfltnD6WSj +lpmVihYeTqdwh8arup6R2cNhv3MpevdttPBwOvXq2iN10FmZPRzWg2Y9nmWj +hYfTyVuP/jnunMweTqcapeyq62jh4bC/fFPBZSbJ7OHw80u2H100VWYPh/NZ +VvLSSLTwcDgffph3TEmT2cNhvd26LjsGLTwc5v+076Z652X2cHif3u133Y8W +Hg7P76QDU1pckNnDYf1d8GfPKbTwcFivYuJedLoos4fD+9w8qup1tPBwuJ/n +7wzod0lmD4fn8bLvuqdo4eFwnj/R8/7oyzJ7OOy/pcVV8tDCw+nUp7s8IuSK +zB4O7+vLz7t+o4WHw3nSs27+oqsyezh8f2Vv+wrXZPZwOvXctnBZNFp4OKwX +M449q5kus4fD36/czmE3Wng4XO+vVuH212X2cNiPrumRlYwWHg6f1/+Bs+sN +mT2cTs8KU6KvoIWH0+nxcXOB502ZPRzO9w0jvB6hhYfDfLkQfMo7Q2YPp9Oo +8qfqvEcLD6dTzNwhkUG3ZPZw+P2jBxT8QAsPh/mcmeATdltmD6cTJQ3PLJsp +s4fTafDhCW5r0cLD4Xr0fXCi+h2ZPRzuhxpnH48WHg7n48ALe+zuyuzhdPLM +86h/HC08HPYvJW23d7gns4fD89R+fN3LaOHhdKogl4vvdV9mD6fTpKLlbR+i +hYfT6UVUQNLIBzJ7OJ2qujq0fYcWHg7r2Yr+lwMfyuzh8H7/etL/B1p4OJzP +K1zMCX0ks4fTqW0neWbZxzJ7OJ2q10w1rUULD4f3o/a93dWfyOzhsH7e6Nkx +Hi08HObD7sZZdk9l9nD4/s0mzT2OFh4O55sPVrVdnsns4XD9h9pcuIwWHk6n +5iW3+Xs+l9nD6XR+wnz1EVp4OMxT9cpZ7yyZPZxOSfOmT3iPFh4O889xdfUp +L2T2cDh/HK+U8RMtPBzm/9JiCxdmy+zh8PlaD21b/qXMHk6nBlrtgnVo4eF0 +CmjimVTzlcweDp933sdJu9HCw+F8QAXNmr2W2cPh/D91gvkEWng4nbo26H1S +eyOzh8N5zmtn6DW08HB4fqPG9uybI7OH0+nToA1Vn6KFh9NpmXOb96Pfyuzh +cB5L7nE6Dy08nE43h9xaNTVXZg+H63vwou9ftPBwOp11stMi3sns4XQaMr9U +Dfm9zB4O+0W5/8+NaOHhsJ+fYvm07geZPZxOIfY9zu1HCw+H+ZdRuNPxo8we +Dp/3ueXyM2jh4TCfXydMc8+T2cPhz09IGZ2BFh4On7do+34DP8ns4XRKbNra +PRstPBzuz5z9bfw/y+zhcP6bud7BQAsPp1P2oV+2s7/I7OF0qpnwpEGJfJk9 +HD7/N0frFWjh4XQqW7dMg8q6zB5OpwXHBtpsRQsPh/XRpb69jSGzh8Pz33OC +02G08HCYJ+MdOrY1y+zh/v/vVcG9LqCFh9MpVW45omeBzB5Op39HgwPvo4WH +w/O5tcXiEYUyezhc/1FBsblo4eF0urLUITnwq8weTqeiewPv/EALD4fzQbcW +etg3mT0c9p9/p6rlv8vs4XA+8GrvFI0WHk6nA68WD6v1Q2YPh/Nxi36L96CF +h8N58kF8ksNPmT0cznPes7NOooWHw37Z+6HJ7ZfMHk6nHL+THW+ghYfDfq9M +vekDfsvs4XCeu68cykILD4f3f2Tke78/Mns4nd44RzbU0cLDYb9QqPjO+iuz +h8N+tqH13uL/ZPZwOA/4pOYtRwsPh/OCc65j5SIKezidtrrEzN2KFh4Ov6/F +w2s2RRX2cNiv2W2vcgQtPBzOY+2++7crprCHwzy48ezsRbTwcOgQz0q9iivs +4XQqd613wEO08HCYryNeXvUuobCHw/niRtFGH9DCw2G93nMkIrikwh4O+82I +gg+/0cLD4XkuerV3eCmFPRz2z4lNT0qlFfZwOvW1bmgdgxYeTqcqvw6vrldG +YQ+HeZGeWfQAWng4rH+Nw0NaWSjs4XTyOnbr/Tm08HDYD/1L9OlaVmEPh3m5 +y/pZJlp4OKxXWvPBQ8sp7OFwfvG7/+gNWng4fP2EMnRSeYU9HObvlbcvvqGF +h8N8bjnAL7SCwh5Op0obhutlTQp7ONy/ZUXmrUMLD/f//99xqVBLUtjD4Xwf +XWXrHrTwcDoVf7XSsbmssIfD+mK/I/0UWng4nB+t+o3prCjs4bA/aLujSAZa +eDj8vi2itg5UFfZwOg3YUrPjS7TwcFhPq/bIGV9RYQ+n0xa3qssL0MLD6RR3 +akmreZUU9nA67ayx6WVpS4U9HPZDZXpFrUYLD6dTRo1dHatXVtjD6dT+x9bC +eLTwcDj/dHA9YG+lsIfT6fiShWNT0MLD4XwzO6BupyoKezjMpxN/XlxHCw+H +/ebTltsHVFXYw+E8F1lx7Au08HC4v6M2NfGvprCH06mJRXqBgRYeTqd9tXam +zqmusIfTKdi94apSNRT2cHj/6vT3jkILD4f9UJ+mLavVVNjDYb8x90jZeLTw +cJhn7m9eN62lsIfTybX3BTqBFh4O+3vX3nEdayvs4XD+Pbls/nW08HA6rfeZ +NnpAHYU9HM5Hz+XuL9DCw+lkfWeoo39dhT2cTptyBtY2o4WH0+nk2ZKmufUU +9nA63Sri+69UfYU9HPbTA2aao9DCw+m0fZT2oZq1wh5Op8hbp1/Ho4WH0+mc +W94L+wYKezid6vvdyUpBCw+H9fzlpOxODRX2cDpFDTv/5gZaeDjMi/k3P3o1 +UtjD6dS6MKowGy08HM5vYysUm2CjsIfD8zXbTSlECw+HeZTtWH++rcIeDtfL +9UVri8YKezjM504enmvRwsPptDHa379mE4U9HM6Lr7uF70ELD4f171HuruZ2 +Cns4/Pnana+eRgsPh/XDd+Qn96YKezjMt8FtLW+jhYfT6Xb8fdch9gp7ODyP +35oHvEELD4fn54/nlknNFPZw2L/7Otz+jhYeTqeJL+6WXOigsIfDfq9KO5cK +zRX2cDq5k+/MDWjh4bBfWDTwRN0WCns4nd5VNn1PQAsPp5Nzo2XtnBwV9nA6 +7R57KzQVLTycTgVhT9O7t1TYw+G85ZBU+T5aeDh8vVavsSNbKezhMP+KJCe/ +RwsPh/dlybuyIU4Keziduvi99fmLFh4O16dP0uklrRX2cJjv3z2qVHRW2MNh +HisJ07eghYfT6Zjn88eN2ijs4fB5/bJcjqCFh8M8K39od/u2Cns4fP5CT+UK +Wng4g/Lup83r005hD2fQsDElvzxFCw9n0Fqv6qN82yvs4QzKGVXiUT5aeDiD +imtpvWd3UNjDGfQ1vu+Nki4KeziDbLqd7B6FFh7OoMFvCq9Xc1XYwxm0z96i +9y608HD4ednmB800hT2cQZ+PJHufQgsPZ9CXEX0/de6osIczqFHclTm30MLD +GbS4sZU0pJPCHs6gAec6xr9BCw9nkFapa/vJbgp7OIN65zZ++AMtPJxBH40P +IYs6K+zhDLpvLLGU3BX2cAa12FnyZAxaeDj8vFSfkdYeCns4g5JKx5U5hBYe +zqCCVqePtemisIdDlzk1+iJaeDj8eZfNlp5dFfZwBi2NHpn+GC08nEHuN0qH +jemmsIczqMSOde2/oIWHM6jHg1I/Z3ZX2MMZVNR25KkSPRT2cAZNHBI3dxVa +eDiDWjW55Fatp8IeziD/YffK70ILD2fQ1EM3HjX7T2EPZ5Dt28Q9p9DCwxl0 +8vzsme69FPZwBp0p3bLXbbTwcAY1mfGowVBPhT2cQb4P/Yu8RQsPZ1DT9++e +B/ZW2MMZtCfI69wvtPBwBo1sdXR7eB+FPRw+/49/EUpfhT2cQYlhHYJi0cLD +GdRvw8Thjfop7OEM6v9nRc8jaOHhDHKevcOlQ3+FPRzu78P9jlfRwsMZRE/2 +NOk3QGEPZ1BAj00Ns9DCwxlU2Qiz9vdS2MMZ1GnDqAYFaOHhDMou3tZ2/kCF +PZxBXYqWdSg7SGEPZ1DrwXfbRKOFhzPo8f31HnUGK+zhcP06DvBKQAsPZ9AT +P8nfaYjCHs6gjRUvz0tDCw9nUJo8a0PPoQp7OINWNLE7+hAtPJxBsQ7PM0cN +U9jDGfQrb4X5E1p4OINq2btWmTlcYQ9n0Ls03bXECIU9nEG7p+0evwotPJxB +cvURG6uNVNjD4XmbV/XaLrTwcAblTn7828FbYQ9n0CuKczyDFh4O389lfEAX +H4U9nEHlzrbffwctPJxBXuWtPgwfpbCHM6jN3x9279HCw+H9G/N2SshohT2c +QafV56f/oYWHw89/klV62RiFPZxBV6LyvCqPVdjD4f6XKb1vO1p4OLyvls3+ +2vkq7OEMmrBirFcKWng43G/3hMNufgp7OIPqq0XlW2jh4Qzalu4XNGScwh7O +oPLu2fdz0MLDGTRw0IQOQf4KezjMy6cWe3+jhYfD+73njOWS8Qp7OIOWr10U +XnGCwh4O78OMUT/j0MLDGVTaYWBQ44kKeziDeq4d/fE4Wng43P85S8Z1DFDY +w2GeXb787gZaeDjM0x61Jw6apLCHM+ha7hrjNVp4OIMeTqs3Z/JkhT2cQdXu +Z5T5hRYezqCxLzZuDA9U2MMZVCQ4tIkapLCHM2h/QGTaFrTwcAZtOHh4iO0U +hT0c5ony6+sxtPBwmDczfNdrwQp7OIMqnC9wvoEWHs6gaRm7swaGKOzhDGo+ +b27Ea7TwcAa5HZnpOHmqwh4O86Zn7KufaOHh8D42fbsufJrCHs6gB20GdVen +K+zhDPrW11wsDi08nEEJw1LO2c5Q2MMZdK/t3rnH0cLDGZSRecG140yFPZxB +waXKl7yJFh4Oz8u50JuDZins4XB/sxrEvEELD4fr2euHX+BshT0c7of5d5vf +aOHhDOqQ3FxaMkdhD2fQs7kbcyvOVdjDGXSiRYsLW9HCwxm08uy/7U3mKezh +DIovXWzRCbTwcJjPP9v4u81X2MPh+obu7XMLLTycQaMW9+owdIHCHg7Xw7Cz +y0ULD2fQquOdawWHKuzh8H6cXF/xH1p4OIM8P9YvvyxMYQ9nUJjzp9JWCxX2 +cAbdWGkutRMtPJxB05+1tmi2SGEPZ1Af+bTpNFp4OFz/qvOsuixW2MMZNORd +aP27aOHh8PknXmkxMlxhD2fQ1g2e7h/RwsPheRlSfej0CIU9nEH19jQJKb5E +YQ9nkBIYGrUKLTwc5vHemknVIxX2cAaZ3Ire3YMWHg7Pb8umPxyXKuzh8PsE +7aiXihYezqBxn308ey5T2MPhfd8+cf4jtPBwBnVbcOHImOUKezjMp1C/9/lo +4eEMGrNlcP25KxT2cAbNSd/kbbFSYQ+H96Wo3fZotPBw+DztTDl1Vyns4Qx6 +HtjJLhEtPByeh9hr09pGKezhDNqbEn/xMlp4OLwfqfcs+61W2MMZtD1xyPgX +aOHhDGqwoPX5CWsU9nDY/zWfWPM7Wng4g2bTj9mL1irs4fD+2bx8Lq9T2MMZ +VGp8fbctaOHhDNq1MC3BNlphD4ffL+SMVTJaeDiDLrS3jOi0XmEPZ9CdZzd+ +ZKCFh8PnG/Bm8tANCns43I99w97nooWHw89/4uQbslFhD2dQyofgt0ViFPZw +2D9kVRq/Ai08nEGOJ6vpVTcp7OHw8+eHz96NFh7OoJatB1s4blbYw+F9zFm7 +idDCwxnUOKp1s56xCns4vJ8u7lceoYWHM+jm97Ojxm5R2MMZZHF5R1EDLTwc +9luHCnbOi1PYw2Henz3WrdxWhT2cQS9/vjY2oIWHw/MdtHCr9TaFPZxB6Xbr +PA+jhYcz6F9rq+Iu2xX2cAZN2VrhVDpaeDiDCifPCBm4Q2EPZ5CePKjFG7Tw +cHifww6ZA3cq7OGwf385N+UPWng4/P2XFxcsjVfYw2F+RS/uabVLYQ+H57ls +WvV4tPBwBk3qP+Ozw26FPRyu/8IDF8+ihYfD+rV/RFz3PQp7OOzHn66a/RAt +PJxBdxt3HDZmr8IezqCDB4I1HS08nEGHptrYzNunsIczyGOzd8Vy+xX2cAbN +d6xZbCNaeDiDygwYWWCdoLCHw/W3svlwGC08HObd6hmvXQ4o7OHweZ73yb6O +Fh7OIJdKx7MHHVTYw+F57LXzTQ5aeDjsR/fWzJuSqLCHw/vUrsG3f2jh4Qw6 +Z3265IpDCns4g9QF2VbVkhT2cAYlj1/fdA9aeDiDJhfL8mh5WGEPh/3S2JTR +aWjh4XB9jzZc1OuIwh4O87Zc471P0cLDGdR15YVb444q7OGwXg0r+FmIFh4O +83jjWduFxxT2cJgPY+oMk48r7OEMCnpdc80WtPBw2L85n7jeOFlhD4d5Ffmp +TApaeDjsd36mdXM/obCHM2hLUquVd9DCwxm05G63ByNTFPZwBn2K/Ff3E1p4 +OIM2/xoYNOukwh4O16dP/4ulTyns4QwKPVpYLRotPBz2Kz07TK13WmEPh3nZ +xe7uIbTwcAZlZVxo2eGMwh7OoLemkpvS0cLDGeTaUi826KzCHg73b2Lk5By0 +8HBYH3MyXkw5p7CHM2j4zfP9ipDCHs6gI/19r69ACw+H75dwyqN6qsIeDufR +ommX9qKFhzPIZ+msrk5pCns4g/Knvcu4gBYeDs/3z3KD+5xX2MNhPe729m0W +Wng4g9ZtmDFj4gWFPRyuR7WLFX6ihYfDfrRM+u6Iiwp7OIPsNyxzs7yksIcz +qNI/izc70MLDGVRshscSh8sKeziDXrft5HAOLTycQd6hf5/2uKKwh8P+afGc +ZY/RwsNhP7PwrIvfVYU9nEGdk88XFqCFhzPo2JAVSWHXFPZw+HpirclyusIe +zqDqX6Y3j0MLD4f1Ztimb02uK+zhsD63ikg7iRYezqC+p1xXdbmhsIczqEbT +S9730cLD4Tx7q4rT6JsKezicd9+0k3S08HAGjU5sljcvQ2EPh+djSuGN8rcU +9nB4PqetPLwJLTwc5v2/XzE2txX2cAbt7OcanowWHg7n39ODp3XOVNjDYb7M +6zn+Dlp4OHzenCqjvO8o7OGwn2mbOvwzWng4nOeuug2fc1dhD4f9x9N477L3 +FPZwBv04+8ZvI1p4ONzfcyWCG95X2MMZFG5bKuwYWng4gy71+rCu0wOFPRz2 +U3OPJNxGCw+H82tJ78sjHirs4XDedi54k4cWHg77Mb/A0rMfKezhDCr59n5T +i8cKezjs90s3HLQBLTycQV9rjwpv8ERhD4fnedGylKNo4eEMGrF85+eOTxX2 +cAZZr0iwuY0WHs6gn1nxviOeKezhDAp5ErU3Dy08HL7+KPDzrOcKezjsB1p6 +OFtkKezhDKrSTQ3fgBYezqDLQQ8eNnihsIfD+lBuXdNjaOHhcL4L6RnRKVth +D4fzY9miObfRwsPh/Gl/1GPkS4U9HOafy5gDn9DCwxnUbItl5TmvFPZwOE8d +uLqw7GuFPRzme8a8wo1o4eFw/8e1mdDojcIezqA1z3+8OY4WHg73e13aqM45 +Cns4PB/G6jd30MLDYT3znjje563CHg7z3L1vwRe08HA4n5V1D5uXq7CHw/pf +zaNShXcKezisJ9le+zejhYfDn78yo3Pj9wp7OOz/Ohx8lYIWHg7zJrFwUZcP +Cns4g6yW9mvyAC08HK6/69X7Yz4q7OHwvGsDFpnRwsPhff79yyksT2EPh/3x +27Of5E8KezjsB6fE7t2KFh4O59WKMX72nxX2cAb953q88Vm08HD4fhMNvccX +hT0czi9y37NP0MLDGVRr1/3l/vkKeziDnHbM8/6OFh4O61VoL+cIXWEPh/X9 +nEelyobCHs6gTvfHFcSjhYczKKJj8iNHs8IezqCFoQ5p59HCw2G9qvj4YJ8C +hT0cntcZh+Oy0cLDYf/Y7PTayYUKezisx6e/Lf+LFh7OoP5x45au+KqwhzPo +6VBpeY1vCns43K8Zn1YnoIWHw/s9q0Rs2+8KeziDPuQO2H8NLTycQYu6vj4z +6IfCHg77M+vEu7lo4eGw3pVK+Tztp8IeDufzmcVMpX4p7OEMMkqtah6NFh4O ++8UOIwdb/1bYwxnUbn/I4qNo4eEwj5/dPt7pj8IezqDUUVM/ZKKFh8P9uDW6 +vs9fhT2cQWWPbvHORwsPh++/3XrH/H8Kezjcf/ufuaYiKns4g+I+124RhxYe +zqC5MzYsaFpUZQ+H7zd61N0zaOHhcP7wCGvcs5jKHs6gime+L36KFh4O+/MR +V3LGF1fZw2FeX/3S7SdaeDicZ9cHH4ksobKHw3rt27921ZIqeziDCh6ujdqL +Fh4O8yzSsZRzKZU9HJ7nGq0WXEELD2fQi+ab/nqVVtnDYT4GjAl9ixYeDp8/ +JLrMtDIqeziDNn6wX1fSQmUPh/3MtBbW0Wjh4XD/U7enWJdV2cNhfgfO63MM +LTwc9qMO6Z/dyqns4bCe7A+PuosWHg7zY/lxp9HlVfZwBu0LHfTSQAsPZ1Cv +NkFRYRVU9nD4+qiinVWTyh4O8y2x7O/taOHh8HkzVqY0l1T2cFjfQ1bNTEML +D4f118vk2kdW2cNh/2pTweIlWng4g2y2r3gYqKjs4bA/mbF6fxFVZQ+H87Fv +tbAotPBwBgU2aDyiTkWVPRyuT+B5lyS08HCY7yVy6muVVPZw2D/Fra1wGy08 +HN6PP7d+jbRU2cPheXi5+fMXtPBwOD+V/ZEzv7LKHg7fv/37l5KVyh7OoPNt +J73aihYezqAV1yJzm1VR2cMZ1PZQB53QwsNhXh5d9s+zqsoezqCkLVPVbLTw +cNh/O/+xDaymsoczaJantUeR6ip7OLyfiXm+UWjh4QzKqztoeZ0aKns4g0yz +xiUnoYWHw343vHaOVlNlD2dQVJX5VTLRwsMZ9LFYZG+fWip7OINmVnVdoaOF +h8P5ofnOjNDaKns4PC91T1RS66js4Qy6lTpn5A608HAG1X5fmNiirsoeDvv7 +EOviF9DCw+H3dy07rF89lT0cnp8G+06+RgsPh/NUyeI1Quqr7OHwPqRWXVjC +WmUP9///D/nyeR1aeDiDrnZdPLJBA5U9nEGxz57fO44WHg7z4cHPXh4NVfZw +OG/XyLr5AC08nEE9NkT28WuksoczqHKzf4+/oYWHw3knQ/NbYqOyh8P749P7 +RxVblT2cQe/vN4vahxYezqDgqi+btG2ssofD9azheyMdLTwc9ve3U4OGNlHZ +w2H/1shcPQ8tPJxB06z+pc+xU9nDGTR13dt5FZqq7OFw/dYntI5DCw9n0PJK +vQvt7VX2cNgPWTw4QWjh4XA9glzn926msoczqGXXlT1eooWHw3qw7GKNKQ4q +ezjsf9q/MYo1V9nD4ecN/XJzLVp4OKyP73MPWrdQ2cPh8366teY4Wng4zLPx +++Z4OKrs4fD8+U+b8BAtPJxB83TnkeNaquzhsL6UNw/8gRYeDuv7ld0DlrZS +2cPh92nqNai6k8oeDutLr+LeB9DCw2G9dU6a2KG1yh7OoJh/I+ZloIWHw/7/ +uBI90lllD4f5NCk9KR8tPBzmj7YsM7SNyh7OoD9uXt/Utip7OMzr1U3rxaOF +hzPoQeeKfVu1U9nDGeQ40yLiMlp4OHy9p5o2sL3KHs6gVi+aFXmPFh7OoEF9 +xnSe1UFlD4f9x6XDK8q5qOzhDLLwqfYsFi08nEHbum5rZu+qsofD8xnvvoTQ +wsNhfxFb/m1vTWUPh/33sG9dX6GFhzOohVzmcHBHlT0c3pccrVbJTip7OINO +lI+PWo8WHg7PR7JjGRs3lT0c9leWxqKTaOHhDDIPf1mqR2eVPRzmz+XiK5+h +hYfD+TBiaLVJ7ip7OOwXn3xI+IcWHs6gXT+OdlrtobKHM2hDvZNZ9bqo7OEM +erz29/xjaOHhsH9YsaChR1eVPZxBg/t2ufMQLTycQX4N+i3076ayhzNoQs/d +bX6hhYczaLvJrXB5d5U9nEHFjzdJrtVDZQ+H/cXSUXOS0MLD4fl+8r5Lp54q +ezjMu8ILVe6hhYfDempr/jz2P5U9nEEHrs5M/4YWHg7rV6MhCZG9VPZwOE9v +Wr+6uqfKHs6grTOazz2IFh4O96N600muvVX2cP//98dlYzLRwsPhea3Z23t0 +H5U9HM4DTnN8CtHCwxnkulQdF9FXZQ+H33e2ZUjVfip7OKzfARGLE9DCwxnU +5vL42A79VfZw2I9+Sku5hRYeDvPkv1VPfAao7OGwXvW5X7QALTycQdfHrrcP +91LZw2F+/LznXWWgyh4O60Ho2o370cLDYf4F3LvffpDKHs6gEo6bqtxCCw+H +9aVbrrfPYJU9HOat7blEM1p4ODwfveoWCx+isofD/q1VxaFVhqrs4bAfG7r+ +5H608HD4fdwO1uwwTGUPh/kweUjELbTwcJiHY7Z99RmusofDer43dEIBWng4 +nOcvFXkbPkJlD2fQxc51fKuOVNnD4X0+9upjAlp4OOy3dnSf7uKtsoczaMC2 +IWUy0cLDGZSjWW4d7aOyh8P5turcdl/RwsPhfJ267vmSUSp7OPStEYurj1bZ +wxk0/uXzFolo4eEMcltX6a02RmUPh/c7ouTWu2jh4XD9hx4d7jtWZQ9n0N3j +der9QAsPZ1C1dr3zlvmq7OEM2rm285lafip7OIPW+xdZcxgtPByeJ//wgM7j +VPZwBjl0fNzrIVp4OKwPO361Gu+vsofD33fJr/cHLTycQcVunLSMGq+yh8P+ +qOhQU/0JKns4nGc2PiifjBYeDueJ/5op3Saq7OEMUp/7VX+GFh7OoOllFjaZ +HKCyh8P5ZfXCjsUmqezhDKrjMnF4NFp4OJzH77nOt5mssoczyLdm0T2n0cLD +GTQu58i9XoEqeziDGvwcWOYVWng4g7KbmDtODVLZwxnU/L+I0DJTVPZwmNeO +Vlc2o4WHM+josV1qs2CVPZxB9xNajTmPFh7OoBkF104PCFHZwxlUMmBs1fdo +4eEwj76WnTtnqsoeDvvT4LNvpWkqeziDPNPne+1ECw9n0NlX/W84TVfZw+F9 +3+PaNR0tPBzmWQm39OEzVPZwuD4fffrqaOHhsB/usTV70UyVPZxBv6v9Daky +S2UPh/2hZ6h0AC08HObz6yZJrrNV9nA4D9wpMvAuWng4g65UK17Cb47KHg7n +qbOtTvxECw9nUJ+zmwNXzlXZw+F9qevsUG+eyh4O5129wtfjaOHhDKrbts75 +bvNV9nAG/S09Zd1ztPBwBr2bUCogaIHKHg77iRnZPUqGquzh8Px3Lt48Bi08 +HPaXuUE1moap7OEMcprbzJSGFh7OoKB6rqUGLFTZwxn069vOEu/RwsPh79fx +sZi7SGUPZ1DRCzMrKYtV9nDYX5bSG+xCCw9nUKRVeoc24Sp7OMzv+mWG3UQL +D4f9ypDEBT4RKns4g5x1SihECw+H9a2m9jxyicoeziD3Ki0sa0Wq7OEwn2rG +9DuCFh7OoD0TZ2z0WKqyh8PP97j7+glaeDg8z18OtJq8TGUPh/c3qczK4stV +9nA4n2e+z9uAFh7OIK9t/frarVDZwxmUENDtXCpaeDiDyi/PcBiwUmUP9//9 +zdt979HCw2H9r7zOdt4qlT0c9g9OTw+pUSp7OINuK9R+D1p4OINOlXG73W61 +yh4O++EF48ffRgsPh/mW7Vxu7BqVPRzmzbrDR36ghYfDemzxyHvlWpU9HObP +4UOW9dep7OGw/v5tl3kCLTwc9lf+C9b2jFbZwxmkTVww7CVaeDg87wtd7aat +V9nD4X1wSCtWboPKHg77y29lsreihYczk8vwahdbblTZw5lpwKfvidfQwsOZ +aUPLvdtGxKjs4cwUfKFJjBktPJyZVjeN2Lhkk8oezkxZFufiam5W2cOZKcK4 +m3AELTycmYotvkFdYlX2cGaqOCrhyTO08HBmutE05HfQFpU9nJnWr2rcoHSc +yh7OTI4t7vSPRQsPZya6MGlZ860qezgznSosfu0yWng4M5WbG11h2DaVPZyZ +iqiNButo4eHM5BV4JiF8u8oezkzawMEla+xQ2cOZaXRkUd/DaOHhzJRzI+Wm +x06VPZyZxn0Ma/8MLTycmSyPjD4SFK+yhzNTnD6iWeldKns4M3WcN+NoLFp4 +ODPdanbMpcVulT2cmY68tMy8ghYezkyfpmwfP3yPyh7OTNaXh5Qzo4WHM9O2 +NPejS/aq7OFw/zx9R9Xap7KHM9PrYalVjqGFhzPT1qcDH3Tbr7KHM1N0qsPm +F2jh4cy0qoSn39QElT2cmarGJ7ctd0BlD2emxVsnWG5HCw9npi8/g745HVTZ +w5mp67mb2TfQwsOZqV9hWOaoRJU9nJmSDkVf+44WHs5Mz4qUvbbykMoeDs9j +kTe3rJNU9nBmqnutUdYptPBwZro067G592GVPZyZhjn/kXPRwsOZSbbd0Gru +EZU9nJlMMxJ8Kh5V2cOZKby/S/Q+tPBw+H30frddj6ns4cx0PzxffYAWHs5M +/T2rDJ94XGUPZ6YdS28lFktWtf8BsspbCw== + "]]}, + Annotation[#, "Charting`Private`Tag#1"]& ], + TagBox[ + {RGBColor[1, 0, 0], PointSize[0.003666666666666667], + AbsoluteThickness[2], LineBox[CompressedData[" +1:eJwsmnlUTt/bhytJz5mIDJFCSSGlASm1lVLKUImkaEBShDRoljKnJM2DNClK +MobsUlEkiQZDhL5InXOeZIjIe//We/6yrlV5nrPP3p/7s9e6prv72m2VkpCQ +0CQlJP7379ETktN/SLF49UytxLIqEfL+b1LHgxEs3iuFPxZXi9BKY+24VGkW +U5UzDPPviZBW8nIL75EsfhDqdCq7RoTkxJv+GsmwuNBrW09qrQgNWAZcZUax +uOKChVlinQi15sR6dwFv8x7KOnlfhG78zptRLsviyc3Rf448EKE0+zsvDopY +vFLqw8aD9SIUevFZvAPB4lmzJ1SGNYjQppG9y2eRLG71VZoW9FCE0Capf4PA +sUND0XsfidCMGwrXH1IsPv69tNenUYSkx8zfmUGzeOyhhWs9H4vQx+2WqrsY +Fvu0nrnr1iRC9dWbX5mMZnEP+XC28xMRKp4cmCA3hsUfbJ+nrGsWoRN+J60+ +AF9/clXW9qkI+TbmS1yTY3F9iXewdYsI2c6svHFoLIsPy/9izZ+JkG74812O +41i8Za6LB3ouQuPbe2fOlmfx66kpLxe3itBPrRGdQ8C75Irt9dtE6MWRyYmP +x7M4T+t0k1a7CN1+N986ewKsb4699ewOEcpcbCW1ZyKLjU72Nqi+EKGI064V +ppNY3KK8cYXySxFy6wvcLa/A4j3h5xoVXonQMvO4WR+B77dUr5F/LUJqWQVv +bkxm8QHzijamU4Rkf1aeOTqFxRv/HdkkeiNCX1a32mxUZPEEjfmfR7wVocbz +fSM0p7I4sPey3zBwqaT07WHgVb6k5K8uEYp3mrK3WYnFvp1L4gfeidDeKzoa +55RhfTZZTefei9BaakWX3zQWyyjOu/r5gwgt2OqWbD6dxSuM+yw/dIuQwt2g +VRNnwH7iDr7t/E+EhibEj+wBDnL4HtjxUYQ6fQvv3FKB75eBxj77JEK4/q7f +CVUWT+vbWvr4swjlTG+bvWkmi9t2brWp7xGhg8HsOy01Fp9aYdJ374sIbX0m +nSo5i8U7LwzEVvaK0PK5imueAcdWRM2/2SdCGjG6o/LVYb9l9bWVsyJEvllx +N0CDxRZR88NLOBHiFrj7W85msWWc7azzvAg1x+2fO3kOizdzNi3nxCJU/jn+ +Qy/w83qViMx+EUpcej6tci6L+5e3aaZ8FaGANGwbp8li+syWNwkDIuQ40Cbr +No/F0S+a4mO/idBiGw7raLH4hvF48yPfRUgxf2SgtDaL5biFQ1E/RGj4r+K8 +NmBpuYVXwn6KUNc6vf8K57NY9unYnUGDIlRzyTpjvw6LM9Y/Uvf7JUL5sh72 +1rosXt7k+nHnbxE67BZMTNVjccqmZ/nbh0TI69apag5YRUfF0+OPCFmPKwqq +0mfxob1rZm/6K0KaPlVaCQtY7G61kXccFqHRde0fPRayeGuX2XX7fyLUP5XP +1F/EYhM3ImKVBIGeBcg4jDJgcfH3UisrSQJdezKVegG87cH8CcukCJSirl9T +vJjFhhKnu41HECj4gE1wqCGLfzW0XDWQJpDzS4/5q4xYHLCq/5DeSAIZ64Z8 +Vl7C4rgbnJOWDIGmnUjI7geerfVIe/YoAkn9V7SuxpjF898ekp0pS6DuJdX0 +GRMWp/VPf68sItD9pI7abYjFm+IzKicTBDrP8yGLlrI48r8faeNJAh2zHKVL +mLJYNGV+8BiKQDtzlL68AiY2Wm8kaQKt/q2fU2LG4vQn5sYyDIHm2690jFjG +4lfnpqlIjibQuItbRtuaw/sdfiX6A/xdOvT+DAsWk0zQ1x9jCNTucjrsG/Cm +399e98sRqOJ6sd795SxW5+wa+sYSKH30vd5kSxZfHJdw49M4AoVtf3HOy4rF ++mfKC9/LE2hztXiD4QoWT8y8mto5nkBLJ8vK0dbw+w4psR0TCKTqp1z/Bnhd +j8vBZxMJJNO4IKLMhsVhUSNDmiYR6LPqqgVRK1l82jpuX4MCgR6GbWXtV7F4 +tO+Qb+1kAl1sC82buZrFuyeu2ImnEOikVuLGn8BLfEN8bikSaPeRC2Mb1sB+ +y4nbeW0qgeze3WtIs2Wxc/uh3WVKBNJb/DLSx47F74zc/C8oE2jC6f6FxvYs +XvhHKbRgGoF+9cryo9fCeqCq6JzpBHq1bFrBO+AxuuZxGTMIVJm50OWKA4sl +JUrTk1UIlP1jlXzMOhbnPP1dlKBKoAOrtz1atx7mQ8ucW7EzCeRxPixK3ZHF +U+YtbTyiRiBzyTMGv4HPMoZdB2cRaJbTRfGjDbC+2Qo/wtUJJLpSU5jpBOeB +6KSDNQjUS77a5LuRxb0hMbP8ZxOoacvX8UudIU+VxpntnkOgskrR47EuLB6e +csjVey6BEiZMj+4Gvn/2TcQ2TQLt811keH0Ti/3aFXPc5hFoXf3qr4c3s1ih +F9U6axFo0XTPog2ukD8y1j3rtQk0OTjcdY4bi584GI6xn0+gPy1nJv4Fnjdi +rMEqHQK9mVPS1OTOYo3FTzysdAlYpdqYsx5wHmb6xS/TI1Bu5yujvVtY/L7/ +710TfQLFLBgYMNvKYq0GX37xAgJ5xhEXxm+D/d9eP33BQgJZfZ7u/gnYdLlo +3fxFBJqz1EChwpPFtcvmn5hrQCA6bU3zse0sVh5CtbMWE4j/6nnY2Qt+fmbR +8AxDAj21jjCet4PFc9GkxUpGBLqSl/T9H3DbzK5AhSUEOvO35OJTb8jrvfE3 +5I0JFLSuziPXh8WTbDV+jTYhkNOl15P9d8Lvs8VGJCKQkey3pxa7IF/2jY+S +WUogJTfy6CRfmP+jvRokTQkkcWsG+gJM9+WP/Qv8fuzin7d3s5jRb3QZNCNQ +rbdtaeweyI8Jr4sHlhGooHb71s174fzdbv3FmRPoyNRIxfl+LDawrVjxxYJA +OwKSn0ntg/MgdTjzv+UEWvmk9NhzYGbI+GuXJYG01O8vLfBnsbnnO8vXVgSS +O9A5GBjA4s97d+W0ryDQwItvl6wCYX9YfRxqsSZQqw7lOSUI8n6SlWOTDYFu +HFdRYoFHEsnXG1YSKLV7cevd/SyeY908oW4VgUKW2J2ID2Zx06jvQVWrCeSS +5GXmHgJ54jOy8/YaApnwkb91Q1kskSdpdsOWQDMsUy6PDIPnedVTXG5HIOmc +S9vbgZtM7sqX2hPo46/7ykXhLB73JzyyaC2B6u3etAVHsLjPaC6X50Cg4gvf +Y20iWZw7777L2XUEOiFNmysdYPGxvzZP0tcTaJeL6h8e+HdrlWmyI4HWXDe8 +Uh0F69M9/WbCBgLpjLbfcfogzGOH3VonnQgkv33H9K3RkB9rL54/upFAP6sO +dCyIYbHu6DaVGGcCvVBIjZM9BPl+mT0b6UKg23vLLF4C79g+oBy6iUCZjx78 +vXAY1sf109nAzQSKUH17NewIi8fXNaj4uRLILeyH9+qj8L5q087vciOQWRut +Mv0YnOdjTlo73Ak0U2vmy6/APmaim1s9CDTqiNGp2uMs7lYsNHXbQqCeLnvL +pBPw/sz0njhvJVCjgfc/z1gWr/9Q5uK4jUClCVHXDU7C+9OYytl7Eii+N3Un +Gcfil2b7I1dvJ9DeZZdVO4FHbqqTt/Yi0NrM+lel8Sxemy95wWIHgRb8eJsQ +eYrFNy3mmZl6E2jS6p9Wdgnwfn2tO5f4EOh3ISOpeprFJdYb9hvsJNBrCbWb +34GXixwn6u8i0N0NS3wfJELetS6/oe1LoJzytWqpZyDvXqtvmLubQAdJn84d +SSwOth76M2sPgbZuOZholAz5uwafU9lLoOWVadZMCotVJ/mvUPYjkMaEcqku +4OYnit8m7yMQ6dtQcTmVxdfyrmdP8CcQ+6Br98E0FivWmq4cG0CgJ9MGZzmk +s9hxY/UfOpBAl/ePfquWweKaZJ1SURCBTreoJQ0C3y1Ichu5n0ABc4xXPsxk +8dVr7ATJYAI5RjtIZ2RB3/u6sOkP8OJOn9s7s1nsetT/8GAIgRQXRO81Octi ++aIC02+hBBo+ma4hl8PiyvBH//gwAnV9Ku96D8wt7L7bG06ge+hh8tVzkEdS +/RGfIgiUl/pu1aFcmB/kV9MPkQQ69HVwpGMe9OvIT6PeHiDQdusxlRr5MG/j +nza9jCKQdd6sfUPAWtvLktsOEkjzr/GcxwWw3/Sj3VuiCTR63br3WYUwH9VW +ajXFEKi/dGfq7vOw/tvJ4YZD0EdGxawxLYL11MBNdYehj7hmjJIvhveVvD2n ++giBkiuu3P0P2K1rVEDlUQLtH/vI/8YFFm/XybSpOEagjd7v5x69yGKn2+oz +rx0n0JLaXx+cSlhsXFT8r+wEgZSnyqXPLWXxjNkqry/GQh8JULcbBlbySbh1 +/iT0kSYTUfMlFq/JHEzLi4M+Mmt9VU4Zi7t6HcLOxkMfidwV6HeZxf9iit0y +TkEfeREzz7wc9nvqj+UpCQTy0cn8b8IVeP8Oi7UTTxNo1fGrGZ+B6c/+k+MT +CaTd/cj+1lXIg/AimRNnCDR2yQfixDW4b1m2fjucRKBvZ35Xu1yH/9/vV/fB +ZOgjnNx+rRvA8ya0R6RAH1muoS15E/rY+TmPQlKhj5xFn1qA5zGLqwPToI/8 +Wp+VVwF9O25phV869BE7X4eAW3D/czK94psBfeTCIcryNouzMo0ueWcSSEU6 +q0bhDos/HtYu8cwi0EiXa8G9wI+XK5V4ZBPo07XG+ZWV8PmkzKXNZwnUwHR/ +PnmXxXojPpdvzIE+4jmU7YrhvDnX3lx/DvpI1dj1OlUsvmCeXmWfC31EYTYj +XQ19mfN5uDoP+sjepXWtwCdjDNqs86GPPHIMLbwHv28g2b28APqI6m7d/TUw +3wxqB8wKCTQYevjLiloWP7oaNRKdJ9DL1qwcxToW335hpGBURKA78647csBJ +7V/nLSomUNbhx6Or7sN+6Myz0LsAfaSr+/6pB3AfmGzvqn0R+ojBnzCPehbb +VP4NmVsCfSRhnL5+A4uzv+elqpdCH+md3SfzEO5zXy0rVC9BH1lmmtsBLH7f +83JaGfSRjA1OxY+gX/84PKx4mUCPv++WC22E9+usoqpQTqBLq47Ur3wM58fs +jvX4KwQ6VZgdodzE4g2v7PzlrhLIT+LGgn7gq/afztLXoI9saGLvPYHz+Gp/ +k+g69JHy//ISm1n86RwxPPIG9BHy78ZtT1lc2pGqJXUT+oiH/LhFLfC8F2d5 +DAO/uTPnoegZzP9VV1N+V0AfGW924BWwDGvS/OMWgc7tclpU8pzF924+FA3c +JlD0gz18eCuLR71ba87fIdC2aUcL1rTB+znzJqq3kkCW+8+6zGhn8cDI7fc+ +3YU+0nJD/huwvHv/iG4MfWTOk0d1HSwufxG8vKsK+sjBj1HJL2D9MqRjX1dD +H3n918DrJdwfX5583nEP+oj++P7Fr1jcWaOg1FoDfeTk3PPUa9hPh/O9ntYS +KPCT2eY3wOPW69x4XEegDWjjhLJO6CduVTIP7xPIMHXv4wNv4PPbVjvef0Cg +qV+PRtu/hZ9/7Lpwrx76iHWO4cwuuE9X+0niBugjuTe//gDOS5bdcPsh9JE/ +T4rq37F4f2p2+Y1H0EccPrmmvWcx/2MRc7UR+kjp8ESfDyw263ruXfYY+sio +CU+WdEN/jfF7dLGJQDaumodG/wd9deJ4zaInBJpXsWzJO+DV9yvi85sJNGas +87fyjyxWu+f2I+cpgb7u8LsQ/Qnup4b0pqwW6CM1x9zXfWbxD/c7D9KeQR9R +PKeg3gPrsd1XN/k59BH/iuZfwLVhajmnW6GPNDUffvQF+njLuzHxbdBHZn02 +zuyFfpGUE3WiHfpI5L/vu/pYPPPP1h9HOgg0/cWEEsRC/uho+cS8INAInXlb +xnIsvuP998OBlwT675j5lG7gre3NLuGvCPTgg3PLNR76R0HRi+DX0EeM9h09 +LGYxIo6sD+yEPnLmONrQD/dXXZ92vzfQR7hzP2d/hf1gu27D7rfQR5bfKv0D +/DjZotOnC/rI2adbmwbgefWXeHi9gz7y67Pi2W8s1nRe3Lv1PYF+2Eo83/Md +5q+Rsb/7BwJ1FE88bvYD3p+sleTmbgLdGqFlOv4niw/yTnEb/yNQhrPFr4/A +ItV9yo4foY9ccym7OQh52pZ4ee0n6COMv+exX3B/Nqg0t/0MfcTzhJLzb+iD +IeyrlT3QR6pyWzWHWHy5eea+FV+gjyjcPvEPuHT7NmZ5L/SRPS1mT/+wWMf/ +UrFZH4EePez5fe4v3D9nSVohlkAlKpLl+4ZZbH15Y48RR6C40EleFv/gvJrh +4wY8gfa0ak2bJMFhmzFztReIoY/MW97eA5xsea5Npx/6yOFNJ29Lcniq0owI +ra/QR7r8zWOlOLz33gWNuQPQRxbF/tk0gsO6niZt6t+gj5zKu6ItzWEZ487o +md+hj3y5vUNqJIfTg2L0Z/wg0FmzZ9OfAxdZLvqs9JNAURlfOvJlODzw9nvG +lEECbfkuGR84isNmu+/aT/pFIItVCsutZDm8a04CNf439JFC7eHJIg7bme15 +IDcEfUTC8lof8POejdHMH+gjjpt97hIc/rjGzoz8C33kcoBKPMnhyOK10rLD +0EeIky/dKA4XqHs8kP4HfcQj/5QuzWGPv2EnJCVI5H/njuVIhsOPnfLth4HX +j3/+rw14hN8LxSFJEhns6r1+fjSH5wZN/vxTikRTHkjtCh7D4eCM7de+jSDR +X+XJM23kOKw+tja6X5pEXUHzX08dCz8nNddxI0l076nlaR747q1cjV4ZEuXN +dl1RPY7DK+zU/30aRaJDBwMlT8tzOEL6dlu3LIm2vz55c8t4Dj8Y4Vz2TkSi +FfoFvgsmcDgxijzxhiDR3JOVarITObz1SoPXK5JEzKfnnS+A79YnWXVQJBKb +9CVemMRhre9757TSJGpJGWETpgDr571pdAtDomv9k0esnszhBpcN35tGkyh5 +hc6taVM4jP55dD4aQ6L9uVZ7vgJ7R4U/qJcj0cY/ruq1ihymJhddqRtLoiUO +QW/PTOVwz+CHnHvjSKRcGpfkqcThVlutBCxPIslRhSsNlDl8Yf3x6DvjSfRh +811pchqHbZcMBlVMIFHdzdbbr4Gv6wf4Xp9IokI5dm/pdA43+43cfmUSiY7t +kJ4dOYPDubML3MsUSORTM+WdrQqHF8c6bi6ZTKJViropKqqwn5oUNxVPIZG2 +/4rV34HHzBjYVKhIorFNbjIPZnI46dYrt7ypJPqmtr8yRY3DOx+1bctRIlFb +RPy+HbM4/PRw984sZRLd7CicY6QO+1dlZGD6NBKlzcfvaQ0OOzcvikqZTqLQ +Y22pb4Hn1ETEnZlBos0f2DWXZ8PzznuZlaBCoqVGI2UPzuHwfQvLsjhVEqmc +UcRr53LYz/hhzYmZJBrJ6QaoaXJ4o5Xri6NqJPpkYa05CDwqneg/NItEDdnu +3Q3zOEx4NhDR6iS6MLg/PV2Lw5LvMtQOaJAo1vaU3U5tDscbxSwLn00i3+Lz +IpP5HN5eErUlZA6JbEdUVY3RgfPjeeZQ0FwS6Tm3B74H7s6sLPbXJNGEa9y8 +q7ocnhQz1Lx3HokGaZmPMXocvrZm9S9fLRK93DY1c70+h7P0KlR2apPoDtZb +q7GAw7e3GKzZMZ9EWZNsyCFgqfHN4Z46JIrc43GvcSGHd+8Pu7RFl0TuD4P3 +Zy3i8Oj7S9+76ZFomUqC9m4DDvPzpk7crE8itdCiT0sXw/n5PHqV8wISiVqr +ssYZcrhv5uTDGxaSqFezw+E/4E2KRvfWLSLR40M8dcOIw5X//P/ZG5Do0luZ +2iNL4LxI1xvbLibRqUVKIU7GHF67SSdylSGJ/E7p68w14bCO6bUaayMSOXyx +6fkLbNa9RmS1hEQLzbacfYI4/D1opK2FMYkUMkLW5yyF59N7lmZmQqKhbwmM +nymH5W1ufUSIRG9WFtctM+PwsYFbesZLSVRVUB06YRmHL21ujTY0JdG5fx26 +n4Gn1YnaF5mRKNpR/KXCnMPRjo5zFiwj0bbLo84dt+DwiVXVB3TNSWRJKG9w +WQ5592rZS20LEs32WDBGy5LD4fof9OYtJxF1Z+UDCSsO/4nLODXHkkSc/Nbw +FmDzaXvE6lYkat4Zqp+3gsPGU9xs1VaQ6Mr9033+1hx+ds3nqoo1ic4oX8hd +bgN/r5qoMN2GRIFB95wUVnL4VmZ7pNJKEm14+kKuF1jBYeGXKatIZDi7v/7O +Kg7Pi7zioLCaRFMPykaeXA0/X2tTM2ENif69Ul7ouobD0jJSuvK2JHqnt5Cb +b8thlUfP8+TsSFQTuyp/hB2Hr3bUThptT6L8j1udW4Elt7ScpNaS6IhJ2LhC +ew47nfsrQziQaEdK4sOgtRxWarA4MGodiWz6LxxY4QB/T5f+lV5PonkrahYp +ruPw8XzdUClHEo3JfcmzwNPvt//5B/x1qL8Ar+fw77y0iL8bSPR8rWjTKUcO +FwaGSg85keh6ybTxHhs4XO0XdnxwI4lSZBY16jlxmGvKlP/hTKLgzasPymzk +8Ku7ndkDLiRyubltcQew2f4lmv2bSGQiF95f5Mzhz7qVd7jNJJq+48z5EBcO +p6i6rOpzJdGImoubV26C839g6vseNxL9N6V2gvJmeN8Rw4Gf3En0YN+rx2Lg +kw4SY/7zIFHR46/R91w5bGqgUvx+C4mOqxFGiW4cPuvqadG1lUQ7I6YPbHWH +55d83N25jUSrOxYVL/Tg8Bm7tTGvPEmkM3+Nm2gLh92P/p31YjuJ5I95TnoF +bPm6vrHNi0Q/3oc/ubiVwyEHrvs930GiDsOkQ+HbIB8v3Vds8SbRrcSSJWs8 +OdyS/uvBEx8SZbC136Zvh/8/bLX/450kCrd4fWEAuPtYveqjXSRyzR5wr/OC ++fV7S1u9L4lMB4nJyTsg3yRnHru/m0SqtjOebvfmsFeHLKrdQ6JRxQZHFvtw +2PfqmMHqvSTqkbI1oXZyeH+jUTn2I9Gjjdt/dALPWh+7q3IfiUquRpRc2gXn +5biU5m1/EsXRyVsO+EIeZWewNwNItGdb6RT73RxubNhYdj2QRPa4rkV1D+Sx +KfK/GkQi/UmdR38ApxqvMCrfT6KJe76h+r0cdvuxf2RZMIl+NZCDqX6wPmef +NJeEkOj1DJVL3vtgnvlZZ10IJdHdkMXblvhz2KKA3VkURqKzz22njg7g8Jbd +100Kw0kUpen1vAv4gmzBuPwIEm05FHm8PJDDBgV3es5FksjibbJpdBCHF0T+ +rj57gETqiy79ctgP6/N4c0ZWFImIU/fLZgVDHt3vC8o4SKK+nk7PX8BDZ3PW +p0WTqMn0u9KjEOhTZ8IXpcSQqCydassIhed/eXBK0iESnf6mErsrjMP2hZcl +Eg9DH1lpuAyFw/7Uk/186gj0kQK7IbkImPc3Dz+NOwp95J9X+QdgU2/tythj +0EccD3hdi4T5Fi114fhx6CNlKdMOH+DwIcPhtKMnSPRWVNbuGMVhvXqV2MOx +JKp2f3By9kEOa7r7HYg5SaLc22/M/wBrLWUDD8aRKEb+x5/H0dB/Mk/tPhAP +fWQnfTU7BvKqxNU74hT0kfuq3nsO/a9fOW0PS4A+omw0w+ww5NujCM+Q09BH +guxfyB+B92nVvH1/IvSR5h3xH4FJZxufwDPQRzSilt88Cvm7+Nse/yQSXY1K +HT56jMNfp9bv90smUdKrsmsbj8P+XVJ/cE8KiYL06n00T3A4puVbnG8qiZxi +36r8AzaTX5W1Mw36yMcfL5tjObzS8Hmpdzr0ERMm4dxJDosjDld7ZUAfSZlp +tS8O/n7y9jbPTOgjYiMJi3hYL7MAdmsW9BGrtTcmnuLwBN1ymS3Z0EfOee/q +AR6arjjD/SyJjg5FzbydwOFMy6smrjkk8l6b9vrEaQ7Hvg3evOkciVaWXD69 +KRH6pPLuA865JNKSaVihfQb6G0rMd8qDPrK5S1IqicPbQj89csyHPnLj581n +wMPEjm/rCqCPjBm9Oz8Z9s9MRWWHQugjXmqzAlNg/0kPW9ufhz5yb8kby1TI +x67RIbZF0EemOJyZnMbh+QO2F1cXk2jTPh+bPmBl/9q3Ky+QCD0+OOJuOvS9 +bM/xNhdJNEMt/VZcBvTlYsOVK0pIJB1RvsctE+ZDMzpsWQp9pL1BXTeLwzNW +BNZYXII+ov3urXQ2fP6at5LmZdBHjg4mtQHrK+43NbsMfeT96FXnz3J43adl +MUvLoY8YzhoZnMPhL19MH5pcgT6SaHzH+hyHrVz95IyvkkiXdfCbmsvhtsg2 +J6NrJBpvsXM2DyxxyKdg8XUS/cyKfleVB+e1SP/bohskevEzPSUhH86Lqs6y +hTehj6y5snpLAYdnarsn6VdAHyl6KLOgkMM/ZO5/0b0FfUTqfeWo8xxe+N5l +qc5t6CMbf+17AZw+pJGmfQf6yNUxcy8UcfjNidnf51VCH6HVP4QWw/58stlO +8y6JZLeZpK26wOFFww2X52ASfbm7znbaRdj/dl7jZleRqHHiLtmvwAojjQPV +q0lUujsG15RweI+tZafaPegjDRkBZ0o5TO85Yj6zBvrIjKuanpdg/2QOX1Kp +hT4S8qh7URms19gLijPqoI88f59OXObwUtmTx6fdhz6i+dvuNTBfUfhH6QH0 +kRg5orScw2lug75T60nU+Ua9OuIK9BGDyP+mNJAIL0RBtlc5PC7UxGXyQxLl +xK/XUrnG4dMeC9onPSLRwZ5dH78B+8/eaj+xEfqI6aHM+9dh3pONT8c/hj6S +nrk25QaHTZC3nXwT9JFvV8kdN2H9pM1axz6BPrKy8Z5hBYdLTtk7yTVDH8n/ +sJ++Bfmpkvlu9FPoI8O/td8CXx5Q9mZaSFS+fuznstuQn0te/6CekSixTCM7 +6g48v2FLNPmcRAGipevWVkIf1pAaT7SSyNHdkVa7C3mBfApl26CP3Pat/Qm8 +pXKM0ah26CPyh0MaMPS/F3zLyA7oIz5ZOulVsF+einykX0AfqbvW41MN+/PN +plEjXkIfUXp81vgezGMjPk/yFfSRwO71Y2rg/c26vUziNYkONw8x74G/dNz7 +OAzspTHu/pVa+D6xMsf/dpLIOmp2WEwdzP+IQ/P/vCGR5quleuvvw3nvNX35 ++y30Eb0NveoPIJ9Io5hfXdBHTuw+9xvYXnGvzuA76CP/Hd7QWA/7x/G/dz/e +Qx8xzh6T1QD7dejM6e8foI8kX3/g+xDuKyh6+bdu6CPix+FLH3HYdUvZ36// +kcjZ6j/9cY0c7s+ZfL3/I4mMz/3p6wYeo1+zW/yJRNOGxuVdf8zh0A3Fmvxn +EkmtnbPxSBOsh3VzH9sDfeSi6VinJ/A85vqlfV+gj4x0apjTzOGK6Bd7enuh +j2zaE/kXuHpZ5cIvfdBHbhxZ+OQpzIfqrn+fWegjY85yZ1ugvy9c9vATB33E +60b+3mcw3999SvrIk2j+vSbnZc/hfvPn0db/xCQaN+XjuAmtsH/qxAu6+0n0 +3e/vw0/AYwPXER++kqi9UT6qoo3DUav+vn03AH1k5lyD4+1w/g7/d6PrG/SR +cDOxcweHD24enfD2O/SRdqfCeS843CEXsuvND+gj2ns3SbyE++Ir9ZWdP6GP +HD06vgV41+Ckea8HoY+8P9uY+4rDd/Kt5V79IpGM4c2D/q+hDytX/3jxm0Sf +Tz9ZvLyTw465+990DJHoYd/H/klvYD/6+Ne3/yHRRfPh81+A91dcvdr2l0Qn +s8a73nkL77tuYW7rMPSRn3MnnuzisGHT8Onn/6CPrFnWtPkd5N8Y4vAzCQrp +F22Mmf8e7vf1G0JbJCk0UcrPaMQH2G96nN9TKQr9cjo28By4I+7BzuYRFHp1 +Jae4oBvuzwofvZ5IU6iSqnAL+g++P7Vie9NICmVvbZ604iOHJ54f2P5YhkIH +7n56MuUT5Lf6B+/GURTymPjvEAt85eGkPY9kKWSxe4Ix/gzzoDEx6KGIQuoN +mt/je6CfeK+PaiAoRMwwv+j+Bfp2t+vJepJCfcHOHnq9sB/2Xc54QFGo6Znf +ZJk+yBMnq5L7NIXK5h5/2g6s/Ey9qo6hUELMuSNFLNyHFNe01o6m0L43FSYh +HOxHP9xXM4ZC6xY+/WHDc7h+fKBMjRyFFsV/LlESw/1jaeCMe2MpNKXn3xYx +cLB+FaoeR6G/Sycq3uvn8JR5dm5V8hR6mzbv2emvsJ+8NKPxeApVD5gf2zoA ++03NrujuBArl2rgsXfgN8u1cVXPlRArF5O8blP3O4arJ+3/fmUQhz+Hjl14C +xzWFqN1RoJDV+txtF39wOG+ofu3tyRSaU3ZravhPmI9drjG3plCIFrU8Xz0I +eXjL7GaFIoXEbj3Hp/+C9a/fw96cSqGWWxJmA8DOVr2qN5UodHXcpN+1v+Hn +wWWbbihTKMlH63LSEIet06vTrk+jUFCdxfbtfzh8pEfpxbXpFHJS2qS8+C+H +/+XWK1ybQSGjQP82chjubyLsfFWFQkrNJ2I7gSUcpc5dUaWQhEbeskv/OPyu +KaGnfCaF3h+4PRQpweP8i7t1y9UoVPeypdxOkscTtTMiLs+iUKHuFy9VKR4z +KeObytQp9P8+HI99VTqVyjQowYfj8Wy5gT2XZlOCD8dj47PrH5TOoQQfjsfX +RpPKpXMpwYfjcWEWvb9EkxJ8OB4bnNjcenEeJfhwPM6YKKl3UYsSfDj4/Miv +iRe0KcGH4/Erab3B4vmU4MPx2Pm/OpdiHUrw4Xj83fV8XZEuJfhwPJ5045VW +kR4l+HA8tpjlmnFenxJ8OB4T/frk+QWU4MPxeNjeJbRwISX4cDyuj2vjCxZR +gg/H4yndOVsLDCjBh+NxRTzuzF9MCT4cj3e1ajnmG1KCD8fj8V+/Ps8zogQf +jsdJaqK1eUsowYfj8afyva25xpTgw/FYtl1rQ64JJfhw8P2eobfnECX4cDwW +fcr3PLeUEnw4Hs+z3/w1x5QSfDgeX16/MyLHjBJ8OB4/12tmcpZRgg/H497Z +R86eNacEH47HdsFn9M5aUIIPx+NAj8GH2cspwYfj8VO1yx7ZlpTgw/H4s3TV +cJYVJfhwPF5tPDMjawUl+HDwfse9M8yypgQfjsfZdQOdmTaU4MPx+GuiS1Tm +Skrw4XjsdU9JI3MVJfhw8H5PLmzJWE0JPhyP5xieD8tYQwk+HI9tZPfMybCl +BB+Ox4YLE16l21GCD8djaRF1Mt2eEnw4HtfcfWOavpYSfDjYX6nE7zQHSvDh +eHz/ZdyVtHWU4MPx+GDNLt+09ZTgw/F4+plCzTRHSvDhYH/EL+ZSN1CCD8fj +mMFZl1OdKMGH47EmvTsgdSMl+HA8Dh8zzjjVmRJ8OB6Ty+RkU10owYfjsV/P +jucpmyjBh+Oxp+m03JTNlODD8Tg3fv6+FFdK8OF4LB6fvTzFjRJ8OB7Pkvea +muJOCT4cj+c+jvue7EEJPhyPB6LGNSdvoQQfjsdhgd8uJm+lBB+Oxy/6dE4k +b6MEHw6ed+aTncmelODD8TjKvcE2eTsl+HA81vk0fVGyFyX4cLBfvndMS95B +CT4c5MkTjkz2pgQfjsdTb3r8SvKhBB8O9kv/wp6knZTgw/H4RqX3q6RdlODD +8bhr878nSb6U4MPx2GPywP2k3ZTgw8H+01pelbSHEnw4Hs/4MHQ7aS8l+HCw +3wPkbiX5UYIPx+MmzSO3kvZRgg/H4/4VrpVJ/pTgw8H6yaXdSwqgBB+Ox941 ++g+TAinBh+Pxgzzd50lBlODD8XjN4OmupP2U4MPBekuu55OCKcGH43GsVJhE +cggl+HA8vmIiI58cSgk+HI/5oa8ayWGU4MPxODPYzDQ5nBJ8OB6r//vpnBxB +CT4cnPfHY4KTIynBh+Nxw6yTqckHKMGH4/Ffu923k6MowYfjsWXCjbfJBynB +h+Oxi8YWmZRoSvDh4Pc3BmilxFCCDwd5uZNzSjlECT4cj1VyG4+kHKYEHw72 +p4lcRcoRSvDheNx56E5vylFK8OHg/FY2TUs9Rgk+HOz/WZaOqccpwYeD9y2r +kZB6ghJ8OB5L5O9pSo2lBB8OztvSGXTaSUrw4XisNsNwVVocJfhw8DzH75xK +i6cEH47H66oL2tNOUYIPB+sh+1s5PYESfDh4HwXXd6SfpgQfDubZm9c30hMp +wYfjsdZ3n1EZZyjBh4N80fbckJFECT4cjxufPSrJSKYEH47HH1XTpTNTKMGH +4/GG7a0umamU4MPxWP5DcEVmGiX4cJD3L49PzEqnBB8OznOCbFBWBiX4cLDf +bPtfZmVSgg8H+bDRAmVnUYIPB+f9x6jz2dmU4MPx+K3ngrFnz1KCD8fjw9+e +hp/NoQQfjsf/3r1kz56jBB+Ox7f9bTfn5FKCDwf5+F3/WU4eJfhwPB5XcMTq +XD4l+HDw/z+2uneugBJ8ONjf5fuX5BZSgg8HeZA66XbueUrw4Xg8rV7NMK+I +Enw4eJ6YvMq8Ykrw4XisNCHBNP8CJfhwPJas5hvyL1KCDwfn81GlfUEJJfhw +PC4L/fO2oJQSfDiYpwoXdxVeogQfjseqPY3/CssowYfjsd78zafPX6YEH47H +Ros8NIrKKcGHg3xf9qK66Aol+HA8rsuodi6+Sgk+HI8XHlb8XXyNEnw4Hkeu ++JJ64Tol+HA8XqI5x+jiDUrw4WDeB7ztuniTEnw4Hrd7jzpSUkEJPhyPFzvk +zi+9RQk+HKzP/iudpbcpwYfj8W6lRScu3aEEH47HM0/MX1JWSQk+HA997ay4 +7C4l+HDQB14fLLiMKcGH4/H8VZ2byqsowYeD/Zd3UeFKNSX4cDzOU/vaduUe +JfhwPN6qWJp0tYYSfDg4b4+7HK/VUoIPB/9/xLGp1+sowYeD9Qq90H39PiX4 +cNAfiGWlNx5Qgg8H+bdnbfDNekrw4SDffr22rGigBB8O5mtPp8Kth5Tgw/F4 +0bH17K1HlODD8fjRjBU1txspwYeDvBi6ln7nMSX4cDyWc04PqGyiBB8O8vrE +b/u7TyjBh+Px3dZnuriZEnw4HlMRsyZUPaUEHw7yq/n776oWSvDh4PuMM3lf +/YwSfDjoL3EjGu89pwQfjsfHYs1u1rRSgg8H59PhX0FtGyX4cDAvtRam1LVT +gg8H/W9r7/H7HZTgw8HzmyhGPXhBCT4cj/+MfBhc/5ISfDjIR8nv/g2vKMGH +g/4Wmun38DUl+HA83lFZ4/eokxJ8OB5/Y7YHNL6hBB+Ox+UVx0Iev6UEHw7O +g8zcg01dlODD8VjXxCb2yTtK8OF47HCxN7X5PSX4cDzenkwUPf1ACT4cj6ut +im61dFOCD8fjh1R907P/KMGH4/FPDc//nn+kBB+Ox81fDg23fqIEH47HbMqs +ye2fKcGHg/UJs1rU0UMJPhyP0z/0Ob74Qgk+HI+Lx40NfdlLCT4cjwfXV+S8 +6qMEH47HPr/fN7xmKcGHg3m0LO5bJ0cJPhzMywN3p7/lKcGHgz7xe7dtl5gS +fDge//5VcPBdPyX4cDz+0uB68/1XSvDhYL9czeI/DFCCD8fjx9JuGv99owQf +Ds679PmtH79Tgg8HeTfsl/fpByX4cJAXRnX/ff5JCT4cj/cTGRpfBinBh4N8 +Lx/07f1FCT4cj+3DX93s+00JPhw8T8UyaW6IEnw4mPeX9Oz4P5Tgw/F47IUL +58R/KcGHg37zo+h7/zAl+HA87nutZT3wjxJ8ODg/RUtyv0nQgg8H8+38s7/f +JWnBh+PxSpWvTj+laMGH43HwttRbgyNowYeDz6tsUPwtTQs+HPTVvQcPDI2k +BR+Ox7Yt9z//kaEFH47HMuqJdsOjaMGHg3zCvXf/ydKCD8dj/OGxpiRBCz4c +jxe8W5wtRdKCDwf9coTuOGmKFnw4HvsnXT86kqYFHw7W72Od1CiGFnw4Hm82 +dguXHU0LPhzkQ++RP6IxtODD8djJYEkYKUcLPhz8fF+4BD2WFnw4Hr/+bHOI +GUcLPhyP6Q/nmTHytODDQR5eSkiVG08LPhx8Xhoxa9wEWvDheBwtHnNDfiIt ++HA83jucbzVhEi34cDxuG/PwzUQFWvDheLxzX1iAwmRa8OFgvQKqxkyZQgs+ +3P/68ukSRUVa8OHgPB36YaM0lRZ8ODjvWl84ZSVa8OFgfhfvOj1dmRZ8OOgr +5tGLVabRgg8H923rOd2q02nBh4M+RW6JV5tBCz4cj0e3zDNRV6EFHw7Wlz0u +1lClBR8O8qgoJG/OTFrw4aD/Ogw7aarRgg8H59tYXl5rFi34cDyOKK98oq1O +Cz4crN/w71gdDVrw4WCe7W5cpTebFnw4OE/rdMYumEMLPhyPjw7P7Vg4lxZ8 +OMjL63fOGmjSgg8H/abxnbfhPFrw4eD7nMwwWKJFCz4cjy9ZsyITbVrw4aAP +2j5/jebTgg8H+cjalpvq0IIPB/vRd9uxZbq04MPBeZk5dquFHi34cDzetsnB +1FKfFnw4Hmtv156xYgEt+HBw3zyVK22zkBZ8OOivsy/1rFxECz4c5E2E/dPV +BrTgw0FffB9/23YxLfhwcH/J9jxvb0gLPhyPL4zqSHYwogUfDvqT1/uj65fQ +gg/HY6txh8M3GNOCD8djN4f7/htNaMGH4/Gd8LO+LogWfDgeV74Z77N5KS34 +cDzuqFXzdjOlBR+Ox0mRz3w8zGjBh+PxSTfFPVuX0YIPB/eZG5JBnua04MNB +Xj49eMDLghZ8OLj/SeTGei+nBR+Ox5MSN2fstKQFH47Haa8qSnytaMGHg7ya +VV69ZwUt+HAwTx9YdvhZ04IPB3lAhff729CCD8fjc+Z2dNBKWvDheDy5tm5O +8Cpa8OEgL9s7bEJX04IPB8//9Pju8DW04MPB80q+TYq0pQUfDvrLzRYcZUcL +PhyP45d79kbb04IPx+MTwxkKh9fSgg/H48J5+1YcdaAFHw7mgzobdnwdLfhw +MB+XyF6NXU8LPhzMn7t1fXGOtODDwd//mamesIEWfDiYD5Ya2xKdaMGH47HF +tycFSRtpwYfjccCayV9SnGnBh4P9Wiqrne5CCz4cvA/X9KDMTZC/XyaOoSTE +WPVGS032ZhrNTs7t3Qe8V/qS3DlXyGMzrQedwH9Ttd3z3GhEiW+ds5AU44iG +9dcK3CGfMyzCLwHXdauSRR404ixbNkySEuNLS1I8LmyBvP7uon8AWEut/G7J +Vho15/SM+QJsJd6rWLYN8nuVf5/dCDGW516GlnvSqPy3RP1t4Ft7vry9uh3y +vPBErqq0GO9/nGN+w4tGifaTImKBs+wlSyt2QL5L5Dn9AI5dJ1K4402jgIta +CzaPFOMK9VuH7vpA3jvelqsHvqAo/7NqJ40cRy5ntWXgeaIn7qjZRaOHl1vq +U4E3Xq19W+cL53PTpjypUWJ86O8Ux/rdMA+ILxHewGuuTH32cA+c1xv+G58D +n1V/uObxXpgPHpILl8iK8a6y6U+f+NHo3+jYsQXAdZmqa1v2wby4M4ljRGJc +Y/bsxXN/Gr3bntcQCJzxXdO9PQDmx3jt/C7gUzL67ItAGtVU3460IuD7NXwO +fh0E82TXcudyYMvTVuTb/TTKn/xs4RRSjMXX1me9C4b58mDTuGjgwzHj9LpD +aHTY7wvXB1zmENb4MRTmjXLAQwdKjGcEn/HsCaORV6NkwV3gQgvnkX3hMH+C +Yg/MosV46uiWfC6CRtYzFVzigf1n/bTsj4R59DRv0S/gyx8ecQMHaKQZri3v +zohxT/Ka5B9RMJ9m3+EfAlumxJj+Ogj50b78ke5oMaYMvMVD0TCvDj4ryACO +aZLMGY6BPNHaHDVyjBhPLLFaK3kY5tfrLy67gA01zAjpIzR6fiTAoB04NJm7 +J3MU5pm+1HgkJ8ZBNjbhomM0uv4uVnwe+H2GsxF1HObbSYVGubGwHzuV/zIn +aJSyOL8wGFjdI6lKLhbm3Sftgx+AH0VVHZI/SaPg03c22YwT422nslZPjIP5 +Z2K5+Brw6G7tKZPjaeTc92y8krwY9z0J7FE8BfMwZXP/IeBFxX63lBNoZGze +28gDm9arnpxxGuZjf8B5x/Hw/iOOb5mZSKNpWVLR1cBaM88vUT8D83LFyc2z +J4jx2NEhCnOSaCT1U8HwNHB5gvSgZjLMz9z8CX+A7400f6GdAvm2ev7XLRPF +eNRdo0rdVJinf+48fgzsJNeXuyAN8u68ZdGCSfD+HOxjDdJhvjo8j84G5rv2 +7jfKoFGRpKurrAK8D5H1dpNMmLelvYZ7gF+ovt5gmkWj406BE18Cdx1VW2We +DfNXZsSA6WQxLjk529zyLI12XjnZdAE47+hnY+scmMebJxfLTxFj46euhqvO +0Wg1VRATBix75/Ri21yYzzfnu30Ezj17wGhtHo3mb600Wq0I+7119tL1+TCv +5awm3QSeXx5n6VRAo3F3nw9Mmwrv40SZnUshzO8drk+OAkfdid3sep5G3yf0 +FX8Fdk6Z5etRBPO8JvDQRiUx9gsMP7CtGPqj7wj3WuBvZQlJXhdgvivGLdFU +hv2dsaXU5yLkb/1khSTgtfHf6n1LYN77F3wbBv7Wbfpxbynk8XSdZs9pYnyw +f7VMwCWY/02VF5qBE2lFjf1lNAoPtjpsMF2Mn8QXrgq9DH1ArdX9HHB/y9eA +iHIauT5zNSZniHHjnOGcqCvQDyL6FPYBmw80PIm5SiPTuUHfXwM7HFgncfQa +9IWOEU/NVcSYnpene+I6jVRj4i6WAsfZXvGKuwH9Yf6UIxNVxXjcsuhzCTdp +JPOmwCMS+KPLxDdnKqBPHNMx6QHe8dNHMfUWjT4vuDvZbib8vleMS8Zt6Bcf +rH7cAt5JuuVk34E8i2t9qqIG52uhxOdzlZBnRm4lJ4DvL/OYX3AX8uxz35Hv +wKaxx8KKMOTZmaAtm2aJsZdXQOPFKpgPS6XRA2BNnVlKZdXQR7i4KdrqYpyM +MvdeuUejPWlTfqYA32M7Gq7XQD+xKGyR1ID9lPBS5VYtjewHdEp3AC86ei6y +sg76Svbdo8+AVWfP76q6TyN9mxVbjWZD3j85ZFr7APrLYCvKB457mlv4oJ5G +E/PdFJk5Yjzv+JHRjxqgz9iyPwOAcxz0g5se0ujX36Bnb4EvHiv+9PQR9Jti +6UuWc8V4dvTn9a2NNHq1Lv7YZWDZDP5hx2PoOyMUt03WFGObuVXodRONKi8V +Lj0IzMQ5Vbx9Av3HWXdqH3C4Etb70EyjbFk8uHaeGL/R48o/PoU8u7bieSXw +kbmfdb+0QJ65tV1S0xLj3s0lN9hnkGe0+/E44GMaxsb9z2m05Ra7bRBYojvt +wbdW6Evb9pu6aYvxr54a+8E2GlmMG6n0ENgwqeL9UDv0Jxz/S2e+GGebhe77 +10EjdR/F1nRg+ZWUaMRL6FOTzpdJ68DP6R1nZV7RiKjTPbET2KnrzGLiNfSr +PdizDdh/Wnw73UmjvqnWZia6YqyvsSFQ7g30rYdtSueBvzv0K4x/S6OmAPff +Y/TEWE1qA57UBf1LhWvdD7z6aLyn4jsalT3Zf/k9MLk8Zey099DHQkfGWutD +nifvrlL5QKME9VPbrwJPblXYM6sb+lmr4rKpC2A/uiSqzvmPRvsOnFc+BPzl +WNfLeR8hzzT1hjjgwlvDp3U+QZ69xG3rF4qxtyW/esFnyLND1uVVwDviy5nF +PTQy0G2P1VgEecZZNi/5An3urbtXAvDGqvLEpb00mnKCWzYEPNNNvNG8D/rd +ouBpWwzE+KWmlJoVS6O/3SP/NAJPPfj5qw0Hfe/UqXb9xfD5lbn31vA0ertk +6pUsYKvFemfWiqH/fTl/cpQhnDeHtB2O/TSqTtLbsRs4ZGebqfNX6INmVeYv +gBXefJ7qOkCjXN56uqkRzD/pliGPb9APM9r/FANrmCS89vxOoxhLj45xS2D/ +ftGo8v4BffEbdyUU2MMjtcD3J408c4Lj/gO2kn0X5zcI/XGljPcqYzEOXCAZ +GviLRla/T1ncAM60/r0j5DfkWcHUGdNMYH/mNzpHDEGe2Rf9PQL8MyfI9uAf +yLN/ei/6gQezhi0P/4U8u1h11QmJ8dHfm8yOD0PfdLSJrwF+ODYNxf2jkVi6 +w3vuUlhf8zJ0WoJBbpc9lp8BNv+aY5YsyaAWF37GMPC8AF+rdCkGmREhw9tM +xVhFW8EuewSDrl6XefkE+Hp4tkuuNINmeiRcW2QmxserpHwKRzIoabTSqRxg +t7VmYRdkGDTqTpEPsQz2T6rbqUujGBS0Xd/SDziIdTl/RZZBPfLVKq+BC8oW +3bshYpBTtc2/ZebQH5d+fXObYNCjnR0vS4Br/h0bxiSDjCZvuT7BAtZ7xYjp +tRSDSu7zpyKATSI3WtTTDFLyC9n5GThYMnFXI8OgOOVRVrbLxXiLZklq82gG +STQmqN4CzvUofPB8DIP2BClJqFjCeow4ONghx6D3qsWvjgMr7EJzO8cyaO1T +/RvfgAmpLvd34xhUF1ad4GIF30fZPeM/eQYtmL1y131gx1kPOnrGM6iwrcNK +awWc96DRk7gJDJp0cMvMFODefYZOXycy6KiWWELSGvIixDr7xyQG/X4V8toL +2Jo1+fRbgUHeR0bdbAGumK2g828yg17rnT5taAP7I7E9YoQig1a+U/LNAzY5 +HtI8aiqD7sYWr6BXivEH35GqlBKDtBYvUAsAXpnrHzxGmUFnP1ZLvgUOPdT4 +TH4ag+ROr+xcvgo+P5jQVpjOoCiTFzfLgAu7tOKmzmDQQO+WRIXV8PyTl/RP +V2HQlhSxbxTw0iM669RUGdS6LNS6FzjpxJi7s2cyyKJ/1Ky1a+D9HWlX11Jj +0I3M01KVwJPeHE7SncUgjRXKb2bainERN33UInUGpf0orjgJrKNYEGykwSAy +d8GZn8BZ9fL9aDaDQlff2+1q97+82rXDfA6D2KGVNg3A9mpXPlrNZdCm8y9m +6dhD/03/sHWVJoOerN06Ih14ooLEZ7t5DEKS/W9GrIW+ISHauV6LQZdLQm/5 +AFdcGf62UZtBM5xkk1qBLZLeRbjOZ9BpmcQ9xg7Qn4lyeqsOg6SvKK8sBEZ+ +u7O8dBnkv/mC+ph1YmynO1Vnlx6DPpILpfcDXy65Wb9Xn0Hrb957+w54l7mZ +e+ACBtVvWXV7xXoxLnWpHA5ZyCADuZdJV4CvbJiVFbmIQcWVW/cqOopxS8YB +FGPAoCk7+lfGAIcda/zv6GIGnZgQpsEBGx2SPXnSkEHD92RHrt8AfX5A3+C0 +EYN8fRO7MHDCAodPyUsY1DVl2h11JzG+Vr4tJcOYQbb1F5JPAUs17rDJMWHQ +vX0L/X4Dh390G1GAGKQ7vWaVx0YxNttmU1m8lEF5j1fNbgQ+dGl28CVTBo0P +fjlS3xn6kNpfg6tmDDqktu1dJvAzrdo/N5cx6GdL/x0ZFzGu1oq8V2nOoO0R +YSm+wD+OzT9+z4JBL+aI9nUATy/oWPdgOYNWdCSuXroJ5slH/5mNlgy6HT1t +TjFw0iXRz2YrBs2df1Fm3GYxrvRMfNS6gkGZnQvfhwCf9puQ+9KaQcyxmspu +YItF8WFvbRgUsWB16kpX2J9TpDZ2r2SQ+P3LfdeBdx7wMexZBXkWt22Nshu8 +7+YnStxqBj0z/DrnCHDsrrkjB9YwaNnnsFH9wIlVUdxPWwZdSxR92OAO96+5 +z17+sWOQ2tIzd+8Bu05Seii5lkHJ7LS0OR7wfD0ed2QcGCSbdtE/EXjLqPzL +5DoG7bdYZPsXuLntXdGY9Qz68rVm7rYt8D7vTs4f78igjdmrZZ8A16quyZ28 +gUGN1q8+LNwqxoq7o/KUnRi0ZHAbPgs8dlT5edWNDCrN+5om2gZ9Zc3bSxrO +DFK2DQ/YC3yinLg1z4VB8X9Fdq+ALybqPdDdxCDJ4jOayzzhPuri0r5oM4P2 +rpsuKgEO2BfzZYkrgz5IlXSP3w59FJVImLlBnl1aVBUOHK7eqmDpDnm2sTb9 +E7BN4l/9lR6QZ7JrAtd4ibFur5qD3RYGnb/6yq4C+F6ibeD6rQxScPOcN2MH +9LHBsAznbQw6Rg+IjgOfCr9Y6+bJoKGK8P8GgH1PdvLbtjPIZxtR7ewtxtrx +cko+XgzqHJuUUQf8X6/l6j07GLQKTw+a5yPGN0TRBwO8GYS9S+yTgb/Y1twK +8WGQ9iQDLYmdYtw5d9T3yJ0MyqmtJbyAR41Yo3NoF4PG7lnz8Snwb6Osvcd9 +GXRw6uvqxbvg/Zh9vRa/m0HfGjwzc4HJCJs/Z/YwaGvAQBDlC/3escQ8fS+D +2mZErPUHLjEYn3DWj0HLnxDab4BvHYt+l7+PQTdDksjlu6EvXRvSveAPeaY+ +49MlYMMZIUfLAiDPnpfcm7RHjDcbjnh/LRDy7IBB1gHgMTsSl9wOgjzTrNv/ +BfjzRK2Mqv0M4l6scbDfC/O99NnfumAGbT70WvsO8N/kKPdHIQxq1tlOzfSD ++8YSo4fNoQxa+nbgUyxwBCGp3xbGoPLjETU/gOs8n557Fc4glUVk9uZ98Pe4 +ZNy7CAYldicF1wOHhiUd/hjJoJGnZqyb7w95RZwY7j3AoIAlpfPTgNVaTgb1 +RzHoU48BPSJAjA8YZ3//cZBBjkl1n73/x7cq/f9EM6jB1Lb2OfCIy18GJQ8x +aDH/OntJoBgPnVCLGHWYQRfSt4cUAAdX7JGljzBI0fLbutFBkH85jYljjzIo +9luEThBwZ9lC1UnHIM/Oksw74B12V65PPQ55tjK5x2o/5Eu/iY3KCcizXzPq +yoHLfnd2q8dCnhWUnp0SDPnWERs57ySDauwWh0YDX/m5WlkvjkF6/+rWs8Cn +a1SrDeIZlH/BVnddCNwfz1PbTE4xaIJjJ4OBtcfKMuYJDDos7fVlVijs950T +K1acZtBg2be6eOBRaoaeaxIZ5OUSmfMLuP2836R1Zxj0UkSFuYfBfHavbtyY +xCDr68mOj4AZrBrtlsygO+4qenrh8H7nZBl7pjBIc/Sl0ZnAt8bP++OTyqCs +24t7R0bAfbPn+Z29aQwavf3+/V3ALvKnI4PSGRQpb3euHThihNfy8AwG9Vd1 +hqFIMV6sul4uOpNB7ju9NhQBD31weXM0C/JM4bve2APw/cvCSuOyIc/uR44J +AX724/qBM2chz/ZSfR+AbWcSjuk5kGfKKQ9souA+XxQ4P+ccg1IeqeReA67r +/0cX5jJIFHQpXOkg3Me9c9mLeQwKVjV0Ogz8Mca9uTyfQb3N9/XFwNtKl1y/ +WcAg5zA7uQ3RYnzVXj/7biGDHmu86asGduy2Ol57nkHGbV71s2PEeKApJPhh +EYMuRX3PPQ1sEfXIp7mYQdO0DkT8AdbcaeD+fy3ad1yO7RvHcXu7r6FsEZFk +z6xctuyRyIps2bKyFZWdVZmlrEgom+fI3qWSLaGSkPu6UpHw+/5e5/Hn58Xj +vu9rnOdxPq/3sxMmLeB1hdGT12P//3t71JuTJq2YX1DbOHTU4XkuHyJN2oLW +Nmo7X+x3CZ2GZ54yaWmpUd8OonfotiOyo0za8M0d75fxw7y/sNWYH6dN2p32 +d8PnoS88dJtUcMaktcsYuvoVusTkyDlForGebU8Z3d0fv/90zZWlYrCedZnR +7iT6udWprRXOYT37kqtabjBT69ru4ep5rGeBa7JXoA3r9lerXjBps3pUfJCB +7hXY6rnVRZOWYg46PGgj7t/3Ibk2l0zaoP02ay6iy+3dWdn+skmLdTo9xnqT +mTzLFXZoccWktcjr6LAB7X7Kz73dVZN26NDdSjnoPfkdNne+ZtIqDRr2ffRm +vM8ula50/8+k+fxOeXALvbGz+tWJTFru0RlHmmzBelPToc7gWJM2xTlvzW70 +2UnrRrhcN2nPi6wd+w/9xrcgYMwNk9YnsmL7aVvNNDg/MN79pkm75BpskYAO +sRknT79l0hqVqm9uvw3v75oBznNum7S9Z08/PIQ+uWrK3oV3TFoFt05Hywfg ++gYcT19216StKH9vrSf6mW2VVmvvYT27MGzcW3ThuShvv/tYzya9a99rO/bD +yAXPtzzAeiZ7WEahY9ZMbLrroUnrdi3PXGUHzm/hq/z2PjJp0dPXPlqNJt97 +6aGPTZpNZdOxz+iOBx17HYszabtuBHsP3WmmuWM/HD8Vb9JKzanvdgXt3CZG +PvfEpC2ucaaDzS7M28ExXlcSTFrm3U6VN6MD/n78dD3RpLl63tNz0TOedRt5 +L8mkPajj/HjcbtzPnQkP456atI6P3x27i46J3NYtOdmknVzq4dM8EOfrnauv +vn5m0mo1yHcLRkdcDW3/4blJ25K4tmOxIMzPe35cynxh0v6tNFXxQP8LXdr5 ++0uTNtd+j5GEtu/d4lbuK5P2/nn9uE7BZhr4t8bAwtcmbajPmeOH0fc6dnhd +7C3Ws+ad15n2mMnSc5NH2RSsZ2/vjV+MTqpR6Z/0DuuZv3OnVPSVo3G7Kqea +tCptU6s47cW8voma1Xpv0vw+eOScQW/pkvGo3geT9mtLflz1fZhn23Sf1eij +SZvR0TvCG3334zO5RZpJe/3JtP4rOpRCL7RLN2n9d+6ZMHw/zouO4RMcM0za +Na1B5//Q0rMUU89PJq3ptzNVbQ+YKe33EOqXadIOBnf+sRX9ov7f+UM/mzS5 +1/34n//v+x/tXLNM2hrD+cSEg7i+Y4unuX0xacaB1PUP0ENHjg2d8tWkTew3 +071ViJl6NDMmzPpm0p7m53feh27peqO+Z7ZJ6xnuXa1kqJkO94/76vXdpJ0f +LOXOQvdZXfnCGrNJs/2z58kz9IcZe338dKxnxxuc7HLITKO9xw7famA9cznr +ewx9WRtrtzsH61kxx4lKGPa76nuL7P+B9ezUfUcv9Mf1VV6H5Zq0saOHV/+I +dv2beDEiz6TFlX6f2y8c7+/Px8Fn8k1al5iZCTHoA1/KrLz406SdHv/zZK3D +WC8G+0ymXybNuqKP33p08EFt8J0Ck7b9kjTpOzpzkKPj498mrfiUvV1GHkG/ +XNHsaaFJ81Rta1xHvzn3r97rPyYt/b+zeXZHzWQ75laND39NmouHY+J2dP+e +jyp//mfS7lZ5EPkbnf25iqW5iKQ53BruP+mYmRJuHK2cX1TSjs99P+kx+nmf +5TX+FpO06rVmaW2Pm6lk7q56JUtI2sb7P2scRC/XcptWKClphQt98ktHmGlt ++J7OlUpJ2qy6ctJctNee9YOql5a0lLi9p16iP+29NMm6jKQNWma7odsJvH91 +W69oWFbSYm2jJ59AvziaF9SsnKS1fOrY1eIkPu9I0Ytty0ta2OoHNVeg/+4e +/qpzBUmzaOLyMx396Yfxr0dFSVv38n3SwEhc3w6vGvY3SVreullRF9CPUssP +HyZJ2tSWvzbUOYX1e6KfzyhZ0l6k+EzxR3t2HnxhgiJpThvlbgb6UebEb9NU +Sbvcbl+t0VFmOpF6s8HcSpJmn2b76ya6RsjciYstJG3ftuinjU+byenQjLCV +lpJWsXOX07vQ3u5nM9ZVlrSVnx9s/It2GNOnyeYqkvZ9l8vUqWcw75drsnhn +VUkb3+1Dtyfo2Vnjb+2tJmkJ2bOs2p8104JFaRZh1SWt295fv0LR+Y0uTouo +IWnRvdcll4vGfODzhs7UlDSbH/KZBejvf4ZUv1RL0naF7Nv0Bm22qLYk1krS +Sg9oOK1nDN6/ni1f3q0taUt+RXc/hXapcKBzfB1J+3y4S+0q5zBPZow5/Mxa +0kYNfViwCr1z0Bw5pa6kPfzr8iwT/eF28sr0epLW6cSHM0POm6n6nW3mrzaS +Fjli9ubL6BWPQyb/qC9pViUKptW7gPnctVTK7waStvX0uh6b0As/XXct3lDS +ioxV6uSiHXKTXpSzk7R5Zff/HnsR+1uRzqPVRpL24VzD53fQ7Xz/pFazl7Rh +7jFnm13C+at05RnWjSUNW8qWIPSgf+vzGjaRtDZXHk4vetlMzb4NXt+8qaQd +mTqi5wz0mkGe1RyaSVoVi491ktCvw/WoLs0lzS92dmHHK2b64XHfqXcLSfs1 +s+B5OPpIvcKMgS0lbUa19dEVr+J69t3g69JK0t7cVrYuQmeP97Qf11rSBszf +P+MdOi3tcuLkNpL2n5Vdrz7XzNTGZdyKWW0lrdnDGOsz6Gmt3ewXtpO0kMXa +n2r/YX34d+3NcgdJU2wevViL7th2WYBPe0lb+2REzBf0btdAp00dJC1n+cet +zoT16LNacmdHSZtkN8fjGnrd5OybeztJWnJyQa8GsVi/BjZaH9ZZ0nqtXV93 +K7pu/bv9TjhK2oWm6t98dPD02xbRXSSt4ev9L8dfN9P9ffXfX9YkLdjX7tx9 +dGCXzNM3ukpaudbntrW8YaYySRV9HnSTtGWp2sy96F4vdo9K7C5pXzc96l3i +Js4TT7xbv+ohaWPbj6w3C72yc7LyoaekxaV//JuMXhGy0fjcS9K6bJ/zyvEW +5oFlR5/pvSXtjOPvc0fRCYPs/vvVR9LqflkfIN/G+3tQOV60r6TtCFRnLUX/ +/DY+sGw/SSvR40CfD+iSV6v4K/0lbaHZzqbfHZyvN7VdWW2ApGXsO/cvGl3r +w7VF1gMlbYRT19c17+I81uXUfLtBknYv99H5deh2tmXmtxgsae0Pjdyejd5S +85Fn+yGSFjEwbdaIe2byOVTg1XWopNX4PccpFu3aaaeP0zBJ23T0t43dfTPN +mxUcMMRZ0v4M8y2yHe18qdwh1+GSNrtIpTcF6KiwzHMTXCTt3ckDFyY+wDx8 +utXj6SMkbbBrox2P0M0mfv00b6SkXS95fnabh2aaoFUq5eWK9exs174H0LaP +jtmuHYX1bNzj+qUfmSl1Q2T/DaOxnpV3LToXbVG07sLtYyRt/YW0Ny/Qs6JL +he4ZK2n5E+de7PoY+0+DMU8OjZO0aXLhjgj093d1i59wk7SXV33nVIrD8zZp +dPvo8ZLWd3qlfsvR+xxKLbgyQdKuWB5skI4++6He6Zvuktb4RqNiA+NxHvwU +Y344UdL2zz7/9jy6RNyl1k8nSZqpRrdLtZ+Y6Vr1VsvfTJa0VXcf7/RDK87W +d9OmSJp5getcHV2zyDrLb1MlbUKd9H6jEsxkdXjc1Nxpkpb4aK7tTfSK+6eu +/pkuad2XFhZrnGimWzkLLUt5SFpMfb+UneiwiJh5ppmSVj+x0uU/6O+eHgmV +Z0na7pUHd01JwvP+ck/r2rOxntnbz4tHf3Trvtd2Dtaz5+f7OzzF998yuWTz +uVjPvLs1DEV3yC66wGGepI1uHle8XDLWi5LV0rT5kvbojeu7+ejM0SdGOi2Q +tM7+6Zdfoz8svfRkiKeknWozb3ePZ2Zy/NS9/6iFklb7Q+G8SLRt434P3RdJ +2rYtfgMqPzfT5yfxAzwWS1rRjhZ2q9C/xyYmLVgiafM/HSyRic4fPWzs8qWS +9nGHfergF3h/hg3L8vGSNGftwpVL6OTHiV6bl0na7a/dAuu+xDw8LNG0e7mk +tQ2Om78R7TJ76JEDKyTtaM9RA3+g7Y8O63p0paRVNdLtxr7C+df32buoVZLm +f2BeyTton+DXay6ulrSCvn9Sm77Gfjxlou31NZLmke93NRDtt2T2k/trsZ6F +WQQVeYPr7/pneaI31rPBIQumo+fvlJu89sF6Vmg/KBH96+yx1I/rsJ4dv9Co +41tcry53Ar+ul7TQ4d1LhaNzb08fmusraWqx+PcVUvA+x++Q//pJmvepUdcW +okt97pFYaoOk/RiVEZSCdti3IlDaKGmTS8/37P0O5z9Px/FVN0nas+g/g06j +N/z1bWy9WdJ6j/e3r5aK73drVKHdFkm7WMGy9Fr02GEX4ltulTS7SyEfstDd +2x440nGbpO2Z3Pi/Ye+xPvyS1vQIkLTy6sXgq+ildhXdBmyXtOX/dV9Y/wP2 +16G7NZcdkvZtRvzgLehfRU7Wd9spaeOqjG6cj46/PtA0bZekxd/MKD3+o5ke +W68qmLtb0rS58z/eQ/+M7Jy1NBDrWc2//7VIw/XN2fB2bRDWs/v+e/agT62a ++nRjMNazhZaLiqdj/khNitu5B+tZ3dAhM9FtDj15tH+vpC2Ka9wkGV04bFzc +kX2S9snrYhnHDKwv3iuSovZL2kjbHmlH0KP+a/rm4gFJu58UT9InMw3bMT/z ++kFJ67B69N4l6MhtTj8fhEjaicafFr1H/+sRU/5pqKTVfDl/aN9MM5XuEl33 +7SFJ27zub5NodGTF3p0zwiTtb4sNZWt+NlNRbe7o7+GSNifFMt0H3WlysxU/ +D0ta6obQ2G/o/yqsO1T0qKQNaddkn0uWmSrdmfew3DFJu/Hx4mJCf6uTl1/p +uKS12tZjWMMvZmoYqTasFSFp4Z2eNA1APyi4O7rBCUmz/Dy6XAG66J7KO5qd +xHq261O6+1cz1avx77FDJNazrguuP0RHm9ZW6HYK61n2332tv2E9LhkysF8U +1rM9G5bsR2dudd3pfFrS+vWu7Fwq20zjukS9HXtG0q7mhDabg34XHtpo6llJ +axLSpPwL9LUhLZbNjZa0A/0vZWjfMY88Hxe/NEbSpF89bhxHB91tZOt9TtJW +H36yXzXjeY3ZtXbTeUnTh4xZugx9qmnI+10XJM397yfnNPSZ+317HLwoaUkR +C5oP0M00ssruiGOXJK3HiH/lz6OrRKy1OHtZ0s4V3/jJysB+Y6GsvXJF0hqc +rnzT1/j//5/pmnPrqqQFjjl0wIzOumI5Le6apJUp29TLNcdMKVabU5//J2lL +z10afgN97PCxMe9J0rIm9Gxh/8NMFz9Oe5MVi/XMlFBhJ/rEzDi3H9exnl0e +k1mI7vX0ZcafG1jPpmbenJxrpmWnNs4rfQvrWSXPg3HoyVvT/sm3Ja1O7D+v +dnlm6ls+PaD6HUkLmLnRJQS97/xmW5u7klasWpWWZfOxftV/F9vknqQtuH2o +4ny0dfbLse3uS1ravKafX6Erhq/8qz2QtOFWl291/4nzwZvHh/o+lLQ7D3qG +nETfa3Kvr/MjSWu3OGGZ5S+czxrPzhv7WNKO1Rs7YiXacnbs4alxklbtSWbL +T+iXfjRyXrykbVjuaRpcgHlInSkteyJpvxsWybqI9gi9c98nQdJmJm+8bf3b +TOEP4323JEra2zVVQjegL9v6OQUlSdrApmHLc9BD+ptNh55KGr1qOnJMIeaB +J2VenEiWtOa+l1vdRk/rmxh+7hnWs1a9pKZ/zFR+6ICF9BzrWWpC1m70/JVe +TvdfYD3bNPbOP7TV1FHWSS8lLdfhc+i0v/i8sG+Fb15J2pR0zxUJ6JqPWr/J +eC1pzwOKuHb4h/ltSXMyv5G0Po6bWoeh52jvDxe8ldjD6fT1au9tJd5J7OF0 +clo/aaUpVWIPp1OHNg5zq76X2MPpdMj95uS6HyT2cDptPVrKrfFHiT2cTp33 +FxvTNk1iD6dTpbcXxmjpEns4nY4WsZ3QN0NiD6fTldDB050/SezhdHIc1Wbh +uEyJPZxO/W4l+0z7LLGH06mJV8ug+VkSezidXCv3j1r+RWIPp5Nnq3oP1n+V +2MPpNGjmxcxt3yT2cDp9Hl2h/N5siT2cTrP3125x+LvEHk4nh0fGqCizxB5O +pzjv9X6XdIk9nE4Zbq8v3TQk9nA6TSzUsx/nSOzhdBqR+8D2xQ+JPZxORT5N +mfQhV2IPp9PDWXfCv+ZJ7OF0cm6QlZmXL7GH02lSZGLzor8k9nA65Rxcvbx8 +gcQeTqeGe388tPwtsYfD53VqVrtOocQeTqdi1i0WNfojsYfT6U1GwZPWfyX2 +cDrdtt/crMs/iT0cfk+vH/dsisjs4XQKSi5VeBwtPJxOTQP+Nm9WVGYPp1Pe +vddTY9DCw+nk8uhASPtiMns4nR5k9X79H1p4OJ0yFzyv0qO4zB5Op9EXBrjc +RwsPp9OnEid2Dywhs4fTadrJ7y+S0MLD6ZTwp0Yt15Iyezh8H6fmE1PQwsPp +FJrS+MTEUjJ7OFw/k5qbiRYeTqdHDVO12aVl9nA6dVsZuCUHLTycTn3dHFKW +lJHZw+m0oubNZn/RwsPh+S7l4O1dVmYPh78/O/BFmXIyezidtoe/b7YFLTyc +ThMKKvtXKi+zh8Pnx7ZLC0ILD6fTnz49ulpVkNnD4f1K6xQShhYeTqe3H62L +2lWU2cPpNCY4Z+IptPBwOvVwOXuvlUlmD6dTx5njml1CCw+n09/GPwMdJZk9 +nE6Ts1YVu4UWHk6ncXm5s51kmT2cTsahUW/j0MLD6aR1OzXAWZHZw+nk3UCn +l2jh4XQqf6heazdVZg+nU8/SvSLS0MLD6RR9bGTdGZVk9nB4fl+M3vcdLTyc +ThezBlVdaCGzh9MpqXmb3QVo4eF0svtbvvJqS5k9nE5XDz8NLFlZZg+nU7jX +1hob0cLD6WT5pFOoXEVmD6fTqF9vGu5GCw+n0wunuWdrVJXZw+lksszvHIoW +Hg7379S8hw2qyezh8O/NSB11Ei08nE7ue7t/bVFdZg+n09jde1ddQAsPp1PR +qEyLzjVk9nA6TW1vf/IGWng4na75T+zZp6bMHk6ngT8CUh+jhYfTafnj8yuG +1ZLZw+H9nJ5Y8yVaeDidYmulXxtnJbOH06mm4/fxaWjh4XQ6XFsvOaO2zB4O +62+VLye/o4WH0+nj0rfDF9aR2cPpFLXvXpHfaOHhcP2fnoxcbS2zh9Npz+IN +Y0rVldnD6eTxakLFTWjh4fD9hraKVerJ7OF0zL//PAPRwsNh/Xh1276Wjcwe +Tqedu3zTDqGFh9OpzrUeBxvWl9nDYb0/+mf0KbTwcDpViDhbvXUDmT2cTjdq +THp9CS08nE5nh6oHutjK7OHwPh6+5n4bLTycTsqMKXb9Gsrs4XQ6ll/BeIIW +Hk6nx+FnrrrYyezhsH7ec/Z/gxYeTqd2l/JGuDeS2cPh/sQFNsxECw+nU383 +h9+z7GX2cDpl3XgRn4MWHg7P/+ClR5Y2ltnD4feOr77qH1p4OJ1u9bnquq6J +zB4Oz4O7W9vyTWX2cDr5lihhGYAWHg77g29EbuVmMns4nWK6DXuxDy08nE43 +t/y9Wre5zB5Opws3T4YdQwsPp9P79uM2NW0hs4fD/XeqtDgGLTycTsf7PZrY +oaXMHk6nBUH+Q2PRwsNh/fLr171XK5k9nE7bFqptH6GFh8P3uZDSaGhrmT0c +9utjp61foIWHw/oR4ld9XBuZPZxOR35NsUxDCw+nU9WK/dUZbWX2cFjvWjso +ZrTwcDpVvG+vLmons4fDfmVja1mIFh4O60Noo+prHWT2cDqtCm5rXaa9zB5O +p05T+jXaghYeTicr9+ltLDrI7OGw33za1m0PWng4nVYOvjGkTkeZPRzW4/w/ +7kfQwsPpVNuhx6LGnWT2cDoVeOzaeBYtPBz2l2z9kENnmT0c9rdqo67+hxYe +TqcfTnHPezjK7OGw/rwcmPsALTycTqVs3lgM6SKzh8Pz7rOo7XO08HBYn0ZY +jRqryezhdLqfl7TqI1p4ODw/FHh0eleZPZxONhVnJHxHCw+Hz+vY78/CbjJ7 +OJ3eHeloX4gWHk6n9ic6jl7bXWYPh+sd3m9zmR4yezg8r2aP61vQwsNhff+8 +L9+ip8weTqfF2SnN9qKFh9Np96iWM6x7yezhML9tDDxyFC08nE4t35rSm/SW +2cNhHowMqh+DFh4O+6Vrm2kd+sjs4XTq0yX9ZCxaeDidyl45ltPLSWYPh3mt +0epOj9HCw+nU5auH77C+Mns4XI+xM5++RAsPh/X99Zp64/vJ7OF0Krx10jMD +LTycTuqSb3dn9pfZw+mUNrRHrRy08HD4vOgznksHyOzh8Pz/ahP3Dy08HK7P +mgS79QNl9nB4vk76+FYYJLOH0+n680GftqOFh8P76d7KqdpgmT0c9rOTzSIP +ooWHw35dqWelBkNk9nA63fm5YNlJtPBweP4uX01vOVRmD4e/f6H20Eto4eHw +fgzZH9tlmMweDutVRpsWd9DCw+m08PnnsP7OMns4zKvel6omoYWHwyzQ7/BW +1+Eyezhcj62RZVLRwsPpNOB0ovcUF5k9nE6BFS2LfkMLD4f5qMzC1QtGyOzh +dJpZNKdoAVp4ODwvrlvXrR4ps4fD/Dqvb/nSrjJ7OOy/J2x2bEYLD6fTsKlW +tSxGyezhdKpR2uH4HrTwcDo1/zqvnfVomT2cTo2XPLp7FC08HN6fCn1GNR0j +s4fDelk+PTsGLTycTrOeh63rOFZmD6fTugQfqxto4eHw/Izxu9RnnMweDt/v +1SmXeLTwcDp9i/yZO9xNZg+nU0T7KYFv0MLD4Xql5neYOF5mD6fTqRKnUj+j +hYfD+l7W32/uBJk9HM5PQ/1b5qOFh8N63C0qZYW7zB5Op+edfm8qMVFmD6dT +bviszhvRwsPp5JNSyqxMktnDYV4YcDc8CC08HNYz56jRtSfL7OF0OudKFkfQ +wsPptJHy4xtPkdnD4c+zRm6ORgsPh/Wly8f+HabK7OF0OlA30HQdLTwc1oef +nom9p8ns4XB/668IikMLD6dTpHR6/PDpMns4nb5UN9m/QQsPh/nxZGC++wyZ +PRz270YD7nxGCw+H+/uraeBcD5k9HPaHlZ1n5KOFh8P7VWdpl5UzZfZweL57 +fKhccpbMHk6n34OXmjeihYfDfHHC8ZE6W2YPh3nqUsuIYLTwcDpV/jh8Q505 +Mns4nYZsPjbzKFp4OJ0a1LYf0nSuzB4O5+t/b9udQwsPp9OWndfrdJons4fD +ebzns/I30cLDYf7wqvnLab7MHk4nr+CdmU/QwsPp1LVcl1cjFsjs4fD5rWvG +paCFh8O8sqrxrcmeMns47D9D5179ihYeTqcWVTLPL1gos4fDetp6Z3QBWng4 +zAfKwrNrFsns4bA/V90YXWaxzB4O893pZ+e3ooWH0+lDt5FXKy+R2cPheraT +b+1HCw+H+/fz72ObpTJ7OJ0ssm1enUALD4f7t2ZtZksvmT0cziM1qv66hBYe +Ds9b/YzyXZfJ7OEw75X7VuceWng47BctmjgMWi6zh8N+UfrokGdo4eHw/XJG +zRq7QmYPp1PKBKeNaWjh4TD/XJl/wmOlzB4O+8GM548NtPBwOv18tdhYukpm +D6dT6UXO1YqultnD6WQ+MqubH1p4OJ32vrg5S1ojs4fD87JoxN7daOHhdArI +aviw1lqZPRzWk1CHP+Fo4eF0KlLKt0Vjb5k9nE7lfCymRaOFh8P+5vs+pIOP +zB4O7/ey7NfX0cLD6ZT+qGM1p3UyezidNn+6P/IJWng4nZ60C94zYr3MHk6n +eo0iUlLQwsOh7f/YTPGV2cP9///3bJ/1DS08HN6PCh4XPf1k9nA6jb+zvmQh +Wng43I9uGc7e/jJ7OJ08v/sfKbdBZg+H9af9/IIAtPBwOuVtPji42kaZPZxO +bqPV4yFo4eHw70sPizfcJLOH06lk1Qfjo9DCw+m0+qMU23azzB5Op4ap+6z/ +QwsPp9OS5fPW9dwis4fD82e75csjtPBw2I8H5gxz3iqzh9Nptnvof6/RwsNh +fkkIsp+4TWYPp1Pbcq/2ZKGFh9Mpc/nkCvMDZPZwOt0L6rj6F1p4OOz/j8fk +rd4us4fDfLnh/uwyO2T2cDq90tZ+3ooWHg7Ph5vvlCo7ZfZwOvUe8TL9AFp4 +OJ0mBi2Z2mCXzB4O6+uOyV8i0cLD/f88EzKvzW6ZPZxOa7waFVxFCw+nk2uf +4ut6BMrs4fD9d9irj9DCw2FeiAsLHRYks4fD/jx9ZqvXaOHhsH4+W3fXPVhm +D4fnzdc8NgstPByer+yTefP2yOzhdApZcSXgF1p4OOxfR2o2W7NXZg+nU/E3 +T+LK7JPZw+m0dtuLudvQwsPp9F/b1pZV98vs4XB9uqZdOYgWHg77V73Pk2wP +yOzhdKo7pLschRYeTqeRnXKutT0os4fD/Xf9Nes/tPBweP6qu9TpFSKzh8N5 +N7ds8mO08HA6zR1ruWl4qMweTifpzpKeb9HCw2F9CWtddPIhmT0c1mMPJ/qK +Fh4O6/u2C6s8w2T2cJh//Fd1K0QLD4fz1P3Q0j7hMns4nL/uWMWXPyyzh8N5 +IjcvaAdaeDjMU48aTa5xRGYPp9M1utwqDC08HOaNnkdL2B+V2cPhfUwyPz+L +Fh4O62lqYGSHYzJ7OFy/9/vW3UALD4fz0sAi4/sel9nD6bRv741OiWjh4XSq +0jWtxqgImT0c5p9zk/+8RwsPp9OUOb3fTz8hs4fTaWiG/10dLTwcfn9Ak9NL +T8rs4bBfZrbcWzRSZg+nk7X7Hj9/tPBwWI8WT1qinJLZw+H7HQyYEYwWHk6n +pkPrullHyezhsP6WqeJyHC08nE5JHeYOanFaZg+n0/wJTftdQgsPh/Uod4hT +1zMyezicF+a8drqPFh4O+1Gfh/2HnJXZw+n04pf10Jdo4eEwr/955zohWmYP +9//7U3LSZ7TwcDjPpe6YOy9GZg+H9Ttmw6pfaOHh8HuvZ21bc05mD6dT6JqY +8LLnZfZwOjWbm3k5AC08nE4zSvsmVbsgs4fTqdqNbdmhaOHhsL/XKFWh0UWZ +PZxOiZs/2Z9FCw+nU69dbQZ2uCSzh8P5M9I8/wZaeLj///+Manv6XpbZw+lU +qzDqZiJaeDh8f/tz30ddkdnD4fqMsrP6iBYeDte3ZMVBHldl9nA6uRwfvzYH +LTwczgc3bC4uuyazh8N5P87VXPw/mT0cnuc+Rew3oYWHw/kjquo0C5LZw2E9 +WB12dB9aeDjszw6hn21iZfZwOjm6qE0j0cLDYf9xyfdsc11mD4d588Lg/66h +hYfDfvHTqlyvGzJ7OJ0G73YfGYcWHk6nWLnmcZebMns4PC8Z/QpT0MLD4fvu +MoZMvSWzh8P9PyBHfEcLD6fT0lVHSiy5LbOHw/p2MWZCkTsyezh8/v2O1/3Q +wsPp1L1Fp3rKXZk9HOblued9g9HCw+lkW+ZEtvU9mT2cTn6Lq4+MQAsP9/95 +vOStlvdl9nBYX997tLyCFh4O68ubgWHdH8js4TBfxJyq/AgtPJxOZTI3bnJ+ +KLOHw/myIKPEW7TwcPh9M2NXTX4ks4fD8xBb9c83tPBwWA8Xm5cveiyzh9PJ +27LPv79o4eF0Wl+jjo9vnMweDtejwtLycrzMHk6nTh7DdgWhhYfT6djlKGvr +JzJ7OJ2yVmw5fRwtPByeLwdz15YJMns4nebMSk6+jBYeDn8/xHFm90SZPRzW +R5fGJR+hhYfT6YZ6MMQ5SWYPp9Mi10DHt2jh4XTqEGX5bvJTmT0c1uug6muz +0cLDYb7dc8R2cbLMHk6nfh0ux/9DCw+nU3jhcC+/ZzJ7OJ3sp3rZKs9l9nD4 +vH+2z4PRwsPpNKifm3/dFzJ7OJ1OP6njeAItPBz2J8tZua1eyuzhdPoc0iPq +Klp4OPy+n0c9er6S2cPhvBsW2CgOLTycTm3sLL66vJbZw2Gelq1Ov0MLD4f1 +6t25RdPeyOzhcJ6r/aaLjhYeDvPkiIDyXm9l9nBYj6RnL4ulyOzh8P7ER0Rs +RAsPh/mxtrzS4p3MHg7nWb9SzvvRwsPhPLxjS+MGqTJ7OOwf946VjkILD6dT +hTPD09u9l9nD6bTh2a7bsWjh4TAv3ph+zOmDzB4Oz8/fhM2JaOHhsL5ZxC8c +/VFmD6dT8uYJ49PQwsPhfJTrP2BWmsweDs/vsz6d89DCw2E/v3Kg2ap0mT0c +3r+mm2zKZMjs4XBeu6zUDEALD4d550uzytU/yezhdKo04JMahhYeDt9/hoPa +OFNmD6fT9/h6FufQwsNh3i0bUdXxs8weDvtb2MPad9HCw+H72fnbDc6S2cPh +fjb62OYlWng4naq2eNXD/YvMHg7n34ezXb6ghYfTabhv6AzPrzJ7OJ2C9Plr +/qCFh8P1C/q4Z/03mT2cTu3L/jgvZcvs4XSixPDkILTwcDq19M7Ls/4us4fT +qdHxz9VPoIWHw3yatLJra7PMHg77d8D5GdfQwsNh/5wXsLuXLrOHw/5dXL4d +jxYeDtfrecu8kYbMHk6nYisK7D6ghYfD7/WZOt4jR2YPp5PlCq/gH2jh4XRa +9rNV8oofMns4nYr67qxUOldmD6fTrkv7nLehhYfDedd6QFC1PJk9HN6HQUdS +DqGFh8P7++yYbeN8mT0c5tlewxecQwsPp1NGy5PXHX/K7OEwrzc5pd5DCw+H +8/y90VOG/JLZw+n0dPe5q6/QwsPhebO/ajmpQGYPh/N5tbnzvqGFh9NpYE5C +/KLfMns4nNcHfGhepFBmD4fzxJXQnf5o4eF0ep0g/1b/yOzhcP6t13zSPrTw +cFhvehV5Uv+vzB5Op4THaxyj0MLD6dR10Lkoh38yezisf257691ACw+H/W1n +6z39iijs4XA/vNdUSkYLD4f57/rareOKKuzhMM+lO5gy0cLD4XnyCd86r5jC +Hk6nnW3vVPqNFh4O62nAgT0+xRX2cDrdsW9qYyqhsIfD/LR/4elAtPBwuF/L +F3axLqmwh8P64d40IQItPJxOv9JCJrcupbCHw3ln/+PCa2jh4XR6V+/c7t6l +FfZweD7kka0S0MLD4TxReD5xVBmFPRzmr9WJnmlo4eFwPm1zotrssgp7OOx3 +MV2v56OFh8N8ErTbY005hT0c5h+viKrlyyvs4XC++LHi3k608HB4H0KUZVYV +FPZwmA+qT2l+DC08HObR38syW1RU2MPpNDl1WNgVtPBwmAcnZo/vaVLYw2G/ +q93fOh4tPBz++zOz0kZKCns4zG/nhkV8QAsPh/3jzt/5M2WFPZxOI9bOccxD +Cw+H857PkQqrFYU9HNZHj/C3ZVWFPRze38TpZ3aghYfTadrIfN9alRT2cDgP +7Bkw4ShaeDjcj7azOrewUNjD6XT3gUvNK2jh4fC855b728NSYQ+H7zd8w4c4 +tPBwmF82JN8fWVlhD6eTb4tv0R/QwsPpFPYlIWRmFYU9nE6/R/hsy0MLD6eT +R+WS3qurKuzhcH/uOi8pV01hD4f7V85z7k608HCYZ1dP9LCqrrCH00l/UG/6 +MbTwcLgfG85Nb1lDYQ+nU//x1WZdRQsPh/kpe9CCXjUV9nA6VX7muvwJWng4 +nb5cauc3qpbCHg7rW6es3Wlo4eFwPvg379hsK4U9nE7qkfirP9HCw2E9SCr+ +dG1thT2cTh/tpewKdRT2cLi+Q4xygWjh4XSa+jmykbW1wh5Op9Td3QacQAsP +p9OOv6fnt6mrsIfD+3f71x5CCw+H8/LWWnec6ins4XQ6XKzWjyS08HA47936 +aTPORmEPh/li6ZmRmWjh4fB+3uu9dX59hT0crt/Iq/cK0cLD6TQsTirp20Bh +D6fTkoRuPRRbhT2cTg/KuKzfixYeDvNljb4P6zdU2MPhPHOmdqXTaOHhsN7P +ez62g53CHg7zbon5J26hhYfD/VDNvwc2UtjD6TSp+9BBL9HCw+H50YIPT7RX +2MPhvB99++83tPBwOgX0fDFqSWOFPRzm4YMJl4o1UdjDYT70OFtjM1p4OKyf +zstWV2mqsIfTyal4k8xQtPBwOqXXuze0cTOFPRzuz/gBsefRwsPptGDyteZd +myvs4fB731YNf4gWHk6nQwvGV3dpobCHw/yfvHNHKlp4OMxnkeclj5YKezid +TBF3tuSihYfTqfri2/LqVgp7OMwv16N3lWutsIfTKbfd9lq70MLDYf9ZP+FY +7TYKezidgsfUbRuBFh4O79vU5Dut2yrs4XR6NnzZKEILD6fTkWcWulM7hT0c +Pi/o0IanaOHhdCrfv76tm4PCHg7P08H9dz6jhYfDeah7heme7RX2cPj+mfNM +/9DCwxl0tnP8ef8OCns4g2rl2rhbdFTYwxk0jRbIB9HCwxmUOeDqdbtOCns4 +gzoM/bcwBi08nEG9V3Zu0qWzwh7OIEefxZ/uo4WHM2hP5VPhzo4KeziDrDLf +T3qHFh7OoBcnKjWc0UVhD4c/t+yR/QMtPJxBO54vuLBKU9jDGWR9NMy7XFeF +PZxBRRyeDt2FFh7OoCjHUvXrdFPYwxlUMKdDQQRaeDiDfq6el9imu8IezqDy +ticjY9HCwxn0rsqXjf16KOzhDJpVttmsZ2jh4Qz6dWbJkAk9FfZwBlW6d8/h +K1p4OIN2F6tTb3EvhT2cQSeqrpKL9VbYwxl093pGkc1o4eEMOn5uxI8qfRT2 +cAZNOJmYdQgtPByu77iRaU2cFPZwBpXw+Zx6ES08nEGbn61P7d5XYQ9nUD2p +xcc4tPBwBo34lJnp2k9hD2dQqWpRehpaeDiDRo/z+TOnv8IezqAn86ZX+I0W +Hs6gJiY3q/UDFPZwBvlmT26lDFTYwxnUM25lv31o4eEMqjY9YortIIU9nEGl +l332OYsWHg6/L7bTkc6DFfZwBt3LDX9wDy08HD7vRV1j2BCFPZxBCTYXar5D +Cw+Hzwt27ztjqMIezqDpOfWX5aKFhzNotl40avUwhT2cQc+H5KeXd1bYwxm0 +MKlUnUC08HAGzWzTYmzd4Qp7OIOatVu8PxItPJxB64Nepjq4KOzhDFpXzdX2 +Flp4OIMCvfLmDhqhsIczaNHys9deoYWHw+9J3VxxykiFPZxBNab6j9fRwsMZ +lJN4+PxyV4U9nEHn0z9KZUYp7OEMujqhx8wdaOHhDDpV9t5Dq9EKeziD/EPm +NI1ACw9n0L7PHXe2GaOwhzNo47Emf2LRwsMZNCC05/T+YxX2cAY1DfJ58Rwt +PJxBj4Z86TtxnMIezqCaO7xis9HCwxk0xbpVey83hT0cns8bludKjlfYwxl0 +umO91gFo4eEMyho25nzNCQp7OIO2JVzveAwtPJxBu5Y532rlrrCHM0irZjmY +0MLD4X7ML/Gu70SFPZxBLgPrzXuGFh7OoH8L55dyn6Swh8P3P2Mc+IYWHs6g +mAfhHZZOVtjD4fnf4P+yxBSFPZxBUy+FLtuGFh7OoKd1s+vUnKqwhzMobNuc ++0fRwsMZtOZxg4Wtpins4QyaH25hQ2jh4QxKTG3/rO90hT2cQSMHBm58hhYe +ziD1UpMe7jMU9nAGTf725983tPBwBllcLENLPRT2cAa1zRi4puRMhT0c1tee +Cb0C0MLDYX07tE2qNUthD2fQ1sebXx9DCw9n0Kod9yNaz1bYwxl0+GaPFbFo +4eEMatOkyLD+cxT2cAZdP1ho/wItPJxBrb90KDNprsIezqDkd1c+fUcLD2dQ +r4GrHyybp7CHw/tR2v906fkKeziDvrx5FrwDLTycQc1DZqyvvUBhD2fQpHo9 +F51ACw9nUL7D1OntPBX2cAadi05wu4kWHs6gO1PWuQ5aqLCHw/NW39vlNVp4 +OFzvWw9dpi5S2MMZ9Kfy+FE5aOHhDOpiaBNWLVbYw+H5tp/jUX6Jwh7OoO+7 +vywJRAsPh/tb/oJ/vaUKeziDaFzi/ii08HB4n6Y6nuvopbCHMyj39+/4u2jh +4QzKzimfPWyZwh7OoMcN5kipaOHhDNo0s0HrmcsV9nC4X/tbjvmJFh7OoPHb +d/r6rFDYw+F62ww4L69U2MMZdLLx2Mx9aOHhDPJYd7uW3SqFPRy+bxlfl3No +4eHwvgYc2t51tcIezqCiBVUTH6OFhzNosNUXi1FrFPZw2C9fVhudgRYezqA6 +ZY+Ez1+rsIczaIjfNv0vWng4g5a0ftV1o7fCHs6gjCzvXVV8FPZwBg303/I1 +DC08HPbDbwW9mq9T2MMZFJ93P/wqWng4rPfehSWd1ivs4bC+z98xIxktPBzW +z7CtiRN8FfZwBqXl652z0cLD4fkZeOWkl5/CHg733/+rVWl/hT2cQTf81u/c +gRYeDv990w0V62xQ2MMZdGbsT/+TaOHhsL7mJ5Rtv1FhD2fQyndVNt9GCw9n +UPDPB5WGblLYw2F9a/B1fwpaeDisHwOW23tsVtjDGfRsyPKr+Wjh4QzyrPpt +sM8WhT2cQYfWx2fKWxX2cJg31tr47EcLD4d5xfy1bqNtCns4PE+X7G6fRwsP +Z1DJm69ndA9Q2MPh3/tR3OIJWng4zAttw2LHbFfYw2E+nHN57me08HC4f/59 +bRbtUNjD4Xma5fy62E6FPRzmlxIvd21FCw9n0FKHl8Nq7lLYw+H35Ay3PI4W +Hg7Pb53Br9rsVtjDGVQh4t6hG2jh4Qzqtzx29qBAhT0cnr+1Do5v0MLDGbQg +ooUyPUhhD4ff++7kp1y08HAGLZdOXF8brLCHw/ts2+SgtEdhD2fQ78qtV+9D +Cw9nkO3D2El2exX2cJh/Wz3tfx4tPBzmzS5zHLrvU9jDGVQ/ZbvtE7TwcAZd +KKJVH7tfYQ+H/ThwsZyFFh7OoP92dCi3+IDCHs6gzxn+pUscVNjD4fd7TykT +gBYezqADoxIqWIUo7OEM8h7/0OIEWng47A9+w+s4hCrs4Qyqentus9to4eGw +/5W36jb0kMIezqCUweNGvkMLD4d51r/l/JlhCns4g0wn9m79hRYeDu/jiT2n +14cr7OH+/7w1T650WGEPZ9B7m3F/QtDCwxm0faONXdMjCns47MeRPiOvoIWH +w/rps2pjn6MKezjML6Ur30hGCw+H80Vzp0L3Ywp7OIPiflXrgMGPPRzelzH+ +y1YcV9jD4f0aFhRbLkJhD4f796p32SC08HDYD74EDa9/QmEPZ1BLn02Hz6KF +hzOobki9X11OKuzhcD06uQ1+jBYeDuuXi3ZiVKTCHg5//+vdsplo4eHw/P/O +81h4SmEPh+fDJy6hWJTCHg7zjc+gjtvQwsNhni70OlbrtMIeDuetb0OqnUAL +D4f5yyV5s8MZhT2cQe3alyp1By08HNajwPQ1w84q7OEMGufpWfQ9Wng4g/om +RPvMjlbYw+H+nQkvX4gWHg7vS7U+u/1jFPZwmHcqHLSpck5hD4fz3NaI8+Fo +4eFwnjrq0b/leYU9HP5914/phBYezqCGJ1XvARcU9nDoIwX1XqOFh/v/fLbv +3rSLCns4zCsnf87NQwsPZ9DXu3Itn0sKezi8D8fTHymXFfZwBnUbvWT1QbTw +cAatTr/frskVhT0c7u/Q18ZltPBwBrlFRp7pc1VhD4f1uUg3z2do4eGwH48I +7jDpmsIeDus1xZQw0MLD4X3pvitx1X8Kezj8fb1jeEVS2MMZtPP58aV70cLD +4bxSLHWoXazCHg7P19p3zS6ghYfDPDbpuNzzusIeDn//smNuIlp4OOz/O0Le +jr+hsIfD81Tkyf1stPBwOK+ocZeW31TYw2F/eL03stwthT0czn+zOxwOQgsP +h/PFm4iQBrcV9nAGHW2ffTAGLTwc5pM9JcO63VHYw2E9UY3jT9DCw+F5uxAT +M+6uwh7OoDf7B978ihYezqC5b2KTve4p7OEM8vKv8LXMfYU9HM7PV1uWCkQL +D4fzysbWNvUfKOzhMH/LlXpFo4WHw/4zMd6j60OFPRzmzf0zdsWjhYcz6MWL +9BtjHyns4QxyaNbtxxe08HD4+1dW23k9VtjDYX0LPuReJk5hD2eQX/qxg7vR +wsMZ1OPKzlSbeIU9nEENHKbWj0YLD4d5fan17K5PFPZwOL8duHU5Hi08nEFJ +t4aUH5egsIfD96nwwO0rWng4g3x2Nr3olaiwh8O/v3K1RdkkhT2cQVZZsZ6B +aOHhDCr8ZX5R/6nCHs6gD/FK1xi08HCYn7fYRHZLVtjDGWTv2qhWAlp4OINO +DLMJcHumsIfD+32oUtlstPBweF9m/PJZ/lxhD4f3PeFZifIvFPZwmL/+nPQL +RgsPZ5DZYoXc8KXCHs6gkC599p1HCw9nUPfDUuOerxT2cAYNn/2UktDCw+F8 +dzNwhPtrhT0c5oH4UTlmtPBwOC9csdqx6o3CHg73Izqtremtwh4O3+99ZMo+ +tPBwmPfmL9tgn6KwhzNo7faBHS6jhYfD589pmN3nncIeDvNV23JHnqOFh8P6 +UDl3wpRUhT2cQZGOWda5aOHhDGqRkpXu/V5hD4d5of7PSPWDwh7OoOk9K3mF +ooWHw7w5p3Pf5h8V9nAGhSYvtiK08HCYlyJu5g1IU9jDGaRb1016gxYeDvPM +nJ3RHukKezj03RpBBWjh4bAfuF1a7Z+hsIczaMPM2bOqflLYw+F5qd9p3FG0 +8HAGrbhRb1jbTIU9nEEXlzbofxstPBzmG++eTs6fFfZwWJ+qr+37ES08nEHf +Fr4dND9LYQ9n0ISEka5Fvyjs4Qxyn5o7ZRtaeDhcjwUxS2p/VdjD4Xq3CNxy +Ci08nEFHUg4c6/xNYQ+HefPiozuP0MLDGXTtt/Xn0dkKezg8n5kHpS9o4eEM +Onatdwev7wp7OHzfK9WnlzUr7OGwn1lX3xeEFh4O60nL3km2usIeDs9vszDT +BbTwcDjPjWk2sJehsIfD5xV8DUhGCw+HeWfQ25eTchT2cDh/Hija4AdaeDiD +7jcZvcj7h8IeDufV7l8eqLkKezisl/Wj6x1CCw9nUKMaMatb5Cns4bB/zvr+ +PhYtPJxBYydO6T04X2EPh+vXu/qZd2jh4bBeT6xQe85PhT0c3o9ijgF/0cLD +GfRg9unSW34p7OFwfsudtLZWgcIeDuvxhzFFI9HCw2G98g726fRbYQ+H+cu2 +ZoVHaOHh8H1rZgSOLlTYw+H6nPlp+wUtPBzOk+2GX/X6o7CHMyjoX6Fzub8K +eziD0kfpejBaeDj03lY77P4p7OGwfljedriEFh4O+1eNox/6FFHZw2F/LPZ6 +2wu08HAGta3j3n1aUZU9HOax+50L8tHCw+HPh88551tMZQ+Hz6v+07NKcZU9 +HPaz+S8djqKFhzPoaYxF0XYlVPZwWC86nnh8By08HOaR8QcOuJRU2cNhvlz5 +fUEGWng47M/6wQGLSqns4QzaWPmMfanSKns4gz462Zh2o4WHw/v3ueBH/TIq +ezisN85tU8+hhYfDeTnreXzPsip7ODzf5d7fTEYLD4f933LY1cnlVPZwBk2Z +3exSLlp4OIN8Fy2+vK68yh7OIC2gcaxlBZU9HM5XdQc/OIwWHs6gO1s+vmhT +UWUPh/NEx8wvt9HCw2F/2upW3MWksofDemTuWzsDLTwczsN3TnRZJKns4Qwq +tsx7UilZZQ9nkNOipM270cLDGbS/7v4rDRSVPRzmnaz3386jhYfDvNohzKa3 +qrKHM+jyig9uz9HCw+F9qRt6cGollT2cQbV3pX7MRwsPh/NAz0ON/SxU9nCY +r8M+La1qqbKHw/prdfbhMbTwcNjfGhav276yyh4O82vLN8vvo4WHM2hUlOMb +1yoqezicV9TGWhZaeDjMDwmHj3lVVdnD4bw1PtKyfDWVPZxBAa17+O5FCw+H +9e22xx/76ip7OIPsNtZfchUtPBye3z/z8/vXUNnDGVR51/Blb9HCwxkU/yiu ++OyaKns4gzrVTt32Fy08HOarMhvrbq2lsofD5xVPuFjbSmUPh3lga/Sw02jh +4TAfNmqdo9VW2cP9///nOgcmoIWHw/ltvaXmXkdlD2dQntPSbwZaeDicr2qu +OehtrbKHw365pqmLRV2VPRyuV8Fa5TBaeDjcL9PqhDb1VPZwuH5dbHffQQsP +h/XW0stthI3KHg77jaVXk0y08HAGyfcaFllaX2UPh/nnnO/zsg1U9nAGVZwa +FL0HLTwc5rUpY3ba26rs4Qx61yV56VW08HA4j60sMWlAQ5U9HNb3U1+GpqCF +h8P9H7ep1xw7lT0c1uOamY5FGqns4QzavKRIpwC08HB4f4o971zXXmUPZ1DZ +/rN6RKOFh8N5IePWoB6NVfZwBs2Z8s4tGS08HOaHcf95TmmisofD/Z44cUs+ +Wng4g0ZWeHLSr6nKHg7vR3bJJ9WaqezhDNpyqNTPCLTwcAaNjk2y6dRcZQ+H +8/f72S6P0cLDoUNebhrXQmUPh/05yPLed7TwcAaVmWJTZk1LlT0cnofDpQeo +rVT2cHi/82J3h6GFhzOoT96Q9NatVfZwBr3qedXhDlp4OJzf1hUNGNFGZQ+H +9XZkvexMtPBwBn13qzfYq63KHs6gVVrxC+XbqezhDIo9cKvufrTwcAZNrDN9 +R1MHlT0cno+ZRplYtPBwBk2zc/ce0l5lD4f1qejlYh/RwsPheu/7vd6zg8oe +DvP6kYZyqY4qezjsH/e7HQhECw+H5z+6Xwu7Tip7OIMylZ73L6OFhzOozfbm +U/p3VtnD4felSWVS0MLDYf2+mHZqjqPKHg7XI/b0qKJdVPZwWF+jFpbfgRYe +DvNAuzbXbTSVPRz214r6svNo4eEMWvopomOfrip7OMy/yyYVeYUWHg7zx5ra +Dzy6qezhDKp35m3QH7TwcDg/3QqZubW7yh4O896iGT2te6js4bA+eHeqF40W +Hs6gW4erlurZU2UPh+dhX5HsZ2jh4Qyqapv3alovlT2cQa1K/H5UgBYezqDS +ufKtTb1V9nA4P11pH2vVR2UPZ9C86ouvn0YLD2fQz+QHd7s5qezhDKoe3Tbp +KVp4OIN+Lb76cUpflT0c5r8vY3/9RAsPh/nsWU2Ljf1U9nAGjSj2u1Wt/ip7 +OJwHHQpGRqGFhzMotWdN764DVPZwBuXnup9NQgsPh3mp8pOMyQNV9nAGdVw8 +uc5PtPBweP4+2bhtGKSyhzNoUDs5rOZglT2cQRU62H09hRYezqBlN+Z16DpE +ZQ9n0JfwL5uT0MLD4fecD8qYPFRlD4f9M86rx0+08HAGVbm14+iGYSp7OIOK +zk2Xajmr7OGwn52ctyIKLTwc/ty5g7nrcJU9HNaH7l2nPUULD2fQmRF+6VNc +VPZwmHfmKNN+oYWHw/2ZkfJ94wiVPRyeVzt9udVIlT0c7u+WftIZtPBwmA+W +fT/S3VVlD4ff8yyl+zO08HAG+fvUyJg2SmUPh3nS4+jm32jh4Qz6u8Cnw5bR +Kns4nGfWx3ytM0ZlD4f7ubl9eDRaeDiDvi6wnNBrrMoeDvNwg771XqKFhzPo +/I6XWR7jVPZwmKeP377wFy08HJ6XkfKGADeVPZxBK7dfmWAzXmUPZ9B4p/uO +F9DCwxnUZV5H674TVPZwmJf+VSr7Fi08HK7vZ+e8Oe4qezjMN7ZFPhebqLKH +w/c9U+X9LrTwcPi9a/enNJyksofD+r9rx/sraOHhMB9n/P48cLLKHg5/vuBF +/nu08HAGRXe0L79wisoeDu+rY169MlNV9nBYH1Z27LYXLTycQRf+FE5uOk1l +D4f5P7bT1uto4eEMKveo8JrzdJU9HM6ftl2MT2jh4bAfvizZeNkMlT0c9o+v +Qz1MHip7OIMSZjQ8HYoWHg7zsJtfQeuZKns4zPcvPPveQwsPh++X9v3g6Fkq +ezisX9t/F2SjhYfDvJQTPHrtbJU9nEFXqj2+bjlHZQ9nUHi1wCbH0cLDYT8p +XXCg01yVPRzW/9/5lk/QwsNhPS23Y/vEeSp7OMzDgx5b5KOFh8P5OO3Yvg3z +VfZwBhXPsG1ktUBlD2dQqbn9r51BCw9n0KbQqi49PVX2cFif92z58QItPBzm +ueWng2YuVNnD4fpOX92tyCKVPRz2s3VFjB1o4eEwv+a1PGq7WGUPh/P1x2ru +V9DCwxlk73mh7qAlKns4rCeJFT9/QAsPZ9AMu9rnFi1V2cPh/h7+tr6cl8oe +DudBz2XjDqCFh8PzdftBx5bLVPZweL8fvLW6gxYeDt835nzpUctV9nCYP86P +yPuGFh4O/37pm1lrVqjs4Qz6701BmuVKlT2cQaGzi6YfRwsPh/kj70VW51Uq +eziDfKK88xLQwsNhPU4uXmbKapU9HK7v0dG1C9DCw2H/nLi505Y1Kns4g172 +2+tWd63KHs6gS6G+fufRwsPhehx3vtDXW2UPZ1D/YyW+pqCFhzOoZ3pwgwU+ +Kns4fP9jlaeWXqeyhzPoWKcVkXvRwsPh/n6N/9VsvcoeDue1kkr/W2jh4Qxy +S+4WPtJXZQ+H+x85tdg3tPBwBv15u2bKGj+VPRzO61d2PLH0V9nD4bwYfFCL +QAsPZ9Dh+KPnHTeo7OGwP8acapmEFh7OoGZh52OmblTZw2G9yb3eqRAtPBy+ +X5mkB9s2qezhDDK3/zqu/maVPZxBS7KkX5fQwsNhnlumBQ/corKHw/MyaHWX +j2jh4bBePkz4sniryh4Oz6tLuwMVtqns4XAeGxHtEooWHs4gm/Z9LNsGqOzh +MB9O/vnyAVp4OMwTfe+Eu21X2cMZ9MQlZuEPtPBwBmX9utXff4fKHg7r0ZEC +O6udKns4zKvxIypGo4WHw7z9OSWv9y6VPRzWn1nbM96ghYfDfJnk+WbebpU9 +nEHNd/m9KBWosofDeaTZk1d70cLD5VCW1cCPzYNU9nA5NCSthHEbLTxcDvkW +yS81OlhlD5dDW/Ia1DWjhYfLoYb9Aruv26Oyh8uhZz59ParvVdnD5dAL++7B +UWjh4XKo7QPvxz32qezhcujyF1OZV2jh4XIor9anPnP2q+zhcqin2bStxAGV +PVwOPczxexuMFh4uh7yujGjR7KDKHi6H1DcrNt5CCw+XQ7W//vniGqKyh8uh +Ej6vhn5HCw+XQzmdK8f6hKrs4XIo91x0q+qHVPZwuD7+5yKj0MLD5dAGV6um +PcNU9nA5NP2OOeYVWni4HPqxoFm3ueEqe7gcWlb6bXLJwyp7uBxq1LBwzl60 +8HA5pKzaKrc4orKHyyGbC8EX7qCFh8uhzitqTR5zVGUPl0M1V9eqZqCFh8uh +mXP3JfkeU9nD5dCtIvt31jqusofLocPp1mOi0cLD5ZBPcpNGThEqezj8fb+b +f1PQwsPl0H+X0l96nlDZw+XQNZvAK+VOquzhcqik36uwELTwcDmUFHZ2e9tI +lT1cDrl1qOv3CC08XA6lSo193E+p7OFyyC8rcf1PtPBwObR1e7VtW6JU9nA5 +1CSxWIjNaZU9XA6FLAw4fxktPFwONe13L2nwGZU9XA41qBeRn4EWHi6HPsa3 +r7virMoeLoce1/caVilaZQ+XQ2N+z9p4HC08XA5Vt6v6oEuMyh4uh8L9l0nP +0MLD5VCV/D2jZ55T2cPheg9feqrYeVX7H8w3Fps= + "]]}, + Annotation[#, "Charting`Private`Tag#2"]& ]}, + {"WolframDynamicHighlight", <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>}], + DynamicBox[(Charting`HighlightActionBox["DynamicHighlight", {}, + Slot["HighlightElements"], + Slot["LayoutOptions"], + Slot["Meta"], + Charting`HighlightActionFunction["DynamicHighlight", {{{}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJw0mnk0Vd3/xzXJPZOUqaQMJco8D8U2hExFETJFxpQQImSIpIxJkkikWaMk +alfSIFI9mlWaU+45V5Hmfp/vWuf317NeK8+99+yz9/vz3mu9lINjPELHiomJ +aZNiYv/779btY5S/jRXirScnV5+8LED/z7k8r34n//jGOCE2TW/6cuSKALla +6hbtHi/EJ7cELDpwVYB0djnYr54gxHMG6f017QIkJQr4M19ciPs623/vviZA +Xx0TzzIThXiybYZPWYcAPagtWN0PLLne9nzhdQFq/lmvclpCiGek0VO33hCg +yqVtT7IFQlyc9mJj9k0BSj32X7EnIcTny871p90SoIAJnx3mkELMvtrpsKFT +gFDA2H/fgYs2p56Muy1AKs1Tz3VSQvx7T5TCmi4BGj9Jb00VLcSVDkF54d0C +9D7CcdZaRojvN/iPrrwjQDevBD6zkhRi6SfBEX49AnRkWlKp1CQhvvcn5pnX +XQHaHl+46A1whmHOEvd7AhTTdUCsSUqI91btv+F8X4DcZ19szp0sxA2eN5H9 +fwJkkN671nuKECtt+taKegVI5tHn2XOlhbjMVMvM4oEAjeqMe/4LuLA2+rzR +QwF6kjetrFtGiGuenDXTfSRAra/0nGtkhdhfTPzi3McCtNd80dhYOSEONgqy +mf1EgDbtCGqxkRdi14r2WzOfCtDKwaR10lOF+LKj7tJpzwTIbmHRnPfAq8Ia +Xkj3CZBadcOL5mlC/GaSWrTkcwGSGL24c6uCEHPhJ34JXgjQp8UPXFZMF2KL +bTYF418KUNehwXFaikKcWflS6R9w45jxrX+BM5pyz/3oF6BiX4W4uzOE+Psv +E7fhVwIUd0ZfY/9MIT5d8PUD+1qAllFO/fFKQpyU35I98EaAjENX7lqoLMTy +k/OV374VoKmXNrjJqQhxpF3olRfvBOiXbPGEAWAba+eQJ+8F6HnMwbYLqvD9 +6vMn9n4QIHzzUvz2WUI8cZrp8TsfBahW+eHcgNlC3Gpk5XlrQICyU4SvdNSE +OG+P+7/2TwIU+t/43WPmCLHf6pijlz4LkIPm9CX/AWt1VPi0DAqQRo7BxAPq +Qjx0s1twVihA5AunS4ka8P7KmbZGVoBY4+AEx7lC7Oa2Yt1hToDuFiVrTpsH +6yV5Vq1eJECnPxa/+Qy845f8y+ohASqzPlR5UVOInfXyd+/+IkCJldi9SEuI +1e9N9Cr7KkDeXx9KrNQW4oW/SqSLhgXI3IXF+jpCfLFH/cHWEQGafmBC0nhd +IT67tmvX5m8C9PfPdO2HwG7fU/02jQpQv5fhu4N6sP+3m6umfBeg9hPOVcn6 +QjzTZcLg+h8CdEAiZKmzgRC3eD0/F/NTgLasTCEUDYUYd13NjvolQJEXSq6w +wGvuNHmE/hYg5ymHN1w2EmLx1HOqQX8ESCv6sk6psRDfHbn2zfevAEl2PHof +YiLEcn6vbnv+E6AhRW6vkakQz+sh65aIEei/RHHPiWZCHBRjl+o8hkBNPYrU +E2Ap/23L7ccSqELdqP2IOZy38/2G1uMIlJLpkpJqIcSB++2k548nkN/TED23 ++UJ8w7x5xHgCgSwNNn6cuUCILfebPtETJ5DS9tKaIWDjHzcvaU4k0Nh3h73a +LYVYNSiiYY4Egd4uuELvtIL9MSpbrCIg0PXyx9fCkBB3v+zdqEgQ6BDHbTS1 +FuLtZvsj5UkC5TtONCBshNh+9iafKRSB1tTO+PQMeGv7ameGJtDin0a1x22F ++INhhJWAIZDeUlfvTXZC/G33eqPxkgSacmyVpPtCIXafUqL1D3hkfOp1FXsh +3n3l4pyfkwj0yH9H2jDw+o6fqiNSBGo5d8TwuoMQ51s5qogmE2iP5NXPuxyF +eNuSBpXPUwiUFvFkf+QiIZ47VWb2e2kCBV4R+Vg4wfu8UKbxSoZA1tMkpGhn +yNNls3X7ZAk0K37mzRfAEyRumD6SI5B4l/Gmky5CnP4t2fa+PIE+znIzznIV +4gBkuaR7KoE600KFS92EOFFcOvDmNAIde5haP3sxvI/IXzHtCgQq1ClbMQp8 +IH8469J0Aq3LOzr51hL4+/x/u1oUCeTx6uqtSnchFm2dfuLsDAIZmj/NiPaA +PD3sfPPETALJ7hgysVwqxFvIgjdHlAj047MEJ7lMiDf39Is1KBPomZ1Swyvg +T7IOSrUqBLq418T/jCfs77GXratUCVTzzU06x0uI351xDt01i0CZi8Nuey0X +4iznT/mlswkUcigtS91biHd+2nO6QI1AC8fsNPsJrHM6qC9vDoHm+B4T3faB +fGs3ldisTiDBmfaDe30hD61mGW/SINBn8llAzAohJhxUw1LmEujOqi8y1n5C +fOmXUUXCPAKdvCjonuwvxCXpfl3rNAlUKqu8+S3wSVH52GgtAq2PMbU4FwDn +L/6debg2gbxuLv6yJVCI6+Y4JgTrEMhUOfywT5AQD2jjU/66BJqWkh40byX8 +niNOIm89Av2+v1PuD7B36yfdZfoEejHv+J07wTD/smviFxsQ6PLmazn7QoT4 +lUrkeSdDAtU9fzY/bpUQK7Q5/FtoRKAc469fbUOFeNo6C0drYwKFFxFHZcKE +eEGQ3Y75JgRa9FE5+APwwPHgfhNTAs2zNpvaEg7vI6Vcx8CMQHTlkrv5ETC/ +P7zM0DYnEPclfItfJPweWateDQsC3XPeZKkdBe9b/azG7PkEOlNfPvIPONDK +KlNpAYF2/jl+7N5qmFep/U8VLAm0wasjpC4a5t2YCmM5KwL5nuiblrBGiCVE +oWWTEYHmSwzfs18rxKGRTsO0NYFmrCS3ysfA+yha6CWwIZDYBRX0Cdg3e/mF +8bYEej3ZfLR1HbzP8AwlMTsCXVvt3lgQK8RxfpfzfgE3XIsIDYyD87xZ7uu3 +hQTKU8yYrhcvxF/G5AZ+sSdQVOKu/8auF+LyEfKO0IFArj2N+b3ACZmHLQcc +CaSjft26IQG+/0bAqbeLCCSV+fx7UiLst4/z1PqdCPT1yfCJRUmQfxJSe585 +E+iBPhWusAHyxJ6RfeRCoOZtqjOEwBrXVUvuuxJo91vzB5eShfjxIXfmjhuB +Ni7w2F6cIsQxkyoKbi0mkH95pG3wRsjPGaNMxxICWXEZPw1Shfj9yNrSy+4E +UnGsODUhTYirm//JtXkQaHztiYhHwGzO4ZrmpQR6/+P6zMPpQnwnK1rjzDIC +3fR48TBlE8zju45NjZ4EOnJ0pMAlA7jY0vaIF4G2j6cXzsgU4odvXf87sJxA +a/1n/eaAp4oSQ2u9CbTknMWZK1nAvS0/qnwIpC+5NGpHthALT8sWV/gSSDoi +Sjl0M5zHxgL1shUEGr2c+dg4B87DwIz2Ij8CPZm6u0giV4iP5XQGbvMnUGvc +SfunwIYHiv/mBhBo7+0bf45ugfcZFVuTFUigTbNenk3LE2Kf0Wib9CACrUz7 +tnrxViGOXpv9IXklgWwf0qrK+UL8aOhsYUIwgWbrzH76Bfht3RjT2BACTcyb +X3JtmxC/aIh8E72KQAP9Sx3Lt8P+VxQWR4QSqMts9b/wAsgj9e1oVRiBGkuz +zpkVwvwctP8SGE6g4s+715BF8H7zFRtWRBAozu7UrOfAd2dP8lseSaBle28+ +aywW4sGXM6SXRhHI+NvL0owSeL5ni+64rSaQ/OLRRR6lMA8sSvKdogn08yAz +ZtYOIR6rMuJov4ZAfWJq50eAd59KENisJdAlnwUxN8rgeagpXQtiCFR7epna +7p0w/726i83WESibjH4eVf6/Pl2/3CiWQKGrssvm74L9ZLlbSS+OQA4XK52Z +CiG+rXz0s2Y8gTRkT4/tB56S/vS8+noCkTG3Wk7tFuIjCXPyZiUQSHijf112 +JczHeaU+SokE6lH6PsdzD5zvK9O0picR6FSy5Eu1KiE2X3FlrPwGAu24r1b+ +HVhBIffplGQCJc6zdO3cC+9fNfKsZAqBvDd7jq+qFuKUiqhiciOBzJ9Ht66p +gfO+J3/txFQCTTfeHGe1T4iZJbfcxqUR6G/hHg2pWiG+/lhN7x9w/4fT/a+B +K73rZH6lE+gq6tx1dr8Qx36x/P1tE4Hqd79yy62D+8KtH2+/ZBAo98v3Cd71 +Qvzy3389bCaBIpwnXdQ4AP2spbvtUxaBnOvnrP8FfFz+w9H32QTS+mM5r7tB +iHuR0t7Xmwkk6eX1uvognL9lycUvcgg01Lhm97pD8HuSvuQ8zYU+MjFnic1h +IT7VtS3t4RboI0FVE6WPQB9NXJh0P49Au1rOXHoH/L5iZvydrQRKnnw7ofko +5I3XtNjOfAKtWP1ac+sxmKf3jWKvbyPQgms/3vgeF2Iz3bj4q9sJNFNRao9m +I3x/aU/SpQLoI4nqHn+ByxXd0i8UQh+5YyW4ewK+76sw91wR9JE5yy/XnoT1 +MD1RcroY+kjG2qT4U3AfkCytbiyBPvIkR3vhabj/7Ck/fqSUQNH6e9/JnoH1 +/9d2qWEHgdy2na36CEwFTbi/v4xAum9vL71wVoifvIz5UL2TQJMXvCG2N8G8 +2f/7b2U5gYZ3/rzifw769e2j8rt2QR9hpZJ1moV4dVqa4Y4K6CMOGrpjzsN6 +9sV6FO2GPrIPfbgPfJ/aGretEvrIj+XV9S1C/Fm3vWzLHugjHjGeiReE+GC0 +Ukt2FfSRo7mUY6sQV7zZ93LTXgKpjq9un9omxEtb7CRSqwk0wb8p5TPweinK +YEMNgT40deldvCjEVZKjgev3EegW8/Zj4SVY70cTitbVQh8J/1UThIW4frvp +5ej90EcuT16ufxnOr0fR14g66CNT5zLjr8B5dSU0Quuhj8RZdzwATq49HLTy +APSR296pB68K8ZLYNZX+DdBHZq0zSG6HfHzl+dDnIIG+p2755HQN5r9MiLTX +IQI9fVBdO70D1ld35zKPwwRq0z7nzQKfXT5Y7naEQNVbuiUvX4fz2xjxzOko +9JH+t9dLbgixrTeh4nAM+ojZ77SQmzBfk+9F2R6HPlI6xcjoFrwf40tNVo3Q +Rz7PHRTvFOLOU3fHzj8BfcTOpu4x8NVJAg/Tk9BHqnx8j9wW4h+J4XWGpwjU +PbJOKrVLiDdIDH7TPU2gE255N127YT0Hyl20zhCo5GDNppl34D64IKJe4yyB +4sWajYeAUzSC/s5ugj7ic0d4tQfuQ/fSfVXOQR85/a6+7C7MI+9r52c0Qx8h +/6wIuwf94o3uVIXz0EdCpKeY3od833Vto1wL9JG2eZ2C/+D+tGtT/5QL0Edk +bDOfAe+TCHaY1Eqg/Wt9TY/3wvMy0SepNgJtvhHLpT+AvO3eqyC4SKAwpa0N +Sx5CP4sazZtwiUCOyfv8VR4JcYQg+fsYDH3kfrP0MHD5HZWov8D0vJ7bHY+F +OPvF8POfl6GPZL/P2vUE9oc/t3T0CvSRvj9mkU+hX6+b3PX1KvQRI5kh82eQ +/xYB9qJ26COFmoeoPpjvr+9fHbxGoKQPtoEvgN/nr7Me6CCQD1ohe/I59HVv +k6vvrhPIYndcd+YLITaKnbPw9Q0CKX7ZunnpS8jH76jzxU3oI861FrP74Xkn +b3Z/dgv6SN35L9+AyXeip486oY/87jl885UQq5RtCeu9DX3E80NQ5Wt4XjvH +4btd0Eca/8pFv4HzpmCwubsb+shE2Z4Fb4U4bL6jbOcdArkEaeVKvoP7bPeW +I9d7CKTdYrfg1bv/5eMQar9LoEmT/YZPvxfi9ru5T/A9An2Jij+6+QPs1wL7 +9W33oY+05wd7fRRiZRc9qZb/oI9M3z9VfUCIP+o7nGzqhT6S0HL3B7BDWJ77 +6QfQR+7c3XL7E8wXcmS48SH0kTkfLfd+hj5tX1B59BH0kYx/I2sHhdhlgbvN +occEUn4iexwJhThH2nqw/gmBxulrr5rMwnoN+VXUPiXQu/yFCm+Bw7/X21c/ +I9CNN373mzi4Py+eOlrZB31k/vqtW0RCXDvtwuFdz6GP7NyGfIaE+PWmLQFl +L6CPsPtH534RYtn6TJmSl9BHHC40/gaOOHboTkE/9JF990LvfIXnvfR7a/4r +6CM/Pk7fNwzf/zfNYctrAn1zF+uNHYH7c6nmxM1vCPT4iNw222/ANRK3Mt4S +6MI4HRuZUXg+p8kFae8IVOVn/+M9cG7LoqUp76GPNPmfPP9diAulTigkfYA+ +wiSE5/8Q4u9JNu/jP0IfCd8+w+8n3L8mjz+zbgD6yOW6B1q/hLh4wnDmmk/Q +R6a2bv8HXJk0eWnUZ+gjsfdt7/0W4msFgWrhgwS63Tnwc/8fuN+tefYrREig +46pjTq//K8Tx83P/C2IJVJQqH2n/D/aTUtBxf45AsQ90lOTFWPxuQeRWXxH0 +EW2HRwPAFa214cuHoI9sCShsHcPijksCx2VfoI/0JywsGMviyWtq57p/hT5i +WvA7YByL5w1GSLoNQx8pqT+jO57F11YGfXMagT7yqTVq7AQW7/y65aXDNwLt +s/1PuRc48MbLTrtRAmVVfXp8QJzFH8dGnLf+TqBVI2OKkyay+O9tpUOWPwhk +7zbVYZEEi9c7EpUWP6GPHNT9O03A4tnVswpNf0EfEXNsGgT+8iVms9Fv6CPe +gdGXCBa3Rw+m6v+BPnIqUbWYZPFmvcoknb/QR4jCpyspFmuGJ6/X/Ad9JORA +iQHN4nMG+fEaYiRKaGtznMCwWPVi13q1MSRaLtP77yFwqob1BtWxJDJb+/nc +IUkW36v+kKY0jkQKN8auTZnEYhPbyzmK40n0Z+a02S5SLO6af7to2gQS9W/Q +61OczOIzpySq5MRJdPWe4w4OWObmhiPSE0lUPzfI6coU+L4GhVYpCRLlZieN +2SEN/x431M0ISBTRV3h+lQyLNTx+viYJEjkZNcQYy7K4Ptr4pwRJIs3Ci2oS +cvB7PhyYIk6RiPnQ+/wJ8LhPjjrjaBKJrAbLjsqzeMfuma5iDInuV4xzSZvK +4v7pc9f8AW4amjZu8TQWe1RGFP2UJNEuJ/0LSgos9jJ9dmZ0EomS6xbFfgEO +Usx6OixFohW/g9SvTWfx5xj/cV8mk2iB54aXOxVZnOy1RpubQqKZjUXl4TPg ++0ZPrRiUJtGYiQddzWay+GbyvG0DMiR6E3hpPKnE4sZxT9vey5Ko4/yD1j7g +t60XRW/kSHRQShjXqMxi8tZDtVfyJMqPGj83QwWez3124IupJIpuV3jlrsri +96mHdz+bRiK36QYVqrPgeWNDHj5WIJFugtPiEeCPy5bKPJxOosl3VorfmM3i +FYuTvf5TJNGwWvLFCjVYzy0Pdt+dQaKHm4rXR81hsbpqxMvumSQ6//jgvPnq +LNZ30ptzW4lElXr4Na0Bf6+qF3tTmUSp+Q93vwQe7Q2/2KFCosA3wiWn5rJ4 +W+4Dsl2VRNbzJ0hkz2Pxi4AUv8uzSKS6czpepsniwc1eJy7OJtEE1iBRTYvF +bTOjxreqkeiDvbPWd2Afr6YV5+eQ6FZN8Ntb2ix+uNi0qUmdREe/J+/Zo8Ni +V4Ovk85okKjAvcRjjS68vznv156cS6KYI4cEVnosbl4m1XN8Honcx12+PEmf +xWNerdc7qkkiQ79HSa+BR75OKj+kRSLZJlb7rAGLe86++31Am0TfafH3OYYs +fuD0NbROh0RPwxT3Ljdisftzs3v7dEnUhg2XaRizeNeOFstqPRJVy7uQv4DH +7Ixr3KNPoozYkKtdJizmJEKVdhuQKLgzJbnalMW3ZEvKyg1JZKdaqrvOjMX/ +3n0jyoxIpJZ6+IO1OYsnVpdnlxiTSPDgcvUUCxYHhK37W2hCos9ajz3fAeeH +bd643ZRE3bkc1TwfzsP1+z+3mpHoxEvxa3kLWFx2bEXqFnMSlZjO2OhryeJ9 +1jPFcixIFF9ipK9pxeJnxxRzs+aTyPOTy8AfYFZmOZOxgEQmtqv29SAW59Xf +rkizJNHUqo3La61hf2dsnL3RikS/hkuZeBsWG98LO7sBkeiF65EOO1tYr0sF +CxOtSXS54UqqrB2Lv68TPY63IdH+f48NPgJvlixaG2tLos3eok8tC2H9rkaK +x9iRKOzUxP3b7FmMTmXsi15IIkdipo+/A4stxvfOj7In0dwQ40k6jiw2ehPy +LNyBRFSb6w2xRSxWS9RNDXUkESsdmn4fmHlnNjNkEYnurkk1qneC97k841qQ +E4nOXN8xmODM4k/fxkUHOJNo58yjdQ4uLB776ZqMnwuJkjZc9Z3qymJ/n/Yr +Pq4k8rn3ROoz8Iw1/2KWu5HIYu7QzTY3Fse5Jit5LiaRYrZERuFiFheq6P3n +sYRE/57NNAlawuJj0nPylriT6JWhCavnzmJJlxVWbh4kai9wOzDOA75vqPu7 +81ISHXgf6vcA+OG8TWcXLSNRnlXalINL4XzPiolz8CRRVEVZ54ZlLC4YX62/ +0ItELkNHM508WWz+lRyxWU4ibad20+lecB6mtrQgbxJNqnvKCYGDaw5kWPqQ +6MuvoQa8nMX/Hb+3aL4viXqXCQJKvFlsuGaBjPkKEp07riQT4sNiKfGB1yZ+ +JKoQN+0y9GWxWG3vaSN/EqUELs4WX8HivWFiOQYBJPI/H2b+GLhwU7SPXiCJ +rKTShw77sThdeqquThCJlKN2Htroz2JlN3GB1koSjWs/FugaAPngafh2bjCJ +3ilck50ZyOJ4j7or6iEkurH+WbcI+NTapbVqq0h0uPvL5qtBcN7v22XPCiXR +NjViftlKFsccTApXCSPRmk3KX0ODWXxEZtBVKZxEix+bHjEJYbG3/X7jGREk +0tdbslKwisURwZXK0yNJJJ0fLv8MuLe0l5kWRaJvr9N7joWyeI+Y+1+51SR6 +bFGemx7G4uu9kiKZaBJdKDu+YEk4i0NNpd5OWUOiKuG1YeUIeN5lnk+l1pIo +3b7v6FfgII+++5IxJAqq+RrcEcni8Ssauul1JLL5TkzbFcXig7vOdJKxJJrl +rnIvYjWLM/TGdwriSDTxiFmeeTSLu90rbk+MJ9HAWHcrag38PuV1PRPWk+j2 +iohvz4Hfdm57MC6BRMfPbjp+Yi2cj8TPz8ckkqiI3rUqM4bFKa5FH/8Bx4Y1 +KixdB/0hOWnkTxKJluKO+7NiWVw1q3787w0kMpJ/vvUbcFKSjOzPZBLJxQ6j +m3GwH/bc1vieQqIft8jvu+NhP7Ret/q2kUR9KqonVq9n8Zx/4t7DqSS6tNE8 +bEECi61Ltsd9SSPRvl53RclEFs/cs7xIlE6iLK3I3n7gUPuIRnYTiVblZmw7 +ncTiy22XegYzSGT/cpfN5g3wPHNWfP2USSJ10xM/PJNhP59aMHUgi0REyfWT +c1JYnJkdZv0hm0SDA8/DfwBvv/Fg9bvNJLpjMzLj9kYWZx3cVvEmh0Qn91AP +q1IhH1zzbrzKJdGOYdWCtWmwH17f/v5yC/QRVws7lM7i4yVemi/yoI80ePyS +2sRiy83qwX1boY/8izz9BtjnrXXl03zoI96ZkU0ZMA/79vc+3gZ95GSF0pZM +Fi/a6Sz1aDuJXgpOPvLOgu8zn7/kQQGJrgTfKJybzeIJI+tL/iskUV3ri4W/ +gS9yI733ikiUI/3td/dmFpcvw9PuFkMfWUOfrcmB9+N6L/hOCfSR67NWx+ay +eMsEzeNdpdBHZs5Xsd3CYpWGnh+dO6CPbFj6RDoP+qVPm+OtMugjd6OK3wOr +OX/ZfWMn9BGNLIfzW1lsWx8r7Cgn0dms3X+35rN4f5G57bVdJCp/drJpxTbo +Tyaue65WkGiD4c1ore0wT68fHrm8m0S+BS9V/wF3hy/xwJXQR95/e3q3gMXP +7axPXdwDfcSKKd1fCPmVlzq5rQr6SMXsReuLYL/4j028sBf6iGi+mH0x9Ef2 +/rPz1dBHFi1rliuB8xvG2jbXQB/Zv3rtAPBVbllj0z4Sbf2VNbu1FD7/rLjC +2VoSrV5W2bd9B5y3/nH5p/eTyPX4qR0BZSxeWO3y62QdiXTEbznp7mSxlvyr +tSfqoY8E9o8ZW85i3+Qrb48fgD7SPHr+P+DGQaHfsQboI5Mk1x3YBfNub9ij +Iwehj0SqzUmqYHF207xlhw9BH7m64IXjbsj/oAX/HTwMfUTBc+e0SsiTzj3L +Go6QKGB9tMsg8L/pDo/rj5IIdWePu7SHxReyrQLqjpFIRW3PhaIq2M8aOe9r +j5No/KbTsSv3Qn81l4/d1wh95NEtdYNqFrv1f/9TfQL6iO6rl+NrWDzfYU7B +3pPQR7Z+L38IbFtZN6PqFPSR15Juh/bB+o5fe7ryNPQRizkTUmpZfONUruPu +M9BHyizbnPdDP+gS9u86SyIDoWe8Yh2LczPrNpY3kUjGfs1cDvj7z4PyO8+R +aLR686vL9dBnVv1q3tFMoiejeypKD7DY5tNen9Lz0EeWnFm8qoHF05uL/hS3 +QB853ClufBDe57e7dUUXoI+MfX1x4iG4P/SudClshT6y4sf6J8AWaTaj29ug +j5ydpHn0MIsNFOLrt12EPkKrv0k9AveTp1+X5l8ikUSYVaXbURZP+3BtwlZM +ok+XvNyVjsF8j37fsuUyibrk1kp8Aa4u916Xe4VEjetycPtx+PwSBY2cq9BH +blUl7myE/pCn8za7HfqIylmt8BMsTjhYVpt1DfrIxttvTU/CeZjmvDKzA/pI +7+s9xCkWb2SWqmZchz6i9dOjD9i08diH9BvQR3KkiMbTcD9Q825Mu0mi5y/U +r2w6w2KX/Z5JqbdIhE3QBvezsP/dDths7CRRbfFyHdUm6F/LHSal3CZR9sDa +98PAqwcs+jd0QR+xyd17/Rz0Z53s00nd0Ef27F1W0Qz9fbnilsQ70EeGz5JR +52HebCcCEnqgj7h2XbVogfn+y8lk/V3oIwfeJNMXoG/1vJgcfw/6yN+fui+B +9xtfF8XeJ9Hp5ZM/nmxlcazfmHvr/iNR2UmNmqw2yMM1xWdiekmUKLD2WnYR +fn95fMXaByTyDvam1S6xePm4o5vWPIQ+0hpzbRRY95VxZPQj6CPSWzbewixe +4yfnufox9JHoav09l1l8otbFNuoJ9JGOpoHoKzDPnz80iHwKfWRG9z7Lqywe +mH9OLeIZ9JGkt8sntcN+HvykEN5Hoi13fzGvgYvlE6aEPSdRpMaU62euwf2O +XUaHviCRc9bctJwOuD9U5gtWvSSR1jNrw+XXIX+cZCVC+qGPGPp8Vr8B9+G5 +3yWCX0Ef2b5u/0/gSRG61MrX0EfebfHpugnzVQdLBb2BPmJZM6n6FovtGuun +Br6FPrLr3I2YTshvqVeqAe+gj4i6061vs7g0Z72u/3sS+S16ZzSlC+axaaCV +3wcSWe7/PfgW+K5X7ZIVH0mk9GtK/bluFkszFqt8B0g0dtm8FXl34H1t00zx ++QR95JjNZN8e6Ge/E0q9P0MfmeB7a95dWN8CuePLB6GPBMRm/AGuiJbq9BJC +H2nOM+m5x2K9GyEDniz0kUn72H33YZ51MqQnB30ksvlA3H8sVqyfrLNMRCK9 +q3f87Hqhr2fGeC4dItEUhfdTZB9A3yuane7xhUQj8X86PwBL/zE87P6VRI+6 +pLNaHrL45Le9D5cMQx+ZrWm27RHcZ44EiS8ZgT6Sbivyewx9fmGa6eJv0Ece ++R7UfgLn6dvXaLdR6CO6cQFiTyHPBq7VuX6HPrJ1q8x94MNuoj6XH9BHXu/r +qnvGYsIjUd7lJ4nELc5nJ/Sx+Jfyci/nXyT6uKPH3OE5i1cOlpY7/SZR5+D7 +IfkXcJ97qfFk0R8SHVv499AnYCf16TMW/SVRYbVMUNtLeL8jkaGO/6CPjGrK +FfbDfTthygkHMQotXWJ3J/AVi38+kP9lP4ZCRodX5Oi9hvNsl7LIfiyF5MbG +zx/3Bu6DIpPKheMo9MM3/2sv8IyJHkK78RR6dqb2SMNbWK9zN23sJlDoItWy +csM7Fv/Qqqq0FadQTehdeaf3LL5f2TVsM5FCmZc+9Ch8YHHLPF93GwkKhcj9 +yxUCS0ouPGktoJD9OllL/JHFVvEFUtYEhdRvaY0UD7C4NdcgAZEUIlQWHgv+ +BH1gg8kzK4pCgyl+IYaf4bwk7La1oil057/4aeKDLFZo9Gm0ZCh0UnPbvUfA +8ks2KFhKUqg0Z3/eYSHkUf5I/oJJFFr/osVqI8viedvu/Z4vRSEvk3vfXDh4 +H5lU7PzJFDIt/nh8hgj6cFXDB4spFFIY+LdKBLyc2BdkIU2hP9Zy068Owfr/ +/P7MXIZCLyu1/9vxhcWdlad8zGUpdOXrwvzQryyepdD5xEyOQnUu/tYmw/D3 +R2z8zOQplHNg/XeJERY/TlR4ZTqVQuF/t514Cmx6clmE6TQKLVpeF3bsG/Sh +HHbIRIFC805eUEwfhT49eyDNZDqFaMH93sXfYf5iO8pEkUKilQPblH/Afs8a +s9d4BoXuXxCz/Qo8r3qmrvFMCp2dIv/z2k/om9Y1HUZKFCqP1jlV/ovFl/Zk ++BspU2hDh31ExG/4/L72UUMVCvnOCJhp/ofFDfqRZYaqFJqflPCQ/MviyXfW +GRjOotCMu9sLngNLv3jaazCbQmIa9XYn/sHzlR7cYKBGodeZrb8yxDjcN/XR +DIM5FOp4ev+0xxgOX6mPvKGvTqGDBp8iZ43lcGJwYJy+BsX7cByuzTo/U38u +xftwHB41TOjRm0fxPhyH9Q/vzNTTpHgfjsMKktOM9bQo3ofjcEb5WKGuNsX7 +cBy2ilncoKtD8T4chy1uiQXr6lK8D8fh0F5ZJV09ivfhOHzuckm/jj7F+3Dw +fZdi63QMKN6H4/DH3+cidAwp3ofj8NCxlbo6RhTvw3E45m/sT21jivfhOFyk +/PG6tgnF+3Ac3mZ/bae2KcX7cByu2yserm1G8T4ch2cvP2GubU7xPhyHw+su +TdK2oHgfjsO3TxsOaM2neB+Ow21NUte0FlC8D8fhU32etVqWFO/DcXie95hM +LSuK9+E4fNZbOkQLUbwPx+HXTJGDljXF+3Ac9joaq61lQ/E+HIdbA9rktGwp +3ofjcIdPzDgtO4r34Ths2J0/pLmQ4n04DvcOMK817Sneh+Nwcv+vXk0Hivfh +ODz2tXunpiPF+3Ac9p0pdVVzEcX7cLAfbpm1aTpRvA8Hv0eq67ymM8X7cBwe +p36zWdOF4n04Du+w1G7RdKV4Hw7WL/dvm6YbxftwHH6jY9auuZjifTj4/9e8 +uK25hOJ9OA5fW8s+1HSneB+Ow7FhkW81PSjeh+NwUM7iYc2lFO/DcVj0e5+4 +1jKK9+E4XPDXX0HLk+J9OA4fbc3V1/KieB+Ow92hCi5ayyneh+Nwmvb0CC1v +ivfhOOzhsDVXy4fifTgOC18FH9TypXgfjsM/dQ53aq2geB+Ow3ohfiItP4r3 +4Ti89HiqvLY/xftwHNZChK12AMX7cByucJ2wTjuQ4n04WN+Ja2u0gyjeh+Nw +U43dPe2VFO/DcdjNcesEnWCK9+E4bG8wf75OCMX7cPB8pf4JOqso3oeDz68Q +ntQJpXgfDn5f0iCrE0bxPhyHDwb56uiGU7wPx2HjLSZxuhEU78NxeJbi5mbd +SIr34Tjcvgz9042ieB8OzlNQ9CK91RTvw3G4JE6iXC+a4n04Du+9KP1Obw3F ++3AcllxfYqy/luJ9OA6vv5merx9D8T4chwOEff366yjeh4PPlzlmZhBL8T4c +vI/4wTKDOIr34Th8yaz6i0E8xftwsH57rngYrqd4H47DC297NRkmULwPx2Hx +3/7TjBIp3oeD3xf0KNMoieJ9OA7/mNf+2WgDxftwHJYpUfY2TqZ4H47D369+ +uW6cQvE+HIfPDBubmmykeB+Ow6t82KMmqRTvw8H5mzVVxTSN4n04OC95zZWm +6RTvw3GYaLklY7aJ4n04+D0Di3eYZVC8D8fhEFenKeaZFO/DQT7Ltew0z6J4 +Hw7eT2rVNItsivfhODyhcbjWYjPF+3AcHui/Mm9+DsX7cBxe6Tj+/Pxcivfh +OEzKt9kv2ELxPhyHt2cPPFqQR/E+HIcrL21fbbmV4n04Dg//PjDGKp/ifTh4 +3jijSqttFO/Dcfiqh4UR2k7xPhycx3vN91EBxftwHH6uejTOupDifTgOZ6XK +yNgUUbwPx+H3kqMtNsUU78NxeNrkJSttSyjeh+Pw/GYl0q6U4n04DicYRDbb +7aB4H47Dvy5ohC0so3gfjsNMVrCc/U6K9+Hg39um3LYvp3gfjsOK+xZkOuyi +eB+Oww8D+80cKyjeh4Pn1/097Lib4n04yCv7ktOLKineh4N59agmzmkPxftw +HDaRVTdyrqJ4H47DG0zn/nTeS/E+HIePxDVccammeB+Ow7k/qra51lC8D8fh ++2MYb7d9FO/DcTjw8g+1xbUU78PBfokK+r54P8X7cJD/BjZdS+oo3ofjcJRH +zX73eor34Tgs+ytpo8cBivfhONwT3OW1tIHifTgON16qMlx2kOJ9OA4/tR2Q +9jxE8T4ch3PmnB71PEzxPhyH2erRPq8jFO/DQf6+bLm2/CjF+3AcNpjxo9H7 +GMX7cBzuLG7a43Oc4n04DpdFc/m+jRTvw0EefGhIXXGC4n04yHujvnV+Jyne +h4N8KywK9z9F8T4ch83UrgQFnKZ4H47Diwxi/QLPULwPB33gc41v0FmK9+E4 +fCHbdcXKJor34WC+6qcEBJ+jeB+Ow2LaaqtCmineh4P9f9Q9etV5ivfhIG9f +/U4MbaF4Hw54gvrmsAsU78NxuMr1vx3hrRTvw3HYR/T3QEQbxftwsL6qRy9E +XqR4Hw7mr+Lje1GXKN6Hg+eXyf28GlO8D8fhk1ZNE9dcpngfDt5fb6ja2isU +78NBvktUOMRcpXgfjsPvprisXtdO8T4ch500s0pir1G8Dwf7Ic/8QlwHxftw +0CcCEt7FX6d4Hw7y9r3+lIQbFO/Dwbz2jLdNvEnxPhyHHd4YJybdongfDj7/ +etrRDZ0U78NBfzByfJN8m+J9OMin8HLFjV0U78NxWK4swje1m+J9OA5nDl3a +nXaH4n04Dks1736W3kPxPhycL4UfMzPuUrwPx2G15c/DMu9RvA8H67XP/mTW +fYr34SAvtIx+Z/9H8T4ch3+bHHbK6aV4H47Dp0f278l9QPE+HMybahVuy0OK +9+Hg/ESqL9z6iOJ9OPj8orN78x9TvA8HeWp/4/u2JxTvw0H+XA/yKnhK8T4c +5J9D5rnCZxTvw8H+HKMxtbiP4n04DmfP804veU7xPhyH5f8y70tfULwPB/37 +pOuSspcU78Nx+PJG6Ys7+yneh4M8LQ/R3PWK4n04Dg+aWVRXvKZ4Hw722949 +kyvfULwPB/3ix+ate95SvA8H8yRndOzedxTvw8E8SPmaXv2e4n04OL9SyX9q +PlC8D8dh/8y89NqPFO/DwfsepzK2boDifTj4vJ6FefWfKN6Hg7yYMTyp4TPF ++3BwvjW0qg4OUrwPB/tPfUjjsJDifTgOC5ahC0dYivfhODz33TTXYxzF+3Ac +3iWd+fq4iOJ9OOiLCvEpJ4Yo3ofjcLPekPSpLxTvw8H9oeTXqdNfKd6Hg/cZ +V+p+dpjifTgOm0qe+9o0QvE+HIfv1oRVNH+jeB8OzpN/vVXLKMX7cBz+lxkz +cOE7xftwsB/sb+5s+0HxPhys75tGu0s/Kd6H4/D5UtVv+BfF+3AwTwrVjlz5 +TfE+HIcfMeeD2v9QvA8Hfcbt8dSOvxTvw3E4NXfLg+v/KN6H4/B+UceOm2I0 +78NxeOf1Hcs6x9C8D8dhaZshua6xNO/DcZirevG8exzN+3DQn6X8GnrG07wP +x2Hzx5Gx9ybQvA8HfVhTwuo/cZr34eDvHU0kH0ykeR8Ozsuq0VcPJWjeh4P1 +bHNsfiygeR8O+lf+vKKnBM37cNBPJ+6L7CNp3oeD/I1psH9B0bwPB+f3l6Va +P03zPhz05XeREq8Zmvfh4N+j5gjfSNK8Dwfz42pS77tJNO/DQV6rr7j0QYrm +fTjI38f3jgxMpnkfDn4v2bf78xSa9+E4vO9P8jahNM37cJAPH49u4mRo3oeD +PiURlzgkS/M+HIfH7OqK+SpH8z4czIue1tUj8jTvw3G4XGgVNTqV5n04Dh+f +57P6xzSa9+E4rNoxMeaXAs37cBzWHnFO+DOd5n046B+js9P/KdK8Dwd5Ll6+ +dcxMmvfhOHzAv2rXOCWa9+HgPJiZHZqgTPM+HIeVe6NbJ6rQvA8HeRplck+g +SvM+HPz/xrsHyFk078NB/00oHc/MpnkfjsMoWFVlkhrN+3BwPzV1sZk8h+Z9 +OMgTQzpUWp3mfTgObykNy5fVoHkfjsPFBd6n5efSvA/HYYngN33T5tG8Dwfr +5zKRUNSkeR8O+tKWa2YztWjeh4P7pLtCtLI2zftw8PvekrWqOjTvw3HYLr38 +8WxdmvfhOHzDt3Wyuh7N+3CQH+dSFs/Vp3kfDu4r3b2FmgY078NBn39+6662 +Ic37cByeqewro2dE8z4ch3c/zPEzMKZ5Hw7u2+auDUYmNO/Dwb/nnfxiYkrz +PhyHW/6esDY3o3kfjsNrnzjvmG9O8z4c9DfPzR8sLWjeh4P76EkfS+v5NO/D +wXxX69plu4DmfTgOfx159nWhJc37cBz+67vVw9GK5n04uG/t6D3jhGjeh+Pw +x2cX5Vytad6Hg3kVtzB9sQ3N+3DQh8pWf3C3pXkfDuZHuu7SZXY078PBvIgp +u+K1kOZ9OMiP+l36PvY078NB31lh3rDCgeZ9OA5fvJs6PcCR5n04WA/bwJ1B +i2jeh+Nw9NCrSSFONO/DcVhFfkJRqDPN+3D/m683JSNcaN6H4/Ccm1o7olxp +3oeDz+s2mLrGjeZ9OMgHu2e1MYtp3ofjsHrULK24JTTvw0H/S5NsXe9O8z4c +9JOLVc5JHjTvw8F8Cu96kbyU5n04yLcL1etTl9G8D8fhlOEp9CZPmvfhOHxu +meahTC+a9+FgPk39uHDzcpr34eA8ZDq8z/WmeR8Onq/LaetWH5r34eD9mnzR +3u5L8z4czL+xlo8KV9C8D8fh6mjtrBI/mvfhOLzxbLtOmT/N+3Acdp7692V5 +AM37cBw2evq8dHcg5O8nuUmUmAjHGIc4VgXRaO6uus/rgW3XFIrVrIQ8ttW5 +8RxY/FJga20wjSjRhf32Y0RYOeLRhvoQyOcq+/QTwNTREdODq2jEOt73kR8r +wouvX/h1OBTyesTfKBM4+4falWNhNLpbOzDpE/CSzeZbT4RDfrslDHqMg+9v +GFl6OoJGp3+K3WwFPlzjrdwUCXl+cHvdrPEi/PREyFBzFI3KlspvKgD+JCt3 +7cJqyHexet9vwA+k43dfjKZR4jEd48AJInxgICn28hrIe+9WqZvASQ9mu7Sv +pZH3BAehrrgIJ8inaVyPoVHnqfs3dwO/+JImuLUOzmdAQP3YiSL8p1598HYs +zAPi06bVwLoxaffuxMF5bU5Y0Qv8oSS15V48zIeQMSYLJES4xlWtvnc9jf5J +FkxuAP74OqXkUQLMizZ5lhGIcEhpSubTRBq9iqi/lQR8pWr2+udJMD9kdA/0 +A1+1SY3s30Cj9iutGYsIEbboSF/5JhnmyVoHv9PAdKiW3/sUGh2Y9p+JAinC +WiF5PgMbYb7cCJiyGbhGrNhnMJVGW+I/sYPAG9Pt/Lg0mDczEzs9KRF+p9iw +8ks6jSK7xjRcApZRaooc2QTzZ0NB5hxahD2vx67/nkEj59lT/YuB/7j3Zf7K +hHl0r970B/CG8SMlf7NopJWuKx3MiLD1zLb6MZthPs1t4zqB377RuzA+B/Lj +kcNtA0kRFivzuj8xF+ZV9n8NVcC2sXOFxBbIE53ArAmTRDj64nGCyYP51ffJ +fy2w64X+uVJbadSbl2j2CPjG4XZX6XyYZ0ZjZZCUCN/p9oqX20ajc68KRIeA +wyIq90zbDvOtcGqX1GR4362F1xULaFRhfuBgCvBpgeGwUiHMuw+62W+Ar5fl +z5pVRKOUHW0BLlNEWKOmdPmcYph/Vo7mTcCmq10K5pbQyG/wP5kZ0iIcaHG+ +Q6sU5mFF4FAusILHQzG9HTSyXPi5iwP+NdRgaVgG83Eo8ZC3jAjX+s/dZLKT +RkrVYzdfAcaPgq6al8O8dCoMnCsrwvJ1ThKWu2g0dnSqxQ7grLEfl1hXwPys +OyD7G/itqVmV3W7It8V6X1bJibDxVstPDpUwT3+3dXcDZxt/N3feA3l3yPGw +sbwIv88OK3Srgvnq2bu5Brjg7Na37ntpdHhMUJDEVBFuJoMXeFbDvG38bBEL +3NTFVXjX0Gibb5LcU+BP5gajK/bB/BUf99Vmmgjf3q3pHVhLozVnCu8cBX6u +2dcavB/mceC0I9IKItxiYqMSVkejxVRDThqw4G/Atsh6mM/n9Va+B85v1x+N +PkAjvdCL8xdPF2GJrouh6xpgXkstkj8PHLpC7FH8QRpNudT7VUlRhEubfjol +HYL5HRXUs/V/POP4lZTDNBqRHTzyBTjtqZxF+hGY5+1JuStmiHCKlkVz5lHo +jzHjgq8BX1shZ5xzDOb79KIFWjNFuOHwsea845C/N6dNLQfetOKXxfZGmPcJ +DcN/ga80jWsvOgF5rKx/N1xJhIW/O1x2nIT5f+fi0bvAuxNsnpSfolF6yqIt +ZsoivGZVckTlaegDag+C9wOrMqt/7j1Do6D/gixJFRHOaZYrqj0L/WDT4NT1 +wOsPbFI70EQjG80NI33AL6fXXT50DvrC43H3FqrC+gZn+R9rptGsnKJjjcAu +bYp/TpyH/qCnkCc3S4S3r02oOdNCI/EXDSEZwB2Xtto1X4A+ka9vNQBs+8V3 +8EIrjT4aX5rmMVuEI9wGyi+1Qb94s+jbBeAD8kZ2Vy9CnhU9uKeqBs9fbjnc +cQnybP7K49uBi2jxg7cw5NnHwbyR//17+za/7suQZzs3rAqYI8Lk3x7pe1dg +PliPRzeAJ4z7r6f3KvQRtkhBVx3Op/zOgsftNIqtVBitAH6WLevWdw36if3B ++2M0YH9tWy7V30GjpV/1G6OAvyd4P3pzHfpKzaWt/wHfy5u678MNGhm5OIXO +nwv7n9iz+vNN6C/fH6ADwAHWz824WzSSO7ByOjNPhLvi+4mvndBn3IWjicAO +H+tffLtNox9/Nvz3Etj33dymn13Qb46MP+GoKcIHT8UV/u2m0TOv4vxTwC93 +pEaN7YG+M2562DQtWP/7jovE79Lo4omD1tnANk2P5hL3oP/4GSgOAutnaUgy +92lUI4G/L9MW4coMy29S/0GeNTn1XgQuHJXtl+mFPFv58ISajggbap/pmvoA +8owO3lYEfH31lDbFhzRadUEY9h24eNSkUfkR9KWwZJuVuiI8LK9cN/sxjeyn +TJjRCbxKrqdS4wn0J1z8Q19PhCPNbXZqPaWRevT0B3uA995KKdV7Bn1K/tDJ +8foi3Egllxr10YjoMNi+BjjAecFOs+fQr2Jx+EPgrO4blQte0GhQ0dnWykCE +xz+Tr7N+CX2r8+GMQ8AvW3UbF/bT6E5i8M9JhiI867xk26JX0L9U2QfJwKNy +57pcX9PoZE/yqdfAxaqz+t3fQB9LnVDgbCTCZqq+3zzf0qhUvSTiLLBHoLek +7zvoZw+m2ykai7Cb0sx5Ae9ptD7z0Mxc4DONjYuCP0CeaRn+YoEVl05cHfYR +8uwpfrjcBD7PS6MoagDyLNf59GXgTjGZc2s/0cjM4FGBhqkIH9rT+TLuM/S5 +l8GRpcCtMU5U0iCNFLazdr+Ax10vs9gohH5nmqK0ygzy48PhNZtYGv15O+F3 +F3ChYv7+bA76XknJIyNzeF+njJ5uEdHo5QLFM9XAdz8fk94+BP3v06HCiRYw +b2RF7sVfaHSl3DBqHXDxxn8lZV+hD9peXvgE+FzIs96KYRrVcc7KNvOhj8hv +nrZ3BPph1aPfR4BPvP0RXPuNRjmOIY+nLBDhv5MWNB4Yhb44zJ5JBa7/4Pr7 +8HcahdemFL0DLj2t6dr4A/qjq/hqN0sR7ml9su/0Txot+lli3wwc7+o5eu4X +5FmDooqSFXz+qZolrb8hz5Ye/pMH3KHfcgz/gTz7Z/hkCJhR2E9e+wt5duzy +WV8kwiNnfdfc/Ad909uluB34j9Obe11iDBKNf7xa01qEL8yZb3ZvDINWngpx +2An8aGdo3YOxDLrvz6n8BZbpD5z0dByDbImNf8NsRNjefV7Gi/EMOntO/GkP +8DLDm19eT2DQ7JDSJlNbEZ7faxzxQZxB5ZIzSmqBpVKT+j9PZNDEtsPRhB3M +y8T8FSIJBm2IMHKMBzaetO7JsIBBA9JXVPuAp+xQ9/1BMMj3iss/u4Ui/MPp +7PM/JINur3n89Djwquwpq8bSDJo/bdU5WXsRfrPFXijOMOj4da5kE/CMetdk +UpJBM+I3rvkI3K2qITFpEoOKZk5c5O4A/crjyW5pKQaJdZXOugD8OjVAe+pk +BsVumCGm6ijCc9jzHYpTGPR61pFn24Brv3wMVJFm0LJ7Rs3DwAkP2d9qMgzq +SLtS6r8I5uO7zqp5sgwynuu69jrw3DVpVrpyDDr48PEiHScRvnxe/J2hPIPk +s1fNrgCeJhNeYDaVQVt1RGJjnEX41p1qU8tpDPr5bGNfJHCsfuN7GwUGrc6b +eP4+cM/2nbscpjOoz3DHDgsXOE9zPZ1dFBnk+mpGTD3wOB/hGPcZDLpUcMSJ +dhXhtlUBrZ4zGaRjbqyWCMxVHkryVWLQvvdXxrwE7nDsMg5UZpDUDtfnDm4w +T1tvfQ9RYVCW1ZPzJ4FXotqLEaoM+vp5VdnUxbC+s5bmrJnFoFUVopgs4Ixz +LxfHzWbQA7tU58/At20cFJPUGGQ/NHHOsiXw++XyhRvnMKh5746xF4F1sw5d +zlBnkIbTzBez3UW4/+7+8hwNBlV+O9JSCLzWPTkmfy6DyDrjnaPAfe6azkXz +GJS6+Oq6IA+Yd0ptGmWaDBL+cnW5BfwfoUHu1mJQwKEnc/SXwvkNjOf2ajOo +Z1nouD3Au9bsebhfh0FozNCLcctEuCKr9vJBXQadOp56IRrYT5hz/Jgeg1R8 +JcofAGf+dtx7Sp9BO8TLYi09Yf3+fio6Z8Cg8Wdmuh4EfmQTndNqyKCEwKPq +k7zg/Mt1p102YtB70mR8MvDiLjq5w5hBy89fffkK+PQJ7aROEwbdXOXW6rRc +hMdK6W7oMWWQmdTT8jPAaVaTU3vNGHTkYmjcdG/YT/kPsp6YM0ghasg1B9jd +OmX7CwsGbZdN02CBd5wR2/1mPoP+XpWYsNwH5qdu5KGPCxgUE1PWj4GbJc5e +EFoyqF9BqU3dV4SJba96vlgxyP3m0V0lwAcmfvkwihh0db1J/E/g5Dvvxv6x +ZpCBcrtbyAroAzoXlcbaMqi+221uF/DEjRusJ9oxSCbl6QQjPxGWGyMfSi1k +UK5a2Ku9wPTkqm1S9gwavT/UJu4PfeDv+CZZBwZFbEqriAFeJrv0lYIjg57M +E6x/DHz2SO4k5UUMcnpcttg6APovtc9GzYlBrZuV5h0Btt5WkzTPmUGaesfE +pwTC9yfnnNB1YdDe5yavNwKvUPP4ZOTKICa//eJbYNu3E9Qt3Bi0yXjxbtcg +mGfjaiPQYsiz10/XnwMOeah6bOESyLOisCUzV4rwzOOFX5zcGfSfxZd5ecCJ +T15ZLPFgkN3HtIlDwO+PKeZ5LmVQU5ngjU+wCI9Jtnnku4xBatY7L10FTiha +ohHkyaBdQqXKeSEwT20c00O9GCRReSyhDDjvtcbDqOUMSrY3df8D/O3qiO46 +bwZ9+tKuGbZKhJcbHStM8GHQiprFEj3A3dtcuRRfBnU5P3tjEgr5L//UI2MF +gxZ8D8P7gH9oebTk+DGosf5LpSBMhFfPOKeyzZ9BM93TE+OAo+aLFxUHMKj4 +j8DjGXDxU5u/OwMZNObITi27cJh3ztHr9gQxKM5LWXAcWPt11rt9Kxn0Zuzx +tzIRIrx0KNe/IRjy7ITp5XTg0dsbHh8NgTxbcW3PB+B5Hd5ep1ZBnkksSVoS +CXmlO+fRuVAGHTr7zKMFeGLQW9+2MAZNXRmurRIF8/5Q8asr4QzKp78KtgGv +9NNcfSOCQb9a0t99Be7qaR7timRQdBhxxW81zF8vgy33oxj0fHJ5VQewp23N +1MerGeSGlTdoR0Pf/Pyr8Xk0g/Dq40t3AevVLnJ4s4ZBuvJmOmJr4H235L3+ +uJZBtdeuEZHAOltbMtgYBk2OXfL+HrBPSJ/y8DoGZSv2XTFfK8JHq4Y6fsQy +aPhW+N464OKtP6L/xTEoNPHrBioG7ivpw7IT1jPoocqmZQnABndftxMJDHLo +IXRfAFv+dy1+UiKDzm8sJx3Wwfnpq1STTYI8U1f5cAL4JgrtU9gAedZ7/Kp8 +LOS/56ydysmQZ5lm1ZnA59Y+XjInBfJMqyP5E3D160xJrY0MYp8s8VwaB38v +rnxPP5VBgbl9um3AF7XP7TRNY9Bd/QhqdrwI956y9rdMZ5D1y68fCoDHvWuf +Y7eJQae3bWr/BvxDccHIogwGqZqSNYHrRTj99PGOxZkMKntbnnITOP67zG7P +LAZNKFHx0kuA/FyYGLMim0GJCxr1KoFPie44rtzMoA8DZvS4RJjfi5Vmh+cw +yLu84+Nq4AvNUePX5DLolo37tV7g7RmN7+O2MMic66tZkCTCmmKDtzfkMejo +noiNDcA6Bapn07cyaLrjsJfkBjjf6Z41m/MZVDC8SX8D8Ny5WQX52yDP9pHM +K+CuD4fTi7dDnrnuGliULMLKTHdceQHk2Q+VjtPAlsOfI6sKIc8aGvcppEDf +HBJftb+IQe0e5qmbgRv8Zqw8VMwgw38dy4XAewv0VzaWMOjAUXcDr42Qx89s +V50tZZCs93MGA6dXe0Rd2MGgLeMjP81JFeGTWoHxl8sY9P3kcEcxsMyryE3X +dzIo0j+j9gdw6aT4wq5yBj0VUGnBaSKsJr1x3/1dDHI+t8v7NvBn26ymxxUM +agtWNTRMh///e173i90M0pI8IbkXeDiv6OPbSgZVt5p/nrBJhIfcysU/72GQ +ZMT162uBC/fvnTNUxaAMaY/9j4BX9x1wHt3LoKHLz9NQhghbeZ6I+1PNoOA1 +kT6HgV+GXqgatw/ybOqI4eRMETYNv3FLUAt5dj1j0kbgpacf/pDcD3kWRw2+ ++R/v+6gpWwd5NrPihksW5F/+n+Dp9QyquK1a1wQs2ylTpXKAQYINJ9JnZMP9 +6bzeY/UGBqXMsvDdAtx8wl1O5yCDPt+9biQCHpJI9DE6xCC/NA8pn82Q5zNq +qi0OM6hb48XgFWB6Ufd76yMMsnwYeXNuDrzfN2L6jkcZdCJrpG4HcKTj/7Vo +33E5vX8cx20y7jNI9gxFEpGsOqTMr8xsyighStmzUDIzQiQrM5KVzE/ZQmTP +ZCQjdM5d9vi9f4/r8+frEdV9xue6Lp5tF/Q6YNLqNAud/xttlTkzs/9Bk7b6 +afmhY8Pzqd4jajA00aQVW7LRKQNtnCg/1+eQSQtuaa22jsD6dt37oV+SSXuT +fejTVrTFxDMtJx02aQNWtLtWZkk+7SusHR1yxKRdbnMlPghN55d9n3XUpLV+ +23fBE3QH+yIjQ49hnq3JGuoWifm4du7ViOOYZ67jWx9An+lZotXKZMyzj4Wq +5dJ8sk1eF7/uBObZhtDPc9EPGjez2pxi0gI6V0h/i3YpdW/59pMmLSt/4y7P +ZZinOxeW3HvKpHlusQ5NQR8f6RKaeNqkpXZLGlZ3OfbP0SWKHD9j0pp/bee8 +FL1+24PQ02dN2o4dVyqa0QnPj5U6f86kVfTs92XoCtyPvVtXXiWTtuhXVvpF +dPrwDVVvpZq0wj3jdzddmU+TB8fuuZ9m0nz7fw1djy7/PdH52XmT9rBI2PB/ +6Fbrb914dcGkdT1Yoc24VfkUE/V39PuLJu3k4JhKmeiSndv//XLJpDUu1SC/ +TVQ+eVeI3Pz1sknbfCTp+g50Urecdn+umLTyI9vvKbcaz4tXnxfFr5m0ueWu +hoWgM1bdXFw2HfPsRL8Rz9Et+w9pplzHPBvzoo3Hmnw6V+T7U6sbmGfyBMtD +6H/G7mW1bpq0Tme/5lutxfluiZ9LgwyTdtQ/7MYCdHjttgVNbpk068qmve/R +YZa1D7a4bdKiz8cs7Lsun3ZcrezfJtOklZrcYORpdLkNdW20OyZtevXDba2j +8+l3lusHj7sm7d2V9pVXoO/+DTr03z2TNjjkql6IthiQMr3/fZOWXqf/zRHr +8f5oFd2GPjBp7W6+2HsFXbH2QmXUQ5N2YOaERQ4b8D72LvN63COTVrPht5Ex +6OaOO09MfmzSVt4Ja1dsYz4FNuy/atoTk/ZvnslqArpPZPXxc5+atMAmm4y7 +6Jqnf3RZ9MykvXzYIKN9DM471b/YLHtu0vouOrxvF7qOxe/ya7Iwzxw6LDZt +wn78TZ2CjS8wz55f9Z6OLlphRNbWbMyzyP7ts9GrXh29vvulSbNyyrbqtjmf +alyqd+bgK5O25NUE82F0aqWEQ8dem7QfK79lVIvNp4PN/9t9+o1JG99u4f6F +aKc5FtvO55i0p7mm8Dz0tf7ZsdfemrSe6zb5DNiC/Uv1O7G3c03aWa1hh3No +3xYvtj58Z9LsPx2u0igun958L707671J2xrToWAVetDtnodyPpg02ePare/o +y1UTT+d9NGmhRv8En63YDzrZXTfnmTQjLjs8He0WceX5z08mbXSPiaMct2E+ +BiwwF/1i0u59+9YhFp3TY2B5i3yT5h6/sGrJ7Xh/wnrayLpJS+4tFQaga4V4 +d7EyTFqjP5tuP0D/Cl3rX8uMebav4QHXHXi/TG9XNijAPPM6ErEXXWn2oBN2 +hZhnxVxGKzvzKbbxx1eOXzHPEq+5zEK3nB+ntPtm0oYPHVDtNfq/p1PcOn03 +aRmlXxb2iM+nOdvHzej2w6S5HpuYeQwd1HJBUu+fJi3J+/uBmrvwvH09+XHg +L5NWt8KiJeHo8j0rNx7526StOSmN+YJOWh89wfePSSvuu9l10G78Pm2dkwL+ +mrQQtVH1NHR4zN9vIf9MWs65I19t9+B5qvK+05wikuY1weXOGvTwat+jFhaV +tCtW6Qd/ob8Xs3u1tJikOV8cEDlmL+ah02KnNcUlbV/gyzE30XOsSqyMKSFp +1WoGaE77sL8qt+/dtpKStuza9+pb0TQ1xGNvKUn7PXXRt9L786nIad89h0pL +WkA9+W4gep7rgnInykhaVsbmxMfo835pU85ZSJrn7EZLOyXg829s/PxSWUlL +bXR0bAK6r9OZ7jfLSVqLey4dKx3Ip+67p52+V17Sdi5IrzEX7f3fkGbPKkha +paZe33PQKVF+u1+bJG3x45d3ex3Mp0334+p8lCTt6+KAQyfQE0KLxBmypPm1 ++LG0TiL2Jz+W1/qpSNqjrEW+keiK8Z13FK0oad2WyZ0MtNfbRrYWlSTtVOvY +mkMPYf/ZsPVR2VLSmrxp9OMCun36FK1KZUmLjTp6zy4pn0zOT2/XtpK0Ch1c +k6LRtR4EjmlURdLmvU9f9hf9oGzLX/ZVJe1LtJef32HMr/YNop2qSZp3p1ed +bqPn3+ncwqW6pGV+DqjV5gjehxZr77jXkLROm3/82I5+fFGe9l9NSTvaZfH9 +skfzye/L2RoDakmadYF8OBh9turmy8NqS1r0ttjlz9A2CXuDx9SRtNL/2Yxz +P4b5Wi63/sS6kjbjx1G3xP/3dq+HwfUk7f0u19pWx/H9731bMbu+pA3pe/3n +fHRz0/UuC60l7fpfrwfv0Mrx2yWWNZC09gmvDvdJzqcVzS0urWkoaQcHTlpx +Cv34Y8iSTY0krVaJn+Pqn8Dz3rOS5w4bSVuVtLjzcrTP6dwq+20lrchwpU4h ++tD2LzmHG0takMWWX8NTsB772SWfbCJpr47bPLyMLjU9LjLNTtL6jTp2pNnJ +fPrQ2sP7WlNJwxKzciP6XEXrtpn2ktbq9HX/oqfy6WKws9XjZpK222+g+3i0 +d9rCb9kOkmZV6XWdu2gr31JP3zWXtCWpk363O439+vXUtPwWkvZj4s+H8ej1 +Q48mfHeUtPFVw49WOIP3aUHWxiKtJO3ZJWXVtDP///+VTpFlnCTtvylbxr9A +Z9pnz5FbS9q5WrYeXc9iP7fiRHAVZ0lrdv1Y3cPoW7bXAuq0kbRt07U/Vc9h +Hk+ynGDTVtIU6xuPwtDtL8VOcGgnaWG3Bx77iC6yaeRk5/aSZp7zelV/wvM6 +cMQ0rYOkjbGdPOEsOnZczIKuLpJ2//5Pj4ap+fTIWVnZ21XSPMLC661CL3a8 +HDdIk7QT9urfb2i7iylHvDtKms3TLY+90/Jphtf7a+M6SVpMhO3xa2n///fR +QW8C3SStbMvjUS3O4/40K1VsZmdJm52tTdyMLt7LXC/UXdLylt/oUuIC5nGt +Ol0iPSRteJtB9QPQp8uvmLS6i6Rl5Lz+ex+9f3HbmJiukua6ZvITl4v5dKXQ +5sr2bpJ22OXX8T3oh9cGfd/XXdLqfQxfLV/Kp7RJ6XZHekja2g1qwEz0mj7z +xpzqKWklOsd1fYWe8SJk6/n/JG1qvq11j8v4fosTn6f3krS3scf/HUUXHG5S ++66npA3s1vFpjSv5tDkzb/TT3pJ2tfBG8mL0zL5Gwus+ktZmx6A1n9GBO9p/ ++9hX0vb3ehMw8CrmY7Mb7gX9JK36r8ndUtFv/LZv+N1f0pbv+WVtew3X9+SZ +vBJekvanX0SRNei7a2u5VxgoaZOKVHz2Ez1xzJVtloMk7cWBuBOj0zFPN534 +V3OwpPUe3HjtDfTi+C8+DYdIWlrJ5EmtrmP90SdfsR+KeXakY/c49G7d2aH1 +MMyzETcblL6RT2rFHrGuwzHPyg0uGojefGl3ua4jJC38xJtnj9D3Z/Sc13uk +pH0bHZjS8SY+X2z7gkHekjZO/r12P9p32/QAHx9Je3wmYnLFjHzaWvDnvf8o +SevuX7HHHHQPi9v+U0ZL2mnLrQ1z0Cm9PufNGiNpducbF+t16///njA4eOFY +SdsyKfl5MpqaKn+W+UqaqXqnk7Vv59OBvZWXrvOTtPlXbq5bgi5iNb7alnGS +lh88OFBHp74vl7jLX9J86uT0GJKJ8/2a350Tx0vanRuBjS6gh4e2f5E8QdLc +Zv4uZncHf9/2xhyaKGnHGizJWofe/jOh5tUASWtwp+KpP+h/fs/Sbk+StPXz +tkb73s2nEq+H+z+ejHnWpEnQLfSZ5w6VXgVinj1M7ul8D+fz9AHnPwRhni3s +ZLP93v/3QzeDzVMkbahDRvGy9/PpdqcNNr+DJe3Gs8EvpqBvHD2WXWKqpHWI +zDn1FF2Y3SC2wjRJS2wVtL7zA7yfHT4OqTxd0mq/+h10EH2yXcmatWdIWtTK +Jf9Vfoj9pWfIq0YzJa1ou0q289EBec4JDrMkbUru1hLv0GsW95veZrakvV7b +JLv3I+yHVl706DRH0vprJ06fRL+bvbxqj7mSdimv04Z6j/PpU2rCl37zJM0p +JmPKMvTvzHrXhs2XtD3uQ3oVoH3qG7vGLpC0KkaO7fAnON/ZVgufFCppkXFB +JS+jqw/e7D89TNJ+dv+Tbf8UP6/htN4LFkrahG9LzmxAzyud0DZyEebZzkob +izzLp26BbW3WLMY8670t2B894oF11c3hmGe/m3jeQVc7NL5CfATm2b4Tjds9 +z6digeVLHlwiadsHuJWKR9PKskWSIyVNLXbrZfmsfLIOHfv33FJJW5g45OxU +tN3ZmkWuLpO0giFvN2ahv6c4lsxcLmljS08J6fIin+582FPhyQpJe3D0j2cS +uvG1WVVfr5S0Lt6RTapm4/lPP2CTt0rSUspblg5Dvx7r0q4wStJsT2579QGd +pzj0+bta0jaNtTvX72U+XXUPG196raSVU1NizqATZ7SKkNdJ2pxzblMbvMLz +Vq/7nqrRkvZp/K3eK9EeJ1PT662XtBFWQ+2+oVsmx+hNNkjarQtvS3u/xnkg +6n71VhslTQuc8voqek1qSDeXGMyzGn/PNX+D9fHc9FldNmGeXYvctAl9p+iL +xN6bMc+mWk4rnpNP+cUT3g6OxTyrt73PRLRDh2d1R2+RtGkZdk3vo0c1DPae +GCdpubNSyri8zafZzoE7pm6VtEGNOr/Zjd6Udzd33jZJu3b3Fkm52I+diXNY +sl3S2i4YunkGul2dzDmrd0hagl3utJfoa2sm3Ni0U9JqPJ7St/s7zM8Vk2rH +x0vaisV/mx5FOy19MvXgLkn723ypRY33uL/Fk24l75a0yVmWOYvQY6d8sUvd +I2nZS7enfkKH9o1ZcW2vpPVp3TTW6wPOC7US9Tv7JO3865TphI73tB/8bL+k +OUZ17mfzMZ96j6p2MSdB0uLb37Zf/fH/5+8pLb4ckDTL90PL/kS3tHKO/34Q +8yw6N2dUHs7zSeOrFjuEedYxOO06urlVuTXlkjDPPv+NbfkJ7/PVqibLw5hn +m5bO2IIe77phRa0jktajS+X+pT5jnn9fKNsclbQz5u3NJqN3T85e3/yYpDXd +1rTcI/SkugfrtDsuaXE9T77VvmC/uvTDwc7Jkib96Hx+H9qyUYxLrxOStmDX +7S1qPs4/ISfvDEyRNL3PsJmz0Xe+9Bjvc1LSRv3N7f8GbVW5T8kJpyTt7v5g +h/90rF9jru0MOS1pnQf+K5eMHjn4uPu8M5J2vPiy3FoGzttBlh8jzkpaw6TK +FyLQkU0+rF19TtI2DNsRl48uV7KltpkkrYyF/azBZqwnc8xf4lMlbebxkwPO +o1XZdmdimqR98HFv3qQgn1a73B+cch7zzJRZfh16T/j3iucvYJ6dGvbuN9rF +b23m9YuYZ37vLowtxP5h5O419y9hnlUM2ZqBDvzcfOCLy5JWJ/XfrNZf8+nU +Wqfa769I2uqJy7y2oV9nHPtgXJW0YlWtWlh8w/649sGTv69JWvClHRWmoCuV +qrO81HVJexNk//4J2q6YaZR8Q9IG1Dp10e17PnVcN71dtZuSdjndfdsB9I32 +w6tYZ0ha6+mZsy1/4H7Moe9Nb0na3vrDB85Df78b96z1bUmrevtdi1y038Vf +FzpmStrSOSGm3j/z6VXGg8QedyTtl02RDynotBCHLQPuStrE+8su1f2F9bu1 +xaqR9yTteajV9qXo7I0+i/zvS1ov+51zzOjEam3nBj+QNHpiP2jYb/w8l7Uz +5z6UNIeIU46X0F8jAmZGPMI8c/SQ7P/geZxxbc7qx5hn2Zkf1qMzVu9ZuPkJ +5tny4Zf/oX8OLLNy11NJK3R+v33c33ya+1/e5kPPJM03J2RuJnpKYb+DJ59L +2sPVRQa3/ZdPde+6nr+QJWldXZa33IkuNj7pyc0XEns4nU502P31YbbEHk6n +infqVn71UmIPp5NVQv02ea8k9nA66T0PjPz6WmIPp9Pfdmcii+RI7OF0uvq7 +34mybyX2cDqVrRTyrlKuxB5Op4RSNWvWfiexh9Pp/GDPAbbvJfZwOq1bU261 +4weJPZxO0U59b3f4KLGH02nc9foVu+ZJ7OF0yn6wYHDfTxJ7OJ1+Z/nvHPZZ +Yg+n02f/F/m+XyT2cDpV+vuyY1C+xB5Opz91p6yfrUvs4XTyHRb1ebEhsYfT +yaVlh+5RZok9nE47HGbu21QgsYfT6fmjzuV3FUrs4XQKOLZtyqGvEns4XJ/u +K56d/Caxh9NpgnW57he/S+zhdEq7Wu10xg+JPZxOHVLPNHv8U2IPp1OPtfqe +178k9nA6LUk/Wf/zb4k9nE6pny13fv8jsYfTyVhSqmHxfxJ7OJ0aKh4PShWR +2cPpVHlHv+rL0cLD6aS0txulFJXZw+n0vf7t/evRwsPh/sS5FlYvJrOH0ylM +n9VxO1p4OJ18fMJWNSwus4fTabuj14sEtPBwOsUd/OrQvITMHk6n9EpjFiWj +hYfT6Whi3ON2JWX2cLheqbsd0tDCw+nkv3pOpEcpmT0c/v7YOm+uo4WH00ld +tEbrU1pmD6dT9xZ34x6ghYfTaW3yq79Dy8js4XSyHZjm/RItPJxOI4dMvuhr +IbOHw/0t9ck2Dy08nE6zdrRdHVRWZg+nU3jIoJ9f0cLD6TT/gsfYOeVk9nA6 +OT8oeadoeZk9nE6x5mgtAi08nE5Jo74lla8gs4fD7ze+cf01aOHh8P36Nttg +ZZLZw+l0wadUhS1o4eF0ynhxaFE9SWYPp1O9SnZ/96CFh9PJ22P2zKayzB5O +J+3clq9H0MLD6bT+wtqpzorMHk6n/+KGfz2LFh5Op4nrf81wU2X2cHhfCgL+ +XEULD6fTgn/HFvaqKLOH06l40cxy99DCw+lUoeP56MGVZPZwOjUqE1n3BVp4 +OJ0K4hocGmMps4fTqXmvjS4f0MLDYR6NeHlrcmWZPZxOM6oWHV2IFh5Op9Ar +377NspLZw+F5PXxpZZEqMns4nerUm9AoHC08nE4DfN6llasqs4fTyXSyw4jV +aOHh8P5OmfC7cjWZPZxOkx4FxcaihYfTKdKxj0u96jJ7OJ3kDItXe9DCw+lU +xNgc0bSGzB5Op6D3pZsdRQsPh+v9979HzjVl9nA6nZs9aeE5tPBwOvVJ8Hfo +XEtmD6fTvYeuL66hhYfT6W0PfZVnbZk9nE5ufed1uo8WHg7zo1Xu1yF1ZPZw ++H4d7Q5mo4WHw++X+t9Y37oyezhcr989auehhYfTaY5bo6dB9WT2cDp9zHqx +8RtaeDi8/9WmDZpbX2YPp9OGTh+qFreW2cPpVHNH++dL0MLD6VR/ccAOUwOZ +PRye79Zz/dehhYfTKaTkxBbVGsrs4XTKbdv2z1a08HA62Vm/u9agkcweDu9P +hWkbE9DCw+l0yO3NuOY2Mns4nb6pju1OoIWH08n66iipg63MHg6fPyk45zxa +eDj8fCvfs10by+zhdFrYo+2GDLTwcDr1ismf0r+JzB4O86VXZO8naOHhMD9O +lHDwtpPZw+lkUW+08hYtPJxOHs/iCyY0ldnD6bTSMf2xjhYeDu//1Lup0+1l +9nC4Pvmp+/6ghYfDemOsWbewmcweTqcHV7uFWjjI7OF0ijmfM3kVWng4PB9N +/bwtm8vs4XRyGpzRdzNaeDjcj7XVu9RtIbOHw3xo7NlhD1p4ODw/Af6tmjrK +7OF0anVgfLOjaOHhdLrWsl+TNi1l9nA67fWqb0to4eF0qubz1Ma9lcweTqdF +O2c3vo4WHg7rXUAJ+z5OMns4nQJN0xwfooWHw/P64Hbb4a1l9nB4/ipZdn6N +Fh5OpzbV3Dz9nWX2cHifnYYO+4IWHk6n4JPDJ0xtI7OH0+mDufucX2jh4fA8 +OdVfFdpWZg+H9eP2252l28ns4XSaWmn9qRVo4eHwfvRpfrdie5k9nE4dn6R8 +ikELD6fTkL92Zet0kNnD4fNJK212o4WHw/s94llXOxeZPdz/91dVJhxBCw+n +09M/HVc5u8rs4XS6lT/4+Dm08HA69R/n/byzJrOHw3xOHlD6Olp4OJ1S7No6 +9ukos4fTKbmmyechWng4XP+nmVHDO8ns4TCvUxaff40WHg77gdKNv/q7yezh +sN+rRk3y0cLD6VS0s/voaZ1l9nC4Xg/PxP5GCw+H9dim4aMwd5k9HN6/JaGW +Fh4yezidDva81X8VWng4nV5fltZbdpHZw2G/0cPt8Wa08HD4/Hbja9XrKrOH +w/NOi8buRQsPp9OvgWsT7bvJ7OHwPHRb/+MYWng4neJzV3i06y6zh9NpWsSs +6DS08HA6lZo77G2XHjJ7OJ2u12jZJgMtPBzW/9NFV/bvKbOHw3pz8NKbJ2jh +4bDf7bKgg89/Mns4zMMXzTfmooWHw/dPf1IQ0EtmD6fT16Gz+xWghYfD/vND +xWOzPGX2cLh+N+IrF+0ts4fTqYSX/ewItPBwOs19nvSyQh+ZPZxOh1Psuq9D +Cw+n07YOO45V6yuzh9Np/Hml7na08HA69d4ye1WjfjJ7ODwf1tn/DqKFh9Op +1iHXKS37y+zhdGq2dtPbU2jh4XRKbKQP6zhAZg+H5+mC2/0raOHhdDp1do1n +Ly+ZPZxOswOyrt9DCw+H/ULjRt2HDpTZw+lk7h6Q/hItPJxO+xod7jlukMwe +Tqd3Vubbn9HCw+HnBTsOnDpYZg+n05Q1U178QgsPh/t3I8k/bIjMHg7zadbn +wjJDZfZw6IImi1ahhYfTqdta/0qVh8ns4TAfEvfsjkULD4d5tO1t2/rDZfZw +mIepDTP3oYWH0yln3Dh/hxEyezidyhgJxU+ghYfTafpxfWuHkTJ7OJ12lm/r +chEtPBz2I30WZ3X3ltnD4evP7i7IRAsPh+fHomGDQT4yezisHw5zrmehhYfD +fvvAg+Cxo2T2cDivPGxVKw8tPBzW3wqb0qeMltnDYR7tLj7zB1p4OJyn/k6x +XTBGZg+H5y/w3dNSY2X2cNj/eI2JWoEWHg6fr0KuRyVfmT0c1tPPgf82oYWH +02nNgGKn6vrJ7OF0uhu3edpetPBwOq1q0KFVs3EyezidVrvnFh5HCw+n05Uh +m1La+8vs4XTqnOY19wJaeDidXLGudB8vs4fTydKcVz4TLTycTu7TrzwcOEFm +D4f5f+5AfBZaeDi8Dw22BI+dKLOHw9+3iOmchxYeTqeIy9usggNk9nCY96eO +5f1ACw+n00W3+xcWTJLZw+H7nSu2pfRkmT0cPs+SDjNWooWHw/tbJHyAZaDM +Hg7nmbBnLWPRwsPpdGRSx8r1g2T2cDjf1Tv+Yx9aeDj8+V+tXzhMkdnD6bRp +cPrlE2jh4XTasmlikkuwzB5Op+U1a8deQgsPp9Pwjq8ie4bI7OGw3o1NnnkX +LTycTu3fbZ4wZKrMHg7vo+3akS/RwsPp9DIydsC4aTJ7OKwvfin/fUELD6dT +3/q5XaZNl9nDYR7VsO38By08nE4/j87rtGiGzB4O86Dbu07lZsrs4XTa08HX +fQ1aeDidqujfu1WdJbOHw/NycVvvbWjh4XA+qDJicKPZMns4rPeezcckooWH +w37xarWgVnNk9nA6lc6ttuAMWng4nVqXa7Haba7MHg7now3e8elo4eFwffN2 +n+wzT2YPh/1sQMnMR2jh4XRqN2fOh5HzZfZwOt2YVb5ULlp4OJ1OXz9ef9IC +mT0czreZM9wK0cLDYf/0ZcDYOaEyezidxkb8F1k8TGYPh/v/Z+ShpWjh4XQq +PLriobJQZg+H+WR6WDQGLTwczqNB7e3rLJLZw+H853pu2B608HB4f54PW2G/ +WGYPp1PJxCqpx9HCw+F6VDYK2ofL7OF0ajrrfZOLaOHhsP65/BvTI0JmD4d5 +eMVx2x208HA6NZ4V8XzwEpk9HPbLp3/WeIkWHg7nm/wVI8ZFyuzhdNo/r+OO +L2jh4bC+XLd8N22pzB5OpzPtyjn8RQsPh/fTqd6sxctk9nDYr9YZern8cpk9 +HObdyORK69DCw+F5HdpybPUVMns4fL5Z90/sQAsPh/lUd1P5xitl9nDYj6SE +jT6MFh4On2/3qjPOq2T2cFh/+qRZpaKFh9OpX70qU7tEyezhsD+av+5eBlp4 +OLwft5ycvFbL7OGwP5n9L+Y5Wng4rB8fP/8bs0ZmD6eTVUTJcXlo4eF0ck52 +uxO8VmYPp9OSl/tcfqGFh9MpOsj5YNg6mT0c9te3vtQsGy2zh8PPG3U7ajVa +eDideqx/UrLqepk9HM6Hd0xzt6GFh8PXFwR8bbRBZg+H/YnFt6BDaOHhdCr2 +OOGL00aZPZxOgwYun3wOLTwczstfNuruMTJ7OJ18y96ZehMtPBzej4rOv/tv +ktnDYX879fqiZ2jh4XQauHm5NGazzB5OJ8cvs2M/ooWH02nFs5gmwbEyezic +7zLenvmJFh4O5+3mo3uHbZHZw2F/PFl6axEns4fD5yv8OHc1Wng4nQz7H1ZV +t8rs4bC/X9L62Da08HA4v03a09dmm8weDuevnt3Mh9DCw+F9jaq5vvV2mT2c +TvN2W7cjtPBwOr3/NeKVxw6ZPRyexz83lmWghYfD9ag6ubXXTpk9nE7aWfec +52jh4XAe9eoTPTZeZg+H57vPmi6f0MLD4fPVtvgdsktmD4e2Sz7yGy08HPan +uTETFu2W2cPpNI6ONSy/R2YPh+9Xr/SbtWjh4XCeDF29s/pemT2cTiO69R+7 +Ey08nE4XPnjaNtkns4fT6e+9RV+OoIWH0ylzuvlE2/0yezjMD7fYsPNo4eF0 +arFnvmf3BJk9nE72leJq3UELD4f96s9vXwYfkNnDYT5eX3HhJVp4OFyvryNj +/A/K7OF0Cvo8KUhHCw+nk0/90z1mJsrs4bA/Ke1uU/SQzB4O62+5CqUj0cLD +6VR3e8V3cpLMHk6nYe0GX9+IFh5Op1c9spLqHJbZw+F8775z41608HA6zYzZ +G+ZwRGYPp9PD058npaCFh8P7WHvacO2ozB4Oz4uTa6+raOHhsB5N7d6x9zGZ +PRz2s702Oj1CCw+H9c7J1t77uMweDu/X9j+N3qGFh9OpcrHK1oHJMns4nfJv +Tqn3HS08HL4+s1L9BSdk9nC4/jN+NSiTIrOHw3qn2TSJQgsPh/XFfXOLKidl +9nA65f3t334bWng4nWZlD+hqc0pmD4f9p3ecVxJaeDidGhXY+zmfltnD6WSj +lpmVihYeTqdwh8arup6R2cNhv3MpevdttPBwOvXq2iN10FmZPRzWg2Y9nmWj +hYfTyVuP/jnunMweTqcapeyq62jh4bC/fFPBZSbJ7OHw80u2H100VWYPh/NZ +VvLSSLTwcDgffph3TEmT2cNhvd26LjsGLTwc5v+076Z652X2cHif3u133Y8W +Hg7P76QDU1pckNnDYf1d8GfPKbTwcFivYuJedLoos4fD+9w8qup1tPBwuJ/n +7wzod0lmD4fn8bLvuqdo4eFwnj/R8/7oyzJ7OOy/pcVV8tDCw+nUp7s8IuSK +zB4O7+vLz7t+o4WHw3nSs27+oqsyezh8f2Vv+wrXZPZwOvXctnBZNFp4OKwX +M449q5kus4fD36/czmE3Wng4XO+vVuH212X2cNiPrumRlYwWHg6f1/+Bs+sN +mT2cTs8KU6KvoIWH0+nxcXOB502ZPRzO9w0jvB6hhYfDfLkQfMo7Q2YPp9Oo +8qfqvEcLD6dTzNwhkUG3ZPZw+P2jBxT8QAsPh/mcmeATdltmD6cTJQ3PLJsp +s4fTafDhCW5r0cLD4Xr0fXCi+h2ZPRzuhxpnH48WHg7n48ALe+zuyuzhdPLM +86h/HC08HPYvJW23d7gns4fD89R+fN3LaOHhdKogl4vvdV9mD6fTpKLlbR+i +hYfT6UVUQNLIBzJ7OJ2qujq0fYcWHg7r2Yr+lwMfyuzh8H7/etL/B1p4OJzP +K1zMCX0ks4fTqW0neWbZxzJ7OJ2q10w1rUULD4f3o/a93dWfyOzhsH7e6Nkx +Hi08HObD7sZZdk9l9nD4/s0mzT2OFh4O55sPVrVdnsns4XD9h9pcuIwWHk6n +5iW3+Xs+l9nD6XR+wnz1EVp4OMxT9cpZ7yyZPZxOSfOmT3iPFh4O889xdfUp +L2T2cDh/HK+U8RMtPBzm/9JiCxdmy+zh8PlaD21b/qXMHk6nBlrtgnVo4eF0 +CmjimVTzlcweDp933sdJu9HCw+F8QAXNmr2W2cPh/D91gvkEWng4nbo26H1S +eyOzh8N5zmtn6DW08HB4fqPG9uybI7OH0+nToA1Vn6KFh9NpmXOb96Pfyuzh +cB5L7nE6Dy08nE43h9xaNTVXZg+H63vwou9ftPBwOp11stMi3sns4XQaMr9U +Dfm9zB4O+0W5/8+NaOHhsJ+fYvm07geZPZxOIfY9zu1HCw+H+ZdRuNPxo8we +Dp/3ueXyM2jh4TCfXydMc8+T2cPhz09IGZ2BFh4On7do+34DP8ns4XRKbNra +PRstPBzuz5z9bfw/y+zhcP6bud7BQAsPp1P2oV+2s7/I7OF0qpnwpEGJfJk9 +HD7/N0frFWjh4XQqW7dMg8q6zB5OpwXHBtpsRQsPh/XRpb69jSGzh8Pz33OC +02G08HCYJ+MdOrY1y+zh/v/vVcG9LqCFh9MpVW45omeBzB5Op39HgwPvo4WH +w/O5tcXiEYUyezhc/1FBsblo4eF0urLUITnwq8weTqeiewPv/EALD4fzQbcW +etg3mT0c9p9/p6rlv8vs4XA+8GrvFI0WHk6nA68WD6v1Q2YPh/Nxi36L96CF +h8N58kF8ksNPmT0cznPes7NOooWHw37Z+6HJ7ZfMHk6nHL+THW+ghYfDfq9M +vekDfsvs4XCeu68cykILD4f3f2Tke78/Mns4nd44RzbU0cLDYb9QqPjO+iuz +h8N+tqH13uL/ZPZwOA/4pOYtRwsPh/OCc65j5SIKezidtrrEzN2KFh4Ov6/F +w2s2RRX2cNiv2W2vcgQtPBzOY+2++7crprCHwzy48ezsRbTwcOgQz0q9iivs +4XQqd613wEO08HCYryNeXvUuobCHw/niRtFGH9DCw2G93nMkIrikwh4O+82I +gg+/0cLD4XkuerV3eCmFPRz2z4lNT0qlFfZwOvW1bmgdgxYeTqcqvw6vrldG +YQ+HeZGeWfQAWng4rH+Nw0NaWSjs4XTyOnbr/Tm08HDYD/1L9OlaVmEPh3m5 +y/pZJlp4OKxXWvPBQ8sp7OFwfvG7/+gNWng4fP2EMnRSeYU9HObvlbcvvqGF +h8N8bjnAL7SCwh5Op0obhutlTQp7ONy/ZUXmrUMLD/f//99xqVBLUtjD4Xwf +XWXrHrTwcDoVf7XSsbmssIfD+mK/I/0UWng4nB+t+o3prCjs4bA/aLujSAZa +eDj8vi2itg5UFfZwOg3YUrPjS7TwcFhPq/bIGV9RYQ+n0xa3qssL0MLD6RR3 +akmreZUU9nA67ayx6WVpS4U9HPZDZXpFrUYLD6dTRo1dHatXVtjD6dT+x9bC +eLTwcDj/dHA9YG+lsIfT6fiShWNT0MLD4XwzO6BupyoKezjMpxN/XlxHCw+H +/ebTltsHVFXYw+E8F1lx7Au08HC4v6M2NfGvprCH06mJRXqBgRYeTqd9tXam +zqmusIfTKdi94apSNRT2cHj/6vT3jkILD4f9UJ+mLavVVNjDYb8x90jZeLTw +cJhn7m9eN62lsIfTybX3BTqBFh4O+3vX3nEdayvs4XD+Pbls/nW08HA6rfeZ +NnpAHYU9HM5Hz+XuL9DCw+lkfWeoo39dhT2cTptyBtY2o4WH0+nk2ZKmufUU +9nA63Sri+69UfYU9HPbTA2aao9DCw+m0fZT2oZq1wh5Op8hbp1/Ho4WH0+mc +W94L+wYKezid6vvdyUpBCw+H9fzlpOxODRX2cDpFDTv/5gZaeDjMi/k3P3o1 +UtjD6dS6MKowGy08HM5vYysUm2CjsIfD8zXbTSlECw+HeZTtWH++rcIeDtfL +9UVri8YKezjM504enmvRwsPptDHa379mE4U9HM6Lr7uF70ELD4f171HuruZ2 +Cns4/Pnana+eRgsPh/XDd+Qn96YKezjMt8FtLW+jhYfT6Xb8fdch9gp7ODyP +35oHvEELD4fn54/nlknNFPZw2L/7Otz+jhYeTqeJL+6WXOigsIfDfq9KO5cK +zRX2cDq5k+/MDWjh4bBfWDTwRN0WCns4nd5VNn1PQAsPp5Nzo2XtnBwV9nA6 +7R57KzQVLTycTgVhT9O7t1TYw+G85ZBU+T5aeDh8vVavsSNbKezhMP+KJCe/ +RwsPh/dlybuyIU4Keziduvi99fmLFh4O16dP0uklrRX2cJjv3z2qVHRW2MNh +HisJ07eghYfT6Zjn88eN2ijs4fB5/bJcjqCFh8M8K39od/u2Cns4fP5CT+UK +Wng4g/Lup83r005hD2fQsDElvzxFCw9n0Fqv6qN82yvs4QzKGVXiUT5aeDiD +imtpvWd3UNjDGfQ1vu+Nki4KeziDbLqd7B6FFh7OoMFvCq9Xc1XYwxm0z96i +9y608HD4ednmB800hT2cQZ+PJHufQgsPZ9CXEX0/de6osIczqFHclTm30MLD +GbS4sZU0pJPCHs6gAec6xr9BCw9nkFapa/vJbgp7OIN65zZ++AMtPJxBH40P +IYs6K+zhDLpvLLGU3BX2cAa12FnyZAxaeDj8vFSfkdYeCns4g5JKx5U5hBYe +zqCCVqePtemisIdDlzk1+iJaeDj8eZfNlp5dFfZwBi2NHpn+GC08nEHuN0qH +jemmsIczqMSOde2/oIWHM6jHg1I/Z3ZX2MMZVNR25KkSPRT2cAZNHBI3dxVa +eDiDWjW55Fatp8IeziD/YffK70ILD2fQ1EM3HjX7T2EPZ5Dt28Q9p9DCwxl0 +8vzsme69FPZwBp0p3bLXbbTwcAY1mfGowVBPhT2cQb4P/Yu8RQsPZ1DT9++e +B/ZW2MMZtCfI69wvtPBwBo1sdXR7eB+FPRw+/49/EUpfhT2cQYlhHYJi0cLD +GdRvw8Thjfop7OEM6v9nRc8jaOHhDHKevcOlQ3+FPRzu78P9jlfRwsMZRE/2 +NOk3QGEPZ1BAj00Ns9DCwxlU2Qiz9vdS2MMZ1GnDqAYFaOHhDMou3tZ2/kCF +PZxBXYqWdSg7SGEPZ1DrwXfbRKOFhzPo8f31HnUGK+zhcP06DvBKQAsPZ9AT +P8nfaYjCHs6gjRUvz0tDCw9nUJo8a0PPoQp7OINWNLE7+hAtPJxBsQ7PM0cN +U9jDGfQrb4X5E1p4OINq2btWmTlcYQ9n0Ls03bXECIU9nEG7p+0evwotPJxB +cvURG6uNVNjD4XmbV/XaLrTwcAblTn7828FbYQ9n0CuKczyDFh4O389lfEAX +H4U9nEHlzrbffwctPJxBXuWtPgwfpbCHM6jN3x9279HCw+H9G/N2SshohT2c +QafV56f/oYWHw89/klV62RiFPZxBV6LyvCqPVdjD4f6XKb1vO1p4OLyvls3+ +2vkq7OEMmrBirFcKWng43G/3hMNufgp7OIPqq0XlW2jh4Qzalu4XNGScwh7O +oPLu2fdz0MLDGTRw0IQOQf4KezjMy6cWe3+jhYfD+73njOWS8Qp7OIOWr10U +XnGCwh4O78OMUT/j0MLDGVTaYWBQ44kKeziDeq4d/fE4Wng43P85S8Z1DFDY +w2GeXb787gZaeDjM0x61Jw6apLCHM+ha7hrjNVp4OIMeTqs3Z/JkhT2cQdXu +Z5T5hRYezqCxLzZuDA9U2MMZVCQ4tIkapLCHM2h/QGTaFrTwcAZtOHh4iO0U +hT0c5ony6+sxtPBwmDczfNdrwQp7OIMqnC9wvoEWHs6gaRm7swaGKOzhDGo+ +b27Ea7TwcAa5HZnpOHmqwh4O86Zn7KufaOHh8D42fbsufJrCHs6gB20GdVen +K+zhDPrW11wsDi08nEEJw1LO2c5Q2MMZdK/t3rnH0cLDGZSRecG140yFPZxB +waXKl7yJFh4Oz8u50JuDZins4XB/sxrEvEELD4fr2euHX+BshT0c7of5d5vf +aOHhDOqQ3FxaMkdhD2fQs7kbcyvOVdjDGXSiRYsLW9HCwxm08uy/7U3mKezh +DIovXWzRCbTwcJjPP9v4u81X2MPh+obu7XMLLTycQaMW9+owdIHCHg7Xw7Cz +y0ULD2fQquOdawWHKuzh8H6cXF/xH1p4OIM8P9YvvyxMYQ9nUJjzp9JWCxX2 +cAbdWGkutRMtPJxB05+1tmi2SGEPZ1Af+bTpNFp4OFz/qvOsuixW2MMZNORd +aP27aOHh8PknXmkxMlxhD2fQ1g2e7h/RwsPheRlSfej0CIU9nEH19jQJKb5E +YQ9nkBIYGrUKLTwc5vHemknVIxX2cAaZ3Ire3YMWHg7Pb8umPxyXKuzh8PsE +7aiXihYezqBxn308ey5T2MPhfd8+cf4jtPBwBnVbcOHImOUKezjMp1C/9/lo +4eEMGrNlcP25KxT2cAbNSd/kbbFSYQ+H96Wo3fZotPBw+DztTDl1Vyns4Qx6 +HtjJLhEtPByeh9hr09pGKezhDNqbEn/xMlp4OLwfqfcs+61W2MMZtD1xyPgX +aOHhDGqwoPX5CWsU9nDY/zWfWPM7Wng4g2bTj9mL1irs4fD+2bx8Lq9T2MMZ +VGp8fbctaOHhDNq1MC3BNlphD4ffL+SMVTJaeDiDLrS3jOi0XmEPZ9CdZzd+ +ZKCFh8PnG/Bm8tANCns43I99w97nooWHw89/4uQbslFhD2dQyofgt0ViFPZw +2D9kVRq/Ai08nEGOJ6vpVTcp7OHw8+eHz96NFh7OoJatB1s4blbYw+F9zFm7 +idDCwxnUOKp1s56xCns4vJ8u7lceoYWHM+jm97Ojxm5R2MMZZHF5R1EDLTwc +9luHCnbOi1PYw2Henz3WrdxWhT2cQS9/vjY2oIWHw/MdtHCr9TaFPZxB6Xbr +PA+jhYcz6F9rq+Iu2xX2cAZN2VrhVDpaeDiDCifPCBm4Q2EPZ5CePKjFG7Tw +cHifww6ZA3cq7OGwf385N+UPWng4/P2XFxcsjVfYw2F+RS/uabVLYQ+H57ls +WvV4tPBwBk3qP+Ozw26FPRyu/8IDF8+ihYfD+rV/RFz3PQp7OOzHn66a/RAt +PJxBdxt3HDZmr8IezqCDB4I1HS08nEGHptrYzNunsIczyGOzd8Vy+xX2cAbN +d6xZbCNaeDiDygwYWWCdoLCHw/W3svlwGC08HObd6hmvXQ4o7OHweZ73yb6O +Fh7OIJdKx7MHHVTYw+F57LXzTQ5aeDjsR/fWzJuSqLCHw/vUrsG3f2jh4Qw6 +Z3265IpDCns4g9QF2VbVkhT2cAYlj1/fdA9aeDiDJhfL8mh5WGEPh/3S2JTR +aWjh4XB9jzZc1OuIwh4O87Zc471P0cLDGdR15YVb444q7OGwXg0r+FmIFh4O +83jjWduFxxT2cJgPY+oMk48r7OEMCnpdc80WtPBw2L85n7jeOFlhD4d5Ffmp +TApaeDjsd36mdXM/obCHM2hLUquVd9DCwxm05G63ByNTFPZwBn2K/Ff3E1p4 +OIM2/xoYNOukwh4O16dP/4ulTyns4QwKPVpYLRotPBz2Kz07TK13WmEPh3nZ +xe7uIbTwcAZlZVxo2eGMwh7OoLemkpvS0cLDGeTaUi826KzCHg73b2Lk5By0 +8HBYH3MyXkw5p7CHM2j4zfP9ipDCHs6gI/19r69ACw+H75dwyqN6qsIeDufR +ommX9qKFhzPIZ+msrk5pCns4g/Knvcu4gBYeDs/3z3KD+5xX2MNhPe729m0W +Wng4g9ZtmDFj4gWFPRyuR7WLFX6ihYfDfrRM+u6Iiwp7OIPsNyxzs7yksIcz +qNI/izc70MLDGVRshscSh8sKeziDXrft5HAOLTycQd6hf5/2uKKwh8P+afGc +ZY/RwsNhP7PwrIvfVYU9nEGdk88XFqCFhzPo2JAVSWHXFPZw+HpirclyusIe +zqDqX6Y3j0MLD4f1Ztimb02uK+zhsD63ikg7iRYezqC+p1xXdbmhsIczqEbT +S9730cLD4Tx7q4rT6JsKezicd9+0k3S08HAGjU5sljcvQ2EPh+djSuGN8rcU +9nB4PqetPLwJLTwc5v2/XzE2txX2cAbt7OcanowWHg7n39ODp3XOVNjDYb7M +6zn+Dlp4OHzenCqjvO8o7OGwn2mbOvwzWng4nOeuug2fc1dhD4f9x9N477L3 +FPZwBv04+8ZvI1p4ONzfcyWCG95X2MMZFG5bKuwYWng4gy71+rCu0wOFPRz2 +U3OPJNxGCw+H82tJ78sjHirs4XDedi54k4cWHg77Mb/A0rMfKezhDCr59n5T +i8cKezjs90s3HLQBLTycQV9rjwpv8ERhD4fnedGylKNo4eEMGrF85+eOTxX2 +cAZZr0iwuY0WHs6gn1nxviOeKezhDAp5ErU3Dy08HL7+KPDzrOcKezjsB1p6 +OFtkKezhDKrSTQ3fgBYezqDLQQ8eNnihsIfD+lBuXdNjaOHhcL4L6RnRKVth +D4fzY9miObfRwsPh/Gl/1GPkS4U9HOafy5gDn9DCwxnUbItl5TmvFPZwOE8d +uLqw7GuFPRzme8a8wo1o4eFw/8e1mdDojcIezqA1z3+8OY4WHg73e13aqM45 +Cns4PB/G6jd30MLDYT3znjje563CHg7z3L1vwRe08HA4n5V1D5uXq7CHw/pf +zaNShXcKezisJ9le+zejhYfDn78yo3Pj9wp7OOz/Ohx8lYIWHg7zJrFwUZcP +Cns4g6yW9mvyAC08HK6/69X7Yz4q7OHwvGsDFpnRwsPhff79yyksT2EPh/3x +27Of5E8KezjsB6fE7t2KFh4O59WKMX72nxX2cAb953q88Vm08HD4fhMNvccX +hT0czi9y37NP0MLDGVRr1/3l/vkKeziDnHbM8/6OFh4O61VoL+cIXWEPh/X9 +nEelyobCHs6gTvfHFcSjhYczKKJj8iNHs8IezqCFoQ5p59HCw2G9qvj4YJ8C +hT0cntcZh+Oy0cLDYf/Y7PTayYUKezisx6e/Lf+LFh7OoP5x45au+KqwhzPo +6VBpeY1vCns43K8Zn1YnoIWHw/s9q0Rs2+8KeziDPuQO2H8NLTycQYu6vj4z +6IfCHg77M+vEu7lo4eGw3pVK+Tztp8IeDufzmcVMpX4p7OEMMkqtah6NFh4O ++8UOIwdb/1bYwxnUbn/I4qNo4eEwj5/dPt7pj8IezqDUUVM/ZKKFh8P9uDW6 +vs9fhT2cQWWPbvHORwsPh++/3XrH/H8Kezjcf/ufuaYiKns4g+I+124RhxYe +zqC5MzYsaFpUZQ+H7zd61N0zaOHhcP7wCGvcs5jKHs6gime+L36KFh4O+/MR +V3LGF1fZw2FeX/3S7SdaeDicZ9cHH4ksobKHw3rt27921ZIqeziDCh6ujdqL +Fh4O8yzSsZRzKZU9HJ7nGq0WXEELD2fQi+ab/nqVVtnDYT4GjAl9ixYeDp8/ +JLrMtDIqeziDNn6wX1fSQmUPh/3MtBbW0Wjh4XD/U7enWJdV2cNhfgfO63MM +LTwc9qMO6Z/dyqns4bCe7A+PuosWHg7zY/lxp9HlVfZwBu0LHfTSQAsPZ1Cv +NkFRYRVU9nD4+qiinVWTyh4O8y2x7O/taOHh8HkzVqY0l1T2cFjfQ1bNTEML +D4f118vk2kdW2cNh/2pTweIlWng4g2y2r3gYqKjs4bA/mbF6fxFVZQ+H87Fv +tbAotPBwBgU2aDyiTkWVPRyuT+B5lyS08HCY7yVy6muVVPZw2D/Fra1wGy08 +HN6PP7d+jbRU2cPheXi5+fMXtPBwOD+V/ZEzv7LKHg7fv/37l5KVyh7OoPNt +J73aihYezqAV1yJzm1VR2cMZ1PZQB53QwsNhXh5d9s+zqsoezqCkLVPVbLTw +cNh/O/+xDaymsoczaJantUeR6ip7OLyfiXm+UWjh4QzKqztoeZ0aKns4g0yz +xiUnoYWHw343vHaOVlNlD2dQVJX5VTLRwsMZ9LFYZG+fWip7OINmVnVdoaOF +h8P5ofnOjNDaKns4PC91T1RS66js4Qy6lTpn5A608HAG1X5fmNiirsoeDvv7 +EOviF9DCw+H3dy07rF89lT0cnp8G+06+RgsPh/NUyeI1Quqr7OHwPqRWXVjC +WmUP9///D/nyeR1aeDiDrnZdPLJBA5U9nEGxz57fO44WHg7z4cHPXh4NVfZw +OG/XyLr5AC08nEE9NkT28WuksoczqHKzf4+/oYWHw3knQ/NbYqOyh8P749P7 +RxVblT2cQe/vN4vahxYezqDgqi+btG2ssofD9azheyMdLTwc9ve3U4OGNlHZ +w2H/1shcPQ8tPJxB06z+pc+xU9nDGTR13dt5FZqq7OFw/dYntI5DCw9n0PJK +vQvt7VX2cNgPWTw4QWjh4XA9glzn926msoczqGXXlT1eooWHw3qw7GKNKQ4q +ezjsf9q/MYo1V9nD4ecN/XJzLVp4OKyP73MPWrdQ2cPh8366teY4Wng4zLPx +++Z4OKrs4fD8+U+b8BAtPJxB83TnkeNaquzhsL6UNw/8gRYeDuv7ld0DlrZS +2cPh92nqNai6k8oeDutLr+LeB9DCw2G9dU6a2KG1yh7OoJh/I+ZloIWHw/7/ +uBI90lllD4f5NCk9KR8tPBzmj7YsM7SNyh7OoD9uXt/Utip7OMzr1U3rxaOF +hzPoQeeKfVu1U9nDGeQ40yLiMlp4OHy9p5o2sL3KHs6gVi+aFXmPFh7OoEF9 +xnSe1UFlD4f9x6XDK8q5qOzhDLLwqfYsFi08nEHbum5rZu+qsofD8xnvvoTQ +wsNhfxFb/m1vTWUPh/33sG9dX6GFhzOohVzmcHBHlT0c3pccrVbJTip7OINO +lI+PWo8WHg7PR7JjGRs3lT0c9leWxqKTaOHhDDIPf1mqR2eVPRzmz+XiK5+h +hYfD+TBiaLVJ7ip7OOwXn3xI+IcWHs6gXT+OdlrtobKHM2hDvZNZ9bqo7OEM +erz29/xjaOHhsH9YsaChR1eVPZxBg/t2ufMQLTycQX4N+i3076ayhzNoQs/d +bX6hhYczaLvJrXB5d5U9nEHFjzdJrtVDZQ+H/cXSUXOS0MLD4fl+8r5Lp54q +ezjMu8ILVe6hhYfDempr/jz2P5U9nEEHrs5M/4YWHg7rV6MhCZG9VPZwOE9v +Wr+6uqfKHs6grTOazz2IFh4O96N600muvVX2cP//98dlYzLRwsPhea3Z23t0 +H5U9HM4DTnN8CtHCwxnkulQdF9FXZQ+H33e2ZUjVfip7OKzfARGLE9DCwxnU +5vL42A79VfZw2I9+Sku5hRYeDvPkv1VPfAao7OGwXvW5X7QALTycQdfHrrcP +91LZw2F+/LznXWWgyh4O60Ho2o370cLDYf4F3LvffpDKHs6gEo6bqtxCCw+H +9aVbrrfPYJU9HOat7blEM1p4ODwfveoWCx+isofD/q1VxaFVhqrs4bAfG7r+ +5H608HD4fdwO1uwwTGUPh/kweUjELbTwcJiHY7Z99RmusofDer43dEIBWng4 +nOcvFXkbPkJlD2fQxc51fKuOVNnD4X0+9upjAlp4OOy3dnSf7uKtsoczaMC2 +IWUy0cLDGZSjWW4d7aOyh8P5turcdl/RwsPhfJ267vmSUSp7OPStEYurj1bZ +wxk0/uXzFolo4eEMcltX6a02RmUPh/c7ouTWu2jh4XD9hx4d7jtWZQ9n0N3j +der9QAsPZ1C1dr3zlvmq7OEM2rm285lafip7OIPW+xdZcxgtPByeJ//wgM7j +VPZwBjl0fNzrIVp4OKwPO361Gu+vsofD33fJr/cHLTycQcVunLSMGq+yh8P+ +qOhQU/0JKns4nGc2PiifjBYeDueJ/5op3Saq7OEMUp/7VX+GFh7OoOllFjaZ +HKCyh8P5ZfXCjsUmqezhDKrjMnF4NFp4OJzH77nOt5mssoczyLdm0T2n0cLD +GTQu58i9XoEqeziDGvwcWOYVWng4g7KbmDtODVLZwxnU/L+I0DJTVPZwmNeO +Vlc2o4WHM+josV1qs2CVPZxB9xNajTmPFh7OoBkF104PCFHZwxlUMmBs1fdo +4eEwj76WnTtnqsoeDvvT4LNvpWkqeziDPNPne+1ECw9n0NlX/W84TVfZw+F9 +3+PaNR0tPBzmWQm39OEzVPZwuD4fffrqaOHhsB/usTV70UyVPZxBv6v9Daky +S2UPh/2hZ6h0AC08HObz6yZJrrNV9nA4D9wpMvAuWng4g65UK17Cb47KHg7n +qbOtTvxECw9nUJ+zmwNXzlXZw+F9qevsUG+eyh4O5129wtfjaOHhDKrbts75 +bvNV9nAG/S09Zd1ztPBwBr2bUCogaIHKHg77iRnZPUqGquzh8Px3Lt48Bi08 +HPaXuUE1moap7OEMcprbzJSGFh7OoKB6rqUGLFTZwxn069vOEu/RwsPh79fx +sZi7SGUPZ1DRCzMrKYtV9nDYX5bSG+xCCw9nUKRVeoc24Sp7OMzv+mWG3UQL +D4f9ypDEBT4RKns4g5x1SihECw+H9a2m9jxyicoeziD3Ki0sa0Wq7OEwn2rG +9DuCFh7OoD0TZ2z0WKqyh8PP97j7+glaeDg8z18OtJq8TGUPh/c3qczK4stV +9nA4n2e+z9uAFh7OIK9t/frarVDZwxmUENDtXCpaeDiDyi/PcBiwUmUP9//9 +zdt979HCw2H9r7zOdt4qlT0c9g9OTw+pUSp7OINuK9R+D1p4OINOlXG73W61 +yh4O++EF48ffRgsPh/mW7Vxu7BqVPRzmzbrDR36ghYfDemzxyHvlWpU9HObP +4UOW9dep7OGw/v5tl3kCLTwc9lf+C9b2jFbZwxmkTVww7CVaeDg87wtd7aat +V9nD4X1wSCtWboPKHg77y29lsreihYczk8vwahdbblTZw5lpwKfvidfQwsOZ +aUPLvdtGxKjs4cwUfKFJjBktPJyZVjeN2Lhkk8oezkxZFufiam5W2cOZKcK4 +m3AELTycmYotvkFdYlX2cGaqOCrhyTO08HBmutE05HfQFpU9nJnWr2rcoHSc +yh7OTI4t7vSPRQsPZya6MGlZ860qezgznSosfu0yWng4M5WbG11h2DaVPZyZ +iqiNButo4eHM5BV4JiF8u8oezkzawMEla+xQ2cOZaXRkUd/DaOHhzJRzI+Wm +x06VPZyZxn0Ma/8MLTycmSyPjD4SFK+yhzNTnD6iWeldKns4M3WcN+NoLFp4 +ODPdanbMpcVulT2cmY68tMy8ghYezkyfpmwfP3yPyh7OTNaXh5Qzo4WHM9O2 +NPejS/aq7OFw/zx9R9Xap7KHM9PrYalVjqGFhzPT1qcDH3Tbr7KHM1N0qsPm +F2jh4cy0qoSn39QElT2cmarGJ7ctd0BlD2emxVsnWG5HCw9npi8/g745HVTZ +w5mp67mb2TfQwsOZqV9hWOaoRJU9nJmSDkVf+44WHs5Mz4qUvbbykMoeDs9j +kTe3rJNU9nBmqnutUdYptPBwZro067G592GVPZyZhjn/kXPRwsOZSbbd0Gru +EZU9nJlMMxJ8Kh5V2cOZKby/S/Q+tPBw+H30frddj6ns4cx0PzxffYAWHs5M +/T2rDJ94XGUPZ6YdS28lFktWtf8BsspbCw== + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwsmnlUTt/bhytJz5mIDJFCSSGlASm1lVLKUImkaEBShDRoljKnJM2DNClK +MobsUlEkiQZDhL5InXOeZIjIe//We/6yrlV5nrPP3p/7s9e6prv72m2VkpCQ +0CQlJP7379ETktN/SLF49UytxLIqEfL+b1LHgxEs3iuFPxZXi9BKY+24VGkW +U5UzDPPviZBW8nIL75EsfhDqdCq7RoTkxJv+GsmwuNBrW09qrQgNWAZcZUax +uOKChVlinQi15sR6dwFv8x7KOnlfhG78zptRLsviyc3Rf448EKE0+zsvDopY +vFLqw8aD9SIUevFZvAPB4lmzJ1SGNYjQppG9y2eRLG71VZoW9FCE0Capf4PA +sUND0XsfidCMGwrXH1IsPv69tNenUYSkx8zfmUGzeOyhhWs9H4vQx+2WqrsY +Fvu0nrnr1iRC9dWbX5mMZnEP+XC28xMRKp4cmCA3hsUfbJ+nrGsWoRN+J60+ +AF9/clXW9qkI+TbmS1yTY3F9iXewdYsI2c6svHFoLIsPy/9izZ+JkG74812O +41i8Za6LB3ouQuPbe2fOlmfx66kpLxe3itBPrRGdQ8C75Irt9dtE6MWRyYmP +x7M4T+t0k1a7CN1+N986ewKsb4699ewOEcpcbCW1ZyKLjU72Nqi+EKGI064V +ppNY3KK8cYXySxFy6wvcLa/A4j3h5xoVXonQMvO4WR+B77dUr5F/LUJqWQVv +bkxm8QHzijamU4Rkf1aeOTqFxRv/HdkkeiNCX1a32mxUZPEEjfmfR7wVocbz +fSM0p7I4sPey3zBwqaT07WHgVb6k5K8uEYp3mrK3WYnFvp1L4gfeidDeKzoa +55RhfTZZTefei9BaakWX3zQWyyjOu/r5gwgt2OqWbD6dxSuM+yw/dIuQwt2g +VRNnwH7iDr7t/E+EhibEj+wBDnL4HtjxUYQ6fQvv3FKB75eBxj77JEK4/q7f +CVUWT+vbWvr4swjlTG+bvWkmi9t2brWp7xGhg8HsOy01Fp9aYdJ374sIbX0m +nSo5i8U7LwzEVvaK0PK5imueAcdWRM2/2SdCGjG6o/LVYb9l9bWVsyJEvllx +N0CDxRZR88NLOBHiFrj7W85msWWc7azzvAg1x+2fO3kOizdzNi3nxCJU/jn+ +Qy/w83qViMx+EUpcej6tci6L+5e3aaZ8FaGANGwbp8li+syWNwkDIuQ40Cbr +No/F0S+a4mO/idBiGw7raLH4hvF48yPfRUgxf2SgtDaL5biFQ1E/RGj4r+K8 +NmBpuYVXwn6KUNc6vf8K57NY9unYnUGDIlRzyTpjvw6LM9Y/Uvf7JUL5sh72 +1rosXt7k+nHnbxE67BZMTNVjccqmZ/nbh0TI69apag5YRUfF0+OPCFmPKwqq +0mfxob1rZm/6K0KaPlVaCQtY7G61kXccFqHRde0fPRayeGuX2XX7fyLUP5XP +1F/EYhM3ImKVBIGeBcg4jDJgcfH3UisrSQJdezKVegG87cH8CcukCJSirl9T +vJjFhhKnu41HECj4gE1wqCGLfzW0XDWQJpDzS4/5q4xYHLCq/5DeSAIZ64Z8 +Vl7C4rgbnJOWDIGmnUjI7geerfVIe/YoAkn9V7SuxpjF898ekp0pS6DuJdX0 +GRMWp/VPf68sItD9pI7abYjFm+IzKicTBDrP8yGLlrI48r8faeNJAh2zHKVL +mLJYNGV+8BiKQDtzlL68AiY2Wm8kaQKt/q2fU2LG4vQn5sYyDIHm2690jFjG +4lfnpqlIjibQuItbRtuaw/sdfiX6A/xdOvT+DAsWk0zQ1x9jCNTucjrsG/Cm +399e98sRqOJ6sd795SxW5+wa+sYSKH30vd5kSxZfHJdw49M4AoVtf3HOy4rF ++mfKC9/LE2hztXiD4QoWT8y8mto5nkBLJ8vK0dbw+w4psR0TCKTqp1z/Bnhd +j8vBZxMJJNO4IKLMhsVhUSNDmiYR6LPqqgVRK1l82jpuX4MCgR6GbWXtV7F4 +tO+Qb+1kAl1sC82buZrFuyeu2ImnEOikVuLGn8BLfEN8bikSaPeRC2Mb1sB+ +y4nbeW0qgeze3WtIs2Wxc/uh3WVKBNJb/DLSx47F74zc/C8oE2jC6f6FxvYs +XvhHKbRgGoF+9cryo9fCeqCq6JzpBHq1bFrBO+AxuuZxGTMIVJm50OWKA4sl +JUrTk1UIlP1jlXzMOhbnPP1dlKBKoAOrtz1atx7mQ8ucW7EzCeRxPixK3ZHF +U+YtbTyiRiBzyTMGv4HPMoZdB2cRaJbTRfGjDbC+2Qo/wtUJJLpSU5jpBOeB +6KSDNQjUS77a5LuRxb0hMbP8ZxOoacvX8UudIU+VxpntnkOgskrR47EuLB6e +csjVey6BEiZMj+4Gvn/2TcQ2TQLt811keH0Ti/3aFXPc5hFoXf3qr4c3s1ih +F9U6axFo0XTPog2ukD8y1j3rtQk0OTjcdY4bi584GI6xn0+gPy1nJv4Fnjdi +rMEqHQK9mVPS1OTOYo3FTzysdAlYpdqYsx5wHmb6xS/TI1Bu5yujvVtY/L7/ +710TfQLFLBgYMNvKYq0GX37xAgJ5xhEXxm+D/d9eP33BQgJZfZ7u/gnYdLlo +3fxFBJqz1EChwpPFtcvmn5hrQCA6bU3zse0sVh5CtbMWE4j/6nnY2Qt+fmbR +8AxDAj21jjCet4PFc9GkxUpGBLqSl/T9H3DbzK5AhSUEOvO35OJTb8jrvfE3 +5I0JFLSuziPXh8WTbDV+jTYhkNOl15P9d8Lvs8VGJCKQkey3pxa7IF/2jY+S +WUogJTfy6CRfmP+jvRokTQkkcWsG+gJM9+WP/Qv8fuzin7d3s5jRb3QZNCNQ +rbdtaeweyI8Jr4sHlhGooHb71s174fzdbv3FmRPoyNRIxfl+LDawrVjxxYJA +OwKSn0ntg/MgdTjzv+UEWvmk9NhzYGbI+GuXJYG01O8vLfBnsbnnO8vXVgSS +O9A5GBjA4s97d+W0ryDQwItvl6wCYX9YfRxqsSZQqw7lOSUI8n6SlWOTDYFu +HFdRYoFHEsnXG1YSKLV7cevd/SyeY908oW4VgUKW2J2ID2Zx06jvQVWrCeSS +5GXmHgJ54jOy8/YaApnwkb91Q1kskSdpdsOWQDMsUy6PDIPnedVTXG5HIOmc +S9vbgZtM7sqX2hPo46/7ykXhLB73JzyyaC2B6u3etAVHsLjPaC6X50Cg4gvf +Y20iWZw7777L2XUEOiFNmysdYPGxvzZP0tcTaJeL6h8e+HdrlWmyI4HWXDe8 +Uh0F69M9/WbCBgLpjLbfcfogzGOH3VonnQgkv33H9K3RkB9rL54/upFAP6sO +dCyIYbHu6DaVGGcCvVBIjZM9BPl+mT0b6UKg23vLLF4C79g+oBy6iUCZjx78 +vXAY1sf109nAzQSKUH17NewIi8fXNaj4uRLILeyH9+qj8L5q087vciOQWRut +Mv0YnOdjTlo73Ak0U2vmy6/APmaim1s9CDTqiNGp2uMs7lYsNHXbQqCeLnvL +pBPw/sz0njhvJVCjgfc/z1gWr/9Q5uK4jUClCVHXDU7C+9OYytl7Eii+N3Un +Gcfil2b7I1dvJ9DeZZdVO4FHbqqTt/Yi0NrM+lel8Sxemy95wWIHgRb8eJsQ +eYrFNy3mmZl6E2jS6p9Wdgnwfn2tO5f4EOh3ISOpeprFJdYb9hvsJNBrCbWb +34GXixwn6u8i0N0NS3wfJELetS6/oe1LoJzytWqpZyDvXqtvmLubQAdJn84d +SSwOth76M2sPgbZuOZholAz5uwafU9lLoOWVadZMCotVJ/mvUPYjkMaEcqku +4OYnit8m7yMQ6dtQcTmVxdfyrmdP8CcQ+6Br98E0FivWmq4cG0CgJ9MGZzmk +s9hxY/UfOpBAl/ePfquWweKaZJ1SURCBTreoJQ0C3y1Ichu5n0ABc4xXPsxk +8dVr7ATJYAI5RjtIZ2RB3/u6sOkP8OJOn9s7s1nsetT/8GAIgRQXRO81Octi ++aIC02+hBBo+ma4hl8PiyvBH//gwAnV9Ku96D8wt7L7bG06ge+hh8tVzkEdS +/RGfIgiUl/pu1aFcmB/kV9MPkQQ69HVwpGMe9OvIT6PeHiDQdusxlRr5MG/j +nza9jCKQdd6sfUPAWtvLktsOEkjzr/GcxwWw3/Sj3VuiCTR63br3WYUwH9VW +ajXFEKi/dGfq7vOw/tvJ4YZD0EdGxawxLYL11MBNdYehj7hmjJIvhveVvD2n ++giBkiuu3P0P2K1rVEDlUQLtH/vI/8YFFm/XybSpOEagjd7v5x69yGKn2+oz +rx0n0JLaXx+cSlhsXFT8r+wEgZSnyqXPLWXxjNkqry/GQh8JULcbBlbySbh1 +/iT0kSYTUfMlFq/JHEzLi4M+Mmt9VU4Zi7t6HcLOxkMfidwV6HeZxf9iit0y +TkEfeREzz7wc9nvqj+UpCQTy0cn8b8IVeP8Oi7UTTxNo1fGrGZ+B6c/+k+MT +CaTd/cj+1lXIg/AimRNnCDR2yQfixDW4b1m2fjucRKBvZ35Xu1yH/9/vV/fB +ZOgjnNx+rRvA8ya0R6RAH1muoS15E/rY+TmPQlKhj5xFn1qA5zGLqwPToI/8 +Wp+VVwF9O25phV869BE7X4eAW3D/czK94psBfeTCIcryNouzMo0ueWcSSEU6 +q0bhDos/HtYu8cwi0EiXa8G9wI+XK5V4ZBPo07XG+ZWV8PmkzKXNZwnUwHR/ +PnmXxXojPpdvzIE+4jmU7YrhvDnX3lx/DvpI1dj1OlUsvmCeXmWfC31EYTYj +XQ19mfN5uDoP+sjepXWtwCdjDNqs86GPPHIMLbwHv28g2b28APqI6m7d/TUw +3wxqB8wKCTQYevjLiloWP7oaNRKdJ9DL1qwcxToW335hpGBURKA78647csBJ +7V/nLSomUNbhx6Or7sN+6Myz0LsAfaSr+/6pB3AfmGzvqn0R+ojBnzCPehbb +VP4NmVsCfSRhnL5+A4uzv+elqpdCH+md3SfzEO5zXy0rVC9BH1lmmtsBLH7f +83JaGfSRjA1OxY+gX/84PKx4mUCPv++WC22E9+usoqpQTqBLq47Ur3wM58fs +jvX4KwQ6VZgdodzE4g2v7PzlrhLIT+LGgn7gq/afztLXoI9saGLvPYHz+Gp/ +k+g69JHy//ISm1n86RwxPPIG9BHy78ZtT1lc2pGqJXUT+oiH/LhFLfC8F2d5 +DAO/uTPnoegZzP9VV1N+V0AfGW924BWwDGvS/OMWgc7tclpU8pzF924+FA3c +JlD0gz18eCuLR71ba87fIdC2aUcL1rTB+znzJqq3kkCW+8+6zGhn8cDI7fc+ +3YU+0nJD/huwvHv/iG4MfWTOk0d1HSwufxG8vKsK+sjBj1HJL2D9MqRjX1dD +H3n918DrJdwfX5583nEP+oj++P7Fr1jcWaOg1FoDfeTk3PPUa9hPh/O9ntYS +KPCT2eY3wOPW69x4XEegDWjjhLJO6CduVTIP7xPIMHXv4wNv4PPbVjvef0Cg +qV+PRtu/hZ9/7Lpwrx76iHWO4cwuuE9X+0niBugjuTe//gDOS5bdcPsh9JE/ +T4rq37F4f2p2+Y1H0EccPrmmvWcx/2MRc7UR+kjp8ESfDyw263ruXfYY+sio +CU+WdEN/jfF7dLGJQDaumodG/wd9deJ4zaInBJpXsWzJO+DV9yvi85sJNGas +87fyjyxWu+f2I+cpgb7u8LsQ/Qnup4b0pqwW6CM1x9zXfWbxD/c7D9KeQR9R +PKeg3gPrsd1XN/k59BH/iuZfwLVhajmnW6GPNDUffvQF+njLuzHxbdBHZn02 +zuyFfpGUE3WiHfpI5L/vu/pYPPPP1h9HOgg0/cWEEsRC/uho+cS8INAInXlb +xnIsvuP998OBlwT675j5lG7gre3NLuGvCPTgg3PLNR76R0HRi+DX0EeM9h09 +LGYxIo6sD+yEPnLmONrQD/dXXZ92vzfQR7hzP2d/hf1gu27D7rfQR5bfKv0D +/DjZotOnC/rI2adbmwbgefWXeHi9gz7y67Pi2W8s1nRe3Lv1PYF+2Eo83/Md +5q+Rsb/7BwJ1FE88bvYD3p+sleTmbgLdGqFlOv4niw/yTnEb/yNQhrPFr4/A +ItV9yo4foY9ccym7OQh52pZ4ee0n6COMv+exX3B/Nqg0t/0MfcTzhJLzb+iD +IeyrlT3QR6pyWzWHWHy5eea+FV+gjyjcPvEPuHT7NmZ5L/SRPS1mT/+wWMf/ +UrFZH4EePez5fe4v3D9nSVohlkAlKpLl+4ZZbH15Y48RR6C40EleFv/gvJrh +4wY8gfa0ak2bJMFhmzFztReIoY/MW97eA5xsea5Npx/6yOFNJ29Lcniq0owI +ra/QR7r8zWOlOLz33gWNuQPQRxbF/tk0gsO6niZt6t+gj5zKu6ItzWEZ487o +md+hj3y5vUNqJIfTg2L0Z/wg0FmzZ9OfAxdZLvqs9JNAURlfOvJlODzw9nvG +lEECbfkuGR84isNmu+/aT/pFIItVCsutZDm8a04CNf439JFC7eHJIg7bme15 +IDcEfUTC8lof8POejdHMH+gjjpt97hIc/rjGzoz8C33kcoBKPMnhyOK10rLD +0EeIky/dKA4XqHs8kP4HfcQj/5QuzWGPv2EnJCVI5H/njuVIhsOPnfLth4HX +j3/+rw14hN8LxSFJEhns6r1+fjSH5wZN/vxTikRTHkjtCh7D4eCM7de+jSDR +X+XJM23kOKw+tja6X5pEXUHzX08dCz8nNddxI0l076nlaR747q1cjV4ZEuXN +dl1RPY7DK+zU/30aRaJDBwMlT8tzOEL6dlu3LIm2vz55c8t4Dj8Y4Vz2TkSi +FfoFvgsmcDgxijzxhiDR3JOVarITObz1SoPXK5JEzKfnnS+A79YnWXVQJBKb +9CVemMRhre9757TSJGpJGWETpgDr571pdAtDomv9k0esnszhBpcN35tGkyh5 +hc6taVM4jP55dD4aQ6L9uVZ7vgJ7R4U/qJcj0cY/ruq1ihymJhddqRtLoiUO +QW/PTOVwz+CHnHvjSKRcGpfkqcThVlutBCxPIslRhSsNlDl8Yf3x6DvjSfRh +811pchqHbZcMBlVMIFHdzdbbr4Gv6wf4Xp9IokI5dm/pdA43+43cfmUSiY7t +kJ4dOYPDubML3MsUSORTM+WdrQqHF8c6bi6ZTKJViropKqqwn5oUNxVPIZG2 +/4rV34HHzBjYVKhIorFNbjIPZnI46dYrt7ypJPqmtr8yRY3DOx+1bctRIlFb +RPy+HbM4/PRw984sZRLd7CicY6QO+1dlZGD6NBKlzcfvaQ0OOzcvikqZTqLQ +Y22pb4Hn1ETEnZlBos0f2DWXZ8PzznuZlaBCoqVGI2UPzuHwfQvLsjhVEqmc +UcRr53LYz/hhzYmZJBrJ6QaoaXJ4o5Xri6NqJPpkYa05CDwqneg/NItEDdnu +3Q3zOEx4NhDR6iS6MLg/PV2Lw5LvMtQOaJAo1vaU3U5tDscbxSwLn00i3+Lz +IpP5HN5eErUlZA6JbEdUVY3RgfPjeeZQ0FwS6Tm3B74H7s6sLPbXJNGEa9y8 +q7ocnhQz1Lx3HokGaZmPMXocvrZm9S9fLRK93DY1c70+h7P0KlR2apPoDtZb +q7GAw7e3GKzZMZ9EWZNsyCFgqfHN4Z46JIrc43GvcSGHd+8Pu7RFl0TuD4P3 +Zy3i8Oj7S9+76ZFomUqC9m4DDvPzpk7crE8itdCiT0sXw/n5PHqV8wISiVqr +ssYZcrhv5uTDGxaSqFezw+E/4E2KRvfWLSLR40M8dcOIw5X//P/ZG5Do0luZ +2iNL4LxI1xvbLibRqUVKIU7GHF67SSdylSGJ/E7p68w14bCO6bUaayMSOXyx +6fkLbNa9RmS1hEQLzbacfYI4/D1opK2FMYkUMkLW5yyF59N7lmZmQqKhbwmM +nymH5W1ufUSIRG9WFtctM+PwsYFbesZLSVRVUB06YRmHL21ujTY0JdG5fx26 +n4Gn1YnaF5mRKNpR/KXCnMPRjo5zFiwj0bbLo84dt+DwiVXVB3TNSWRJKG9w +WQ5592rZS20LEs32WDBGy5LD4fof9OYtJxF1Z+UDCSsO/4nLODXHkkSc/Nbw +FmDzaXvE6lYkat4Zqp+3gsPGU9xs1VaQ6Mr9033+1hx+ds3nqoo1ic4oX8hd +bgN/r5qoMN2GRIFB95wUVnL4VmZ7pNJKEm14+kKuF1jBYeGXKatIZDi7v/7O +Kg7Pi7zioLCaRFMPykaeXA0/X2tTM2ENif69Ul7ouobD0jJSuvK2JHqnt5Cb +b8thlUfP8+TsSFQTuyp/hB2Hr3bUThptT6L8j1udW4Elt7ScpNaS6IhJ2LhC +ew47nfsrQziQaEdK4sOgtRxWarA4MGodiWz6LxxY4QB/T5f+lV5PonkrahYp +ruPw8XzdUClHEo3JfcmzwNPvt//5B/x1qL8Ar+fw77y0iL8bSPR8rWjTKUcO +FwaGSg85keh6ybTxHhs4XO0XdnxwI4lSZBY16jlxmGvKlP/hTKLgzasPymzk +8Ku7ndkDLiRyubltcQew2f4lmv2bSGQiF95f5Mzhz7qVd7jNJJq+48z5EBcO +p6i6rOpzJdGImoubV26C839g6vseNxL9N6V2gvJmeN8Rw4Gf3En0YN+rx2Lg +kw4SY/7zIFHR46/R91w5bGqgUvx+C4mOqxFGiW4cPuvqadG1lUQ7I6YPbHWH +55d83N25jUSrOxYVL/Tg8Bm7tTGvPEmkM3+Nm2gLh92P/p31YjuJ5I95TnoF +bPm6vrHNi0Q/3oc/ubiVwyEHrvs930GiDsOkQ+HbIB8v3Vds8SbRrcSSJWs8 +OdyS/uvBEx8SZbC136Zvh/8/bLX/450kCrd4fWEAuPtYveqjXSRyzR5wr/OC ++fV7S1u9L4lMB4nJyTsg3yRnHru/m0SqtjOebvfmsFeHLKrdQ6JRxQZHFvtw +2PfqmMHqvSTqkbI1oXZyeH+jUTn2I9Gjjdt/dALPWh+7q3IfiUquRpRc2gXn +5biU5m1/EsXRyVsO+EIeZWewNwNItGdb6RT73RxubNhYdj2QRPa4rkV1D+Sx +KfK/GkQi/UmdR38ApxqvMCrfT6KJe76h+r0cdvuxf2RZMIl+NZCDqX6wPmef +NJeEkOj1DJVL3vtgnvlZZ10IJdHdkMXblvhz2KKA3VkURqKzz22njg7g8Jbd +100Kw0kUpen1vAv4gmzBuPwIEm05FHm8PJDDBgV3es5FksjibbJpdBCHF0T+ +rj57gETqiy79ctgP6/N4c0ZWFImIU/fLZgVDHt3vC8o4SKK+nk7PX8BDZ3PW +p0WTqMn0u9KjEOhTZ8IXpcSQqCydassIhed/eXBK0iESnf6mErsrjMP2hZcl +Eg9DH1lpuAyFw/7Uk/186gj0kQK7IbkImPc3Dz+NOwp95J9X+QdgU2/tythj +0EccD3hdi4T5Fi114fhx6CNlKdMOH+DwIcPhtKMnSPRWVNbuGMVhvXqV2MOx +JKp2f3By9kEOa7r7HYg5SaLc22/M/wBrLWUDD8aRKEb+x5/H0dB/Mk/tPhAP +fWQnfTU7BvKqxNU74hT0kfuq3nsO/a9fOW0PS4A+omw0w+ww5NujCM+Q09BH +guxfyB+B92nVvH1/IvSR5h3xH4FJZxufwDPQRzSilt88Cvm7+Nse/yQSXY1K +HT56jMNfp9bv90smUdKrsmsbj8P+XVJ/cE8KiYL06n00T3A4puVbnG8qiZxi +36r8AzaTX5W1Mw36yMcfL5tjObzS8Hmpdzr0ERMm4dxJDosjDld7ZUAfSZlp +tS8O/n7y9jbPTOgjYiMJi3hYL7MAdmsW9BGrtTcmnuLwBN1ymS3Z0EfOee/q +AR6arjjD/SyJjg5FzbydwOFMy6smrjkk8l6b9vrEaQ7Hvg3evOkciVaWXD69 +KRH6pPLuA865JNKSaVihfQb6G0rMd8qDPrK5S1IqicPbQj89csyHPnLj581n +wMPEjm/rCqCPjBm9Oz8Z9s9MRWWHQugjXmqzAlNg/0kPW9ufhz5yb8kby1TI +x67RIbZF0EemOJyZnMbh+QO2F1cXk2jTPh+bPmBl/9q3Ky+QCD0+OOJuOvS9 +bM/xNhdJNEMt/VZcBvTlYsOVK0pIJB1RvsctE+ZDMzpsWQp9pL1BXTeLwzNW +BNZYXII+ov3urXQ2fP6at5LmZdBHjg4mtQHrK+43NbsMfeT96FXnz3J43adl +MUvLoY8YzhoZnMPhL19MH5pcgT6SaHzH+hyHrVz95IyvkkiXdfCbmsvhtsg2 +J6NrJBpvsXM2DyxxyKdg8XUS/cyKfleVB+e1SP/bohskevEzPSUhH86Lqs6y +hTehj6y5snpLAYdnarsn6VdAHyl6KLOgkMM/ZO5/0b0FfUTqfeWo8xxe+N5l +qc5t6CMbf+17AZw+pJGmfQf6yNUxcy8UcfjNidnf51VCH6HVP4QWw/58stlO +8y6JZLeZpK26wOFFww2X52ASfbm7znbaRdj/dl7jZleRqHHiLtmvwAojjQPV +q0lUujsG15RweI+tZafaPegjDRkBZ0o5TO85Yj6zBvrIjKuanpdg/2QOX1Kp +hT4S8qh7URms19gLijPqoI88f59OXObwUtmTx6fdhz6i+dvuNTBfUfhH6QH0 +kRg5orScw2lug75T60nU+Ua9OuIK9BGDyP+mNJAIL0RBtlc5PC7UxGXyQxLl +xK/XUrnG4dMeC9onPSLRwZ5dH78B+8/eaj+xEfqI6aHM+9dh3pONT8c/hj6S +nrk25QaHTZC3nXwT9JFvV8kdN2H9pM1axz6BPrKy8Z5hBYdLTtk7yTVDH8n/ +sJ++Bfmpkvlu9FPoI8O/td8CXx5Q9mZaSFS+fuznstuQn0te/6CekSixTCM7 +6g48v2FLNPmcRAGipevWVkIf1pAaT7SSyNHdkVa7C3mBfApl26CP3Pat/Qm8 +pXKM0ah26CPyh0MaMPS/F3zLyA7oIz5ZOulVsF+einykX0AfqbvW41MN+/PN +plEjXkIfUXp81vgezGMjPk/yFfSRwO71Y2rg/c26vUziNYkONw8x74G/dNz7 +OAzspTHu/pVa+D6xMsf/dpLIOmp2WEwdzP+IQ/P/vCGR5quleuvvw3nvNX35 ++y30Eb0NveoPIJ9Io5hfXdBHTuw+9xvYXnGvzuA76CP/Hd7QWA/7x/G/dz/e +Qx8xzh6T1QD7dejM6e8foI8kX3/g+xDuKyh6+bdu6CPix+FLH3HYdUvZ36// +kcjZ6j/9cY0c7s+ZfL3/I4mMz/3p6wYeo1+zW/yJRNOGxuVdf8zh0A3Fmvxn +EkmtnbPxSBOsh3VzH9sDfeSi6VinJ/A85vqlfV+gj4x0apjTzOGK6Bd7enuh +j2zaE/kXuHpZ5cIvfdBHbhxZ+OQpzIfqrn+fWegjY85yZ1ugvy9c9vATB33E +60b+3mcw3999SvrIk2j+vSbnZc/hfvPn0db/xCQaN+XjuAmtsH/qxAu6+0n0 +3e/vw0/AYwPXER++kqi9UT6qoo3DUav+vn03AH1k5lyD4+1w/g7/d6PrG/SR +cDOxcweHD24enfD2O/SRdqfCeS843CEXsuvND+gj2ns3SbyE++Ir9ZWdP6GP +HD06vgV41+Ckea8HoY+8P9uY+4rDd/Kt5V79IpGM4c2D/q+hDytX/3jxm0Sf +Tz9ZvLyTw465+990DJHoYd/H/klvYD/6+Ne3/yHRRfPh81+A91dcvdr2l0Qn +s8a73nkL77tuYW7rMPSRn3MnnuzisGHT8Onn/6CPrFnWtPkd5N8Y4vAzCQrp +F22Mmf8e7vf1G0JbJCk0UcrPaMQH2G96nN9TKQr9cjo28By4I+7BzuYRFHp1 +Jae4oBvuzwofvZ5IU6iSqnAL+g++P7Vie9NICmVvbZ604iOHJ54f2P5YhkIH +7n56MuUT5Lf6B+/GURTymPjvEAt85eGkPY9kKWSxe4Ix/gzzoDEx6KGIQuoN +mt/je6CfeK+PaiAoRMwwv+j+Bfp2t+vJepJCfcHOHnq9sB/2Xc54QFGo6Znf +ZJk+yBMnq5L7NIXK5h5/2g6s/Ey9qo6hUELMuSNFLNyHFNe01o6m0L43FSYh +HOxHP9xXM4ZC6xY+/WHDc7h+fKBMjRyFFsV/LlESw/1jaeCMe2MpNKXn3xYx +cLB+FaoeR6G/Sycq3uvn8JR5dm5V8hR6mzbv2emvsJ+8NKPxeApVD5gf2zoA ++03NrujuBArl2rgsXfgN8u1cVXPlRArF5O8blP3O4arJ+3/fmUQhz+Hjl14C +xzWFqN1RoJDV+txtF39wOG+ofu3tyRSaU3ZravhPmI9drjG3plCIFrU8Xz0I +eXjL7GaFIoXEbj3Hp/+C9a/fw96cSqGWWxJmA8DOVr2qN5UodHXcpN+1v+Hn +wWWbbihTKMlH63LSEIet06vTrk+jUFCdxfbtfzh8pEfpxbXpFHJS2qS8+C+H +/+XWK1ybQSGjQP82chjubyLsfFWFQkrNJ2I7gSUcpc5dUaWQhEbeskv/OPyu +KaGnfCaF3h+4PRQpweP8i7t1y9UoVPeypdxOkscTtTMiLs+iUKHuFy9VKR4z +KeObytQp9P8+HI99VTqVyjQowYfj8Wy5gT2XZlOCD8dj47PrH5TOoQQfjsfX +RpPKpXMpwYfjcWEWvb9EkxJ8OB4bnNjcenEeJfhwPM6YKKl3UYsSfDj4/Miv +iRe0KcGH4/Erab3B4vmU4MPx2Pm/OpdiHUrw4Xj83fV8XZEuJfhwPJ5045VW +kR4l+HA8tpjlmnFenxJ8OB4T/frk+QWU4MPxeNjeJbRwISX4cDyuj2vjCxZR +gg/H4yndOVsLDCjBh+NxRTzuzF9MCT4cj3e1ajnmG1KCD8fj8V+/Ps8zogQf +jsdJaqK1eUsowYfj8afyva25xpTgw/FYtl1rQ64JJfhw8P2eobfnECX4cDwW +fcr3PLeUEnw4Hs+z3/w1x5QSfDgeX16/MyLHjBJ8OB4/12tmcpZRgg/H497Z +R86eNacEH47HdsFn9M5aUIIPx+NAj8GH2cspwYfj8VO1yx7ZlpTgw/H4s3TV +cJYVJfhwPF5tPDMjawUl+HDwfse9M8yypgQfjsfZdQOdmTaU4MPx+GuiS1Tm +Skrw4XjsdU9JI3MVJfhw8H5PLmzJWE0JPhyP5xieD8tYQwk+HI9tZPfMybCl +BB+Ox4YLE16l21GCD8djaRF1Mt2eEnw4HtfcfWOavpYSfDjYX6nE7zQHSvDh +eHz/ZdyVtHWU4MPx+GDNLt+09ZTgw/F4+plCzTRHSvDhYH/EL+ZSN1CCD8fj +mMFZl1OdKMGH47EmvTsgdSMl+HA8Dh8zzjjVmRJ8OB6Ty+RkU10owYfjsV/P +jucpmyjBh+Oxp+m03JTNlODD8Tg3fv6+FFdK8OF4LB6fvTzFjRJ8OB7Pkvea +muJOCT4cj+c+jvue7EEJPhyPB6LGNSdvoQQfjsdhgd8uJm+lBB+Oxy/6dE4k +b6MEHw6ed+aTncmelODD8TjKvcE2eTsl+HA81vk0fVGyFyX4cLBfvndMS95B +CT4c5MkTjkz2pgQfjsdTb3r8SvKhBB8O9kv/wp6knZTgw/H4RqX3q6RdlODD +8bhr878nSb6U4MPx2GPywP2k3ZTgw8H+01pelbSHEnw4Hs/4MHQ7aS8l+HCw +3wPkbiX5UYIPx+MmzSO3kvZRgg/H4/4VrpVJ/pTgw8H6yaXdSwqgBB+Ox941 ++g+TAinBh+Pxgzzd50lBlODD8XjN4OmupP2U4MPBekuu55OCKcGH43GsVJhE +cggl+HA8vmIiI58cSgk+HI/5oa8ayWGU4MPxODPYzDQ5nBJ8OB6r//vpnBxB +CT4cnPfHY4KTIynBh+Nxw6yTqckHKMGH4/Ffu923k6MowYfjsWXCjbfJBynB +h+Oxi8YWmZRoSvDh4Pc3BmilxFCCDwd5uZNzSjlECT4cj1VyG4+kHKYEHw72 +p4lcRcoRSvDheNx56E5vylFK8OHg/FY2TUs9Rgk+HOz/WZaOqccpwYeD9y2r +kZB6ghJ8OB5L5O9pSo2lBB8OztvSGXTaSUrw4XisNsNwVVocJfhw8DzH75xK +i6cEH47H66oL2tNOUYIPB+sh+1s5PYESfDh4HwXXd6SfpgQfDubZm9c30hMp +wYfjsdZ3n1EZZyjBh4N80fbckJFECT4cjxufPSrJSKYEH47HH1XTpTNTKMGH +4/GG7a0umamU4MPxWP5DcEVmGiX4cJD3L49PzEqnBB8OznOCbFBWBiX4cLDf +bPtfZmVSgg8H+bDRAmVnUYIPB+f9x6jz2dmU4MPx+K3ngrFnz1KCD8fjw9+e +hp/NoQQfjsf/3r1kz56jBB+Ox7f9bTfn5FKCDwf5+F3/WU4eJfhwPB5XcMTq +XD4l+HDw/z+2uneugBJ8ONjf5fuX5BZSgg8HeZA66XbueUrw4Xg8rV7NMK+I +Enw4eJ6YvMq8Ykrw4XisNCHBNP8CJfhwPJas5hvyL1KCDwfn81GlfUEJJfhw +PC4L/fO2oJQSfDiYpwoXdxVeogQfjseqPY3/CssowYfjsd78zafPX6YEH47H +Ros8NIrKKcGHg3xf9qK66Aol+HA8rsuodi6+Sgk+HI8XHlb8XXyNEnw4Hkeu ++JJ64Tol+HA8XqI5x+jiDUrw4WDeB7ztuniTEnw4Hrd7jzpSUkEJPhyPFzvk +zi+9RQk+HKzP/iudpbcpwYfj8W6lRScu3aEEH47HM0/MX1JWSQk+HA997ay4 +7C4l+HDQB14fLLiMKcGH4/H8VZ2byqsowYeD/Zd3UeFKNSX4cDzOU/vaduUe +JfhwPN6qWJp0tYYSfDg4b4+7HK/VUoIPB/9/xLGp1+sowYeD9Qq90H39PiX4 +cNAfiGWlNx5Qgg8H+bdnbfDNekrw4SDffr22rGigBB8O5mtPp8Kth5Tgw/F4 +0bH17K1HlODD8fjRjBU1txspwYeDvBi6ln7nMSX4cDyWc04PqGyiBB8O8vrE +b/u7TyjBh+Px3dZnuriZEnw4HlMRsyZUPaUEHw7yq/n776oWSvDh4PuMM3lf +/YwSfDjoL3EjGu89pwQfjsfHYs1u1rRSgg8H59PhX0FtGyX4cDAvtRam1LVT +gg8H/W9r7/H7HZTgw8HzmyhGPXhBCT4cj/+MfBhc/5ISfDjIR8nv/g2vKMGH +g/4Wmun38DUl+HA83lFZ4/eokxJ8OB5/Y7YHNL6hBB+Ox+UVx0Iev6UEHw7O +g8zcg01dlODD8VjXxCb2yTtK8OF47HCxN7X5PSX4cDzenkwUPf1ACT4cj6ut +im61dFOCD8fjh1R907P/KMGH4/FPDc//nn+kBB+Ox81fDg23fqIEH47HbMqs +ye2fKcGHg/UJs1rU0UMJPhyP0z/0Ob74Qgk+HI+Lx40NfdlLCT4cjwfXV+S8 +6qMEH47HPr/fN7xmKcGHg3m0LO5bJ0cJPhzMywN3p7/lKcGHgz7xe7dtl5gS +fDge//5VcPBdPyX4cDz+0uB68/1XSvDhYL9czeI/DFCCD8fjx9JuGv99owQf +Ds679PmtH79Tgg8HeTfsl/fpByX4cJAXRnX/ff5JCT4cj/cTGRpfBinBh4N8 +Lx/07f1FCT4cj+3DX93s+00JPhw8T8UyaW6IEnw4mPeX9Oz4P5Tgw/F47IUL +58R/KcGHg37zo+h7/zAl+HA87nutZT3wjxJ8ODg/RUtyv0nQgg8H8+38s7/f +JWnBh+PxSpWvTj+laMGH43HwttRbgyNowYeDz6tsUPwtTQs+HPTVvQcPDI2k +BR+Ox7Yt9z//kaEFH47HMuqJdsOjaMGHg3zCvXf/ydKCD8dj/OGxpiRBCz4c +jxe8W5wtRdKCDwf9coTuOGmKFnw4HvsnXT86kqYFHw7W72Od1CiGFnw4Hm82 +dguXHU0LPhzkQ++RP6IxtODD8djJYEkYKUcLPhz8fF+4BD2WFnw4Hr/+bHOI +GUcLPhyP6Q/nmTHytODDQR5eSkiVG08LPhx8Xhoxa9wEWvDheBwtHnNDfiIt ++HA83jucbzVhEi34cDxuG/PwzUQFWvDheLxzX1iAwmRa8OFgvQKqxkyZQgs+ +3P/68ukSRUVa8OHgPB36YaM0lRZ8ODjvWl84ZSVa8OFgfhfvOj1dmRZ8OOgr +5tGLVabRgg8H923rOd2q02nBh4M+RW6JV5tBCz4cj0e3zDNRV6EFHw7Wlz0u +1lClBR8O8qgoJG/OTFrw4aD/Ogw7aarRgg8H59tYXl5rFi34cDyOKK98oq1O +Cz4crN/w71gdDVrw4WCe7W5cpTebFnw4OE/rdMYumEMLPhyPjw7P7Vg4lxZ8 +OMjL63fOGmjSgg8H/abxnbfhPFrw4eD7nMwwWKJFCz4cjy9ZsyITbVrw4aAP +2j5/jebTgg8H+cjalpvq0IIPB/vRd9uxZbq04MPBeZk5dquFHi34cDzetsnB +1FKfFnw4Hmtv156xYgEt+HBw3zyVK22zkBZ8OOivsy/1rFxECz4c5E2E/dPV +BrTgw0FffB9/23YxLfhwcH/J9jxvb0gLPhyPL4zqSHYwogUfDvqT1/uj65fQ +gg/HY6txh8M3GNOCD8djN4f7/htNaMGH4/Gd8LO+LogWfDgeV74Z77N5KS34 +cDzuqFXzdjOlBR+Ox0mRz3w8zGjBh+PxSTfFPVuX0YIPB/eZG5JBnua04MNB +Xj49eMDLghZ8OLj/SeTGei+nBR+Ox5MSN2fstKQFH47Haa8qSnytaMGHg7ya +VV69ZwUt+HAwTx9YdvhZ04IPB3lAhff729CCD8fjc+Z2dNBKWvDheDy5tm5O +8Cpa8OEgL9s7bEJX04IPB8//9Pju8DW04MPB80q+TYq0pQUfDvrLzRYcZUcL +PhyP45d79kbb04IPx+MTwxkKh9fSgg/H48J5+1YcdaAFHw7mgzobdnwdLfhw +MB+XyF6NXU8LPhzMn7t1fXGOtODDwd//mamesIEWfDiYD5Ya2xKdaMGH47HF +tycFSRtpwYfjccCayV9SnGnBh4P9Wiqrne5CCz4cvA/X9KDMTZC/XyaOoSTE +WPVGS032ZhrNTs7t3Qe8V/qS3DlXyGMzrQedwH9Ttd3z3GhEiW+ds5AU44iG +9dcK3CGfMyzCLwHXdauSRR404ixbNkySEuNLS1I8LmyBvP7uon8AWEut/G7J +Vho15/SM+QJsJd6rWLYN8nuVf5/dCDGW516GlnvSqPy3RP1t4Ft7vry9uh3y +vPBErqq0GO9/nGN+w4tGifaTImKBs+wlSyt2QL5L5Dn9AI5dJ1K4402jgIta +CzaPFOMK9VuH7vpA3jvelqsHvqAo/7NqJ40cRy5ntWXgeaIn7qjZRaOHl1vq +U4E3Xq19W+cL53PTpjypUWJ86O8Ux/rdMA+ILxHewGuuTH32cA+c1xv+G58D +n1V/uObxXpgPHpILl8iK8a6y6U+f+NHo3+jYsQXAdZmqa1v2wby4M4ljRGJc +Y/bsxXN/Gr3bntcQCJzxXdO9PQDmx3jt/C7gUzL67ItAGtVU3460IuD7NXwO +fh0E82TXcudyYMvTVuTb/TTKn/xs4RRSjMXX1me9C4b58mDTuGjgwzHj9LpD +aHTY7wvXB1zmENb4MRTmjXLAQwdKjGcEn/HsCaORV6NkwV3gQgvnkX3hMH+C +Yg/MosV46uiWfC6CRtYzFVzigf1n/bTsj4R59DRv0S/gyx8ecQMHaKQZri3v +zohxT/Ka5B9RMJ9m3+EfAlumxJj+Ogj50b78ke5oMaYMvMVD0TCvDj4ryACO +aZLMGY6BPNHaHDVyjBhPLLFaK3kY5tfrLy67gA01zAjpIzR6fiTAoB04NJm7 +J3MU5pm+1HgkJ8ZBNjbhomM0uv4uVnwe+H2GsxF1HObbSYVGubGwHzuV/zIn +aJSyOL8wGFjdI6lKLhbm3Sftgx+AH0VVHZI/SaPg03c22YwT422nslZPjIP5 +Z2K5+Brw6G7tKZPjaeTc92y8krwY9z0J7FE8BfMwZXP/IeBFxX63lBNoZGze +28gDm9arnpxxGuZjf8B5x/Hw/iOOb5mZSKNpWVLR1cBaM88vUT8D83LFyc2z +J4jx2NEhCnOSaCT1U8HwNHB5gvSgZjLMz9z8CX+A7400f6GdAvm2ev7XLRPF +eNRdo0rdVJinf+48fgzsJNeXuyAN8u68ZdGCSfD+HOxjDdJhvjo8j84G5rv2 +7jfKoFGRpKurrAK8D5H1dpNMmLelvYZ7gF+ovt5gmkWj406BE18Cdx1VW2We +DfNXZsSA6WQxLjk529zyLI12XjnZdAE47+hnY+scmMebJxfLTxFj46euhqvO +0Wg1VRATBix75/Ri21yYzzfnu30Ezj17wGhtHo3mb600Wq0I+7119tL1+TCv +5awm3QSeXx5n6VRAo3F3nw9Mmwrv40SZnUshzO8drk+OAkfdid3sep5G3yf0 +FX8Fdk6Z5etRBPO8JvDQRiUx9gsMP7CtGPqj7wj3WuBvZQlJXhdgvivGLdFU +hv2dsaXU5yLkb/1khSTgtfHf6n1LYN77F3wbBv7Wbfpxbynk8XSdZs9pYnyw +f7VMwCWY/02VF5qBE2lFjf1lNAoPtjpsMF2Mn8QXrgq9DH1ArdX9HHB/y9eA +iHIauT5zNSZniHHjnOGcqCvQDyL6FPYBmw80PIm5SiPTuUHfXwM7HFgncfQa +9IWOEU/NVcSYnpene+I6jVRj4i6WAsfZXvGKuwH9Yf6UIxNVxXjcsuhzCTdp +JPOmwCMS+KPLxDdnKqBPHNMx6QHe8dNHMfUWjT4vuDvZbib8vleMS8Zt6Bcf +rH7cAt5JuuVk34E8i2t9qqIG52uhxOdzlZBnRm4lJ4DvL/OYX3AX8uxz35Hv +wKaxx8KKMOTZmaAtm2aJsZdXQOPFKpgPS6XRA2BNnVlKZdXQR7i4KdrqYpyM +MvdeuUejPWlTfqYA32M7Gq7XQD+xKGyR1ID9lPBS5VYtjewHdEp3AC86ei6y +sg76Svbdo8+AVWfP76q6TyN9mxVbjWZD3j85ZFr7APrLYCvKB457mlv4oJ5G +E/PdFJk5Yjzv+JHRjxqgz9iyPwOAcxz0g5se0ujX36Bnb4EvHiv+9PQR9Jti +6UuWc8V4dvTn9a2NNHq1Lv7YZWDZDP5hx2PoOyMUt03WFGObuVXodRONKi8V +Lj0IzMQ5Vbx9Av3HWXdqH3C4Etb70EyjbFk8uHaeGL/R48o/PoU8u7bieSXw +kbmfdb+0QJ65tV1S0xLj3s0lN9hnkGe0+/E44GMaxsb9z2m05Ra7bRBYojvt +wbdW6Evb9pu6aYvxr54a+8E2GlmMG6n0ENgwqeL9UDv0Jxz/S2e+GGebhe77 +10EjdR/F1nRg+ZWUaMRL6FOTzpdJ68DP6R1nZV7RiKjTPbET2KnrzGLiNfSr +PdizDdh/Wnw73UmjvqnWZia6YqyvsSFQ7g30rYdtSueBvzv0K4x/S6OmAPff +Y/TEWE1qA57UBf1LhWvdD7z6aLyn4jsalT3Zf/k9MLk8Zey099DHQkfGWutD +nifvrlL5QKME9VPbrwJPblXYM6sb+lmr4rKpC2A/uiSqzvmPRvsOnFc+BPzl +WNfLeR8hzzT1hjjgwlvDp3U+QZ69xG3rF4qxtyW/esFnyLND1uVVwDviy5nF +PTQy0G2P1VgEecZZNi/5An3urbtXAvDGqvLEpb00mnKCWzYEPNNNvNG8D/rd +ouBpWwzE+KWmlJoVS6O/3SP/NAJPPfj5qw0Hfe/UqXb9xfD5lbn31vA0ertk +6pUsYKvFemfWiqH/fTl/cpQhnDeHtB2O/TSqTtLbsRs4ZGebqfNX6INmVeYv +gBXefJ7qOkCjXN56uqkRzD/pliGPb9APM9r/FANrmCS89vxOoxhLj45xS2D/ +ftGo8v4BffEbdyUU2MMjtcD3J408c4Lj/gO2kn0X5zcI/XGljPcqYzEOXCAZ +GviLRla/T1ncAM60/r0j5DfkWcHUGdNMYH/mNzpHDEGe2Rf9PQL8MyfI9uAf +yLN/ei/6gQezhi0P/4U8u1h11QmJ8dHfm8yOD0PfdLSJrwF+ODYNxf2jkVi6 +w3vuUlhf8zJ0WoJBbpc9lp8BNv+aY5YsyaAWF37GMPC8AF+rdCkGmREhw9tM +xVhFW8EuewSDrl6XefkE+Hp4tkuuNINmeiRcW2QmxserpHwKRzIoabTSqRxg +t7VmYRdkGDTqTpEPsQz2T6rbqUujGBS0Xd/SDziIdTl/RZZBPfLVKq+BC8oW +3bshYpBTtc2/ZebQH5d+fXObYNCjnR0vS4Br/h0bxiSDjCZvuT7BAtZ7xYjp +tRSDSu7zpyKATSI3WtTTDFLyC9n5GThYMnFXI8OgOOVRVrbLxXiLZklq82gG +STQmqN4CzvUofPB8DIP2BClJqFjCeow4ONghx6D3qsWvjgMr7EJzO8cyaO1T +/RvfgAmpLvd34xhUF1ad4GIF30fZPeM/eQYtmL1y131gx1kPOnrGM6iwrcNK +awWc96DRk7gJDJp0cMvMFODefYZOXycy6KiWWELSGvIixDr7xyQG/X4V8toL +2Jo1+fRbgUHeR0bdbAGumK2g828yg17rnT5taAP7I7E9YoQig1a+U/LNAzY5 +HtI8aiqD7sYWr6BXivEH35GqlBKDtBYvUAsAXpnrHzxGmUFnP1ZLvgUOPdT4 +TH4ag+ROr+xcvgo+P5jQVpjOoCiTFzfLgAu7tOKmzmDQQO+WRIXV8PyTl/RP +V2HQlhSxbxTw0iM669RUGdS6LNS6FzjpxJi7s2cyyKJ/1Ky1a+D9HWlX11Jj +0I3M01KVwJPeHE7SncUgjRXKb2bainERN33UInUGpf0orjgJrKNYEGykwSAy +d8GZn8BZ9fL9aDaDQlff2+1q97+82rXDfA6D2KGVNg3A9mpXPlrNZdCm8y9m +6dhD/03/sHWVJoOerN06Ih14ooLEZ7t5DEKS/W9GrIW+ISHauV6LQZdLQm/5 +AFdcGf62UZtBM5xkk1qBLZLeRbjOZ9BpmcQ9xg7Qn4lyeqsOg6SvKK8sBEZ+ +u7O8dBnkv/mC+ph1YmynO1Vnlx6DPpILpfcDXy65Wb9Xn0Hrb957+w54l7mZ +e+ACBtVvWXV7xXoxLnWpHA5ZyCADuZdJV4CvbJiVFbmIQcWVW/cqOopxS8YB +FGPAoCk7+lfGAIcda/zv6GIGnZgQpsEBGx2SPXnSkEHD92RHrt8AfX5A3+C0 +EYN8fRO7MHDCAodPyUsY1DVl2h11JzG+Vr4tJcOYQbb1F5JPAUs17rDJMWHQ +vX0L/X4Dh390G1GAGKQ7vWaVx0YxNttmU1m8lEF5j1fNbgQ+dGl28CVTBo0P +fjlS3xn6kNpfg6tmDDqktu1dJvAzrdo/N5cx6GdL/x0ZFzGu1oq8V2nOoO0R +YSm+wD+OzT9+z4JBL+aI9nUATy/oWPdgOYNWdCSuXroJ5slH/5mNlgy6HT1t +TjFw0iXRz2YrBs2df1Fm3GYxrvRMfNS6gkGZnQvfhwCf9puQ+9KaQcyxmspu +YItF8WFvbRgUsWB16kpX2J9TpDZ2r2SQ+P3LfdeBdx7wMexZBXkWt22Nshu8 +7+YnStxqBj0z/DrnCHDsrrkjB9YwaNnnsFH9wIlVUdxPWwZdSxR92OAO96+5 +z17+sWOQ2tIzd+8Bu05Seii5lkHJ7LS0OR7wfD0ed2QcGCSbdtE/EXjLqPzL +5DoG7bdYZPsXuLntXdGY9Qz68rVm7rYt8D7vTs4f78igjdmrZZ8A16quyZ28 +gUGN1q8+LNwqxoq7o/KUnRi0ZHAbPgs8dlT5edWNDCrN+5om2gZ9Zc3bSxrO +DFK2DQ/YC3yinLg1z4VB8X9Fdq+ALybqPdDdxCDJ4jOayzzhPuri0r5oM4P2 +rpsuKgEO2BfzZYkrgz5IlXSP3w59FJVImLlBnl1aVBUOHK7eqmDpDnm2sTb9 +E7BN4l/9lR6QZ7JrAtd4ibFur5qD3RYGnb/6yq4C+F6ibeD6rQxScPOcN2MH +9LHBsAznbQw6Rg+IjgOfCr9Y6+bJoKGK8P8GgH1PdvLbtjPIZxtR7ewtxtrx +cko+XgzqHJuUUQf8X6/l6j07GLQKTw+a5yPGN0TRBwO8GYS9S+yTgb/Y1twK +8WGQ9iQDLYmdYtw5d9T3yJ0MyqmtJbyAR41Yo3NoF4PG7lnz8Snwb6Osvcd9 +GXRw6uvqxbvg/Zh9vRa/m0HfGjwzc4HJCJs/Z/YwaGvAQBDlC/3escQ8fS+D +2mZErPUHLjEYn3DWj0HLnxDab4BvHYt+l7+PQTdDksjlu6EvXRvSveAPeaY+ +49MlYMMZIUfLAiDPnpfcm7RHjDcbjnh/LRDy7IBB1gHgMTsSl9wOgjzTrNv/ +BfjzRK2Mqv0M4l6scbDfC/O99NnfumAGbT70WvsO8N/kKPdHIQxq1tlOzfSD ++8YSo4fNoQxa+nbgUyxwBCGp3xbGoPLjETU/gOs8n557Fc4glUVk9uZ98Pe4 +ZNy7CAYldicF1wOHhiUd/hjJoJGnZqyb7w95RZwY7j3AoIAlpfPTgNVaTgb1 +RzHoU48BPSJAjA8YZ3//cZBBjkl1n73/x7cq/f9EM6jB1Lb2OfCIy18GJQ8x +aDH/OntJoBgPnVCLGHWYQRfSt4cUAAdX7JGljzBI0fLbutFBkH85jYljjzIo +9luEThBwZ9lC1UnHIM/Oksw74B12V65PPQ55tjK5x2o/5Eu/iY3KCcizXzPq +yoHLfnd2q8dCnhWUnp0SDPnWERs57ySDauwWh0YDX/m5WlkvjkF6/+rWs8Cn +a1SrDeIZlH/BVnddCNwfz1PbTE4xaIJjJ4OBtcfKMuYJDDos7fVlVijs950T +K1acZtBg2be6eOBRaoaeaxIZ5OUSmfMLuP2836R1Zxj0UkSFuYfBfHavbtyY +xCDr68mOj4AZrBrtlsygO+4qenrh8H7nZBl7pjBIc/Sl0ZnAt8bP++OTyqCs +24t7R0bAfbPn+Z29aQwavf3+/V3ALvKnI4PSGRQpb3euHThihNfy8AwG9Vd1 +hqFIMV6sul4uOpNB7ju9NhQBD31weXM0C/JM4bve2APw/cvCSuOyIc/uR44J +AX724/qBM2chz/ZSfR+AbWcSjuk5kGfKKQ9souA+XxQ4P+ccg1IeqeReA67r +/0cX5jJIFHQpXOkg3Me9c9mLeQwKVjV0Ogz8Mca9uTyfQb3N9/XFwNtKl1y/ +WcAg5zA7uQ3RYnzVXj/7biGDHmu86asGduy2Ol57nkHGbV71s2PEeKApJPhh +EYMuRX3PPQ1sEfXIp7mYQdO0DkT8AdbcaeD+fy3ad1yO7RvHcXu7r6FsEZFk +z6xctuyRyIps2bKyFZWdVZmlrEgom+fI3qWSLaGSkPu6UpHw+/5e5/Hn58Xj +vu9rnOdxPq/3sxMmLeB1hdGT12P//3t71JuTJq2YX1DbOHTU4XkuHyJN2oLW +Nmo7X+x3CZ2GZ54yaWmpUd8OonfotiOyo0za8M0d75fxw7y/sNWYH6dN2p32 +d8PnoS88dJtUcMaktcsYuvoVusTkyDlForGebU8Z3d0fv/90zZWlYrCedZnR +7iT6udWprRXOYT37kqtabjBT69ru4ep5rGeBa7JXoA3r9lerXjBps3pUfJCB +7hXY6rnVRZOWYg46PGgj7t/3Ibk2l0zaoP02ay6iy+3dWdn+skmLdTo9xnqT +mTzLFXZoccWktcjr6LAB7X7Kz73dVZN26NDdSjnoPfkdNne+ZtIqDRr2ffRm +vM8ula50/8+k+fxOeXALvbGz+tWJTFru0RlHmmzBelPToc7gWJM2xTlvzW70 +2UnrRrhcN2nPi6wd+w/9xrcgYMwNk9YnsmL7aVvNNDg/MN79pkm75BpskYAO +sRknT79l0hqVqm9uvw3v75oBznNum7S9Z08/PIQ+uWrK3oV3TFoFt05Hywfg ++gYcT19216StKH9vrSf6mW2VVmvvYT27MGzcW3ThuShvv/tYzya9a99rO/bD +yAXPtzzAeiZ7WEahY9ZMbLrroUnrdi3PXGUHzm/hq/z2PjJp0dPXPlqNJt97 +6aGPTZpNZdOxz+iOBx17HYszabtuBHsP3WmmuWM/HD8Vb9JKzanvdgXt3CZG +PvfEpC2ucaaDzS7M28ExXlcSTFrm3U6VN6MD/n78dD3RpLl63tNz0TOedRt5 +L8mkPajj/HjcbtzPnQkP456atI6P3x27i46J3NYtOdmknVzq4dM8EOfrnauv +vn5m0mo1yHcLRkdcDW3/4blJ25K4tmOxIMzPe35cynxh0v6tNFXxQP8LXdr5 ++0uTNtd+j5GEtu/d4lbuK5P2/nn9uE7BZhr4t8bAwtcmbajPmeOH0fc6dnhd +7C3Ws+ad15n2mMnSc5NH2RSsZ2/vjV+MTqpR6Z/0DuuZv3OnVPSVo3G7Kqea +tCptU6s47cW8voma1Xpv0vw+eOScQW/pkvGo3geT9mtLflz1fZhn23Sf1eij +SZvR0TvCG3334zO5RZpJe/3JtP4rOpRCL7RLN2n9d+6ZMHw/zouO4RMcM0za +Na1B5//Q0rMUU89PJq3ptzNVbQ+YKe33EOqXadIOBnf+sRX9ov7f+UM/mzS5 +1/34n//v+x/tXLNM2hrD+cSEg7i+Y4unuX0xacaB1PUP0ENHjg2d8tWkTew3 +071ViJl6NDMmzPpm0p7m53feh27peqO+Z7ZJ6xnuXa1kqJkO94/76vXdpJ0f +LOXOQvdZXfnCGrNJs/2z58kz9IcZe338dKxnxxuc7HLITKO9xw7famA9cznr +ewx9WRtrtzsH61kxx4lKGPa76nuL7P+B9ezUfUcv9Mf1VV6H5Zq0saOHV/+I +dv2beDEiz6TFlX6f2y8c7+/Px8Fn8k1al5iZCTHoA1/KrLz406SdHv/zZK3D +WC8G+0ymXybNuqKP33p08EFt8J0Ck7b9kjTpOzpzkKPj498mrfiUvV1GHkG/ +XNHsaaFJ81Rta1xHvzn3r97rPyYt/b+zeXZHzWQ75laND39NmouHY+J2dP+e +jyp//mfS7lZ5EPkbnf25iqW5iKQ53BruP+mYmRJuHK2cX1TSjs99P+kx+nmf +5TX+FpO06rVmaW2Pm6lk7q56JUtI2sb7P2scRC/XcptWKClphQt98ktHmGlt ++J7OlUpJ2qy6ctJctNee9YOql5a0lLi9p16iP+29NMm6jKQNWma7odsJvH91 +W69oWFbSYm2jJ59AvziaF9SsnKS1fOrY1eIkPu9I0Ytty0ta2OoHNVeg/+4e +/qpzBUmzaOLyMx396Yfxr0dFSVv38n3SwEhc3w6vGvY3SVreullRF9CPUssP +HyZJ2tSWvzbUOYX1e6KfzyhZ0l6k+EzxR3t2HnxhgiJpThvlbgb6UebEb9NU +Sbvcbl+t0VFmOpF6s8HcSpJmn2b76ya6RsjciYstJG3ftuinjU+byenQjLCV +lpJWsXOX07vQ3u5nM9ZVlrSVnx9s/It2GNOnyeYqkvZ9l8vUqWcw75drsnhn +VUkb3+1Dtyfo2Vnjb+2tJmkJ2bOs2p8104JFaRZh1SWt295fv0LR+Y0uTouo +IWnRvdcll4vGfODzhs7UlDSbH/KZBejvf4ZUv1RL0naF7Nv0Bm22qLYk1krS +Sg9oOK1nDN6/ni1f3q0taUt+RXc/hXapcKBzfB1J+3y4S+0q5zBPZow5/Mxa +0kYNfViwCr1z0Bw5pa6kPfzr8iwT/eF28sr0epLW6cSHM0POm6n6nW3mrzaS +Fjli9ubL6BWPQyb/qC9pViUKptW7gPnctVTK7waStvX0uh6b0As/XXct3lDS +ioxV6uSiHXKTXpSzk7R5Zff/HnsR+1uRzqPVRpL24VzD53fQ7Xz/pFazl7Rh +7jFnm13C+at05RnWjSUNW8qWIPSgf+vzGjaRtDZXHk4vetlMzb4NXt+8qaQd +mTqi5wz0mkGe1RyaSVoVi491ktCvw/WoLs0lzS92dmHHK2b64XHfqXcLSfs1 +s+B5OPpIvcKMgS0lbUa19dEVr+J69t3g69JK0t7cVrYuQmeP97Qf11rSBszf +P+MdOi3tcuLkNpL2n5Vdrz7XzNTGZdyKWW0lrdnDGOsz6Gmt3ewXtpO0kMXa +n2r/YX34d+3NcgdJU2wevViL7th2WYBPe0lb+2REzBf0btdAp00dJC1n+cet +zoT16LNacmdHSZtkN8fjGnrd5OybeztJWnJyQa8GsVi/BjZaH9ZZ0nqtXV93 +K7pu/bv9TjhK2oWm6t98dPD02xbRXSSt4ev9L8dfN9P9ffXfX9YkLdjX7tx9 +dGCXzNM3ukpaudbntrW8YaYySRV9HnSTtGWp2sy96F4vdo9K7C5pXzc96l3i +Js4TT7xbv+ohaWPbj6w3C72yc7LyoaekxaV//JuMXhGy0fjcS9K6bJ/zyvEW +5oFlR5/pvSXtjOPvc0fRCYPs/vvVR9LqflkfIN/G+3tQOV60r6TtCFRnLUX/ +/DY+sGw/SSvR40CfD+iSV6v4K/0lbaHZzqbfHZyvN7VdWW2ApGXsO/cvGl3r +w7VF1gMlbYRT19c17+I81uXUfLtBknYv99H5deh2tmXmtxgsae0Pjdyejd5S +85Fn+yGSFjEwbdaIe2byOVTg1XWopNX4PccpFu3aaaeP0zBJ23T0t43dfTPN +mxUcMMRZ0v4M8y2yHe18qdwh1+GSNrtIpTcF6KiwzHMTXCTt3ckDFyY+wDx8 +utXj6SMkbbBrox2P0M0mfv00b6SkXS95fnabh2aaoFUq5eWK9exs174H0LaP +jtmuHYX1bNzj+qUfmSl1Q2T/DaOxnpV3LToXbVG07sLtYyRt/YW0Ny/Qs6JL +he4ZK2n5E+de7PoY+0+DMU8OjZO0aXLhjgj093d1i59wk7SXV33nVIrD8zZp +dPvo8ZLWd3qlfsvR+xxKLbgyQdKuWB5skI4++6He6Zvuktb4RqNiA+NxHvwU +Y344UdL2zz7/9jy6RNyl1k8nSZqpRrdLtZ+Y6Vr1VsvfTJa0VXcf7/RDK87W +d9OmSJp5getcHV2zyDrLb1MlbUKd9H6jEsxkdXjc1Nxpkpb4aK7tTfSK+6eu +/pkuad2XFhZrnGimWzkLLUt5SFpMfb+UneiwiJh5ppmSVj+x0uU/6O+eHgmV +Z0na7pUHd01JwvP+ck/r2rOxntnbz4tHf3Trvtd2Dtaz5+f7OzzF998yuWTz +uVjPvLs1DEV3yC66wGGepI1uHle8XDLWi5LV0rT5kvbojeu7+ejM0SdGOi2Q +tM7+6Zdfoz8svfRkiKeknWozb3ePZ2Zy/NS9/6iFklb7Q+G8SLRt434P3RdJ +2rYtfgMqPzfT5yfxAzwWS1rRjhZ2q9C/xyYmLVgiafM/HSyRic4fPWzs8qWS +9nGHfergF3h/hg3L8vGSNGftwpVL6OTHiV6bl0na7a/dAuu+xDw8LNG0e7mk +tQ2Om78R7TJ76JEDKyTtaM9RA3+g7Y8O63p0paRVNdLtxr7C+df32buoVZLm +f2BeyTton+DXay6ulrSCvn9Sm77Gfjxlou31NZLmke93NRDtt2T2k/trsZ6F +WQQVeYPr7/pneaI31rPBIQumo+fvlJu89sF6Vmg/KBH96+yx1I/rsJ4dv9Co +41tcry53Ar+ul7TQ4d1LhaNzb08fmusraWqx+PcVUvA+x++Q//pJmvepUdcW +okt97pFYaoOk/RiVEZSCdti3IlDaKGmTS8/37P0O5z9Px/FVN0nas+g/g06j +N/z1bWy9WdJ6j/e3r5aK73drVKHdFkm7WMGy9Fr02GEX4ltulTS7SyEfstDd +2x440nGbpO2Z3Pi/Ye+xPvyS1vQIkLTy6sXgq+ildhXdBmyXtOX/dV9Y/wP2 +16G7NZcdkvZtRvzgLehfRU7Wd9spaeOqjG6cj46/PtA0bZekxd/MKD3+o5ke +W68qmLtb0rS58z/eQ/+M7Jy1NBDrWc2//7VIw/XN2fB2bRDWs/v+e/agT62a ++nRjMNazhZaLiqdj/khNitu5B+tZ3dAhM9FtDj15tH+vpC2Ka9wkGV04bFzc +kX2S9snrYhnHDKwv3iuSovZL2kjbHmlH0KP+a/rm4gFJu58UT9InMw3bMT/z ++kFJ67B69N4l6MhtTj8fhEjaicafFr1H/+sRU/5pqKTVfDl/aN9MM5XuEl33 +7SFJ27zub5NodGTF3p0zwiTtb4sNZWt+NlNRbe7o7+GSNifFMt0H3WlysxU/ +D0ta6obQ2G/o/yqsO1T0qKQNaddkn0uWmSrdmfew3DFJu/Hx4mJCf6uTl1/p +uKS12tZjWMMvZmoYqTasFSFp4Z2eNA1APyi4O7rBCUmz/Dy6XAG66J7KO5qd +xHq261O6+1cz1avx77FDJNazrguuP0RHm9ZW6HYK61n2332tv2E9LhkysF8U +1rM9G5bsR2dudd3pfFrS+vWu7Fwq20zjukS9HXtG0q7mhDabg34XHtpo6llJ +axLSpPwL9LUhLZbNjZa0A/0vZWjfMY88Hxe/NEbSpF89bhxHB91tZOt9TtJW +H36yXzXjeY3ZtXbTeUnTh4xZugx9qmnI+10XJM397yfnNPSZ+317HLwoaUkR +C5oP0M00ssruiGOXJK3HiH/lz6OrRKy1OHtZ0s4V3/jJysB+Y6GsvXJF0hqc +rnzT1/j//5/pmnPrqqQFjjl0wIzOumI5Le6apJUp29TLNcdMKVabU5//J2lL +z10afgN97PCxMe9J0rIm9Gxh/8NMFz9Oe5MVi/XMlFBhJ/rEzDi3H9exnl0e +k1mI7vX0ZcafG1jPpmbenJxrpmWnNs4rfQvrWSXPg3HoyVvT/sm3Ja1O7D+v +dnlm6ls+PaD6HUkLmLnRJQS97/xmW5u7klasWpWWZfOxftV/F9vknqQtuH2o +4ny0dfbLse3uS1ravKafX6Erhq/8qz2QtOFWl291/4nzwZvHh/o+lLQ7D3qG +nETfa3Kvr/MjSWu3OGGZ5S+czxrPzhv7WNKO1Rs7YiXacnbs4alxklbtSWbL +T+iXfjRyXrykbVjuaRpcgHlInSkteyJpvxsWybqI9gi9c98nQdJmJm+8bf3b +TOEP4323JEra2zVVQjegL9v6OQUlSdrApmHLc9BD+ptNh55KGr1qOnJMIeaB +J2VenEiWtOa+l1vdRk/rmxh+7hnWs1a9pKZ/zFR+6ICF9BzrWWpC1m70/JVe +TvdfYD3bNPbOP7TV1FHWSS8lLdfhc+i0v/i8sG+Fb15J2pR0zxUJ6JqPWr/J +eC1pzwOKuHb4h/ltSXMyv5G0Po6bWoeh52jvDxe8ldjD6fT1au9tJd5J7OF0 +clo/aaUpVWIPp1OHNg5zq76X2MPpdMj95uS6HyT2cDptPVrKrfFHiT2cTp33 +FxvTNk1iD6dTpbcXxmjpEns4nY4WsZ3QN0NiD6fTldDB050/SezhdHIc1Wbh +uEyJPZxO/W4l+0z7LLGH06mJV8ug+VkSezidXCv3j1r+RWIPp5Nnq3oP1n+V +2MPpNGjmxcxt3yT2cDp9Hl2h/N5siT2cTrP3125x+LvEHk4nh0fGqCizxB5O +pzjv9X6XdIk9nE4Zbq8v3TQk9nA6TSzUsx/nSOzhdBqR+8D2xQ+JPZxORT5N +mfQhV2IPp9PDWXfCv+ZJ7OF0cm6QlZmXL7GH02lSZGLzor8k9nA65Rxcvbx8 +gcQeTqeGe388tPwtsYfD53VqVrtOocQeTqdi1i0WNfojsYfT6U1GwZPWfyX2 +cDrdtt/crMs/iT0cfk+vH/dsisjs4XQKSi5VeBwtPJxOTQP+Nm9WVGYPp1Pe +vddTY9DCw+nk8uhASPtiMns4nR5k9X79H1p4OJ0yFzyv0qO4zB5Op9EXBrjc +RwsPp9OnEid2Dywhs4fTadrJ7y+S0MLD6ZTwp0Yt15Iyezh8H6fmE1PQwsPp +FJrS+MTEUjJ7OFw/k5qbiRYeTqdHDVO12aVl9nA6dVsZuCUHLTycTn3dHFKW +lJHZw+m0oubNZn/RwsPh+S7l4O1dVmYPh78/O/BFmXIyezidtoe/b7YFLTyc +ThMKKvtXKi+zh8Pnx7ZLC0ILD6fTnz49ulpVkNnD4f1K6xQShhYeTqe3H62L +2lWU2cPpNCY4Z+IptPBwOvVwOXuvlUlmD6dTx5njml1CCw+n09/GPwMdJZk9 +nE6Ts1YVu4UWHk6ncXm5s51kmT2cTsahUW/j0MLD6aR1OzXAWZHZw+nk3UCn +l2jh4XQqf6heazdVZg+nU8/SvSLS0MLD6RR9bGTdGZVk9nB4fl+M3vcdLTyc +ThezBlVdaCGzh9MpqXmb3QVo4eF0svtbvvJqS5k9nE5XDz8NLFlZZg+nU7jX +1hob0cLD6WT5pFOoXEVmD6fTqF9vGu5GCw+n0wunuWdrVJXZw+lksszvHIoW +Hg7379S8hw2qyezh8O/NSB11Ei08nE7ue7t/bVFdZg+n09jde1ddQAsPp1PR +qEyLzjVk9nA6TW1vf/IGWng4na75T+zZp6bMHk6ngT8CUh+jhYfTafnj8yuG +1ZLZw+H9nJ5Y8yVaeDidYmulXxtnJbOH06mm4/fxaWjh4XQ6XFsvOaO2zB4O +62+VLye/o4WH0+nj0rfDF9aR2cPpFLXvXpHfaOHhcP2fnoxcbS2zh9Npz+IN +Y0rVldnD6eTxakLFTWjh4fD9hraKVerJ7OF0zL//PAPRwsNh/Xh1276Wjcwe +Tqedu3zTDqGFh9OpzrUeBxvWl9nDYb0/+mf0KbTwcDpViDhbvXUDmT2cTjdq +THp9CS08nE5nh6oHutjK7OHwPh6+5n4bLTycTsqMKXb9Gsrs4XQ6ll/BeIIW +Hk6nx+FnrrrYyezhsH7ec/Z/gxYeTqd2l/JGuDeS2cPh/sQFNsxECw+nU383 +h9+z7GX2cDpl3XgRn4MWHg7P/+ClR5Y2ltnD4feOr77qH1p4OJ1u9bnquq6J +zB4Oz4O7W9vyTWX2cDr5lihhGYAWHg77g29EbuVmMns4nWK6DXuxDy08nE43 +t/y9Wre5zB5Opws3T4YdQwsPp9P79uM2NW0hs4fD/XeqtDgGLTycTsf7PZrY +oaXMHk6nBUH+Q2PRwsNh/fLr171XK5k9nE7bFqptH6GFh8P3uZDSaGhrmT0c +9utjp61foIWHw/oR4ld9XBuZPZxOR35NsUxDCw+nU9WK/dUZbWX2cFjvWjso +ZrTwcDpVvG+vLmons4fDfmVja1mIFh4O60Noo+prHWT2cDqtCm5rXaa9zB5O +p05T+jXaghYeTicr9+ltLDrI7OGw33za1m0PWng4nVYOvjGkTkeZPRzW4/w/ +7kfQwsPpVNuhx6LGnWT2cDoVeOzaeBYtPBz2l2z9kENnmT0c9rdqo67+hxYe +TqcfTnHPezjK7OGw/rwcmPsALTycTqVs3lgM6SKzh8Pz7rOo7XO08HBYn0ZY +jRqryezhdLqfl7TqI1p4ODw/FHh0eleZPZxONhVnJHxHCw+Hz+vY78/CbjJ7 +OJ3eHeloX4gWHk6n9ic6jl7bXWYPh+sd3m9zmR4yezg8r2aP61vQwsNhff+8 +L9+ip8weTqfF2SnN9qKFh9Np96iWM6x7yezhML9tDDxyFC08nE4t35rSm/SW +2cNhHowMqh+DFh4O+6Vrm2kd+sjs4XTq0yX9ZCxaeDidyl45ltPLSWYPh3mt +0epOj9HCw+nU5auH77C+Mns4XI+xM5++RAsPh/X99Zp64/vJ7OF0Krx10jMD +LTycTuqSb3dn9pfZw+mUNrRHrRy08HD4vOgznksHyOzh8Pz/ahP3Dy08HK7P +mgS79QNl9nB4vk76+FYYJLOH0+n680GftqOFh8P76d7KqdpgmT0c9rOTzSIP +ooWHw35dqWelBkNk9nA63fm5YNlJtPBweP4uX01vOVRmD4e/f6H20Eto4eHw +fgzZH9tlmMweDutVRpsWd9DCw+m08PnnsP7OMns4zKvel6omoYWHwyzQ7/BW +1+Eyezhcj62RZVLRwsPpNOB0ovcUF5k9nE6BFS2LfkMLD4f5qMzC1QtGyOzh +dJpZNKdoAVp4ODwvrlvXrR4ps4fD/Dqvb/nSrjJ7OOy/J2x2bEYLD6fTsKlW +tSxGyezhdKpR2uH4HrTwcDo1/zqvnfVomT2cTo2XPLp7FC08HN6fCn1GNR0j +s4fDelk+PTsGLTycTrOeh63rOFZmD6fTugQfqxto4eHw/Izxu9RnnMweDt/v +1SmXeLTwcDp9i/yZO9xNZg+nU0T7KYFv0MLD4Xql5neYOF5mD6fTqRKnUj+j +hYfD+l7W32/uBJk9HM5PQ/1b5qOFh8N63C0qZYW7zB5Op+edfm8qMVFmD6dT +bviszhvRwsPp5JNSyqxMktnDYV4YcDc8CC08HNYz56jRtSfL7OF0OudKFkfQ +wsPptJHy4xtPkdnD4c+zRm6ORgsPh/Wly8f+HabK7OF0OlA30HQdLTwc1oef +nom9p8ns4XB/668IikMLD6dTpHR6/PDpMns4nb5UN9m/QQsPh/nxZGC++wyZ +PRz270YD7nxGCw+H+/uraeBcD5k9HPaHlZ1n5KOFh8P7VWdpl5UzZfZweL57 +fKhccpbMHk6n34OXmjeihYfDfHHC8ZE6W2YPh3nqUsuIYLTwcDpV/jh8Q505 +Mns4nYZsPjbzKFp4OJ0a1LYf0nSuzB4O5+t/b9udQwsPp9OWndfrdJons4fD +ebzns/I30cLDYf7wqvnLab7MHk4nr+CdmU/QwsPp1LVcl1cjFsjs4fD5rWvG +paCFh8O8sqrxrcmeMns47D9D5179ihYeTqcWVTLPL1gos4fDetp6Z3QBWng4 +zAfKwrNrFsns4bA/V90YXWaxzB4O893pZ+e3ooWH0+lDt5FXKy+R2cPheraT +b+1HCw+H+/fz72ObpTJ7OJ0ssm1enUALD4f7t2ZtZksvmT0cziM1qv66hBYe +Ds9b/YzyXZfJ7OEw75X7VuceWng47BctmjgMWi6zh8N+UfrokGdo4eHw/XJG +zRq7QmYPp1PKBKeNaWjh4TD/XJl/wmOlzB4O+8GM548NtPBwOv18tdhYukpm +D6dT6UXO1YqultnD6WQ+MqubH1p4OJ32vrg5S1ojs4fD87JoxN7daOHhdArI +aviw1lqZPRzWk1CHP+Fo4eF0KlLKt0Vjb5k9nE7lfCymRaOFh8P+5vs+pIOP +zB4O7/ey7NfX0cLD6ZT+qGM1p3UyezidNn+6P/IJWng4nZ60C94zYr3MHk6n +eo0iUlLQwsOh7f/YTPGV2cP9///3bJ/1DS08HN6PCh4XPf1k9nA6jb+zvmQh +Wng43I9uGc7e/jJ7OJ08v/sfKbdBZg+H9af9/IIAtPBwOuVtPji42kaZPZxO +bqPV4yFo4eHw70sPizfcJLOH06lk1Qfjo9DCw+m0+qMU23azzB5Op4ap+6z/ +QwsPp9OS5fPW9dwis4fD82e75csjtPBw2I8H5gxz3iqzh9Nptnvof6/RwsNh +fkkIsp+4TWYPp1Pbcq/2ZKGFh9Mpc/nkCvMDZPZwOt0L6rj6F1p4OOz/j8fk +rd4us4fDfLnh/uwyO2T2cDq90tZ+3ooWHg7Ph5vvlCo7ZfZwOvUe8TL9AFp4 +OJ0mBi2Z2mCXzB4O6+uOyV8i0cLD/f88EzKvzW6ZPZxOa7waFVxFCw+nk2uf +4ut6BMrs4fD9d9irj9DCw2FeiAsLHRYks4fD/jx9ZqvXaOHhsH4+W3fXPVhm +D4fnzdc8NgstPByer+yTefP2yOzhdApZcSXgF1p4OOxfR2o2W7NXZg+nU/E3 +T+LK7JPZw+m0dtuLudvQwsPp9F/b1pZV98vs4XB9uqZdOYgWHg77V73Pk2wP +yOzhdKo7pLschRYeTqeRnXKutT0os4fD/Xf9Nes/tPBweP6qu9TpFSKzh8N5 +N7ds8mO08HA6zR1ruWl4qMweTifpzpKeb9HCw2F9CWtddPIhmT0c1mMPJ/qK +Fh4O6/u2C6s8w2T2cJh//Fd1K0QLD4fz1P3Q0j7hMns4nL/uWMWXPyyzh8N5 +IjcvaAdaeDjMU48aTa5xRGYPp9M1utwqDC08HOaNnkdL2B+V2cPhfUwyPz+L +Fh4O62lqYGSHYzJ7OFy/9/vW3UALD4fz0sAi4/sel9nD6bRv741OiWjh4XSq +0jWtxqgImT0c5p9zk/+8RwsPp9OUOb3fTz8hs4fTaWiG/10dLTwcfn9Ak9NL +T8rs4bBfZrbcWzRSZg+nk7X7Hj9/tPBwWI8WT1qinJLZw+H7HQyYEYwWHk6n +pkPrullHyezhsP6WqeJyHC08nE5JHeYOanFaZg+n0/wJTftdQgsPh/Uod4hT +1zMyezicF+a8drqPFh4O+1Gfh/2HnJXZw+n04pf10Jdo4eEwr/955zohWmYP +9//7U3LSZ7TwcDjPpe6YOy9GZg+H9Ttmw6pfaOHh8HuvZ21bc05mD6dT6JqY +8LLnZfZwOjWbm3k5AC08nE4zSvsmVbsgs4fTqdqNbdmhaOHhsL/XKFWh0UWZ +PZxOiZs/2Z9FCw+nU69dbQZ2uCSzh8P5M9I8/wZaeLj///+Manv6XpbZw+lU +qzDqZiJaeDh8f/tz30ddkdnD4fqMsrP6iBYeDte3ZMVBHldl9nA6uRwfvzYH +LTwczgc3bC4uuyazh8N5P87VXPw/mT0cnuc+Rew3oYWHw/kjquo0C5LZw2E9 +WB12dB9aeDjszw6hn21iZfZwOjm6qE0j0cLDYf9xyfdsc11mD4d588Lg/66h +hYfDfvHTqlyvGzJ7OJ0G73YfGYcWHk6nWLnmcZebMns4PC8Z/QpT0MLD4fvu +MoZMvSWzh8P9PyBHfEcLD6fT0lVHSiy5LbOHw/p2MWZCkTsyezh8/v2O1/3Q +wsPp1L1Fp3rKXZk9HOblued9g9HCw+lkW+ZEtvU9mT2cTn6Lq4+MQAsP9/95 +vOStlvdl9nBYX997tLyCFh4O68ubgWHdH8js4TBfxJyq/AgtPJxOZTI3bnJ+ +KLOHw/myIKPEW7TwcPh9M2NXTX4ks4fD8xBb9c83tPBwWA8Xm5cveiyzh9PJ +27LPv79o4eF0Wl+jjo9vnMweDtejwtLycrzMHk6nTh7DdgWhhYfT6djlKGvr +JzJ7OJ2yVmw5fRwtPByeLwdz15YJMns4nebMSk6+jBYeDn8/xHFm90SZPRzW +R5fGJR+hhYfT6YZ6MMQ5SWYPp9Mi10DHt2jh4XTqEGX5bvJTmT0c1uug6muz +0cLDYb7dc8R2cbLMHk6nfh0ux/9DCw+nU3jhcC+/ZzJ7OJ3sp3rZKs9l9nD4 +vH+2z4PRwsPpNKifm3/dFzJ7OJ1OP6njeAItPBz2J8tZua1eyuzhdPoc0iPq +Klp4OPy+n0c9er6S2cPhvBsW2CgOLTycTm3sLL66vJbZw2Gelq1Ov0MLD4f1 +6t25RdPeyOzhcJ6r/aaLjhYeDvPkiIDyXm9l9nBYj6RnL4ulyOzh8P7ER0Rs +RAsPh/mxtrzS4p3MHg7nWb9SzvvRwsPhPLxjS+MGqTJ7OOwf946VjkILD6dT +hTPD09u9l9nD6bTh2a7bsWjh4TAv3ph+zOmDzB4Oz8/fhM2JaOHhsL5ZxC8c +/VFmD6dT8uYJ49PQwsPhfJTrP2BWmsweDs/vsz6d89DCw2E/v3Kg2ap0mT0c +3r+mm2zKZMjs4XBeu6zUDEALD4d550uzytU/yezhdKo04JMahhYeDt9/hoPa +OFNmD6fT9/h6FufQwsNh3i0bUdXxs8weDvtb2MPad9HCw+H72fnbDc6S2cPh +fjb62OYlWng4naq2eNXD/YvMHg7n34ezXb6ghYfTabhv6AzPrzJ7OJ2C9Plr +/qCFh8P1C/q4Z/03mT2cTu3L/jgvZcvs4XSixPDkILTwcDq19M7Ls/4us4fT +qdHxz9VPoIWHw3yatLJra7PMHg77d8D5GdfQwsNh/5wXsLuXLrOHw/5dXL4d +jxYeDtfrecu8kYbMHk6nYisK7D6ghYfD7/WZOt4jR2YPp5PlCq/gH2jh4XRa +9rNV8oofMns4nYr67qxUOldmD6fTrkv7nLehhYfDedd6QFC1PJk9HN6HQUdS +DqGFh8P7++yYbeN8mT0c5tlewxecQwsPp1NGy5PXHX/K7OEwrzc5pd5DCw+H +8/y90VOG/JLZw+n0dPe5q6/QwsPhebO/ajmpQGYPh/N5tbnzvqGFh9NpYE5C +/KLfMns4nNcHfGhepFBmD4fzxJXQnf5o4eF0ep0g/1b/yOzhcP6t13zSPrTw +cFhvehV5Uv+vzB5Op4THaxyj0MLD6dR10Lkoh38yezisf257691ACw+H/W1n +6z39iijs4XA/vNdUSkYLD4f57/rareOKKuzhMM+lO5gy0cLD4XnyCd86r5jC +Hk6nnW3vVPqNFh4O62nAgT0+xRX2cDrdsW9qYyqhsIfD/LR/4elAtPBwuF/L +F3axLqmwh8P64d40IQItPJxOv9JCJrcupbCHw3ln/+PCa2jh4XR6V+/c7t6l +FfZweD7kka0S0MLD4TxReD5xVBmFPRzmr9WJnmlo4eFwPm1zotrssgp7OOx3 +MV2v56OFh8N8ErTbY005hT0c5h+viKrlyyvs4XC++LHi3k608HB4H0KUZVYV +FPZwmA+qT2l+DC08HObR38syW1RU2MPpNDl1WNgVtPBwmAcnZo/vaVLYw2G/ +q93fOh4tPBz++zOz0kZKCns4zG/nhkV8QAsPh/3jzt/5M2WFPZxOI9bOccxD +Cw+H857PkQqrFYU9HNZHj/C3ZVWFPRze38TpZ3aghYfTadrIfN9alRT2cDgP +7Bkw4ShaeDjcj7azOrewUNjD6XT3gUvNK2jh4fC855b728NSYQ+H7zd8w4c4 +tPBwmF82JN8fWVlhD6eTb4tv0R/QwsPpFPYlIWRmFYU9nE6/R/hsy0MLD6eT +R+WS3qurKuzhcH/uOi8pV01hD4f7V85z7k608HCYZ1dP9LCqrrCH00l/UG/6 +MbTwcLgfG85Nb1lDYQ+nU//x1WZdRQsPh/kpe9CCXjUV9nA6VX7muvwJWng4 +nb5cauc3qpbCHg7rW6es3Wlo4eFwPvg379hsK4U9nE7qkfirP9HCw2E9SCr+ +dG1thT2cTh/tpewKdRT2cLi+Q4xygWjh4XSa+jmykbW1wh5Op9Td3QacQAsP +p9OOv6fnt6mrsIfD+3f71x5CCw+H8/LWWnec6ins4XQ6XKzWjyS08HA47936 +aTPORmEPh/li6ZmRmWjh4fB+3uu9dX59hT0crt/Iq/cK0cLD6TQsTirp20Bh +D6fTkoRuPRRbhT2cTg/KuKzfixYeDvNljb4P6zdU2MPhPHOmdqXTaOHhsN7P +ez62g53CHg7zbon5J26hhYfD/VDNvwc2UtjD6TSp+9BBL9HCw+H50YIPT7RX +2MPhvB99++83tPBwOgX0fDFqSWOFPRzm4YMJl4o1UdjDYT70OFtjM1p4OKyf +zstWV2mqsIfTyal4k8xQtPBwOqXXuze0cTOFPRzuz/gBsefRwsPptGDyteZd +myvs4fB731YNf4gWHk6nQwvGV3dpobCHw/yfvHNHKlp4OMxnkeclj5YKezid +TBF3tuSihYfTqfri2/LqVgp7OMwv16N3lWutsIfTKbfd9lq70MLDYf9ZP+FY +7TYKezidgsfUbRuBFh4O79vU5Dut2yrs4XR6NnzZKEILD6fTkWcWulM7hT0c +Pi/o0IanaOHhdCrfv76tm4PCHg7P08H9dz6jhYfDeah7heme7RX2cPj+mfNM +/9DCwxl0tnP8ef8OCns4g2rl2rhbdFTYwxk0jRbIB9HCwxmUOeDqdbtOCns4 +gzoM/bcwBi08nEG9V3Zu0qWzwh7OIEefxZ/uo4WHM2hP5VPhzo4KeziDrDLf +T3qHFh7OoBcnKjWc0UVhD4c/t+yR/QMtPJxBO54vuLBKU9jDGWR9NMy7XFeF +PZxBRRyeDt2FFh7OoCjHUvXrdFPYwxlUMKdDQQRaeDiDfq6el9imu8IezqDy +ticjY9HCwxn0rsqXjf16KOzhDJpVttmsZ2jh4Qz6dWbJkAk9FfZwBlW6d8/h +K1p4OIN2F6tTb3EvhT2cQSeqrpKL9VbYwxl093pGkc1o4eEMOn5uxI8qfRT2 +cAZNOJmYdQgtPByu77iRaU2cFPZwBpXw+Zx6ES08nEGbn61P7d5XYQ9nUD2p +xcc4tPBwBo34lJnp2k9hD2dQqWpRehpaeDiDRo/z+TOnv8IezqAn86ZX+I0W +Hs6gJiY3q/UDFPZwBvlmT26lDFTYwxnUM25lv31o4eEMqjY9YortIIU9nEGl +l332OYsWHg6/L7bTkc6DFfZwBt3LDX9wDy08HD7vRV1j2BCFPZxBCTYXar5D +Cw+Hzwt27ztjqMIezqDpOfWX5aKFhzNotl40avUwhT2cQc+H5KeXd1bYwxm0 +MKlUnUC08HAGzWzTYmzd4Qp7OIOatVu8PxItPJxB64Nepjq4KOzhDFpXzdX2 +Flp4OIMCvfLmDhqhsIczaNHys9deoYWHw+9J3VxxykiFPZxBNab6j9fRwsMZ +lJN4+PxyV4U9nEHn0z9KZUYp7OEMujqhx8wdaOHhDDpV9t5Dq9EKeziD/EPm +NI1ACw9n0L7PHXe2GaOwhzNo47Emf2LRwsMZNCC05/T+YxX2cAY1DfJ58Rwt +PJxBj4Z86TtxnMIezqCaO7xis9HCwxk0xbpVey83hT0cns8bludKjlfYwxl0 +umO91gFo4eEMyho25nzNCQp7OIO2JVzveAwtPJxBu5Y532rlrrCHM0irZjmY +0MLD4X7ML/Gu70SFPZxBLgPrzXuGFh7OoH8L55dyn6Swh8P3P2Mc+IYWHs6g +mAfhHZZOVtjD4fnf4P+yxBSFPZxBUy+FLtuGFh7OoKd1s+vUnKqwhzMobNuc ++0fRwsMZtOZxg4Wtpins4QyaH25hQ2jh4QxKTG3/rO90hT2cQSMHBm58hhYe +ziD1UpMe7jMU9nAGTf725983tPBwBllcLENLPRT2cAa1zRi4puRMhT0c1tee +Cb0C0MLDYX07tE2qNUthD2fQ1sebXx9DCw9n0Kod9yNaz1bYwxl0+GaPFbFo +4eEMatOkyLD+cxT2cAZdP1ho/wItPJxBrb90KDNprsIezqDkd1c+fUcLD2dQ +r4GrHyybp7CHw/tR2v906fkKeziDvrx5FrwDLTycQc1DZqyvvUBhD2fQpHo9 +F51ACw9nUL7D1OntPBX2cAadi05wu4kWHs6gO1PWuQ5aqLCHw/NW39vlNVp4 +OFzvWw9dpi5S2MMZ9Kfy+FE5aOHhDOpiaBNWLVbYw+H5tp/jUX6Jwh7OoO+7 +vywJRAsPh/tb/oJ/vaUKeziDaFzi/ii08HB4n6Y6nuvopbCHMyj39+/4u2jh +4QzKzimfPWyZwh7OoMcN5kipaOHhDNo0s0HrmcsV9nC4X/tbjvmJFh7OoPHb +d/r6rFDYw+F62ww4L69U2MMZdLLx2Mx9aOHhDPJYd7uW3SqFPRy+bxlfl3No +4eHwvgYc2t51tcIezqCiBVUTH6OFhzNosNUXi1FrFPZw2C9fVhudgRYezqA6 +ZY+Ez1+rsIczaIjfNv0vWng4g5a0ftV1o7fCHs6gjCzvXVV8FPZwBg303/I1 +DC08HPbDbwW9mq9T2MMZFJ93P/wqWng4rPfehSWd1ivs4bC+z98xIxktPBzW +z7CtiRN8FfZwBqXl652z0cLD4fkZeOWkl5/CHg733/+rVWl/hT2cQTf81u/c +gRYeDv990w0V62xQ2MMZdGbsT/+TaOHhsL7mJ5Rtv1FhD2fQyndVNt9GCw9n +UPDPB5WGblLYw2F9a/B1fwpaeDisHwOW23tsVtjDGfRsyPKr+Wjh4QzyrPpt +sM8WhT2cQYfWx2fKWxX2cJg31tr47EcLD4d5xfy1bqNtCns4PE+X7G6fRwsP +Z1DJm69ndA9Q2MPh3/tR3OIJWng4zAttw2LHbFfYw2E+nHN57me08HC4f/59 +bRbtUNjD4Xma5fy62E6FPRzmlxIvd21FCw9n0FKHl8Nq7lLYw+H35Ay3PI4W +Hg7Pb53Br9rsVtjDGVQh4t6hG2jh4Qzqtzx29qBAhT0cnr+1Do5v0MLDGbQg +ooUyPUhhD4ff++7kp1y08HAGLZdOXF8brLCHw/ts2+SgtEdhD2fQ78qtV+9D +Cw9nkO3D2El2exX2cJh/Wz3tfx4tPBzmzS5zHLrvU9jDGVQ/ZbvtE7TwcAZd +KKJVH7tfYQ+H/ThwsZyFFh7OoP92dCi3+IDCHs6gzxn+pUscVNjD4fd7TykT +gBYezqADoxIqWIUo7OEM8h7/0OIEWng47A9+w+s4hCrs4Qyqentus9to4eGw +/5W36jb0kMIezqCUweNGvkMLD4d51r/l/JlhCns4g0wn9m79hRYeDu/jiT2n +14cr7OH+/7w1T650WGEPZ9B7m3F/QtDCwxm0faONXdMjCns47MeRPiOvoIWH +w/rps2pjn6MKezjML6Ur30hGCw+H80Vzp0L3Ywp7OIPiflXrgMGPPRzelzH+ +y1YcV9jD4f0aFhRbLkJhD4f796p32SC08HDYD74EDa9/QmEPZ1BLn02Hz6KF +hzOobki9X11OKuzhcD06uQ1+jBYeDuuXi3ZiVKTCHg5//+vdsplo4eHw/P/O +81h4SmEPh+fDJy6hWJTCHg7zjc+gjtvQwsNhni70OlbrtMIeDuetb0OqnUAL +D4f5yyV5s8MZhT2cQe3alyp1By08HNajwPQ1w84q7OEMGufpWfQ9Wng4g/om +RPvMjlbYw+H+nQkvX4gWHg7vS7U+u/1jFPZwmHcqHLSpck5hD4fz3NaI8+Fo +4eFwnjrq0b/leYU9HP5914/phBYezqCGJ1XvARcU9nDoIwX1XqOFh/v/fLbv +3rSLCns4zCsnf87NQwsPZ9DXu3Itn0sKezi8D8fTHymXFfZwBnUbvWT1QbTw +cAatTr/frskVhT0c7u/Q18ZltPBwBrlFRp7pc1VhD4f1uUg3z2do4eGwH48I +7jDpmsIeDus1xZQw0MLD4X3pvitx1X8Kezj8fb1jeEVS2MMZtPP58aV70cLD +4bxSLHWoXazCHg7P19p3zS6ghYfDPDbpuNzzusIeDn//smNuIlp4OOz/O0Le +jr+hsIfD81Tkyf1stPBwOK+ocZeW31TYw2F/eL03stwthT0czn+zOxwOQgsP +h/PFm4iQBrcV9nAGHW2ffTAGLTwc5pM9JcO63VHYw2E9UY3jT9DCw+F5uxAT +M+6uwh7OoDf7B978ihYezqC5b2KTve4p7OEM8vKv8LXMfYU9HM7PV1uWCkQL +D4fzysbWNvUfKOzhMH/LlXpFo4WHw/4zMd6j60OFPRzmzf0zdsWjhYcz6MWL +9BtjHyns4QxyaNbtxxe08HD4+1dW23k9VtjDYX0LPuReJk5hD2eQX/qxg7vR +wsMZ1OPKzlSbeIU9nEENHKbWj0YLD4d5fan17K5PFPZwOL8duHU5Hi08nEFJ +t4aUH5egsIfD96nwwO0rWng4g3x2Nr3olaiwh8O/v3K1RdkkhT2cQVZZsZ6B +aOHhDCr8ZX5R/6nCHs6gD/FK1xi08HCYn7fYRHZLVtjDGWTv2qhWAlp4OINO +DLMJcHumsIfD+32oUtlstPBweF9m/PJZ/lxhD4f3PeFZifIvFPZwmL/+nPQL +RgsPZ5DZYoXc8KXCHs6gkC599p1HCw9nUPfDUuOerxT2cAYNn/2UktDCw+F8 +dzNwhPtrhT0c5oH4UTlmtPBwOC9csdqx6o3CHg73Izqtremtwh4O3+99ZMo+ +tPBwmPfmL9tgn6KwhzNo7faBHS6jhYfD589pmN3nncIeDvNV23JHnqOFh8P6 +UDl3wpRUhT2cQZGOWda5aOHhDGqRkpXu/V5hD4d5of7PSPWDwh7OoOk9K3mF +ooWHw7w5p3Pf5h8V9nAGhSYvtiK08HCYlyJu5g1IU9jDGaRb1016gxYeDvPM +nJ3RHukKezj03RpBBWjh4bAfuF1a7Z+hsIczaMPM2bOqflLYw+F5qd9p3FG0 +8HAGrbhRb1jbTIU9nEEXlzbofxstPBzmG++eTs6fFfZwWJ+qr+37ES08nEHf +Fr4dND9LYQ9n0ISEka5Fvyjs4Qxyn5o7ZRtaeDhcjwUxS2p/VdjD4Xq3CNxy +Ci08nEFHUg4c6/xNYQ+HefPiozuP0MLDGXTtt/Xn0dkKezg8n5kHpS9o4eEM +Onatdwev7wp7OHzfK9WnlzUr7OGwn1lX3xeEFh4O60nL3km2usIeDs9vszDT +BbTwcDjPjWk2sJehsIfD5xV8DUhGCw+HeWfQ25eTchT2cDh/Hija4AdaeDiD +7jcZvcj7h8IeDufV7l8eqLkKezisl/Wj6x1CCw9nUKMaMatb5Cns4bB/zvr+ +PhYtPJxBYydO6T04X2EPh+vXu/qZd2jh4bBeT6xQe85PhT0c3o9ijgF/0cLD +GfRg9unSW34p7OFwfsudtLZWgcIeDuvxhzFFI9HCw2G98g726fRbYQ+H+cu2 +ZoVHaOHh8H1rZgSOLlTYw+H6nPlp+wUtPBzOk+2GX/X6o7CHMyjoX6Fzub8K +eziD0kfpejBaeDj03lY77P4p7OGwfljedriEFh4O+1eNox/6FFHZw2F/LPZ6 +2wu08HAGta3j3n1aUZU9HOax+50L8tHCw+HPh88551tMZQ+Hz6v+07NKcZU9 +HPaz+S8djqKFhzPoaYxF0XYlVPZwWC86nnh8By08HOaR8QcOuJRU2cNhvlz5 +fUEGWng47M/6wQGLSqns4QzaWPmMfanSKns4gz462Zh2o4WHw/v3ueBH/TIq +ezisN85tU8+hhYfDeTnreXzPsip7ODzf5d7fTEYLD4f933LY1cnlVPZwBk2Z +3exSLlp4OIN8Fy2+vK68yh7OIC2gcaxlBZU9HM5XdQc/OIwWHs6gO1s+vmhT +UWUPh/NEx8wvt9HCw2F/2upW3MWksofDemTuWzsDLTwczsN3TnRZJKns4Qwq +tsx7UilZZQ9nkNOipM270cLDGbS/7v4rDRSVPRzmnaz3386jhYfDvNohzKa3 +qrKHM+jyig9uz9HCw+F9qRt6cGollT2cQbV3pX7MRwsPh/NAz0ON/SxU9nCY +r8M+La1qqbKHw/prdfbhMbTwcNjfGhav276yyh4O82vLN8vvo4WHM2hUlOMb +1yoqezicV9TGWhZaeDjMDwmHj3lVVdnD4bw1PtKyfDWVPZxBAa17+O5FCw+H +9e22xx/76ip7OIPsNtZfchUtPBye3z/z8/vXUNnDGVR51/Blb9HCwxkU/yiu ++OyaKns4gzrVTt32Fy08HOarMhvrbq2lsofD5xVPuFjbSmUPh3lga/Sw02jh +4TAfNmqdo9VW2cP9///nOgcmoIWHw/ltvaXmXkdlD2dQntPSbwZaeDicr2qu +OehtrbKHw365pqmLRV2VPRyuV8Fa5TBaeDjcL9PqhDb1VPZwuH5dbHffQQsP +h/XW0stthI3KHg77jaVXk0y08HAGyfcaFllaX2UPh/nnnO/zsg1U9nAGVZwa +FL0HLTwc5rUpY3ba26rs4Qx61yV56VW08HA4j60sMWlAQ5U9HNb3U1+GpqCF +h8P9H7ep1xw7lT0c1uOamY5FGqns4QzavKRIpwC08HB4f4o971zXXmUPZ1DZ +/rN6RKOFh8N5IePWoB6NVfZwBs2Z8s4tGS08HOaHcf95TmmisofD/Z44cUs+ +Wng4g0ZWeHLSr6nKHg7vR3bJJ9WaqezhDNpyqNTPCLTwcAaNjk2y6dRcZQ+H +8/f72S6P0cLDoUNebhrXQmUPh/05yPLed7TwcAaVmWJTZk1LlT0cnofDpQeo +rVT2cHi/82J3h6GFhzOoT96Q9NatVfZwBr3qedXhDlp4OJzf1hUNGNFGZQ+H +9XZkvexMtPBwBn13qzfYq63KHs6gVVrxC+XbqezhDIo9cKvufrTwcAZNrDN9 +R1MHlT0cno+ZRplYtPBwBk2zc/ce0l5lD4f1qejlYh/RwsPheu/7vd6zg8oe +DvP6kYZyqY4qezjsH/e7HQhECw+H5z+6Xwu7Tip7OIMylZ73L6OFhzOozfbm +U/p3VtnD4felSWVS0MLDYf2+mHZqjqPKHg7XI/b0qKJdVPZwWF+jFpbfgRYe +DvNAuzbXbTSVPRz214r6svNo4eEMWvopomOfrip7OMy/yyYVeYUWHg7zx5ra +Dzy6qezhDKp35m3QH7TwcDg/3QqZubW7yh4O896iGT2te6js4bA+eHeqF40W +Hs6gW4erlurZU2UPh+dhX5HsZ2jh4Qyqapv3alovlT2cQa1K/H5UgBYezqDS +ufKtTb1V9nA4P11pH2vVR2UPZ9C86ouvn0YLD2fQz+QHd7s5qezhDKoe3Tbp +KVp4OIN+Lb76cUpflT0c5r8vY3/9RAsPh/nsWU2Ljf1U9nAGjSj2u1Wt/ip7 +OJwHHQpGRqGFhzMotWdN764DVPZwBuXnup9NQgsPh3mp8pOMyQNV9nAGdVw8 +uc5PtPBweP4+2bhtGKSyhzNoUDs5rOZglT2cQRU62H09hRYezqBlN+Z16DpE +ZQ9n0JfwL5uT0MLD4fecD8qYPFRlD4f9M86rx0+08HAGVbm14+iGYSp7OIOK +zk2Xajmr7OGwn52ctyIKLTwc/ty5g7nrcJU9HNaH7l2nPUULD2fQmRF+6VNc +VPZwmHfmKNN+oYWHw/2ZkfJ94wiVPRyeVzt9udVIlT0c7u+WftIZtPBwmA+W +fT/S3VVlD4ff8yyl+zO08HAG+fvUyJg2SmUPh3nS4+jm32jh4Qz6u8Cnw5bR +Kns4nGfWx3ytM0ZlD4f7ubl9eDRaeDiDvi6wnNBrrMoeDvNwg771XqKFhzPo +/I6XWR7jVPZwmKeP377wFy08HJ6XkfKGADeVPZxBK7dfmWAzXmUPZ9B4p/uO +F9DCwxnUZV5H674TVPZwmJf+VSr7Fi08HK7vZ+e8Oe4qezjMN7ZFPhebqLKH +w/c9U+X9LrTwcPi9a/enNJyksofD+r9rx/sraOHhMB9n/P48cLLKHg5/vuBF +/nu08HAGRXe0L79wisoeDu+rY169MlNV9nBYH1Z27LYXLTycQRf+FE5uOk1l +D4f5P7bT1uto4eEMKveo8JrzdJU9HM6ftl2MT2jh4bAfvizZeNkMlT0c9o+v +Qz1MHip7OIMSZjQ8HYoWHg7zsJtfQeuZKns4zPcvPPveQwsPh++X9v3g6Fkq +ezisX9t/F2SjhYfDvJQTPHrtbJU9nEFXqj2+bjlHZQ9nUHi1wCbH0cLDYT8p +XXCg01yVPRzW/9/5lk/QwsNhPS23Y/vEeSp7OMzDgx5b5KOFh8P5OO3Yvg3z +VfZwBhXPsG1ktUBlD2dQqbn9r51BCw9n0KbQqi49PVX2cFif92z58QItPBzm +ueWng2YuVNnD4fpOX92tyCKVPRz2s3VFjB1o4eEwv+a1PGq7WGUPh/P1x2ru +V9DCwxlk73mh7qAlKns4rCeJFT9/QAsPZ9AMu9rnFi1V2cPh/h7+tr6cl8oe +DudBz2XjDqCFh8PzdftBx5bLVPZweL8fvLW6gxYeDt835nzpUctV9nCYP86P +yPuGFh4O/37pm1lrVqjs4Qz6701BmuVKlT2cQaGzi6YfRwsPh/kj70VW51Uq +eziDfKK88xLQwsNhPU4uXmbKapU9HK7v0dG1C9DCw2H/nLi505Y1Kns4g172 +2+tWd63KHs6gS6G+fufRwsPhehx3vtDXW2UPZ1D/YyW+pqCFhzOoZ3pwgwU+ +Kns4fP9jlaeWXqeyhzPoWKcVkXvRwsPh/n6N/9VsvcoeDue1kkr/W2jh4Qxy +S+4WPtJXZQ+H+x85tdg3tPBwBv15u2bKGj+VPRzO61d2PLH0V9nD4bwYfFCL +QAsPZ9Dh+KPnHTeo7OGwP8acapmEFh7OoGZh52OmblTZw2G9yb3eqRAtPBy+ +X5mkB9s2qezhDDK3/zqu/maVPZxBS7KkX5fQwsNhnlumBQ/corKHw/MyaHWX +j2jh4bBePkz4sniryh4Oz6tLuwMVtqns4XAeGxHtEooWHs4gm/Z9LNsGqOzh +MB9O/vnyAVp4OMwTfe+Eu21X2cMZ9MQlZuEPtPBwBmX9utXff4fKHg7r0ZEC +O6udKns4zKvxIypGo4WHw7z9OSWv9y6VPRzWn1nbM96ghYfDfJnk+WbebpU9 +nEHNd/m9KBWosofDeaTZk1d70cLD5VCW1cCPzYNU9nA5NCSthHEbLTxcDvkW +yS81OlhlD5dDW/Ia1DWjhYfLoYb9Aruv26Oyh8uhZz59ParvVdnD5dAL++7B +UWjh4XKo7QPvxz32qezhcujyF1OZV2jh4XIor9anPnP2q+zhcqin2bStxAGV +PVwOPczxexuMFh4uh7yujGjR7KDKHi6H1DcrNt5CCw+XQ7W//vniGqKyh8uh +Ej6vhn5HCw+XQzmdK8f6hKrs4XIo91x0q+qHVPZwuD7+5yKj0MLD5dAGV6um +PcNU9nA5NP2OOeYVWni4HPqxoFm3ueEqe7gcWlb6bXLJwyp7uBxq1LBwzl60 +8HA5pKzaKrc4orKHyyGbC8EX7qCFh8uhzitqTR5zVGUPl0M1V9eqZqCFh8uh +mXP3JfkeU9nD5dCtIvt31jqusofLocPp1mOi0cLD5ZBPcpNGThEqezj8fb+b +f1PQwsPl0H+X0l96nlDZw+XQNZvAK+VOquzhcqik36uwELTwcDmUFHZ2e9tI +lT1cDrl1qOv3CC08XA6lSo193E+p7OFyyC8rcf1PtPBwObR1e7VtW6JU9nA5 +1CSxWIjNaZU9XA6FLAw4fxktPFwONe13L2nwGZU9XA41qBeRn4EWHi6HPsa3 +r7virMoeLoce1/caVilaZQ+XQ2N+z9p4HC08XA5Vt6v6oEuMyh4uh8L9l0nP +0MLD5VCV/D2jZ55T2cPheg9feqrYeVX7H8w3Fps= + "]]}, "Charting`Private`Tag#2"]}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{-1.19261150404447807409012815183557425662`16., \ +-0.90661150404447807409012815183557425662`16.}, { + 3.138050252314118, + 4.67774460927708661650482733282982060227`16.}}, + "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {-0.900653170711147, 3.138050252314118}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), + "DefaultStyle" -> { + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, "Primitives" -> {}, "GCFlag" -> + False|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + ListPlot, "GroupHighlight" -> False|>|>]]& )[<| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{-1.19261150404447807409012815183557425662`16., \ +-0.90661150404447807409012815183557425662`16.}, { + 3.138050252314118, + 4.67774460927708661650482733282982060227`16.}}, + "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {-0.900653170711147, 3.138050252314118}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), + "DefaultStyle" -> { + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, "Primitives" -> {}, "GCFlag" -> + False|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + ListPlot, "GroupHighlight" -> False|>|>], + ImageSizeCache->{{4.503599627370496*^15, -4.503599627370496*^15}, { + 4.503599627370496*^15, -4.503599627370496*^15}}]}, + Annotation[{{{}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJw0mnk0Vd3/xzXJPZOUqaQMJco8D8U2hExFETJFxpQQImSIpIxJkkikWaMk +alfSIFI9mlWaU+45V5Hmfp/vWuf317NeK8+99+yz9/vz3mu9lINjPELHiomJ +aZNiYv/779btY5S/jRXirScnV5+8LED/z7k8r34n//jGOCE2TW/6cuSKALla +6hbtHi/EJ7cELDpwVYB0djnYr54gxHMG6f017QIkJQr4M19ciPs623/vviZA +Xx0TzzIThXiybYZPWYcAPagtWN0PLLne9nzhdQFq/lmvclpCiGek0VO33hCg +yqVtT7IFQlyc9mJj9k0BSj32X7EnIcTny871p90SoIAJnx3mkELMvtrpsKFT +gFDA2H/fgYs2p56Muy1AKs1Tz3VSQvx7T5TCmi4BGj9Jb00VLcSVDkF54d0C +9D7CcdZaRojvN/iPrrwjQDevBD6zkhRi6SfBEX49AnRkWlKp1CQhvvcn5pnX +XQHaHl+46A1whmHOEvd7AhTTdUCsSUqI91btv+F8X4DcZ19szp0sxA2eN5H9 +fwJkkN671nuKECtt+taKegVI5tHn2XOlhbjMVMvM4oEAjeqMe/4LuLA2+rzR +QwF6kjetrFtGiGuenDXTfSRAra/0nGtkhdhfTPzi3McCtNd80dhYOSEONgqy +mf1EgDbtCGqxkRdi14r2WzOfCtDKwaR10lOF+LKj7tJpzwTIbmHRnPfAq8Ia +Xkj3CZBadcOL5mlC/GaSWrTkcwGSGL24c6uCEHPhJ34JXgjQp8UPXFZMF2KL +bTYF418KUNehwXFaikKcWflS6R9w45jxrX+BM5pyz/3oF6BiX4W4uzOE+Psv +E7fhVwIUd0ZfY/9MIT5d8PUD+1qAllFO/fFKQpyU35I98EaAjENX7lqoLMTy +k/OV374VoKmXNrjJqQhxpF3olRfvBOiXbPGEAWAba+eQJ+8F6HnMwbYLqvD9 +6vMn9n4QIHzzUvz2WUI8cZrp8TsfBahW+eHcgNlC3Gpk5XlrQICyU4SvdNSE +OG+P+7/2TwIU+t/43WPmCLHf6pijlz4LkIPm9CX/AWt1VPi0DAqQRo7BxAPq +Qjx0s1twVihA5AunS4ka8P7KmbZGVoBY4+AEx7lC7Oa2Yt1hToDuFiVrTpsH +6yV5Vq1eJECnPxa/+Qy845f8y+ohASqzPlR5UVOInfXyd+/+IkCJldi9SEuI +1e9N9Cr7KkDeXx9KrNQW4oW/SqSLhgXI3IXF+jpCfLFH/cHWEQGafmBC0nhd +IT67tmvX5m8C9PfPdO2HwG7fU/02jQpQv5fhu4N6sP+3m6umfBeg9hPOVcn6 +QjzTZcLg+h8CdEAiZKmzgRC3eD0/F/NTgLasTCEUDYUYd13NjvolQJEXSq6w +wGvuNHmE/hYg5ymHN1w2EmLx1HOqQX8ESCv6sk6psRDfHbn2zfevAEl2PHof +YiLEcn6vbnv+E6AhRW6vkakQz+sh65aIEei/RHHPiWZCHBRjl+o8hkBNPYrU +E2Ap/23L7ccSqELdqP2IOZy38/2G1uMIlJLpkpJqIcSB++2k548nkN/TED23 ++UJ8w7x5xHgCgSwNNn6cuUCILfebPtETJ5DS9tKaIWDjHzcvaU4k0Nh3h73a +LYVYNSiiYY4Egd4uuELvtIL9MSpbrCIg0PXyx9fCkBB3v+zdqEgQ6BDHbTS1 +FuLtZvsj5UkC5TtONCBshNh+9iafKRSB1tTO+PQMeGv7ameGJtDin0a1x22F ++INhhJWAIZDeUlfvTXZC/G33eqPxkgSacmyVpPtCIXafUqL1D3hkfOp1FXsh +3n3l4pyfkwj0yH9H2jDw+o6fqiNSBGo5d8TwuoMQ51s5qogmE2iP5NXPuxyF +eNuSBpXPUwiUFvFkf+QiIZ47VWb2e2kCBV4R+Vg4wfu8UKbxSoZA1tMkpGhn +yNNls3X7ZAk0K37mzRfAEyRumD6SI5B4l/Gmky5CnP4t2fa+PIE+znIzznIV +4gBkuaR7KoE600KFS92EOFFcOvDmNAIde5haP3sxvI/IXzHtCgQq1ClbMQp8 +IH8469J0Aq3LOzr51hL4+/x/u1oUCeTx6uqtSnchFm2dfuLsDAIZmj/NiPaA +PD3sfPPETALJ7hgysVwqxFvIgjdHlAj047MEJ7lMiDf39Is1KBPomZ1Swyvg +T7IOSrUqBLq418T/jCfs77GXratUCVTzzU06x0uI351xDt01i0CZi8Nuey0X +4iznT/mlswkUcigtS91biHd+2nO6QI1AC8fsNPsJrHM6qC9vDoHm+B4T3faB +fGs3ldisTiDBmfaDe30hD61mGW/SINBn8llAzAohJhxUw1LmEujOqi8y1n5C +fOmXUUXCPAKdvCjonuwvxCXpfl3rNAlUKqu8+S3wSVH52GgtAq2PMbU4FwDn +L/6debg2gbxuLv6yJVCI6+Y4JgTrEMhUOfywT5AQD2jjU/66BJqWkh40byX8 +niNOIm89Av2+v1PuD7B36yfdZfoEejHv+J07wTD/smviFxsQ6PLmazn7QoT4 +lUrkeSdDAtU9fzY/bpUQK7Q5/FtoRKAc469fbUOFeNo6C0drYwKFFxFHZcKE +eEGQ3Y75JgRa9FE5+APwwPHgfhNTAs2zNpvaEg7vI6Vcx8CMQHTlkrv5ETC/ +P7zM0DYnEPclfItfJPweWateDQsC3XPeZKkdBe9b/azG7PkEOlNfPvIPONDK +KlNpAYF2/jl+7N5qmFep/U8VLAm0wasjpC4a5t2YCmM5KwL5nuiblrBGiCVE +oWWTEYHmSwzfs18rxKGRTsO0NYFmrCS3ysfA+yha6CWwIZDYBRX0Cdg3e/mF +8bYEej3ZfLR1HbzP8AwlMTsCXVvt3lgQK8RxfpfzfgE3XIsIDYyD87xZ7uu3 +hQTKU8yYrhcvxF/G5AZ+sSdQVOKu/8auF+LyEfKO0IFArj2N+b3ACZmHLQcc +CaSjft26IQG+/0bAqbeLCCSV+fx7UiLst4/z1PqdCPT1yfCJRUmQfxJSe585 +E+iBPhWusAHyxJ6RfeRCoOZtqjOEwBrXVUvuuxJo91vzB5eShfjxIXfmjhuB +Ni7w2F6cIsQxkyoKbi0mkH95pG3wRsjPGaNMxxICWXEZPw1Shfj9yNrSy+4E +UnGsODUhTYirm//JtXkQaHztiYhHwGzO4ZrmpQR6/+P6zMPpQnwnK1rjzDIC +3fR48TBlE8zju45NjZ4EOnJ0pMAlA7jY0vaIF4G2j6cXzsgU4odvXf87sJxA +a/1n/eaAp4oSQ2u9CbTknMWZK1nAvS0/qnwIpC+5NGpHthALT8sWV/gSSDoi +Sjl0M5zHxgL1shUEGr2c+dg4B87DwIz2Ij8CPZm6u0giV4iP5XQGbvMnUGvc +SfunwIYHiv/mBhBo7+0bf45ugfcZFVuTFUigTbNenk3LE2Kf0Wib9CACrUz7 +tnrxViGOXpv9IXklgWwf0qrK+UL8aOhsYUIwgWbrzH76Bfht3RjT2BACTcyb +X3JtmxC/aIh8E72KQAP9Sx3Lt8P+VxQWR4QSqMts9b/wAsgj9e1oVRiBGkuz +zpkVwvwctP8SGE6g4s+715BF8H7zFRtWRBAozu7UrOfAd2dP8lseSaBle28+ +aywW4sGXM6SXRhHI+NvL0owSeL5ni+64rSaQ/OLRRR6lMA8sSvKdogn08yAz +ZtYOIR6rMuJov4ZAfWJq50eAd59KENisJdAlnwUxN8rgeagpXQtiCFR7epna +7p0w/726i83WESibjH4eVf6/Pl2/3CiWQKGrssvm74L9ZLlbSS+OQA4XK52Z +CiG+rXz0s2Y8gTRkT4/tB56S/vS8+noCkTG3Wk7tFuIjCXPyZiUQSHijf112 +JczHeaU+SokE6lH6PsdzD5zvK9O0picR6FSy5Eu1KiE2X3FlrPwGAu24r1b+ +HVhBIffplGQCJc6zdO3cC+9fNfKsZAqBvDd7jq+qFuKUiqhiciOBzJ9Ht66p +gfO+J3/txFQCTTfeHGe1T4iZJbfcxqUR6G/hHg2pWiG+/lhN7x9w/4fT/a+B +K73rZH6lE+gq6tx1dr8Qx36x/P1tE4Hqd79yy62D+8KtH2+/ZBAo98v3Cd71 +Qvzy3389bCaBIpwnXdQ4AP2spbvtUxaBnOvnrP8FfFz+w9H32QTS+mM5r7tB +iHuR0t7Xmwkk6eX1uvognL9lycUvcgg01Lhm97pD8HuSvuQ8zYU+MjFnic1h +IT7VtS3t4RboI0FVE6WPQB9NXJh0P49Au1rOXHoH/L5iZvydrQRKnnw7ofko +5I3XtNjOfAKtWP1ac+sxmKf3jWKvbyPQgms/3vgeF2Iz3bj4q9sJNFNRao9m +I3x/aU/SpQLoI4nqHn+ByxXd0i8UQh+5YyW4ewK+76sw91wR9JE5yy/XnoT1 +MD1RcroY+kjG2qT4U3AfkCytbiyBPvIkR3vhabj/7Ck/fqSUQNH6e9/JnoH1 +/9d2qWEHgdy2na36CEwFTbi/v4xAum9vL71wVoifvIz5UL2TQJMXvCG2N8G8 +2f/7b2U5gYZ3/rzifw769e2j8rt2QR9hpZJ1moV4dVqa4Y4K6CMOGrpjzsN6 +9sV6FO2GPrIPfbgPfJ/aGretEvrIj+XV9S1C/Fm3vWzLHugjHjGeiReE+GC0 +Ukt2FfSRo7mUY6sQV7zZ93LTXgKpjq9un9omxEtb7CRSqwk0wb8p5TPweinK +YEMNgT40deldvCjEVZKjgev3EegW8/Zj4SVY70cTitbVQh8J/1UThIW4frvp +5ej90EcuT16ufxnOr0fR14g66CNT5zLjr8B5dSU0Quuhj8RZdzwATq49HLTy +APSR296pB68K8ZLYNZX+DdBHZq0zSG6HfHzl+dDnIIG+p2755HQN5r9MiLTX +IQI9fVBdO70D1ld35zKPwwRq0z7nzQKfXT5Y7naEQNVbuiUvX4fz2xjxzOko +9JH+t9dLbgixrTeh4nAM+ojZ77SQmzBfk+9F2R6HPlI6xcjoFrwf40tNVo3Q +Rz7PHRTvFOLOU3fHzj8BfcTOpu4x8NVJAg/Tk9BHqnx8j9wW4h+J4XWGpwjU +PbJOKrVLiDdIDH7TPU2gE255N127YT0Hyl20zhCo5GDNppl34D64IKJe4yyB +4sWajYeAUzSC/s5ugj7ic0d4tQfuQ/fSfVXOQR85/a6+7C7MI+9r52c0Qx8h +/6wIuwf94o3uVIXz0EdCpKeY3od833Vto1wL9JG2eZ2C/+D+tGtT/5QL0Edk +bDOfAe+TCHaY1Eqg/Wt9TY/3wvMy0SepNgJtvhHLpT+AvO3eqyC4SKAwpa0N +Sx5CP4sazZtwiUCOyfv8VR4JcYQg+fsYDH3kfrP0MHD5HZWov8D0vJ7bHY+F +OPvF8POfl6GPZL/P2vUE9oc/t3T0CvSRvj9mkU+hX6+b3PX1KvQRI5kh82eQ +/xYB9qJ26COFmoeoPpjvr+9fHbxGoKQPtoEvgN/nr7Me6CCQD1ohe/I59HVv +k6vvrhPIYndcd+YLITaKnbPw9Q0CKX7ZunnpS8jH76jzxU3oI861FrP74Xkn +b3Z/dgv6SN35L9+AyXeip486oY/87jl885UQq5RtCeu9DX3E80NQ5Wt4XjvH +4btd0Eca/8pFv4HzpmCwubsb+shE2Z4Fb4U4bL6jbOcdArkEaeVKvoP7bPeW +I9d7CKTdYrfg1bv/5eMQar9LoEmT/YZPvxfi9ru5T/A9An2Jij+6+QPs1wL7 +9W33oY+05wd7fRRiZRc9qZb/oI9M3z9VfUCIP+o7nGzqhT6S0HL3B7BDWJ77 +6QfQR+7c3XL7E8wXcmS48SH0kTkfLfd+hj5tX1B59BH0kYx/I2sHhdhlgbvN +occEUn4iexwJhThH2nqw/gmBxulrr5rMwnoN+VXUPiXQu/yFCm+Bw7/X21c/ +I9CNN373mzi4Py+eOlrZB31k/vqtW0RCXDvtwuFdz6GP7NyGfIaE+PWmLQFl +L6CPsPtH534RYtn6TJmSl9BHHC40/gaOOHboTkE/9JF990LvfIXnvfR7a/4r +6CM/Pk7fNwzf/zfNYctrAn1zF+uNHYH7c6nmxM1vCPT4iNw222/ANRK3Mt4S +6MI4HRuZUXg+p8kFae8IVOVn/+M9cG7LoqUp76GPNPmfPP9diAulTigkfYA+ +wiSE5/8Q4u9JNu/jP0IfCd8+w+8n3L8mjz+zbgD6yOW6B1q/hLh4wnDmmk/Q +R6a2bv8HXJk0eWnUZ+gjsfdt7/0W4msFgWrhgwS63Tnwc/8fuN+tefYrREig +46pjTq//K8Tx83P/C2IJVJQqH2n/D/aTUtBxf45AsQ90lOTFWPxuQeRWXxH0 +EW2HRwPAFa214cuHoI9sCShsHcPijksCx2VfoI/0JywsGMviyWtq57p/hT5i +WvA7YByL5w1GSLoNQx8pqT+jO57F11YGfXMagT7yqTVq7AQW7/y65aXDNwLt +s/1PuRc48MbLTrtRAmVVfXp8QJzFH8dGnLf+TqBVI2OKkyay+O9tpUOWPwhk +7zbVYZEEi9c7EpUWP6GPHNT9O03A4tnVswpNf0EfEXNsGgT+8iVms9Fv6CPe +gdGXCBa3Rw+m6v+BPnIqUbWYZPFmvcoknb/QR4jCpyspFmuGJ6/X/Ad9JORA +iQHN4nMG+fEaYiRKaGtznMCwWPVi13q1MSRaLtP77yFwqob1BtWxJDJb+/nc +IUkW36v+kKY0jkQKN8auTZnEYhPbyzmK40n0Z+a02S5SLO6af7to2gQS9W/Q +61OczOIzpySq5MRJdPWe4w4OWObmhiPSE0lUPzfI6coU+L4GhVYpCRLlZieN +2SEN/x431M0ISBTRV3h+lQyLNTx+viYJEjkZNcQYy7K4Ptr4pwRJIs3Ci2oS +cvB7PhyYIk6RiPnQ+/wJ8LhPjjrjaBKJrAbLjsqzeMfuma5iDInuV4xzSZvK +4v7pc9f8AW4amjZu8TQWe1RGFP2UJNEuJ/0LSgos9jJ9dmZ0EomS6xbFfgEO +Usx6OixFohW/g9SvTWfx5xj/cV8mk2iB54aXOxVZnOy1RpubQqKZjUXl4TPg ++0ZPrRiUJtGYiQddzWay+GbyvG0DMiR6E3hpPKnE4sZxT9vey5Ko4/yD1j7g +t60XRW/kSHRQShjXqMxi8tZDtVfyJMqPGj83QwWez3124IupJIpuV3jlrsri +96mHdz+bRiK36QYVqrPgeWNDHj5WIJFugtPiEeCPy5bKPJxOosl3VorfmM3i +FYuTvf5TJNGwWvLFCjVYzy0Pdt+dQaKHm4rXR81hsbpqxMvumSQ6//jgvPnq +LNZ30ptzW4lElXr4Na0Bf6+qF3tTmUSp+Q93vwQe7Q2/2KFCosA3wiWn5rJ4 +W+4Dsl2VRNbzJ0hkz2Pxi4AUv8uzSKS6czpepsniwc1eJy7OJtEE1iBRTYvF +bTOjxreqkeiDvbPWd2Afr6YV5+eQ6FZN8Ntb2ix+uNi0qUmdREe/J+/Zo8Ni +V4Ovk85okKjAvcRjjS68vznv156cS6KYI4cEVnosbl4m1XN8Honcx12+PEmf +xWNerdc7qkkiQ79HSa+BR75OKj+kRSLZJlb7rAGLe86++31Am0TfafH3OYYs +fuD0NbROh0RPwxT3Ljdisftzs3v7dEnUhg2XaRizeNeOFstqPRJVy7uQv4DH +7Ixr3KNPoozYkKtdJizmJEKVdhuQKLgzJbnalMW3ZEvKyg1JZKdaqrvOjMX/ +3n0jyoxIpJZ6+IO1OYsnVpdnlxiTSPDgcvUUCxYHhK37W2hCos9ajz3fAeeH +bd643ZRE3bkc1TwfzsP1+z+3mpHoxEvxa3kLWFx2bEXqFnMSlZjO2OhryeJ9 +1jPFcixIFF9ipK9pxeJnxxRzs+aTyPOTy8AfYFZmOZOxgEQmtqv29SAW59Xf +rkizJNHUqo3La61hf2dsnL3RikS/hkuZeBsWG98LO7sBkeiF65EOO1tYr0sF +CxOtSXS54UqqrB2Lv68TPY63IdH+f48NPgJvlixaG2tLos3eok8tC2H9rkaK +x9iRKOzUxP3b7FmMTmXsi15IIkdipo+/A4stxvfOj7In0dwQ40k6jiw2ehPy +LNyBRFSb6w2xRSxWS9RNDXUkESsdmn4fmHlnNjNkEYnurkk1qneC97k841qQ +E4nOXN8xmODM4k/fxkUHOJNo58yjdQ4uLB776ZqMnwuJkjZc9Z3qymJ/n/Yr +Pq4k8rn3ROoz8Iw1/2KWu5HIYu7QzTY3Fse5Jit5LiaRYrZERuFiFheq6P3n +sYRE/57NNAlawuJj0nPylriT6JWhCavnzmJJlxVWbh4kai9wOzDOA75vqPu7 +81ISHXgf6vcA+OG8TWcXLSNRnlXalINL4XzPiolz8CRRVEVZ54ZlLC4YX62/ +0ItELkNHM508WWz+lRyxWU4ibad20+lecB6mtrQgbxJNqnvKCYGDaw5kWPqQ +6MuvoQa8nMX/Hb+3aL4viXqXCQJKvFlsuGaBjPkKEp07riQT4sNiKfGB1yZ+ +JKoQN+0y9GWxWG3vaSN/EqUELs4WX8HivWFiOQYBJPI/H2b+GLhwU7SPXiCJ +rKTShw77sThdeqquThCJlKN2Htroz2JlN3GB1koSjWs/FugaAPngafh2bjCJ +3ilck50ZyOJ4j7or6iEkurH+WbcI+NTapbVqq0h0uPvL5qtBcN7v22XPCiXR +NjViftlKFsccTApXCSPRmk3KX0ODWXxEZtBVKZxEix+bHjEJYbG3/X7jGREk +0tdbslKwisURwZXK0yNJJJ0fLv8MuLe0l5kWRaJvr9N7joWyeI+Y+1+51SR6 +bFGemx7G4uu9kiKZaBJdKDu+YEk4i0NNpd5OWUOiKuG1YeUIeN5lnk+l1pIo +3b7v6FfgII+++5IxJAqq+RrcEcni8Ssauul1JLL5TkzbFcXig7vOdJKxJJrl +rnIvYjWLM/TGdwriSDTxiFmeeTSLu90rbk+MJ9HAWHcrag38PuV1PRPWk+j2 +iohvz4Hfdm57MC6BRMfPbjp+Yi2cj8TPz8ckkqiI3rUqM4bFKa5FH/8Bx4Y1 +KixdB/0hOWnkTxKJluKO+7NiWVw1q3787w0kMpJ/vvUbcFKSjOzPZBLJxQ6j +m3GwH/bc1vieQqIft8jvu+NhP7Ret/q2kUR9KqonVq9n8Zx/4t7DqSS6tNE8 +bEECi61Ltsd9SSPRvl53RclEFs/cs7xIlE6iLK3I3n7gUPuIRnYTiVblZmw7 +ncTiy22XegYzSGT/cpfN5g3wPHNWfP2USSJ10xM/PJNhP59aMHUgi0REyfWT +c1JYnJkdZv0hm0SDA8/DfwBvv/Fg9bvNJLpjMzLj9kYWZx3cVvEmh0Qn91AP +q1IhH1zzbrzKJdGOYdWCtWmwH17f/v5yC/QRVws7lM7i4yVemi/yoI80ePyS +2sRiy83qwX1boY/8izz9BtjnrXXl03zoI96ZkU0ZMA/79vc+3gZ95GSF0pZM +Fi/a6Sz1aDuJXgpOPvLOgu8zn7/kQQGJrgTfKJybzeIJI+tL/iskUV3ri4W/ +gS9yI733ikiUI/3td/dmFpcvw9PuFkMfWUOfrcmB9+N6L/hOCfSR67NWx+ay +eMsEzeNdpdBHZs5Xsd3CYpWGnh+dO6CPbFj6RDoP+qVPm+OtMugjd6OK3wOr +OX/ZfWMn9BGNLIfzW1lsWx8r7Cgn0dms3X+35rN4f5G57bVdJCp/drJpxTbo +Tyaue65WkGiD4c1ore0wT68fHrm8m0S+BS9V/wF3hy/xwJXQR95/e3q3gMXP +7axPXdwDfcSKKd1fCPmVlzq5rQr6SMXsReuLYL/4j028sBf6iGi+mH0x9Ef2 +/rPz1dBHFi1rliuB8xvG2jbXQB/Zv3rtAPBVbllj0z4Sbf2VNbu1FD7/rLjC +2VoSrV5W2bd9B5y3/nH5p/eTyPX4qR0BZSxeWO3y62QdiXTEbznp7mSxlvyr +tSfqoY8E9o8ZW85i3+Qrb48fgD7SPHr+P+DGQaHfsQboI5Mk1x3YBfNub9ij +Iwehj0SqzUmqYHF207xlhw9BH7m64IXjbsj/oAX/HTwMfUTBc+e0SsiTzj3L +Go6QKGB9tMsg8L/pDo/rj5IIdWePu7SHxReyrQLqjpFIRW3PhaIq2M8aOe9r +j5No/KbTsSv3Qn81l4/d1wh95NEtdYNqFrv1f/9TfQL6iO6rl+NrWDzfYU7B +3pPQR7Z+L38IbFtZN6PqFPSR15Juh/bB+o5fe7ryNPQRizkTUmpZfONUruPu +M9BHyizbnPdDP+gS9u86SyIDoWe8Yh2LczPrNpY3kUjGfs1cDvj7z4PyO8+R +aLR686vL9dBnVv1q3tFMoiejeypKD7DY5tNen9Lz0EeWnFm8qoHF05uL/hS3 +QB853ClufBDe57e7dUUXoI+MfX1x4iG4P/SudClshT6y4sf6J8AWaTaj29ug +j5ydpHn0MIsNFOLrt12EPkKrv0k9AveTp1+X5l8ikUSYVaXbURZP+3BtwlZM +ok+XvNyVjsF8j37fsuUyibrk1kp8Aa4u916Xe4VEjetycPtx+PwSBY2cq9BH +blUl7myE/pCn8za7HfqIylmt8BMsTjhYVpt1DfrIxttvTU/CeZjmvDKzA/pI +7+s9xCkWb2SWqmZchz6i9dOjD9i08diH9BvQR3KkiMbTcD9Q825Mu0mi5y/U +r2w6w2KX/Z5JqbdIhE3QBvezsP/dDths7CRRbfFyHdUm6F/LHSal3CZR9sDa +98PAqwcs+jd0QR+xyd17/Rz0Z53s00nd0Ef27F1W0Qz9fbnilsQ70EeGz5JR +52HebCcCEnqgj7h2XbVogfn+y8lk/V3oIwfeJNMXoG/1vJgcfw/6yN+fui+B +9xtfF8XeJ9Hp5ZM/nmxlcazfmHvr/iNR2UmNmqw2yMM1xWdiekmUKLD2WnYR +fn95fMXaByTyDvam1S6xePm4o5vWPIQ+0hpzbRRY95VxZPQj6CPSWzbewixe +4yfnufox9JHoav09l1l8otbFNuoJ9JGOpoHoKzDPnz80iHwKfWRG9z7Lqywe +mH9OLeIZ9JGkt8sntcN+HvykEN5Hoi13fzGvgYvlE6aEPSdRpMaU62euwf2O +XUaHviCRc9bctJwOuD9U5gtWvSSR1jNrw+XXIX+cZCVC+qGPGPp8Vr8B9+G5 +3yWCX0Ef2b5u/0/gSRG61MrX0EfebfHpugnzVQdLBb2BPmJZM6n6FovtGuun +Br6FPrLr3I2YTshvqVeqAe+gj4i6061vs7g0Z72u/3sS+S16ZzSlC+axaaCV +3wcSWe7/PfgW+K5X7ZIVH0mk9GtK/bluFkszFqt8B0g0dtm8FXl34H1t00zx ++QR95JjNZN8e6Ge/E0q9P0MfmeB7a95dWN8CuePLB6GPBMRm/AGuiJbq9BJC +H2nOM+m5x2K9GyEDniz0kUn72H33YZ51MqQnB30ksvlA3H8sVqyfrLNMRCK9 +q3f87Hqhr2fGeC4dItEUhfdTZB9A3yuane7xhUQj8X86PwBL/zE87P6VRI+6 +pLNaHrL45Le9D5cMQx+ZrWm27RHcZ44EiS8ZgT6Sbivyewx9fmGa6eJv0Ece ++R7UfgLn6dvXaLdR6CO6cQFiTyHPBq7VuX6HPrJ1q8x94MNuoj6XH9BHXu/r +qnvGYsIjUd7lJ4nELc5nJ/Sx+Jfyci/nXyT6uKPH3OE5i1cOlpY7/SZR5+D7 +IfkXcJ97qfFk0R8SHVv499AnYCf16TMW/SVRYbVMUNtLeL8jkaGO/6CPjGrK +FfbDfTthygkHMQotXWJ3J/AVi38+kP9lP4ZCRodX5Oi9hvNsl7LIfiyF5MbG +zx/3Bu6DIpPKheMo9MM3/2sv8IyJHkK78RR6dqb2SMNbWK9zN23sJlDoItWy +csM7Fv/Qqqq0FadQTehdeaf3LL5f2TVsM5FCmZc+9Ch8YHHLPF93GwkKhcj9 +yxUCS0ouPGktoJD9OllL/JHFVvEFUtYEhdRvaY0UD7C4NdcgAZEUIlQWHgv+ +BH1gg8kzK4pCgyl+IYaf4bwk7La1oil057/4aeKDLFZo9Gm0ZCh0UnPbvUfA +8ks2KFhKUqg0Z3/eYSHkUf5I/oJJFFr/osVqI8viedvu/Z4vRSEvk3vfXDh4 +H5lU7PzJFDIt/nh8hgj6cFXDB4spFFIY+LdKBLyc2BdkIU2hP9Zy068Owfr/ +/P7MXIZCLyu1/9vxhcWdlad8zGUpdOXrwvzQryyepdD5xEyOQnUu/tYmw/D3 +R2z8zOQplHNg/XeJERY/TlR4ZTqVQuF/t514Cmx6clmE6TQKLVpeF3bsG/Sh +HHbIRIFC805eUEwfhT49eyDNZDqFaMH93sXfYf5iO8pEkUKilQPblH/Afs8a +s9d4BoXuXxCz/Qo8r3qmrvFMCp2dIv/z2k/om9Y1HUZKFCqP1jlV/ovFl/Zk ++BspU2hDh31ExG/4/L72UUMVCvnOCJhp/ofFDfqRZYaqFJqflPCQ/MviyXfW +GRjOotCMu9sLngNLv3jaazCbQmIa9XYn/sHzlR7cYKBGodeZrb8yxDjcN/XR +DIM5FOp4ev+0xxgOX6mPvKGvTqGDBp8iZ43lcGJwYJy+BsX7cByuzTo/U38u +xftwHB41TOjRm0fxPhyH9Q/vzNTTpHgfjsMKktOM9bQo3ofjcEb5WKGuNsX7 +cBy2ilncoKtD8T4chy1uiQXr6lK8D8fh0F5ZJV09ivfhOHzuckm/jj7F+3Dw +fZdi63QMKN6H4/DH3+cidAwp3ofj8NCxlbo6RhTvw3E45m/sT21jivfhOFyk +/PG6tgnF+3Ac3mZ/bae2KcX7cByu2yserm1G8T4ch2cvP2GubU7xPhyHw+su +TdK2oHgfjsO3TxsOaM2neB+Ow21NUte0FlC8D8fhU32etVqWFO/DcXie95hM +LSuK9+E4fNZbOkQLUbwPx+HXTJGDljXF+3Ac9joaq61lQ/E+HIdbA9rktGwp +3ofjcIdPzDgtO4r34Ths2J0/pLmQ4n04DvcOMK817Sneh+Nwcv+vXk0Hivfh +ODz2tXunpiPF+3Ac9p0pdVVzEcX7cLAfbpm1aTpRvA8Hv0eq67ymM8X7cBwe +p36zWdOF4n04Du+w1G7RdKV4Hw7WL/dvm6YbxftwHH6jY9auuZjifTj4/9e8 +uK25hOJ9OA5fW8s+1HSneB+Ow7FhkW81PSjeh+NwUM7iYc2lFO/DcVj0e5+4 +1jKK9+E4XPDXX0HLk+J9OA4fbc3V1/KieB+Ow92hCi5ayyneh+Nwmvb0CC1v +ivfhOOzhsDVXy4fifTgOC18FH9TypXgfjsM/dQ53aq2geB+Ow3ohfiItP4r3 +4Ti89HiqvLY/xftwHNZChK12AMX7cByucJ2wTjuQ4n04WN+Ja2u0gyjeh+Nw +U43dPe2VFO/DcdjNcesEnWCK9+E4bG8wf75OCMX7cPB8pf4JOqso3oeDz68Q +ntQJpXgfDn5f0iCrE0bxPhyHDwb56uiGU7wPx2HjLSZxuhEU78NxeJbi5mbd +SIr34Tjcvgz9042ieB8OzlNQ9CK91RTvw3G4JE6iXC+a4n04Du+9KP1Obw3F ++3AcllxfYqy/luJ9OA6vv5merx9D8T4chwOEff366yjeh4PPlzlmZhBL8T4c +vI/4wTKDOIr34Th8yaz6i0E8xftwsH57rngYrqd4H47DC297NRkmULwPx2Hx +3/7TjBIp3oeD3xf0KNMoieJ9OA7/mNf+2WgDxftwHJYpUfY2TqZ4H47D369+ +uW6cQvE+HIfPDBubmmykeB+Ow6t82KMmqRTvw8H5mzVVxTSN4n04OC95zZWm +6RTvw3GYaLklY7aJ4n04+D0Di3eYZVC8D8fhEFenKeaZFO/DQT7Ltew0z6J4 +Hw7eT2rVNItsivfhODyhcbjWYjPF+3AcHui/Mm9+DsX7cBxe6Tj+/Pxcivfh +OEzKt9kv2ELxPhyHt2cPPFqQR/E+HIcrL21fbbmV4n04Dg//PjDGKp/ifTh4 +3jijSqttFO/Dcfiqh4UR2k7xPhycx3vN91EBxftwHH6uejTOupDifTgOZ6XK +yNgUUbwPx+H3kqMtNsUU78NxeNrkJSttSyjeh+Pw/GYl0q6U4n04DicYRDbb +7aB4H47Dvy5ohC0so3gfjsNMVrCc/U6K9+Hg39um3LYvp3gfjsOK+xZkOuyi +eB+Oww8D+80cKyjeh4Pn1/097Lib4n04yCv7ktOLKineh4N59agmzmkPxftw +HDaRVTdyrqJ4H47DG0zn/nTeS/E+HIePxDVccammeB+Ow7k/qra51lC8D8fh ++2MYb7d9FO/DcTjw8g+1xbUU78PBfokK+r54P8X7cJD/BjZdS+oo3ofjcJRH +zX73eor34Tgs+ytpo8cBivfhONwT3OW1tIHifTgON16qMlx2kOJ9OA4/tR2Q +9jxE8T4ch3PmnB71PEzxPhyH2erRPq8jFO/DQf6+bLm2/CjF+3AcNpjxo9H7 +GMX7cBzuLG7a43Oc4n04DpdFc/m+jRTvw0EefGhIXXGC4n04yHujvnV+Jyne +h4N8KywK9z9F8T4ch83UrgQFnKZ4H47Diwxi/QLPULwPB33gc41v0FmK9+E4 +fCHbdcXKJor34WC+6qcEBJ+jeB+Ow2LaaqtCmineh4P9f9Q9etV5ivfhIG9f +/U4MbaF4Hw54gvrmsAsU78NxuMr1vx3hrRTvw3HYR/T3QEQbxftwsL6qRy9E +XqR4Hw7mr+Lje1GXKN6Hg+eXyf28GlO8D8fhk1ZNE9dcpngfDt5fb6ja2isU +78NBvktUOMRcpXgfjsPvprisXtdO8T4ch500s0pir1G8Dwf7Ic/8QlwHxftw +0CcCEt7FX6d4Hw7y9r3+lIQbFO/Dwbz2jLdNvEnxPhyHHd4YJybdongfDj7/ +etrRDZ0U78NBfzByfJN8m+J9OMin8HLFjV0U78NxWK4swje1m+J9OA5nDl3a +nXaH4n04Dks1736W3kPxPhycL4UfMzPuUrwPx2G15c/DMu9RvA8H67XP/mTW +fYr34SAvtIx+Z/9H8T4ch3+bHHbK6aV4H47Dp0f278l9QPE+HMybahVuy0OK +9+Hg/ESqL9z6iOJ9OPj8orN78x9TvA8HeWp/4/u2JxTvw0H+XA/yKnhK8T4c +5J9D5rnCZxTvw8H+HKMxtbiP4n04DmfP804veU7xPhyH5f8y70tfULwPB/37 +pOuSspcU78Nx+PJG6Ys7+yneh4M8LQ/R3PWK4n04Dg+aWVRXvKZ4Hw722949 +kyvfULwPB/3ix+ate95SvA8H8yRndOzedxTvw8E8SPmaXv2e4n04OL9SyX9q +PlC8D8dh/8y89NqPFO/DwfsepzK2boDifTj4vJ6FefWfKN6Hg7yYMTyp4TPF ++3BwvjW0qg4OUrwPB/tPfUjjsJDifTgOC5ahC0dYivfhODz33TTXYxzF+3Ac +3iWd+fq4iOJ9OOiLCvEpJ4Yo3ofjcLPekPSpLxTvw8H9oeTXqdNfKd6Hg/cZ +V+p+dpjifTgOm0qe+9o0QvE+HIfv1oRVNH+jeB8OzpN/vVXLKMX7cBz+lxkz +cOE7xftwsB/sb+5s+0HxPhys75tGu0s/Kd6H4/D5UtVv+BfF+3AwTwrVjlz5 +TfE+HIcfMeeD2v9QvA8Hfcbt8dSOvxTvw3E4NXfLg+v/KN6H4/B+UceOm2I0 +78NxeOf1Hcs6x9C8D8dhaZshua6xNO/DcZirevG8exzN+3DQn6X8GnrG07wP +x2Hzx5Gx9ybQvA8HfVhTwuo/cZr34eDvHU0kH0ykeR8Ozsuq0VcPJWjeh4P1 +bHNsfiygeR8O+lf+vKKnBM37cNBPJ+6L7CNp3oeD/I1psH9B0bwPB+f3l6Va +P03zPhz05XeREq8Zmvfh4N+j5gjfSNK8Dwfz42pS77tJNO/DQV6rr7j0QYrm +fTjI38f3jgxMpnkfDn4v2bf78xSa9+E4vO9P8jahNM37cJAPH49u4mRo3oeD +PiURlzgkS/M+HIfH7OqK+SpH8z4czIue1tUj8jTvw3G4XGgVNTqV5n04Dh+f +57P6xzSa9+E4rNoxMeaXAs37cBzWHnFO+DOd5n046B+js9P/KdK8Dwd5Ll6+ +dcxMmvfhOHzAv2rXOCWa9+HgPJiZHZqgTPM+HIeVe6NbJ6rQvA8HeRplck+g +SvM+HPz/xrsHyFk078NB/00oHc/MpnkfjsMoWFVlkhrN+3BwPzV1sZk8h+Z9 +OMgTQzpUWp3mfTgObykNy5fVoHkfjsPFBd6n5efSvA/HYYngN33T5tG8Dwfr +5zKRUNSkeR8O+tKWa2YztWjeh4P7pLtCtLI2zftw8PvekrWqOjTvw3HYLr38 +8WxdmvfhOHzDt3Wyuh7N+3CQH+dSFs/Vp3kfDu4r3b2FmgY078NBn39+6662 +Ic37cByeqewro2dE8z4ch3c/zPEzMKZ5Hw7u2+auDUYmNO/Dwb/nnfxiYkrz +PhyHW/6esDY3o3kfjsNrnzjvmG9O8z4c9DfPzR8sLWjeh4P76EkfS+v5NO/D +wXxX69plu4DmfTgOfx159nWhJc37cBz+67vVw9GK5n04uG/t6D3jhGjeh+Pw +x2cX5Vytad6Hg3kVtzB9sQ3N+3DQh8pWf3C3pXkfDuZHuu7SZXY078PBvIgp +u+K1kOZ9OMiP+l36PvY078NB31lh3rDCgeZ9OA5fvJs6PcCR5n04WA/bwJ1B +i2jeh+Nw9NCrSSFONO/DcVhFfkJRqDPN+3D/m683JSNcaN6H4/Ccm1o7olxp +3oeDz+s2mLrGjeZ9OMgHu2e1MYtp3ofjsHrULK24JTTvw0H/S5NsXe9O8z4c +9JOLVc5JHjTvw8F8Cu96kbyU5n04yLcL1etTl9G8D8fhlOEp9CZPmvfhOHxu +meahTC+a9+FgPk39uHDzcpr34eA8ZDq8z/WmeR8Onq/LaetWH5r34eD9mnzR +3u5L8z4czL+xlo8KV9C8D8fh6mjtrBI/mvfhOLzxbLtOmT/N+3Acdp7692V5 +AM37cBw2evq8dHcg5O8nuUmUmAjHGIc4VgXRaO6uus/rgW3XFIrVrIQ8ttW5 +8RxY/FJga20wjSjRhf32Y0RYOeLRhvoQyOcq+/QTwNTREdODq2jEOt73kR8r +wouvX/h1OBTyesTfKBM4+4falWNhNLpbOzDpE/CSzeZbT4RDfrslDHqMg+9v +GFl6OoJGp3+K3WwFPlzjrdwUCXl+cHvdrPEi/PREyFBzFI3KlspvKgD+JCt3 +7cJqyHexet9vwA+k43dfjKZR4jEd48AJInxgICn28hrIe+9WqZvASQ9mu7Sv +pZH3BAehrrgIJ8inaVyPoVHnqfs3dwO/+JImuLUOzmdAQP3YiSL8p1598HYs +zAPi06bVwLoxaffuxMF5bU5Y0Qv8oSS15V48zIeQMSYLJES4xlWtvnc9jf5J +FkxuAP74OqXkUQLMizZ5lhGIcEhpSubTRBq9iqi/lQR8pWr2+udJMD9kdA/0 +A1+1SY3s30Cj9iutGYsIEbboSF/5JhnmyVoHv9PAdKiW3/sUGh2Y9p+JAinC +WiF5PgMbYb7cCJiyGbhGrNhnMJVGW+I/sYPAG9Pt/Lg0mDczEzs9KRF+p9iw +8ks6jSK7xjRcApZRaooc2QTzZ0NB5hxahD2vx67/nkEj59lT/YuB/7j3Zf7K +hHl0r970B/CG8SMlf7NopJWuKx3MiLD1zLb6MZthPs1t4zqB377RuzA+B/Lj +kcNtA0kRFivzuj8xF+ZV9n8NVcC2sXOFxBbIE53ArAmTRDj64nGCyYP51ffJ +fy2w64X+uVJbadSbl2j2CPjG4XZX6XyYZ0ZjZZCUCN/p9oqX20ajc68KRIeA +wyIq90zbDvOtcGqX1GR4362F1xULaFRhfuBgCvBpgeGwUiHMuw+62W+Ar5fl +z5pVRKOUHW0BLlNEWKOmdPmcYph/Vo7mTcCmq10K5pbQyG/wP5kZ0iIcaHG+ +Q6sU5mFF4FAusILHQzG9HTSyXPi5iwP+NdRgaVgG83Eo8ZC3jAjX+s/dZLKT +RkrVYzdfAcaPgq6al8O8dCoMnCsrwvJ1ThKWu2g0dnSqxQ7grLEfl1hXwPys +OyD7G/itqVmV3W7It8V6X1bJibDxVstPDpUwT3+3dXcDZxt/N3feA3l3yPGw +sbwIv88OK3Srgvnq2bu5Brjg7Na37ntpdHhMUJDEVBFuJoMXeFbDvG38bBEL +3NTFVXjX0Gibb5LcU+BP5gajK/bB/BUf99Vmmgjf3q3pHVhLozVnCu8cBX6u +2dcavB/mceC0I9IKItxiYqMSVkejxVRDThqw4G/Atsh6mM/n9Va+B85v1x+N +PkAjvdCL8xdPF2GJrouh6xpgXkstkj8PHLpC7FH8QRpNudT7VUlRhEubfjol +HYL5HRXUs/V/POP4lZTDNBqRHTzyBTjtqZxF+hGY5+1JuStmiHCKlkVz5lHo +jzHjgq8BX1shZ5xzDOb79KIFWjNFuOHwsea845C/N6dNLQfetOKXxfZGmPcJ +DcN/ga80jWsvOgF5rKx/N1xJhIW/O1x2nIT5f+fi0bvAuxNsnpSfolF6yqIt +ZsoivGZVckTlaegDag+C9wOrMqt/7j1Do6D/gixJFRHOaZYrqj0L/WDT4NT1 +wOsPbFI70EQjG80NI33AL6fXXT50DvrC43H3FqrC+gZn+R9rptGsnKJjjcAu +bYp/TpyH/qCnkCc3S4S3r02oOdNCI/EXDSEZwB2Xtto1X4A+ka9vNQBs+8V3 +8EIrjT4aX5rmMVuEI9wGyi+1Qb94s+jbBeAD8kZ2Vy9CnhU9uKeqBs9fbjnc +cQnybP7K49uBi2jxg7cw5NnHwbyR//17+za/7suQZzs3rAqYI8Lk3x7pe1dg +PliPRzeAJ4z7r6f3KvQRtkhBVx3Op/zOgsftNIqtVBitAH6WLevWdw36if3B ++2M0YH9tWy7V30GjpV/1G6OAvyd4P3pzHfpKzaWt/wHfy5u678MNGhm5OIXO +nwv7n9iz+vNN6C/fH6ADwAHWz824WzSSO7ByOjNPhLvi+4mvndBn3IWjicAO +H+tffLtNox9/Nvz3Etj33dymn13Qb46MP+GoKcIHT8UV/u2m0TOv4vxTwC93 +pEaN7YG+M2562DQtWP/7jovE79Lo4omD1tnANk2P5hL3oP/4GSgOAutnaUgy +92lUI4G/L9MW4coMy29S/0GeNTn1XgQuHJXtl+mFPFv58ISajggbap/pmvoA +8owO3lYEfH31lDbFhzRadUEY9h24eNSkUfkR9KWwZJuVuiI8LK9cN/sxjeyn +TJjRCbxKrqdS4wn0J1z8Q19PhCPNbXZqPaWRevT0B3uA995KKdV7Bn1K/tDJ +8foi3Egllxr10YjoMNi+BjjAecFOs+fQr2Jx+EPgrO4blQte0GhQ0dnWykCE +xz+Tr7N+CX2r8+GMQ8AvW3UbF/bT6E5i8M9JhiI867xk26JX0L9U2QfJwKNy +57pcX9PoZE/yqdfAxaqz+t3fQB9LnVDgbCTCZqq+3zzf0qhUvSTiLLBHoLek +7zvoZw+m2ykai7Cb0sx5Ae9ptD7z0Mxc4DONjYuCP0CeaRn+YoEVl05cHfYR +8uwpfrjcBD7PS6MoagDyLNf59GXgTjGZc2s/0cjM4FGBhqkIH9rT+TLuM/S5 +l8GRpcCtMU5U0iCNFLazdr+Ax10vs9gohH5nmqK0ygzy48PhNZtYGv15O+F3 +F3ChYv7+bA76XknJIyNzeF+njJ5uEdHo5QLFM9XAdz8fk94+BP3v06HCiRYw +b2RF7sVfaHSl3DBqHXDxxn8lZV+hD9peXvgE+FzIs96KYRrVcc7KNvOhj8hv +nrZ3BPph1aPfR4BPvP0RXPuNRjmOIY+nLBDhv5MWNB4Yhb44zJ5JBa7/4Pr7 +8HcahdemFL0DLj2t6dr4A/qjq/hqN0sR7ml9su/0Txot+lli3wwc7+o5eu4X +5FmDooqSFXz+qZolrb8hz5Ye/pMH3KHfcgz/gTz7Z/hkCJhR2E9e+wt5duzy +WV8kwiNnfdfc/Ad909uluB34j9Obe11iDBKNf7xa01qEL8yZb3ZvDINWngpx +2An8aGdo3YOxDLrvz6n8BZbpD5z0dByDbImNf8NsRNjefV7Gi/EMOntO/GkP +8DLDm19eT2DQ7JDSJlNbEZ7faxzxQZxB5ZIzSmqBpVKT+j9PZNDEtsPRhB3M +y8T8FSIJBm2IMHKMBzaetO7JsIBBA9JXVPuAp+xQ9/1BMMj3iss/u4Ui/MPp +7PM/JINur3n89Djwquwpq8bSDJo/bdU5WXsRfrPFXijOMOj4da5kE/CMetdk +UpJBM+I3rvkI3K2qITFpEoOKZk5c5O4A/crjyW5pKQaJdZXOugD8OjVAe+pk +BsVumCGm6ijCc9jzHYpTGPR61pFn24Brv3wMVJFm0LJ7Rs3DwAkP2d9qMgzq +SLtS6r8I5uO7zqp5sgwynuu69jrw3DVpVrpyDDr48PEiHScRvnxe/J2hPIPk +s1fNrgCeJhNeYDaVQVt1RGJjnEX41p1qU8tpDPr5bGNfJHCsfuN7GwUGrc6b +eP4+cM/2nbscpjOoz3DHDgsXOE9zPZ1dFBnk+mpGTD3wOB/hGPcZDLpUcMSJ +dhXhtlUBrZ4zGaRjbqyWCMxVHkryVWLQvvdXxrwE7nDsMg5UZpDUDtfnDm4w +T1tvfQ9RYVCW1ZPzJ4FXotqLEaoM+vp5VdnUxbC+s5bmrJnFoFUVopgs4Ixz +LxfHzWbQA7tU58/At20cFJPUGGQ/NHHOsiXw++XyhRvnMKh5746xF4F1sw5d +zlBnkIbTzBez3UW4/+7+8hwNBlV+O9JSCLzWPTkmfy6DyDrjnaPAfe6azkXz +GJS6+Oq6IA+Yd0ptGmWaDBL+cnW5BfwfoUHu1mJQwKEnc/SXwvkNjOf2ajOo +Z1nouD3Au9bsebhfh0FozNCLcctEuCKr9vJBXQadOp56IRrYT5hz/Jgeg1R8 +JcofAGf+dtx7Sp9BO8TLYi09Yf3+fio6Z8Cg8Wdmuh4EfmQTndNqyKCEwKPq +k7zg/Mt1p102YtB70mR8MvDiLjq5w5hBy89fffkK+PQJ7aROEwbdXOXW6rRc +hMdK6W7oMWWQmdTT8jPAaVaTU3vNGHTkYmjcdG/YT/kPsp6YM0ghasg1B9jd +OmX7CwsGbZdN02CBd5wR2/1mPoP+XpWYsNwH5qdu5KGPCxgUE1PWj4GbJc5e +EFoyqF9BqU3dV4SJba96vlgxyP3m0V0lwAcmfvkwihh0db1J/E/g5Dvvxv6x +ZpCBcrtbyAroAzoXlcbaMqi+221uF/DEjRusJ9oxSCbl6QQjPxGWGyMfSi1k +UK5a2Ku9wPTkqm1S9gwavT/UJu4PfeDv+CZZBwZFbEqriAFeJrv0lYIjg57M +E6x/DHz2SO4k5UUMcnpcttg6APovtc9GzYlBrZuV5h0Btt5WkzTPmUGaesfE +pwTC9yfnnNB1YdDe5yavNwKvUPP4ZOTKICa//eJbYNu3E9Qt3Bi0yXjxbtcg +mGfjaiPQYsiz10/XnwMOeah6bOESyLOisCUzV4rwzOOFX5zcGfSfxZd5ecCJ +T15ZLPFgkN3HtIlDwO+PKeZ5LmVQU5ngjU+wCI9Jtnnku4xBatY7L10FTiha +ohHkyaBdQqXKeSEwT20c00O9GCRReSyhDDjvtcbDqOUMSrY3df8D/O3qiO46 +bwZ9+tKuGbZKhJcbHStM8GHQiprFEj3A3dtcuRRfBnU5P3tjEgr5L//UI2MF +gxZ8D8P7gH9oebTk+DGosf5LpSBMhFfPOKeyzZ9BM93TE+OAo+aLFxUHMKj4 +j8DjGXDxU5u/OwMZNObITi27cJh3ztHr9gQxKM5LWXAcWPt11rt9Kxn0Zuzx +tzIRIrx0KNe/IRjy7ITp5XTg0dsbHh8NgTxbcW3PB+B5Hd5ep1ZBnkksSVoS +CXmlO+fRuVAGHTr7zKMFeGLQW9+2MAZNXRmurRIF8/5Q8asr4QzKp78KtgGv +9NNcfSOCQb9a0t99Be7qaR7timRQdBhxxW81zF8vgy33oxj0fHJ5VQewp23N +1MerGeSGlTdoR0Pf/Pyr8Xk0g/Dq40t3AevVLnJ4s4ZBuvJmOmJr4H235L3+ +uJZBtdeuEZHAOltbMtgYBk2OXfL+HrBPSJ/y8DoGZSv2XTFfK8JHq4Y6fsQy +aPhW+N464OKtP6L/xTEoNPHrBioG7ivpw7IT1jPoocqmZQnABndftxMJDHLo +IXRfAFv+dy1+UiKDzm8sJx3Wwfnpq1STTYI8U1f5cAL4JgrtU9gAedZ7/Kp8 +LOS/56ydysmQZ5lm1ZnA59Y+XjInBfJMqyP5E3D160xJrY0MYp8s8VwaB38v +rnxPP5VBgbl9um3AF7XP7TRNY9Bd/QhqdrwI956y9rdMZ5D1y68fCoDHvWuf +Y7eJQae3bWr/BvxDccHIogwGqZqSNYHrRTj99PGOxZkMKntbnnITOP67zG7P +LAZNKFHx0kuA/FyYGLMim0GJCxr1KoFPie44rtzMoA8DZvS4RJjfi5Vmh+cw +yLu84+Nq4AvNUePX5DLolo37tV7g7RmN7+O2MMic66tZkCTCmmKDtzfkMejo +noiNDcA6Bapn07cyaLrjsJfkBjjf6Z41m/MZVDC8SX8D8Ny5WQX52yDP9pHM +K+CuD4fTi7dDnrnuGliULMLKTHdceQHk2Q+VjtPAlsOfI6sKIc8aGvcppEDf +HBJftb+IQe0e5qmbgRv8Zqw8VMwgw38dy4XAewv0VzaWMOjAUXcDr42Qx89s +V50tZZCs93MGA6dXe0Rd2MGgLeMjP81JFeGTWoHxl8sY9P3kcEcxsMyryE3X +dzIo0j+j9gdw6aT4wq5yBj0VUGnBaSKsJr1x3/1dDHI+t8v7NvBn26ymxxUM +agtWNTRMh///e173i90M0pI8IbkXeDiv6OPbSgZVt5p/nrBJhIfcysU/72GQ +ZMT162uBC/fvnTNUxaAMaY/9j4BX9x1wHt3LoKHLz9NQhghbeZ6I+1PNoOA1 +kT6HgV+GXqgatw/ybOqI4eRMETYNv3FLUAt5dj1j0kbgpacf/pDcD3kWRw2+ ++R/v+6gpWwd5NrPihksW5F/+n+Dp9QyquK1a1wQs2ylTpXKAQYINJ9JnZMP9 +6bzeY/UGBqXMsvDdAtx8wl1O5yCDPt+9biQCHpJI9DE6xCC/NA8pn82Q5zNq +qi0OM6hb48XgFWB6Ufd76yMMsnwYeXNuDrzfN2L6jkcZdCJrpG4HcKTj/7Vo +33E5vX8cx20y7jNI9gxFEpGsOqTMr8xsyighStmzUDIzQiQrM5KVzE/ZQmTP +ZCQjdM5d9vi9f4/r8+frEdV9xue6Lp5tF/Q6YNLqNAud/xttlTkzs/9Bk7b6 +afmhY8Pzqd4jajA00aQVW7LRKQNtnCg/1+eQSQtuaa22jsD6dt37oV+SSXuT +fejTVrTFxDMtJx02aQNWtLtWZkk+7SusHR1yxKRdbnMlPghN55d9n3XUpLV+ +23fBE3QH+yIjQ49hnq3JGuoWifm4du7ViOOYZ67jWx9An+lZotXKZMyzj4Wq +5dJ8sk1eF7/uBObZhtDPc9EPGjez2pxi0gI6V0h/i3YpdW/59pMmLSt/4y7P +ZZinOxeW3HvKpHlusQ5NQR8f6RKaeNqkpXZLGlZ3OfbP0SWKHD9j0pp/bee8 +FL1+24PQ02dN2o4dVyqa0QnPj5U6f86kVfTs92XoCtyPvVtXXiWTtuhXVvpF +dPrwDVVvpZq0wj3jdzddmU+TB8fuuZ9m0nz7fw1djy7/PdH52XmT9rBI2PB/ +6Fbrb914dcGkdT1Yoc24VfkUE/V39PuLJu3k4JhKmeiSndv//XLJpDUu1SC/ +TVQ+eVeI3Pz1sknbfCTp+g50Urecdn+umLTyI9vvKbcaz4tXnxfFr5m0ueWu +hoWgM1bdXFw2HfPsRL8Rz9Et+w9pplzHPBvzoo3Hmnw6V+T7U6sbmGfyBMtD +6H/G7mW1bpq0Tme/5lutxfluiZ9LgwyTdtQ/7MYCdHjttgVNbpk068qmve/R +YZa1D7a4bdKiz8cs7Lsun3ZcrezfJtOklZrcYORpdLkNdW20OyZtevXDba2j +8+l3lusHj7sm7d2V9pVXoO/+DTr03z2TNjjkql6IthiQMr3/fZOWXqf/zRHr +8f5oFd2GPjBp7W6+2HsFXbH2QmXUQ5N2YOaERQ4b8D72LvN63COTVrPht5Ex +6OaOO09MfmzSVt4Ja1dsYz4FNuy/atoTk/ZvnslqArpPZPXxc5+atMAmm4y7 +6Jqnf3RZ9MykvXzYIKN9DM471b/YLHtu0vouOrxvF7qOxe/ya7Iwzxw6LDZt +wn78TZ2CjS8wz55f9Z6OLlphRNbWbMyzyP7ts9GrXh29vvulSbNyyrbqtjmf +alyqd+bgK5O25NUE82F0aqWEQ8dem7QfK79lVIvNp4PN/9t9+o1JG99u4f6F +aKc5FtvO55i0p7mm8Dz0tf7ZsdfemrSe6zb5DNiC/Uv1O7G3c03aWa1hh3No +3xYvtj58Z9LsPx2u0igun958L707671J2xrToWAVetDtnodyPpg02ePare/o +y1UTT+d9NGmhRv8En63YDzrZXTfnmTQjLjs8He0WceX5z08mbXSPiaMct2E+ +BiwwF/1i0u59+9YhFp3TY2B5i3yT5h6/sGrJ7Xh/wnrayLpJS+4tFQaga4V4 +d7EyTFqjP5tuP0D/Cl3rX8uMebav4QHXHXi/TG9XNijAPPM6ErEXXWn2oBN2 +hZhnxVxGKzvzKbbxx1eOXzHPEq+5zEK3nB+ntPtm0oYPHVDtNfq/p1PcOn03 +aRmlXxb2iM+nOdvHzej2w6S5HpuYeQwd1HJBUu+fJi3J+/uBmrvwvH09+XHg +L5NWt8KiJeHo8j0rNx7526StOSmN+YJOWh89wfePSSvuu9l10G78Pm2dkwL+ +mrQQtVH1NHR4zN9vIf9MWs65I19t9+B5qvK+05wikuY1weXOGvTwat+jFhaV +tCtW6Qd/ob8Xs3u1tJikOV8cEDlmL+ah02KnNcUlbV/gyzE30XOsSqyMKSFp +1WoGaE77sL8qt+/dtpKStuza9+pb0TQ1xGNvKUn7PXXRt9L786nIad89h0pL +WkA9+W4gep7rgnInykhaVsbmxMfo835pU85ZSJrn7EZLOyXg829s/PxSWUlL +bXR0bAK6r9OZ7jfLSVqLey4dKx3Ip+67p52+V17Sdi5IrzEX7f3fkGbPKkha +paZe33PQKVF+u1+bJG3x45d3ex3Mp0334+p8lCTt6+KAQyfQE0KLxBmypPm1 ++LG0TiL2Jz+W1/qpSNqjrEW+keiK8Z13FK0oad2WyZ0MtNfbRrYWlSTtVOvY +mkMPYf/ZsPVR2VLSmrxp9OMCun36FK1KZUmLjTp6zy4pn0zOT2/XtpK0Ch1c +k6LRtR4EjmlURdLmvU9f9hf9oGzLX/ZVJe1LtJef32HMr/YNop2qSZp3p1ed +bqPn3+ncwqW6pGV+DqjV5gjehxZr77jXkLROm3/82I5+fFGe9l9NSTvaZfH9 +skfzye/L2RoDakmadYF8OBh9turmy8NqS1r0ttjlz9A2CXuDx9SRtNL/2Yxz +P4b5Wi63/sS6kjbjx1G3xP/3dq+HwfUk7f0u19pWx/H9731bMbu+pA3pe/3n +fHRz0/UuC60l7fpfrwfv0Mrx2yWWNZC09gmvDvdJzqcVzS0urWkoaQcHTlpx +Cv34Y8iSTY0krVaJn+Pqn8Dz3rOS5w4bSVuVtLjzcrTP6dwq+20lrchwpU4h ++tD2LzmHG0takMWWX8NTsB772SWfbCJpr47bPLyMLjU9LjLNTtL6jTp2pNnJ +fPrQ2sP7WlNJwxKzciP6XEXrtpn2ktbq9HX/oqfy6WKws9XjZpK222+g+3i0 +d9rCb9kOkmZV6XWdu2gr31JP3zWXtCWpk363O439+vXUtPwWkvZj4s+H8ej1 +Q48mfHeUtPFVw49WOIP3aUHWxiKtJO3ZJWXVtDP///+VTpFlnCTtvylbxr9A +Z9pnz5FbS9q5WrYeXc9iP7fiRHAVZ0lrdv1Y3cPoW7bXAuq0kbRt07U/Vc9h +Hk+ynGDTVtIU6xuPwtDtL8VOcGgnaWG3Bx77iC6yaeRk5/aSZp7zelV/wvM6 +cMQ0rYOkjbGdPOEsOnZczIKuLpJ2//5Pj4ap+fTIWVnZ21XSPMLC661CL3a8 +HDdIk7QT9urfb2i7iylHvDtKms3TLY+90/Jphtf7a+M6SVpMhO3xa2n///fR +QW8C3SStbMvjUS3O4/40K1VsZmdJm52tTdyMLt7LXC/UXdLylt/oUuIC5nGt +Ol0iPSRteJtB9QPQp8uvmLS6i6Rl5Lz+ex+9f3HbmJiukua6ZvITl4v5dKXQ +5sr2bpJ22OXX8T3oh9cGfd/XXdLqfQxfLV/Kp7RJ6XZHekja2g1qwEz0mj7z +xpzqKWklOsd1fYWe8SJk6/n/JG1qvq11j8v4fosTn6f3krS3scf/HUUXHG5S ++66npA3s1vFpjSv5tDkzb/TT3pJ2tfBG8mL0zL5Gwus+ktZmx6A1n9GBO9p/ ++9hX0vb3ehMw8CrmY7Mb7gX9JK36r8ndUtFv/LZv+N1f0pbv+WVtew3X9+SZ +vBJekvanX0SRNei7a2u5VxgoaZOKVHz2Ez1xzJVtloMk7cWBuBOj0zFPN534 +V3OwpPUe3HjtDfTi+C8+DYdIWlrJ5EmtrmP90SdfsR+KeXakY/c49G7d2aH1 +MMyzETcblL6RT2rFHrGuwzHPyg0uGojefGl3ua4jJC38xJtnj9D3Z/Sc13uk +pH0bHZjS8SY+X2z7gkHekjZO/r12P9p32/QAHx9Je3wmYnLFjHzaWvDnvf8o +SevuX7HHHHQPi9v+U0ZL2mnLrQ1z0Cm9PufNGiNpducbF+t16///njA4eOFY +SdsyKfl5MpqaKn+W+UqaqXqnk7Vv59OBvZWXrvOTtPlXbq5bgi5iNb7alnGS +lh88OFBHp74vl7jLX9J86uT0GJKJ8/2a350Tx0vanRuBjS6gh4e2f5E8QdLc +Zv4uZncHf9/2xhyaKGnHGizJWofe/jOh5tUASWtwp+KpP+h/fs/Sbk+StPXz +tkb73s2nEq+H+z+ejHnWpEnQLfSZ5w6VXgVinj1M7ul8D+fz9AHnPwRhni3s +ZLP93v/3QzeDzVMkbahDRvGy9/PpdqcNNr+DJe3Gs8EvpqBvHD2WXWKqpHWI +zDn1FF2Y3SC2wjRJS2wVtL7zA7yfHT4OqTxd0mq/+h10EH2yXcmatWdIWtTK +Jf9Vfoj9pWfIq0YzJa1ou0q289EBec4JDrMkbUru1hLv0GsW95veZrakvV7b +JLv3I+yHVl706DRH0vprJ06fRL+bvbxqj7mSdimv04Z6j/PpU2rCl37zJM0p +JmPKMvTvzHrXhs2XtD3uQ3oVoH3qG7vGLpC0KkaO7fAnON/ZVgufFCppkXFB +JS+jqw/e7D89TNJ+dv+Tbf8UP6/htN4LFkrahG9LzmxAzyud0DZyEebZzkob +izzLp26BbW3WLMY8670t2B894oF11c3hmGe/m3jeQVc7NL5CfATm2b4Tjds9 +z6digeVLHlwiadsHuJWKR9PKskWSIyVNLXbrZfmsfLIOHfv33FJJW5g45OxU +tN3ZmkWuLpO0giFvN2ahv6c4lsxcLmljS08J6fIin+582FPhyQpJe3D0j2cS +uvG1WVVfr5S0Lt6RTapm4/lPP2CTt0rSUspblg5Dvx7r0q4wStJsT2579QGd +pzj0+bta0jaNtTvX72U+XXUPG196raSVU1NizqATZ7SKkNdJ2pxzblMbvMLz +Vq/7nqrRkvZp/K3eK9EeJ1PT662XtBFWQ+2+oVsmx+hNNkjarQtvS3u/xnkg +6n71VhslTQuc8voqek1qSDeXGMyzGn/PNX+D9fHc9FldNmGeXYvctAl9p+iL +xN6bMc+mWk4rnpNP+cUT3g6OxTyrt73PRLRDh2d1R2+RtGkZdk3vo0c1DPae +GCdpubNSyri8zafZzoE7pm6VtEGNOr/Zjd6Udzd33jZJu3b3Fkm52I+diXNY +sl3S2i4YunkGul2dzDmrd0hagl3utJfoa2sm3Ni0U9JqPJ7St/s7zM8Vk2rH +x0vaisV/mx5FOy19MvXgLkn723ypRY33uL/Fk24l75a0yVmWOYvQY6d8sUvd +I2nZS7enfkKH9o1ZcW2vpPVp3TTW6wPOC7US9Tv7JO3865TphI73tB/8bL+k +OUZ17mfzMZ96j6p2MSdB0uLb37Zf/fH/5+8pLb4ckDTL90PL/kS3tHKO/34Q +8yw6N2dUHs7zSeOrFjuEedYxOO06urlVuTXlkjDPPv+NbfkJ7/PVqibLw5hn +m5bO2IIe77phRa0jktajS+X+pT5jnn9fKNsclbQz5u3NJqN3T85e3/yYpDXd +1rTcI/SkugfrtDsuaXE9T77VvmC/uvTDwc7Jkib96Hx+H9qyUYxLrxOStmDX +7S1qPs4/ISfvDEyRNL3PsJmz0Xe+9Bjvc1LSRv3N7f8GbVW5T8kJpyTt7v5g +h/90rF9jru0MOS1pnQf+K5eMHjn4uPu8M5J2vPiy3FoGzttBlh8jzkpaw6TK +FyLQkU0+rF19TtI2DNsRl48uV7KltpkkrYyF/azBZqwnc8xf4lMlbebxkwPO +o1XZdmdimqR98HFv3qQgn1a73B+cch7zzJRZfh16T/j3iucvYJ6dGvbuN9rF +b23m9YuYZ37vLowtxP5h5O419y9hnlUM2ZqBDvzcfOCLy5JWJ/XfrNZf8+nU +Wqfa769I2uqJy7y2oV9nHPtgXJW0YlWtWlh8w/649sGTv69JWvClHRWmoCuV +qrO81HVJexNk//4J2q6YaZR8Q9IG1Dp10e17PnVcN71dtZuSdjndfdsB9I32 +w6tYZ0ha6+mZsy1/4H7Moe9Nb0na3vrDB85Df78b96z1bUmrevtdi1y038Vf +FzpmStrSOSGm3j/z6VXGg8QedyTtl02RDynotBCHLQPuStrE+8su1f2F9bu1 +xaqR9yTteajV9qXo7I0+i/zvS1ov+51zzOjEam3nBj+QNHpiP2jYb/w8l7Uz +5z6UNIeIU46X0F8jAmZGPMI8c/SQ7P/geZxxbc7qx5hn2Zkf1qMzVu9ZuPkJ +5tny4Zf/oX8OLLNy11NJK3R+v33c33ya+1/e5kPPJM03J2RuJnpKYb+DJ59L +2sPVRQa3/ZdPde+6nr+QJWldXZa33IkuNj7pyc0XEns4nU502P31YbbEHk6n +infqVn71UmIPp5NVQv02ea8k9nA66T0PjPz6WmIPp9Pfdmcii+RI7OF0uvq7 +34mybyX2cDqVrRTyrlKuxB5Op4RSNWvWfiexh9Pp/GDPAbbvJfZwOq1bU261 +4weJPZxO0U59b3f4KLGH02nc9foVu+ZJ7OF0yn6wYHDfTxJ7OJ1+Z/nvHPZZ +Yg+n02f/F/m+XyT2cDpV+vuyY1C+xB5Opz91p6yfrUvs4XTyHRb1ebEhsYfT +yaVlh+5RZok9nE47HGbu21QgsYfT6fmjzuV3FUrs4XQKOLZtyqGvEns4XJ/u +K56d/Caxh9NpgnW57he/S+zhdEq7Wu10xg+JPZxOHVLPNHv8U2IPp1OPtfqe +178k9nA6LUk/Wf/zb4k9nE6pny13fv8jsYfTyVhSqmHxfxJ7OJ0aKh4PShWR +2cPpVHlHv+rL0cLD6aS0txulFJXZw+n0vf7t/evRwsPh/sS5FlYvJrOH0ylM +n9VxO1p4OJ18fMJWNSwus4fTabuj14sEtPBwOsUd/OrQvITMHk6n9EpjFiWj +hYfT6Whi3ON2JWX2cLheqbsd0tDCw+nkv3pOpEcpmT0c/v7YOm+uo4WH00ld +tEbrU1pmD6dT9xZ34x6ghYfTaW3yq79Dy8js4XSyHZjm/RItPJxOI4dMvuhr +IbOHw/0t9ck2Dy08nE6zdrRdHVRWZg+nU3jIoJ9f0cLD6TT/gsfYOeVk9nA6 +OT8oeadoeZk9nE6x5mgtAi08nE5Jo74lla8gs4fD7ze+cf01aOHh8P36Nttg +ZZLZw+l0wadUhS1o4eF0ynhxaFE9SWYPp1O9SnZ/96CFh9PJ22P2zKayzB5O +J+3clq9H0MLD6bT+wtqpzorMHk6n/+KGfz2LFh5Op4nrf81wU2X2cHhfCgL+ +XEULD6fTgn/HFvaqKLOH06l40cxy99DCw+lUoeP56MGVZPZwOjUqE1n3BVp4 +OJ0K4hocGmMps4fTqXmvjS4f0MLDYR6NeHlrcmWZPZxOM6oWHV2IFh5Op9Ar +377NspLZw+F5PXxpZZEqMns4nerUm9AoHC08nE4DfN6llasqs4fTyXSyw4jV +aOHh8P5OmfC7cjWZPZxOkx4FxcaihYfTKdKxj0u96jJ7OJ3kDItXe9DCw+lU +xNgc0bSGzB5Op6D3pZsdRQsPh+v9979HzjVl9nA6nZs9aeE5tPBwOvVJ8Hfo +XEtmD6fTvYeuL66hhYfT6W0PfZVnbZk9nE5ufed1uo8WHg7zo1Xu1yF1ZPZw ++H4d7Q5mo4WHw++X+t9Y37oyezhcr989auehhYfTaY5bo6dB9WT2cDp9zHqx +8RtaeDi8/9WmDZpbX2YPp9OGTh+qFreW2cPpVHNH++dL0MLD6VR/ccAOUwOZ +PRye79Zz/dehhYfTKaTkxBbVGsrs4XTKbdv2z1a08HA62Vm/u9agkcweDu9P +hWkbE9DCw+l0yO3NuOY2Mns4nb6pju1OoIWH08n66iipg63MHg6fPyk45zxa +eDj8fCvfs10by+zhdFrYo+2GDLTwcDr1ismf0r+JzB4O86VXZO8naOHhMD9O +lHDwtpPZw+lkUW+08hYtPJxOHs/iCyY0ldnD6bTSMf2xjhYeDu//1Lup0+1l +9nC4Pvmp+/6ghYfDemOsWbewmcweTqcHV7uFWjjI7OF0ijmfM3kVWng4PB9N +/bwtm8vs4XRyGpzRdzNaeDjcj7XVu9RtIbOHw3xo7NlhD1p4ODw/Af6tmjrK +7OF0anVgfLOjaOHhdLrWsl+TNi1l9nA67fWqb0to4eF0qubz1Ma9lcweTqdF +O2c3vo4WHg7rXUAJ+z5OMns4nQJN0xwfooWHw/P64Hbb4a1l9nB4/ipZdn6N +Fh5OpzbV3Dz9nWX2cHifnYYO+4IWHk6n4JPDJ0xtI7OH0+mDufucX2jh4fA8 +OdVfFdpWZg+H9eP2252l28ns4XSaWmn9qRVo4eHwfvRpfrdie5k9nE4dn6R8 +ikELD6fTkL92Zet0kNnD4fNJK212o4WHw/s94llXOxeZPdz/91dVJhxBCw+n +09M/HVc5u8rs4XS6lT/4+Dm08HA69R/n/byzJrOHw3xOHlD6Olp4OJ1S7No6 +9ukos4fTKbmmyechWng4XP+nmVHDO8ns4TCvUxaff40WHg77gdKNv/q7yezh +sN+rRk3y0cLD6VS0s/voaZ1l9nC4Xg/PxP5GCw+H9dim4aMwd5k9HN6/JaGW +Fh4yezidDva81X8VWng4nV5fltZbdpHZw2G/0cPt8Wa08HD4/Hbja9XrKrOH +w/NOi8buRQsPp9OvgWsT7bvJ7OHwPHRb/+MYWng4neJzV3i06y6zh9NpWsSs +6DS08HA6lZo77G2XHjJ7OJ2u12jZJgMtPBzW/9NFV/bvKbOHw3pz8NKbJ2jh +4bDf7bKgg89/Mns4zMMXzTfmooWHw/dPf1IQ0EtmD6fT16Gz+xWghYfD/vND +xWOzPGX2cLh+N+IrF+0ts4fTqYSX/ewItPBwOs19nvSyQh+ZPZxOh1Psuq9D +Cw+n07YOO45V6yuzh9Np/Hml7na08HA69d4ye1WjfjJ7ODwf1tn/DqKFh9Op +1iHXKS37y+zhdGq2dtPbU2jh4XRKbKQP6zhAZg+H5+mC2/0raOHhdDp1do1n +Ly+ZPZxOswOyrt9DCw+H/ULjRt2HDpTZw+lk7h6Q/hItPJxO+xod7jlukMwe +Tqd3Vubbn9HCw+HnBTsOnDpYZg+n05Q1U178QgsPh/t3I8k/bIjMHg7zadbn +wjJDZfZw6IImi1ahhYfTqdta/0qVh8ns4TAfEvfsjkULD4d5tO1t2/rDZfZw +mIepDTP3oYWH0yln3Dh/hxEyezidyhgJxU+ghYfTafpxfWuHkTJ7OJ12lm/r +chEtPBz2I30WZ3X3ltnD4evP7i7IRAsPh+fHomGDQT4yezisHw5zrmehhYfD +fvvAg+Cxo2T2cDivPGxVKw8tPBzW3wqb0qeMltnDYR7tLj7zB1p4OJyn/k6x +XTBGZg+H5y/w3dNSY2X2cNj/eI2JWoEWHg6fr0KuRyVfmT0c1tPPgf82oYWH +02nNgGKn6vrJ7OF0uhu3edpetPBwOq1q0KFVs3EyezidVrvnFh5HCw+n05Uh +m1La+8vs4XTqnOY19wJaeDidXLGudB8vs4fTydKcVz4TLTycTu7TrzwcOEFm +D4f5f+5AfBZaeDi8Dw22BI+dKLOHw9+3iOmchxYeTqeIy9usggNk9nCY96eO +5f1ACw+n00W3+xcWTJLZw+H7nSu2pfRkmT0cPs+SDjNWooWHw/tbJHyAZaDM +Hg7nmbBnLWPRwsPpdGRSx8r1g2T2cDjf1Tv+Yx9aeDj8+V+tXzhMkdnD6bRp +cPrlE2jh4XTasmlikkuwzB5Op+U1a8deQgsPp9Pwjq8ie4bI7OGw3o1NnnkX +LTycTu3fbZ4wZKrMHg7vo+3akS/RwsPp9DIydsC4aTJ7OKwvfin/fUELD6dT +3/q5XaZNl9nDYR7VsO38By08nE4/j87rtGiGzB4O86Dbu07lZsrs4XTa08HX +fQ1aeDidqujfu1WdJbOHw/NycVvvbWjh4XA+qDJicKPZMns4rPeezcckooWH +w37xarWgVnNk9nA6lc6ttuAMWng4nVqXa7Haba7MHg7now3e8elo4eFwffN2 +n+wzT2YPh/1sQMnMR2jh4XRqN2fOh5HzZfZwOt2YVb5ULlp4OJ1OXz9ef9IC +mT0czreZM9wK0cLDYf/0ZcDYOaEyezidxkb8F1k8TGYPh/v/Z+ShpWjh4XQq +PLriobJQZg+H+WR6WDQGLTwczqNB7e3rLJLZw+H853pu2B608HB4f54PW2G/ +WGYPp1PJxCqpx9HCw+F6VDYK2ofL7OF0ajrrfZOLaOHhsP65/BvTI0JmD4d5 +eMVx2x208HA6NZ4V8XzwEpk9HPbLp3/WeIkWHg7nm/wVI8ZFyuzhdNo/r+OO +L2jh4bC+XLd8N22pzB5OpzPtyjn8RQsPh/fTqd6sxctk9nDYr9YZern8cpk9 +HObdyORK69DCw+F5HdpybPUVMns4fL5Z90/sQAsPh/lUd1P5xitl9nDYj6SE +jT6MFh4On2/3qjPOq2T2cFh/+qRZpaKFh9OpX70qU7tEyezhsD+av+5eBlp4 +OLwft5ycvFbL7OGwP5n9L+Y5Wng4rB8fP/8bs0ZmD6eTVUTJcXlo4eF0ck52 +uxO8VmYPp9OSl/tcfqGFh9MpOsj5YNg6mT0c9te3vtQsGy2zh8PPG3U7ajVa +eDideqx/UrLqepk9HM6Hd0xzt6GFh8PXFwR8bbRBZg+H/YnFt6BDaOHhdCr2 +OOGL00aZPZxOgwYun3wOLTwczstfNuruMTJ7OJ18y96ZehMtPBzej4rOv/tv +ktnDYX879fqiZ2jh4XQauHm5NGazzB5OJ8cvs2M/ooWH02nFs5gmwbEyezic +7zLenvmJFh4O5+3mo3uHbZHZw2F/PFl6axEns4fD5yv8OHc1Wng4nQz7H1ZV +t8rs4bC/X9L62Da08HA4v03a09dmm8weDuevnt3Mh9DCw+F9jaq5vvV2mT2c +TvN2W7cjtPBwOr3/NeKVxw6ZPRyexz83lmWghYfD9ag6ubXXTpk9nE7aWfec +52jh4XAe9eoTPTZeZg+H57vPmi6f0MLD4fPVtvgdsktmD4e2Sz7yGy08HPan +uTETFu2W2cPpNI6ONSy/R2YPh+9Xr/SbtWjh4XCeDF29s/pemT2cTiO69R+7 +Ey08nE4XPnjaNtkns4fT6e+9RV+OoIWH0ylzuvlE2/0yezjMD7fYsPNo4eF0 +arFnvmf3BJk9nE72leJq3UELD4f96s9vXwYfkNnDYT5eX3HhJVp4OFyvryNj +/A/K7OF0Cvo8KUhHCw+nk0/90z1mJsrs4bA/Ke1uU/SQzB4O62+5CqUj0cLD +6VR3e8V3cpLMHk6nYe0GX9+IFh5Op1c9spLqHJbZw+F8775z41608HA6zYzZ +G+ZwRGYPp9PD058npaCFh8P7WHvacO2ozB4Oz4uTa6+raOHhsB5N7d6x9zGZ +PRz2s702Oj1CCw+H9c7J1t77uMweDu/X9j+N3qGFh9OpcrHK1oHJMns4nfJv +Tqn3HS08HL4+s1L9BSdk9nC4/jN+NSiTIrOHw3qn2TSJQgsPh/XFfXOLKidl +9nA65f3t334bWng4nWZlD+hqc0pmD4f9p3ecVxJaeDidGhXY+zmfltnD6WSj +lpmVihYeTqdwh8arup6R2cNhv3MpevdttPBwOvXq2iN10FmZPRzWg2Y9nmWj +hYfTyVuP/jnunMweTqcapeyq62jh4bC/fFPBZSbJ7OHw80u2H100VWYPh/NZ +VvLSSLTwcDgffph3TEmT2cNhvd26LjsGLTwc5v+076Z652X2cHif3u133Y8W +Hg7P76QDU1pckNnDYf1d8GfPKbTwcFivYuJedLoos4fD+9w8qup1tPBwuJ/n +7wzod0lmD4fn8bLvuqdo4eFwnj/R8/7oyzJ7OOy/pcVV8tDCw+nUp7s8IuSK +zB4O7+vLz7t+o4WHw3nSs27+oqsyezh8f2Vv+wrXZPZwOvXctnBZNFp4OKwX +M449q5kus4fD36/czmE3Wng4XO+vVuH212X2cNiPrumRlYwWHg6f1/+Bs+sN +mT2cTs8KU6KvoIWH0+nxcXOB502ZPRzO9w0jvB6hhYfDfLkQfMo7Q2YPp9Oo +8qfqvEcLD6dTzNwhkUG3ZPZw+P2jBxT8QAsPh/mcmeATdltmD6cTJQ3PLJsp +s4fTafDhCW5r0cLD4Xr0fXCi+h2ZPRzuhxpnH48WHg7n48ALe+zuyuzhdPLM +86h/HC08HPYvJW23d7gns4fD89R+fN3LaOHhdKogl4vvdV9mD6fTpKLlbR+i +hYfT6UVUQNLIBzJ7OJ2qujq0fYcWHg7r2Yr+lwMfyuzh8H7/etL/B1p4OJzP +K1zMCX0ks4fTqW0neWbZxzJ7OJ2q10w1rUULD4f3o/a93dWfyOzhsH7e6Nkx +Hi08HObD7sZZdk9l9nD4/s0mzT2OFh4O55sPVrVdnsns4XD9h9pcuIwWHk6n +5iW3+Xs+l9nD6XR+wnz1EVp4OMxT9cpZ7yyZPZxOSfOmT3iPFh4O889xdfUp +L2T2cDh/HK+U8RMtPBzm/9JiCxdmy+zh8PlaD21b/qXMHk6nBlrtgnVo4eF0 +CmjimVTzlcweDp933sdJu9HCw+F8QAXNmr2W2cPh/D91gvkEWng4nbo26H1S +eyOzh8N5zmtn6DW08HB4fqPG9uybI7OH0+nToA1Vn6KFh9NpmXOb96Pfyuzh +cB5L7nE6Dy08nE43h9xaNTVXZg+H63vwou9ftPBwOp11stMi3sns4XQaMr9U +Dfm9zB4O+0W5/8+NaOHhsJ+fYvm07geZPZxOIfY9zu1HCw+H+ZdRuNPxo8we +Dp/3ueXyM2jh4TCfXydMc8+T2cPhz09IGZ2BFh4On7do+34DP8ns4XRKbNra +PRstPBzuz5z9bfw/y+zhcP6bud7BQAsPp1P2oV+2s7/I7OF0qpnwpEGJfJk9 +HD7/N0frFWjh4XQqW7dMg8q6zB5OpwXHBtpsRQsPh/XRpb69jSGzh8Pz33OC +02G08HCYJ+MdOrY1y+zh/v/vVcG9LqCFh9MpVW45omeBzB5Op39HgwPvo4WH +w/O5tcXiEYUyezhc/1FBsblo4eF0urLUITnwq8weTqeiewPv/EALD4fzQbcW +etg3mT0c9p9/p6rlv8vs4XA+8GrvFI0WHk6nA68WD6v1Q2YPh/Nxi36L96CF +h8N58kF8ksNPmT0cznPes7NOooWHw37Z+6HJ7ZfMHk6nHL+THW+ghYfDfq9M +vekDfsvs4XCeu68cykILD4f3f2Tke78/Mns4nd44RzbU0cLDYb9QqPjO+iuz +h8N+tqH13uL/ZPZwOA/4pOYtRwsPh/OCc65j5SIKezidtrrEzN2KFh4Ov6/F +w2s2RRX2cNiv2W2vcgQtPBzOY+2++7crprCHwzy48ezsRbTwcOgQz0q9iivs +4XQqd613wEO08HCYryNeXvUuobCHw/niRtFGH9DCw2G93nMkIrikwh4O+82I +gg+/0cLD4XkuerV3eCmFPRz2z4lNT0qlFfZwOvW1bmgdgxYeTqcqvw6vrldG +YQ+HeZGeWfQAWng4rH+Nw0NaWSjs4XTyOnbr/Tm08HDYD/1L9OlaVmEPh3m5 +y/pZJlp4OKxXWvPBQ8sp7OFwfvG7/+gNWng4fP2EMnRSeYU9HObvlbcvvqGF +h8N8bjnAL7SCwh5Op0obhutlTQp7ONy/ZUXmrUMLD/f//99xqVBLUtjD4Xwf +XWXrHrTwcDoVf7XSsbmssIfD+mK/I/0UWng4nB+t+o3prCjs4bA/aLujSAZa +eDj8vi2itg5UFfZwOg3YUrPjS7TwcFhPq/bIGV9RYQ+n0xa3qssL0MLD6RR3 +akmreZUU9nA67ayx6WVpS4U9HPZDZXpFrUYLD6dTRo1dHatXVtjD6dT+x9bC +eLTwcDj/dHA9YG+lsIfT6fiShWNT0MLD4XwzO6BupyoKezjMpxN/XlxHCw+H +/ebTltsHVFXYw+E8F1lx7Au08HC4v6M2NfGvprCH06mJRXqBgRYeTqd9tXam +zqmusIfTKdi94apSNRT2cHj/6vT3jkILD4f9UJ+mLavVVNjDYb8x90jZeLTw +cJhn7m9eN62lsIfTybX3BTqBFh4O+3vX3nEdayvs4XD+Pbls/nW08HA6rfeZ +NnpAHYU9HM5Hz+XuL9DCw+lkfWeoo39dhT2cTptyBtY2o4WH0+nk2ZKmufUU +9nA63Sri+69UfYU9HPbTA2aao9DCw+m0fZT2oZq1wh5Op8hbp1/Ho4WH0+mc +W94L+wYKezid6vvdyUpBCw+H9fzlpOxODRX2cDpFDTv/5gZaeDjMi/k3P3o1 +UtjD6dS6MKowGy08HM5vYysUm2CjsIfD8zXbTSlECw+HeZTtWH++rcIeDtfL +9UVri8YKezjM504enmvRwsPptDHa379mE4U9HM6Lr7uF70ELD4f171HuruZ2 +Cns4/Pnana+eRgsPh/XDd+Qn96YKezjMt8FtLW+jhYfT6Xb8fdch9gp7ODyP +35oHvEELD4fn54/nlknNFPZw2L/7Otz+jhYeTqeJL+6WXOigsIfDfq9KO5cK +zRX2cDq5k+/MDWjh4bBfWDTwRN0WCns4nd5VNn1PQAsPp5Nzo2XtnBwV9nA6 +7R57KzQVLTycTgVhT9O7t1TYw+G85ZBU+T5aeDh8vVavsSNbKezhMP+KJCe/ +RwsPh/dlybuyIU4Keziduvi99fmLFh4O16dP0uklrRX2cJjv3z2qVHRW2MNh +HisJ07eghYfT6Zjn88eN2ijs4fB5/bJcjqCFh8M8K39od/u2Cns4fP5CT+UK +Wng4g/Lup83r005hD2fQsDElvzxFCw9n0Fqv6qN82yvs4QzKGVXiUT5aeDiD +imtpvWd3UNjDGfQ1vu+Nki4KeziDbLqd7B6FFh7OoMFvCq9Xc1XYwxm0z96i +9y608HD4ednmB800hT2cQZ+PJHufQgsPZ9CXEX0/de6osIczqFHclTm30MLD +GbS4sZU0pJPCHs6gAec6xr9BCw9nkFapa/vJbgp7OIN65zZ++AMtPJxBH40P +IYs6K+zhDLpvLLGU3BX2cAa12FnyZAxaeDj8vFSfkdYeCns4g5JKx5U5hBYe +zqCCVqePtemisIdDlzk1+iJaeDj8eZfNlp5dFfZwBi2NHpn+GC08nEHuN0qH +jemmsIczqMSOde2/oIWHM6jHg1I/Z3ZX2MMZVNR25KkSPRT2cAZNHBI3dxVa +eDiDWjW55Fatp8IeziD/YffK70ILD2fQ1EM3HjX7T2EPZ5Dt28Q9p9DCwxl0 +8vzsme69FPZwBp0p3bLXbbTwcAY1mfGowVBPhT2cQb4P/Yu8RQsPZ1DT9++e +B/ZW2MMZtCfI69wvtPBwBo1sdXR7eB+FPRw+/49/EUpfhT2cQYlhHYJi0cLD +GdRvw8Thjfop7OEM6v9nRc8jaOHhDHKevcOlQ3+FPRzu78P9jlfRwsMZRE/2 +NOk3QGEPZ1BAj00Ns9DCwxlU2Qiz9vdS2MMZ1GnDqAYFaOHhDMou3tZ2/kCF +PZxBXYqWdSg7SGEPZ1DrwXfbRKOFhzPo8f31HnUGK+zhcP06DvBKQAsPZ9AT +P8nfaYjCHs6gjRUvz0tDCw9nUJo8a0PPoQp7OINWNLE7+hAtPJxBsQ7PM0cN +U9jDGfQrb4X5E1p4OINq2btWmTlcYQ9n0Ls03bXECIU9nEG7p+0evwotPJxB +cvURG6uNVNjD4XmbV/XaLrTwcAblTn7828FbYQ9n0CuKczyDFh4O389lfEAX +H4U9nEHlzrbffwctPJxBXuWtPgwfpbCHM6jN3x9279HCw+H9G/N2SshohT2c +QafV56f/oYWHw89/klV62RiFPZxBV6LyvCqPVdjD4f6XKb1vO1p4OLyvls3+ +2vkq7OEMmrBirFcKWng43G/3hMNufgp7OIPqq0XlW2jh4Qzalu4XNGScwh7O +oPLu2fdz0MLDGTRw0IQOQf4KezjMy6cWe3+jhYfD+73njOWS8Qp7OIOWr10U +XnGCwh4O78OMUT/j0MLDGVTaYWBQ44kKeziDeq4d/fE4Wng43P85S8Z1DFDY +w2GeXb787gZaeDjM0x61Jw6apLCHM+ha7hrjNVp4OIMeTqs3Z/JkhT2cQdXu +Z5T5hRYezqCxLzZuDA9U2MMZVCQ4tIkapLCHM2h/QGTaFrTwcAZtOHh4iO0U +hT0c5ony6+sxtPBwmDczfNdrwQp7OIMqnC9wvoEWHs6gaRm7swaGKOzhDGo+ +b27Ea7TwcAa5HZnpOHmqwh4O86Zn7KufaOHh8D42fbsufJrCHs6gB20GdVen +K+zhDPrW11wsDi08nEEJw1LO2c5Q2MMZdK/t3rnH0cLDGZSRecG140yFPZxB +waXKl7yJFh4Oz8u50JuDZins4XB/sxrEvEELD4fr2euHX+BshT0c7of5d5vf +aOHhDOqQ3FxaMkdhD2fQs7kbcyvOVdjDGXSiRYsLW9HCwxm08uy/7U3mKezh +DIovXWzRCbTwcJjPP9v4u81X2MPh+obu7XMLLTycQaMW9+owdIHCHg7Xw7Cz +y0ULD2fQquOdawWHKuzh8H6cXF/xH1p4OIM8P9YvvyxMYQ9nUJjzp9JWCxX2 +cAbdWGkutRMtPJxB05+1tmi2SGEPZ1Af+bTpNFp4OFz/qvOsuixW2MMZNORd +aP27aOHh8PknXmkxMlxhD2fQ1g2e7h/RwsPheRlSfej0CIU9nEH19jQJKb5E +YQ9nkBIYGrUKLTwc5vHemknVIxX2cAaZ3Ire3YMWHg7Pb8umPxyXKuzh8PsE +7aiXihYezqBxn308ey5T2MPhfd8+cf4jtPBwBnVbcOHImOUKezjMp1C/9/lo +4eEMGrNlcP25KxT2cAbNSd/kbbFSYQ+H96Wo3fZotPBw+DztTDl1Vyns4Qx6 +HtjJLhEtPByeh9hr09pGKezhDNqbEn/xMlp4OLwfqfcs+61W2MMZtD1xyPgX +aOHhDGqwoPX5CWsU9nDY/zWfWPM7Wng4g2bTj9mL1irs4fD+2bx8Lq9T2MMZ +VGp8fbctaOHhDNq1MC3BNlphD4ffL+SMVTJaeDiDLrS3jOi0XmEPZ9CdZzd+ +ZKCFh8PnG/Bm8tANCns43I99w97nooWHw89/4uQbslFhD2dQyofgt0ViFPZw +2D9kVRq/Ai08nEGOJ6vpVTcp7OHw8+eHz96NFh7OoJatB1s4blbYw+F9zFm7 +idDCwxnUOKp1s56xCns4vJ8u7lceoYWHM+jm97Ojxm5R2MMZZHF5R1EDLTwc +9luHCnbOi1PYw2Henz3WrdxWhT2cQS9/vjY2oIWHw/MdtHCr9TaFPZxB6Xbr +PA+jhYcz6F9rq+Iu2xX2cAZN2VrhVDpaeDiDCifPCBm4Q2EPZ5CePKjFG7Tw +cHifww6ZA3cq7OGwf385N+UPWng4/P2XFxcsjVfYw2F+RS/uabVLYQ+H57ls +WvV4tPBwBk3qP+Ozw26FPRyu/8IDF8+ihYfD+rV/RFz3PQp7OOzHn66a/RAt +PJxBdxt3HDZmr8IezqCDB4I1HS08nEGHptrYzNunsIczyGOzd8Vy+xX2cAbN +d6xZbCNaeDiDygwYWWCdoLCHw/W3svlwGC08HObd6hmvXQ4o7OHweZ73yb6O +Fh7OIJdKx7MHHVTYw+F57LXzTQ5aeDjsR/fWzJuSqLCHw/vUrsG3f2jh4Qw6 +Z3265IpDCns4g9QF2VbVkhT2cAYlj1/fdA9aeDiDJhfL8mh5WGEPh/3S2JTR +aWjh4XB9jzZc1OuIwh4O87Zc471P0cLDGdR15YVb444q7OGwXg0r+FmIFh4O +83jjWduFxxT2cJgPY+oMk48r7OEMCnpdc80WtPBw2L85n7jeOFlhD4d5Ffmp +TApaeDjsd36mdXM/obCHM2hLUquVd9DCwxm05G63ByNTFPZwBn2K/Ff3E1p4 +OIM2/xoYNOukwh4O16dP/4ulTyns4QwKPVpYLRotPBz2Kz07TK13WmEPh3nZ +xe7uIbTwcAZlZVxo2eGMwh7OoLemkpvS0cLDGeTaUi826KzCHg73b2Lk5By0 +8HBYH3MyXkw5p7CHM2j4zfP9ipDCHs6gI/19r69ACw+H75dwyqN6qsIeDufR +ommX9qKFhzPIZ+msrk5pCns4g/Knvcu4gBYeDs/3z3KD+5xX2MNhPe729m0W +Wng4g9ZtmDFj4gWFPRyuR7WLFX6ihYfDfrRM+u6Iiwp7OIPsNyxzs7yksIcz +qNI/izc70MLDGVRshscSh8sKeziDXrft5HAOLTycQd6hf5/2uKKwh8P+afGc +ZY/RwsNhP7PwrIvfVYU9nEGdk88XFqCFhzPo2JAVSWHXFPZw+HpirclyusIe +zqDqX6Y3j0MLD4f1Ztimb02uK+zhsD63ikg7iRYezqC+p1xXdbmhsIczqEbT +S9730cLD4Tx7q4rT6JsKezicd9+0k3S08HAGjU5sljcvQ2EPh+djSuGN8rcU +9nB4PqetPLwJLTwc5v2/XzE2txX2cAbt7OcanowWHg7n39ODp3XOVNjDYb7M +6zn+Dlp4OHzenCqjvO8o7OGwn2mbOvwzWng4nOeuug2fc1dhD4f9x9N477L3 +FPZwBv04+8ZvI1p4ONzfcyWCG95X2MMZFG5bKuwYWng4gy71+rCu0wOFPRz2 +U3OPJNxGCw+H82tJ78sjHirs4XDedi54k4cWHg77Mb/A0rMfKezhDCr59n5T +i8cKezjs90s3HLQBLTycQV9rjwpv8ERhD4fnedGylKNo4eEMGrF85+eOTxX2 +cAZZr0iwuY0WHs6gn1nxviOeKezhDAp5ErU3Dy08HL7+KPDzrOcKezjsB1p6 +OFtkKezhDKrSTQ3fgBYezqDLQQ8eNnihsIfD+lBuXdNjaOHhcL4L6RnRKVth +D4fzY9miObfRwsPh/Gl/1GPkS4U9HOafy5gDn9DCwxnUbItl5TmvFPZwOE8d +uLqw7GuFPRzme8a8wo1o4eFw/8e1mdDojcIezqA1z3+8OY4WHg73e13aqM45 +Cns4PB/G6jd30MLDYT3znjje563CHg7z3L1vwRe08HA4n5V1D5uXq7CHw/pf +zaNShXcKezisJ9le+zejhYfDn78yo3Pj9wp7OOz/Ohx8lYIWHg7zJrFwUZcP +Cns4g6yW9mvyAC08HK6/69X7Yz4q7OHwvGsDFpnRwsPhff79yyksT2EPh/3x +27Of5E8KezjsB6fE7t2KFh4O59WKMX72nxX2cAb953q88Vm08HD4fhMNvccX +hT0czi9y37NP0MLDGVRr1/3l/vkKeziDnHbM8/6OFh4O61VoL+cIXWEPh/X9 +nEelyobCHs6gTvfHFcSjhYczKKJj8iNHs8IezqCFoQ5p59HCw2G9qvj4YJ8C +hT0cntcZh+Oy0cLDYf/Y7PTayYUKezisx6e/Lf+LFh7OoP5x45au+KqwhzPo +6VBpeY1vCns43K8Zn1YnoIWHw/s9q0Rs2+8KeziDPuQO2H8NLTycQYu6vj4z +6IfCHg77M+vEu7lo4eGw3pVK+Tztp8IeDufzmcVMpX4p7OEMMkqtah6NFh4O ++8UOIwdb/1bYwxnUbn/I4qNo4eEwj5/dPt7pj8IezqDUUVM/ZKKFh8P9uDW6 +vs9fhT2cQWWPbvHORwsPh++/3XrH/H8Kezjcf/ufuaYiKns4g+I+124RhxYe +zqC5MzYsaFpUZQ+H7zd61N0zaOHhcP7wCGvcs5jKHs6gime+L36KFh4O+/MR +V3LGF1fZw2FeX/3S7SdaeDicZ9cHH4ksobKHw3rt27921ZIqeziDCh6ujdqL +Fh4O8yzSsZRzKZU9HJ7nGq0WXEELD2fQi+ab/nqVVtnDYT4GjAl9ixYeDp8/ +JLrMtDIqeziDNn6wX1fSQmUPh/3MtBbW0Wjh4XD/U7enWJdV2cNhfgfO63MM +LTwc9qMO6Z/dyqns4bCe7A+PuosWHg7zY/lxp9HlVfZwBu0LHfTSQAsPZ1Cv +NkFRYRVU9nD4+qiinVWTyh4O8y2x7O/taOHh8HkzVqY0l1T2cFjfQ1bNTEML +D4f118vk2kdW2cNh/2pTweIlWng4g2y2r3gYqKjs4bA/mbF6fxFVZQ+H87Fv +tbAotPBwBgU2aDyiTkWVPRyuT+B5lyS08HCY7yVy6muVVPZw2D/Fra1wGy08 +HN6PP7d+jbRU2cPheXi5+fMXtPBwOD+V/ZEzv7LKHg7fv/37l5KVyh7OoPNt +J73aihYezqAV1yJzm1VR2cMZ1PZQB53QwsNhXh5d9s+zqsoezqCkLVPVbLTw +cNh/O/+xDaymsoczaJantUeR6ip7OLyfiXm+UWjh4QzKqztoeZ0aKns4g0yz +xiUnoYWHw343vHaOVlNlD2dQVJX5VTLRwsMZ9LFYZG+fWip7OINmVnVdoaOF +h8P5ofnOjNDaKns4PC91T1RS66js4Qy6lTpn5A608HAG1X5fmNiirsoeDvv7 +EOviF9DCw+H3dy07rF89lT0cnp8G+06+RgsPh/NUyeI1Quqr7OHwPqRWXVjC +WmUP9///D/nyeR1aeDiDrnZdPLJBA5U9nEGxz57fO44WHg7z4cHPXh4NVfZw +OG/XyLr5AC08nEE9NkT28WuksoczqHKzf4+/oYWHw3knQ/NbYqOyh8P749P7 +RxVblT2cQe/vN4vahxYezqDgqi+btG2ssofD9azheyMdLTwc9ve3U4OGNlHZ +w2H/1shcPQ8tPJxB06z+pc+xU9nDGTR13dt5FZqq7OFw/dYntI5DCw9n0PJK +vQvt7VX2cNgPWTw4QWjh4XA9glzn926msoczqGXXlT1eooWHw3qw7GKNKQ4q +ezjsf9q/MYo1V9nD4ecN/XJzLVp4OKyP73MPWrdQ2cPh8366teY4Wng4zLPx +++Z4OKrs4fD8+U+b8BAtPJxB83TnkeNaquzhsL6UNw/8gRYeDuv7ld0DlrZS +2cPh92nqNai6k8oeDutLr+LeB9DCw2G9dU6a2KG1yh7OoJh/I+ZloIWHw/7/ +uBI90lllD4f5NCk9KR8tPBzmj7YsM7SNyh7OoD9uXt/Utip7OMzr1U3rxaOF +hzPoQeeKfVu1U9nDGeQ40yLiMlp4OHy9p5o2sL3KHs6gVi+aFXmPFh7OoEF9 +xnSe1UFlD4f9x6XDK8q5qOzhDLLwqfYsFi08nEHbum5rZu+qsofD8xnvvoTQ +wsNhfxFb/m1vTWUPh/33sG9dX6GFhzOohVzmcHBHlT0c3pccrVbJTip7OINO +lI+PWo8WHg7PR7JjGRs3lT0c9leWxqKTaOHhDDIPf1mqR2eVPRzmz+XiK5+h +hYfD+TBiaLVJ7ip7OOwXn3xI+IcWHs6gXT+OdlrtobKHM2hDvZNZ9bqo7OEM +erz29/xjaOHhsH9YsaChR1eVPZxBg/t2ufMQLTycQX4N+i3076ayhzNoQs/d +bX6hhYczaLvJrXB5d5U9nEHFjzdJrtVDZQ+H/cXSUXOS0MLD4fl+8r5Lp54q +ezjMu8ILVe6hhYfDempr/jz2P5U9nEEHrs5M/4YWHg7rV6MhCZG9VPZwOE9v +Wr+6uqfKHs6grTOazz2IFh4O96N600muvVX2cP//98dlYzLRwsPhea3Z23t0 +H5U9HM4DTnN8CtHCwxnkulQdF9FXZQ+H33e2ZUjVfip7OKzfARGLE9DCwxnU +5vL42A79VfZw2I9+Sku5hRYeDvPkv1VPfAao7OGwXvW5X7QALTycQdfHrrcP +91LZw2F+/LznXWWgyh4O60Ho2o370cLDYf4F3LvffpDKHs6gEo6bqtxCCw+H +9aVbrrfPYJU9HOat7blEM1p4ODwfveoWCx+isofD/q1VxaFVhqrs4bAfG7r+ +5H608HD4fdwO1uwwTGUPh/kweUjELbTwcJiHY7Z99RmusofDer43dEIBWng4 +nOcvFXkbPkJlD2fQxc51fKuOVNnD4X0+9upjAlp4OOy3dnSf7uKtsoczaMC2 +IWUy0cLDGZSjWW4d7aOyh8P5turcdl/RwsPhfJ267vmSUSp7OPStEYurj1bZ +wxk0/uXzFolo4eEMcltX6a02RmUPh/c7ouTWu2jh4XD9hx4d7jtWZQ9n0N3j +der9QAsPZ1C1dr3zlvmq7OEM2rm285lafip7OIPW+xdZcxgtPByeJ//wgM7j +VPZwBjl0fNzrIVp4OKwPO361Gu+vsofD33fJr/cHLTycQcVunLSMGq+yh8P+ +qOhQU/0JKns4nGc2PiifjBYeDueJ/5op3Saq7OEMUp/7VX+GFh7OoOllFjaZ +HKCyh8P5ZfXCjsUmqezhDKrjMnF4NFp4OJzH77nOt5mssoczyLdm0T2n0cLD +GTQu58i9XoEqeziDGvwcWOYVWng4g7KbmDtODVLZwxnU/L+I0DJTVPZwmNeO +Vlc2o4WHM+josV1qs2CVPZxB9xNajTmPFh7OoBkF104PCFHZwxlUMmBs1fdo +4eEwj76WnTtnqsoeDvvT4LNvpWkqeziDPNPne+1ECw9n0NlX/W84TVfZw+F9 +3+PaNR0tPBzmWQm39OEzVPZwuD4fffrqaOHhsB/usTV70UyVPZxBv6v9Daky +S2UPh/2hZ6h0AC08HObz6yZJrrNV9nA4D9wpMvAuWng4g65UK17Cb47KHg7n +qbOtTvxECw9nUJ+zmwNXzlXZw+F9qevsUG+eyh4O5129wtfjaOHhDKrbts75 +bvNV9nAG/S09Zd1ztPBwBr2bUCogaIHKHg77iRnZPUqGquzh8Px3Lt48Bi08 +HPaXuUE1moap7OEMcprbzJSGFh7OoKB6rqUGLFTZwxn069vOEu/RwsPh79fx +sZi7SGUPZ1DRCzMrKYtV9nDYX5bSG+xCCw9nUKRVeoc24Sp7OMzv+mWG3UQL +D4f9ypDEBT4RKns4g5x1SihECw+H9a2m9jxyicoeziD3Ki0sa0Wq7OEwn2rG +9DuCFh7OoD0TZ2z0WKqyh8PP97j7+glaeDg8z18OtJq8TGUPh/c3qczK4stV +9nA4n2e+z9uAFh7OIK9t/frarVDZwxmUENDtXCpaeDiDyi/PcBiwUmUP9//9 +zdt979HCw2H9r7zOdt4qlT0c9g9OTw+pUSp7OINuK9R+D1p4OINOlXG73W61 +yh4O++EF48ffRgsPh/mW7Vxu7BqVPRzmzbrDR36ghYfDemzxyHvlWpU9HObP +4UOW9dep7OGw/v5tl3kCLTwc9lf+C9b2jFbZwxmkTVww7CVaeDg87wtd7aat +V9nD4X1wSCtWboPKHg77y29lsreihYczk8vwahdbblTZw5lpwKfvidfQwsOZ +aUPLvdtGxKjs4cwUfKFJjBktPJyZVjeN2Lhkk8oezkxZFufiam5W2cOZKcK4 +m3AELTycmYotvkFdYlX2cGaqOCrhyTO08HBmutE05HfQFpU9nJnWr2rcoHSc +yh7OTI4t7vSPRQsPZya6MGlZ860qezgznSosfu0yWng4M5WbG11h2DaVPZyZ +iqiNButo4eHM5BV4JiF8u8oezkzawMEla+xQ2cOZaXRkUd/DaOHhzJRzI+Wm +x06VPZyZxn0Ma/8MLTycmSyPjD4SFK+yhzNTnD6iWeldKns4M3WcN+NoLFp4 +ODPdanbMpcVulT2cmY68tMy8ghYezkyfpmwfP3yPyh7OTNaXh5Qzo4WHM9O2 +NPejS/aq7OFw/zx9R9Xap7KHM9PrYalVjqGFhzPT1qcDH3Tbr7KHM1N0qsPm +F2jh4cy0qoSn39QElT2cmarGJ7ctd0BlD2emxVsnWG5HCw9npi8/g745HVTZ +w5mp67mb2TfQwsOZqV9hWOaoRJU9nJmSDkVf+44WHs5Mz4qUvbbykMoeDs9j +kTe3rJNU9nBmqnutUdYptPBwZro067G592GVPZyZhjn/kXPRwsOZSbbd0Gru +EZU9nJlMMxJ8Kh5V2cOZKby/S/Q+tPBw+H30frddj6ns4cx0PzxffYAWHs5M +/T2rDJ94XGUPZ6YdS28lFktWtf8BsspbCw== + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwsmnlUTt/bhytJz5mIDJFCSSGlASm1lVLKUImkaEBShDRoljKnJM2DNClK +MobsUlEkiQZDhL5InXOeZIjIe//We/6yrlV5nrPP3p/7s9e6prv72m2VkpCQ +0CQlJP7379ETktN/SLF49UytxLIqEfL+b1LHgxEs3iuFPxZXi9BKY+24VGkW +U5UzDPPviZBW8nIL75EsfhDqdCq7RoTkxJv+GsmwuNBrW09qrQgNWAZcZUax +uOKChVlinQi15sR6dwFv8x7KOnlfhG78zptRLsviyc3Rf448EKE0+zsvDopY +vFLqw8aD9SIUevFZvAPB4lmzJ1SGNYjQppG9y2eRLG71VZoW9FCE0Capf4PA +sUND0XsfidCMGwrXH1IsPv69tNenUYSkx8zfmUGzeOyhhWs9H4vQx+2WqrsY +Fvu0nrnr1iRC9dWbX5mMZnEP+XC28xMRKp4cmCA3hsUfbJ+nrGsWoRN+J60+ +AF9/clXW9qkI+TbmS1yTY3F9iXewdYsI2c6svHFoLIsPy/9izZ+JkG74812O +41i8Za6LB3ouQuPbe2fOlmfx66kpLxe3itBPrRGdQ8C75Irt9dtE6MWRyYmP +x7M4T+t0k1a7CN1+N986ewKsb4699ewOEcpcbCW1ZyKLjU72Nqi+EKGI064V +ppNY3KK8cYXySxFy6wvcLa/A4j3h5xoVXonQMvO4WR+B77dUr5F/LUJqWQVv +bkxm8QHzijamU4Rkf1aeOTqFxRv/HdkkeiNCX1a32mxUZPEEjfmfR7wVocbz +fSM0p7I4sPey3zBwqaT07WHgVb6k5K8uEYp3mrK3WYnFvp1L4gfeidDeKzoa +55RhfTZZTefei9BaakWX3zQWyyjOu/r5gwgt2OqWbD6dxSuM+yw/dIuQwt2g +VRNnwH7iDr7t/E+EhibEj+wBDnL4HtjxUYQ6fQvv3FKB75eBxj77JEK4/q7f +CVUWT+vbWvr4swjlTG+bvWkmi9t2brWp7xGhg8HsOy01Fp9aYdJ374sIbX0m +nSo5i8U7LwzEVvaK0PK5imueAcdWRM2/2SdCGjG6o/LVYb9l9bWVsyJEvllx +N0CDxRZR88NLOBHiFrj7W85msWWc7azzvAg1x+2fO3kOizdzNi3nxCJU/jn+ +Qy/w83qViMx+EUpcej6tci6L+5e3aaZ8FaGANGwbp8li+syWNwkDIuQ40Cbr +No/F0S+a4mO/idBiGw7raLH4hvF48yPfRUgxf2SgtDaL5biFQ1E/RGj4r+K8 +NmBpuYVXwn6KUNc6vf8K57NY9unYnUGDIlRzyTpjvw6LM9Y/Uvf7JUL5sh72 +1rosXt7k+nHnbxE67BZMTNVjccqmZ/nbh0TI69apag5YRUfF0+OPCFmPKwqq +0mfxob1rZm/6K0KaPlVaCQtY7G61kXccFqHRde0fPRayeGuX2XX7fyLUP5XP +1F/EYhM3ImKVBIGeBcg4jDJgcfH3UisrSQJdezKVegG87cH8CcukCJSirl9T +vJjFhhKnu41HECj4gE1wqCGLfzW0XDWQJpDzS4/5q4xYHLCq/5DeSAIZ64Z8 +Vl7C4rgbnJOWDIGmnUjI7geerfVIe/YoAkn9V7SuxpjF898ekp0pS6DuJdX0 +GRMWp/VPf68sItD9pI7abYjFm+IzKicTBDrP8yGLlrI48r8faeNJAh2zHKVL +mLJYNGV+8BiKQDtzlL68AiY2Wm8kaQKt/q2fU2LG4vQn5sYyDIHm2690jFjG +4lfnpqlIjibQuItbRtuaw/sdfiX6A/xdOvT+DAsWk0zQ1x9jCNTucjrsG/Cm +399e98sRqOJ6sd795SxW5+wa+sYSKH30vd5kSxZfHJdw49M4AoVtf3HOy4rF ++mfKC9/LE2hztXiD4QoWT8y8mto5nkBLJ8vK0dbw+w4psR0TCKTqp1z/Bnhd +j8vBZxMJJNO4IKLMhsVhUSNDmiYR6LPqqgVRK1l82jpuX4MCgR6GbWXtV7F4 +tO+Qb+1kAl1sC82buZrFuyeu2ImnEOikVuLGn8BLfEN8bikSaPeRC2Mb1sB+ +y4nbeW0qgeze3WtIs2Wxc/uh3WVKBNJb/DLSx47F74zc/C8oE2jC6f6FxvYs +XvhHKbRgGoF+9cryo9fCeqCq6JzpBHq1bFrBO+AxuuZxGTMIVJm50OWKA4sl +JUrTk1UIlP1jlXzMOhbnPP1dlKBKoAOrtz1atx7mQ8ucW7EzCeRxPixK3ZHF +U+YtbTyiRiBzyTMGv4HPMoZdB2cRaJbTRfGjDbC+2Qo/wtUJJLpSU5jpBOeB +6KSDNQjUS77a5LuRxb0hMbP8ZxOoacvX8UudIU+VxpntnkOgskrR47EuLB6e +csjVey6BEiZMj+4Gvn/2TcQ2TQLt811keH0Ti/3aFXPc5hFoXf3qr4c3s1ih +F9U6axFo0XTPog2ukD8y1j3rtQk0OTjcdY4bi584GI6xn0+gPy1nJv4Fnjdi +rMEqHQK9mVPS1OTOYo3FTzysdAlYpdqYsx5wHmb6xS/TI1Bu5yujvVtY/L7/ +710TfQLFLBgYMNvKYq0GX37xAgJ5xhEXxm+D/d9eP33BQgJZfZ7u/gnYdLlo +3fxFBJqz1EChwpPFtcvmn5hrQCA6bU3zse0sVh5CtbMWE4j/6nnY2Qt+fmbR +8AxDAj21jjCet4PFc9GkxUpGBLqSl/T9H3DbzK5AhSUEOvO35OJTb8jrvfE3 +5I0JFLSuziPXh8WTbDV+jTYhkNOl15P9d8Lvs8VGJCKQkey3pxa7IF/2jY+S +WUogJTfy6CRfmP+jvRokTQkkcWsG+gJM9+WP/Qv8fuzin7d3s5jRb3QZNCNQ +rbdtaeweyI8Jr4sHlhGooHb71s174fzdbv3FmRPoyNRIxfl+LDawrVjxxYJA +OwKSn0ntg/MgdTjzv+UEWvmk9NhzYGbI+GuXJYG01O8vLfBnsbnnO8vXVgSS +O9A5GBjA4s97d+W0ryDQwItvl6wCYX9YfRxqsSZQqw7lOSUI8n6SlWOTDYFu +HFdRYoFHEsnXG1YSKLV7cevd/SyeY908oW4VgUKW2J2ID2Zx06jvQVWrCeSS +5GXmHgJ54jOy8/YaApnwkb91Q1kskSdpdsOWQDMsUy6PDIPnedVTXG5HIOmc +S9vbgZtM7sqX2hPo46/7ykXhLB73JzyyaC2B6u3etAVHsLjPaC6X50Cg4gvf +Y20iWZw7777L2XUEOiFNmysdYPGxvzZP0tcTaJeL6h8e+HdrlWmyI4HWXDe8 +Uh0F69M9/WbCBgLpjLbfcfogzGOH3VonnQgkv33H9K3RkB9rL54/upFAP6sO +dCyIYbHu6DaVGGcCvVBIjZM9BPl+mT0b6UKg23vLLF4C79g+oBy6iUCZjx78 +vXAY1sf109nAzQSKUH17NewIi8fXNaj4uRLILeyH9+qj8L5q087vciOQWRut +Mv0YnOdjTlo73Ak0U2vmy6/APmaim1s9CDTqiNGp2uMs7lYsNHXbQqCeLnvL +pBPw/sz0njhvJVCjgfc/z1gWr/9Q5uK4jUClCVHXDU7C+9OYytl7Eii+N3Un +Gcfil2b7I1dvJ9DeZZdVO4FHbqqTt/Yi0NrM+lel8Sxemy95wWIHgRb8eJsQ +eYrFNy3mmZl6E2jS6p9Wdgnwfn2tO5f4EOh3ISOpeprFJdYb9hvsJNBrCbWb +34GXixwn6u8i0N0NS3wfJELetS6/oe1LoJzytWqpZyDvXqtvmLubQAdJn84d +SSwOth76M2sPgbZuOZholAz5uwafU9lLoOWVadZMCotVJ/mvUPYjkMaEcqku +4OYnit8m7yMQ6dtQcTmVxdfyrmdP8CcQ+6Br98E0FivWmq4cG0CgJ9MGZzmk +s9hxY/UfOpBAl/ePfquWweKaZJ1SURCBTreoJQ0C3y1Ichu5n0ABc4xXPsxk +8dVr7ATJYAI5RjtIZ2RB3/u6sOkP8OJOn9s7s1nsetT/8GAIgRQXRO81Octi ++aIC02+hBBo+ma4hl8PiyvBH//gwAnV9Ku96D8wt7L7bG06ge+hh8tVzkEdS +/RGfIgiUl/pu1aFcmB/kV9MPkQQ69HVwpGMe9OvIT6PeHiDQdusxlRr5MG/j +nza9jCKQdd6sfUPAWtvLktsOEkjzr/GcxwWw3/Sj3VuiCTR63br3WYUwH9VW +ajXFEKi/dGfq7vOw/tvJ4YZD0EdGxawxLYL11MBNdYehj7hmjJIvhveVvD2n ++giBkiuu3P0P2K1rVEDlUQLtH/vI/8YFFm/XybSpOEagjd7v5x69yGKn2+oz +rx0n0JLaXx+cSlhsXFT8r+wEgZSnyqXPLWXxjNkqry/GQh8JULcbBlbySbh1 +/iT0kSYTUfMlFq/JHEzLi4M+Mmt9VU4Zi7t6HcLOxkMfidwV6HeZxf9iit0y +TkEfeREzz7wc9nvqj+UpCQTy0cn8b8IVeP8Oi7UTTxNo1fGrGZ+B6c/+k+MT +CaTd/cj+1lXIg/AimRNnCDR2yQfixDW4b1m2fjucRKBvZ35Xu1yH/9/vV/fB +ZOgjnNx+rRvA8ya0R6RAH1muoS15E/rY+TmPQlKhj5xFn1qA5zGLqwPToI/8 +Wp+VVwF9O25phV869BE7X4eAW3D/czK94psBfeTCIcryNouzMo0ueWcSSEU6 +q0bhDos/HtYu8cwi0EiXa8G9wI+XK5V4ZBPo07XG+ZWV8PmkzKXNZwnUwHR/ +PnmXxXojPpdvzIE+4jmU7YrhvDnX3lx/DvpI1dj1OlUsvmCeXmWfC31EYTYj +XQ19mfN5uDoP+sjepXWtwCdjDNqs86GPPHIMLbwHv28g2b28APqI6m7d/TUw +3wxqB8wKCTQYevjLiloWP7oaNRKdJ9DL1qwcxToW335hpGBURKA78647csBJ +7V/nLSomUNbhx6Or7sN+6Myz0LsAfaSr+/6pB3AfmGzvqn0R+ojBnzCPehbb +VP4NmVsCfSRhnL5+A4uzv+elqpdCH+md3SfzEO5zXy0rVC9BH1lmmtsBLH7f +83JaGfSRjA1OxY+gX/84PKx4mUCPv++WC22E9+usoqpQTqBLq47Ur3wM58fs +jvX4KwQ6VZgdodzE4g2v7PzlrhLIT+LGgn7gq/afztLXoI9saGLvPYHz+Gp/ +k+g69JHy//ISm1n86RwxPPIG9BHy78ZtT1lc2pGqJXUT+oiH/LhFLfC8F2d5 +DAO/uTPnoegZzP9VV1N+V0AfGW924BWwDGvS/OMWgc7tclpU8pzF924+FA3c +JlD0gz18eCuLR71ba87fIdC2aUcL1rTB+znzJqq3kkCW+8+6zGhn8cDI7fc+ +3YU+0nJD/huwvHv/iG4MfWTOk0d1HSwufxG8vKsK+sjBj1HJL2D9MqRjX1dD +H3n918DrJdwfX5583nEP+oj++P7Fr1jcWaOg1FoDfeTk3PPUa9hPh/O9ntYS +KPCT2eY3wOPW69x4XEegDWjjhLJO6CduVTIP7xPIMHXv4wNv4PPbVjvef0Cg +qV+PRtu/hZ9/7Lpwrx76iHWO4cwuuE9X+0niBugjuTe//gDOS5bdcPsh9JE/ +T4rq37F4f2p2+Y1H0EccPrmmvWcx/2MRc7UR+kjp8ESfDyw263ruXfYY+sio +CU+WdEN/jfF7dLGJQDaumodG/wd9deJ4zaInBJpXsWzJO+DV9yvi85sJNGas +87fyjyxWu+f2I+cpgb7u8LsQ/Qnup4b0pqwW6CM1x9zXfWbxD/c7D9KeQR9R +PKeg3gPrsd1XN/k59BH/iuZfwLVhajmnW6GPNDUffvQF+njLuzHxbdBHZn02 +zuyFfpGUE3WiHfpI5L/vu/pYPPPP1h9HOgg0/cWEEsRC/uho+cS8INAInXlb +xnIsvuP998OBlwT675j5lG7gre3NLuGvCPTgg3PLNR76R0HRi+DX0EeM9h09 +LGYxIo6sD+yEPnLmONrQD/dXXZ92vzfQR7hzP2d/hf1gu27D7rfQR5bfKv0D +/DjZotOnC/rI2adbmwbgefWXeHi9gz7y67Pi2W8s1nRe3Lv1PYF+2Eo83/Md +5q+Rsb/7BwJ1FE88bvYD3p+sleTmbgLdGqFlOv4niw/yTnEb/yNQhrPFr4/A +ItV9yo4foY9ccym7OQh52pZ4ee0n6COMv+exX3B/Nqg0t/0MfcTzhJLzb+iD +IeyrlT3QR6pyWzWHWHy5eea+FV+gjyjcPvEPuHT7NmZ5L/SRPS1mT/+wWMf/ +UrFZH4EePez5fe4v3D9nSVohlkAlKpLl+4ZZbH15Y48RR6C40EleFv/gvJrh +4wY8gfa0ak2bJMFhmzFztReIoY/MW97eA5xsea5Npx/6yOFNJ29Lcniq0owI +ra/QR7r8zWOlOLz33gWNuQPQRxbF/tk0gsO6niZt6t+gj5zKu6ItzWEZ487o +md+hj3y5vUNqJIfTg2L0Z/wg0FmzZ9OfAxdZLvqs9JNAURlfOvJlODzw9nvG +lEECbfkuGR84isNmu+/aT/pFIItVCsutZDm8a04CNf439JFC7eHJIg7bme15 +IDcEfUTC8lof8POejdHMH+gjjpt97hIc/rjGzoz8C33kcoBKPMnhyOK10rLD +0EeIky/dKA4XqHs8kP4HfcQj/5QuzWGPv2EnJCVI5H/njuVIhsOPnfLth4HX +j3/+rw14hN8LxSFJEhns6r1+fjSH5wZN/vxTikRTHkjtCh7D4eCM7de+jSDR +X+XJM23kOKw+tja6X5pEXUHzX08dCz8nNddxI0l076nlaR747q1cjV4ZEuXN +dl1RPY7DK+zU/30aRaJDBwMlT8tzOEL6dlu3LIm2vz55c8t4Dj8Y4Vz2TkSi +FfoFvgsmcDgxijzxhiDR3JOVarITObz1SoPXK5JEzKfnnS+A79YnWXVQJBKb +9CVemMRhre9757TSJGpJGWETpgDr571pdAtDomv9k0esnszhBpcN35tGkyh5 +hc6taVM4jP55dD4aQ6L9uVZ7vgJ7R4U/qJcj0cY/ruq1ihymJhddqRtLoiUO +QW/PTOVwz+CHnHvjSKRcGpfkqcThVlutBCxPIslRhSsNlDl8Yf3x6DvjSfRh +811pchqHbZcMBlVMIFHdzdbbr4Gv6wf4Xp9IokI5dm/pdA43+43cfmUSiY7t +kJ4dOYPDubML3MsUSORTM+WdrQqHF8c6bi6ZTKJViropKqqwn5oUNxVPIZG2 +/4rV34HHzBjYVKhIorFNbjIPZnI46dYrt7ypJPqmtr8yRY3DOx+1bctRIlFb +RPy+HbM4/PRw984sZRLd7CicY6QO+1dlZGD6NBKlzcfvaQ0OOzcvikqZTqLQ +Y22pb4Hn1ETEnZlBos0f2DWXZ8PzznuZlaBCoqVGI2UPzuHwfQvLsjhVEqmc +UcRr53LYz/hhzYmZJBrJ6QaoaXJ4o5Xri6NqJPpkYa05CDwqneg/NItEDdnu +3Q3zOEx4NhDR6iS6MLg/PV2Lw5LvMtQOaJAo1vaU3U5tDscbxSwLn00i3+Lz +IpP5HN5eErUlZA6JbEdUVY3RgfPjeeZQ0FwS6Tm3B74H7s6sLPbXJNGEa9y8 +q7ocnhQz1Lx3HokGaZmPMXocvrZm9S9fLRK93DY1c70+h7P0KlR2apPoDtZb +q7GAw7e3GKzZMZ9EWZNsyCFgqfHN4Z46JIrc43GvcSGHd+8Pu7RFl0TuD4P3 +Zy3i8Oj7S9+76ZFomUqC9m4DDvPzpk7crE8itdCiT0sXw/n5PHqV8wISiVqr +ssYZcrhv5uTDGxaSqFezw+E/4E2KRvfWLSLR40M8dcOIw5X//P/ZG5Do0luZ +2iNL4LxI1xvbLibRqUVKIU7GHF67SSdylSGJ/E7p68w14bCO6bUaayMSOXyx +6fkLbNa9RmS1hEQLzbacfYI4/D1opK2FMYkUMkLW5yyF59N7lmZmQqKhbwmM +nymH5W1ufUSIRG9WFtctM+PwsYFbesZLSVRVUB06YRmHL21ujTY0JdG5fx26 +n4Gn1YnaF5mRKNpR/KXCnMPRjo5zFiwj0bbLo84dt+DwiVXVB3TNSWRJKG9w +WQ5592rZS20LEs32WDBGy5LD4fof9OYtJxF1Z+UDCSsO/4nLODXHkkSc/Nbw +FmDzaXvE6lYkat4Zqp+3gsPGU9xs1VaQ6Mr9033+1hx+ds3nqoo1ic4oX8hd +bgN/r5qoMN2GRIFB95wUVnL4VmZ7pNJKEm14+kKuF1jBYeGXKatIZDi7v/7O +Kg7Pi7zioLCaRFMPykaeXA0/X2tTM2ENif69Ul7ouobD0jJSuvK2JHqnt5Cb +b8thlUfP8+TsSFQTuyp/hB2Hr3bUThptT6L8j1udW4Elt7ScpNaS6IhJ2LhC +ew47nfsrQziQaEdK4sOgtRxWarA4MGodiWz6LxxY4QB/T5f+lV5PonkrahYp +ruPw8XzdUClHEo3JfcmzwNPvt//5B/x1qL8Ar+fw77y0iL8bSPR8rWjTKUcO +FwaGSg85keh6ybTxHhs4XO0XdnxwI4lSZBY16jlxmGvKlP/hTKLgzasPymzk +8Ku7ndkDLiRyubltcQew2f4lmv2bSGQiF95f5Mzhz7qVd7jNJJq+48z5EBcO +p6i6rOpzJdGImoubV26C839g6vseNxL9N6V2gvJmeN8Rw4Gf3En0YN+rx2Lg +kw4SY/7zIFHR46/R91w5bGqgUvx+C4mOqxFGiW4cPuvqadG1lUQ7I6YPbHWH +55d83N25jUSrOxYVL/Tg8Bm7tTGvPEmkM3+Nm2gLh92P/p31YjuJ5I95TnoF +bPm6vrHNi0Q/3oc/ubiVwyEHrvs930GiDsOkQ+HbIB8v3Vds8SbRrcSSJWs8 +OdyS/uvBEx8SZbC136Zvh/8/bLX/450kCrd4fWEAuPtYveqjXSRyzR5wr/OC ++fV7S1u9L4lMB4nJyTsg3yRnHru/m0SqtjOebvfmsFeHLKrdQ6JRxQZHFvtw +2PfqmMHqvSTqkbI1oXZyeH+jUTn2I9Gjjdt/dALPWh+7q3IfiUquRpRc2gXn +5biU5m1/EsXRyVsO+EIeZWewNwNItGdb6RT73RxubNhYdj2QRPa4rkV1D+Sx +KfK/GkQi/UmdR38ApxqvMCrfT6KJe76h+r0cdvuxf2RZMIl+NZCDqX6wPmef +NJeEkOj1DJVL3vtgnvlZZ10IJdHdkMXblvhz2KKA3VkURqKzz22njg7g8Jbd +100Kw0kUpen1vAv4gmzBuPwIEm05FHm8PJDDBgV3es5FksjibbJpdBCHF0T+ +rj57gETqiy79ctgP6/N4c0ZWFImIU/fLZgVDHt3vC8o4SKK+nk7PX8BDZ3PW +p0WTqMn0u9KjEOhTZ8IXpcSQqCydassIhed/eXBK0iESnf6mErsrjMP2hZcl +Eg9DH1lpuAyFw/7Uk/186gj0kQK7IbkImPc3Dz+NOwp95J9X+QdgU2/tythj +0EccD3hdi4T5Fi114fhx6CNlKdMOH+DwIcPhtKMnSPRWVNbuGMVhvXqV2MOx +JKp2f3By9kEOa7r7HYg5SaLc22/M/wBrLWUDD8aRKEb+x5/H0dB/Mk/tPhAP +fWQnfTU7BvKqxNU74hT0kfuq3nsO/a9fOW0PS4A+omw0w+ww5NujCM+Q09BH +guxfyB+B92nVvH1/IvSR5h3xH4FJZxufwDPQRzSilt88Cvm7+Nse/yQSXY1K +HT56jMNfp9bv90smUdKrsmsbj8P+XVJ/cE8KiYL06n00T3A4puVbnG8qiZxi +36r8AzaTX5W1Mw36yMcfL5tjObzS8Hmpdzr0ERMm4dxJDosjDld7ZUAfSZlp +tS8O/n7y9jbPTOgjYiMJi3hYL7MAdmsW9BGrtTcmnuLwBN1ymS3Z0EfOee/q +AR6arjjD/SyJjg5FzbydwOFMy6smrjkk8l6b9vrEaQ7Hvg3evOkciVaWXD69 +KRH6pPLuA865JNKSaVihfQb6G0rMd8qDPrK5S1IqicPbQj89csyHPnLj581n +wMPEjm/rCqCPjBm9Oz8Z9s9MRWWHQugjXmqzAlNg/0kPW9ufhz5yb8kby1TI +x67RIbZF0EemOJyZnMbh+QO2F1cXk2jTPh+bPmBl/9q3Ky+QCD0+OOJuOvS9 +bM/xNhdJNEMt/VZcBvTlYsOVK0pIJB1RvsctE+ZDMzpsWQp9pL1BXTeLwzNW +BNZYXII+ov3urXQ2fP6at5LmZdBHjg4mtQHrK+43NbsMfeT96FXnz3J43adl +MUvLoY8YzhoZnMPhL19MH5pcgT6SaHzH+hyHrVz95IyvkkiXdfCbmsvhtsg2 +J6NrJBpvsXM2DyxxyKdg8XUS/cyKfleVB+e1SP/bohskevEzPSUhH86Lqs6y +hTehj6y5snpLAYdnarsn6VdAHyl6KLOgkMM/ZO5/0b0FfUTqfeWo8xxe+N5l +qc5t6CMbf+17AZw+pJGmfQf6yNUxcy8UcfjNidnf51VCH6HVP4QWw/58stlO +8y6JZLeZpK26wOFFww2X52ASfbm7znbaRdj/dl7jZleRqHHiLtmvwAojjQPV +q0lUujsG15RweI+tZafaPegjDRkBZ0o5TO85Yj6zBvrIjKuanpdg/2QOX1Kp +hT4S8qh7URms19gLijPqoI88f59OXObwUtmTx6fdhz6i+dvuNTBfUfhH6QH0 +kRg5orScw2lug75T60nU+Ua9OuIK9BGDyP+mNJAIL0RBtlc5PC7UxGXyQxLl +xK/XUrnG4dMeC9onPSLRwZ5dH78B+8/eaj+xEfqI6aHM+9dh3pONT8c/hj6S +nrk25QaHTZC3nXwT9JFvV8kdN2H9pM1axz6BPrKy8Z5hBYdLTtk7yTVDH8n/ +sJ++Bfmpkvlu9FPoI8O/td8CXx5Q9mZaSFS+fuznstuQn0te/6CekSixTCM7 +6g48v2FLNPmcRAGipevWVkIf1pAaT7SSyNHdkVa7C3mBfApl26CP3Pat/Qm8 +pXKM0ah26CPyh0MaMPS/F3zLyA7oIz5ZOulVsF+einykX0AfqbvW41MN+/PN +plEjXkIfUXp81vgezGMjPk/yFfSRwO71Y2rg/c26vUziNYkONw8x74G/dNz7 +OAzspTHu/pVa+D6xMsf/dpLIOmp2WEwdzP+IQ/P/vCGR5quleuvvw3nvNX35 ++y30Eb0NveoPIJ9Io5hfXdBHTuw+9xvYXnGvzuA76CP/Hd7QWA/7x/G/dz/e +Qx8xzh6T1QD7dejM6e8foI8kX3/g+xDuKyh6+bdu6CPix+FLH3HYdUvZ36// +kcjZ6j/9cY0c7s+ZfL3/I4mMz/3p6wYeo1+zW/yJRNOGxuVdf8zh0A3Fmvxn +EkmtnbPxSBOsh3VzH9sDfeSi6VinJ/A85vqlfV+gj4x0apjTzOGK6Bd7enuh +j2zaE/kXuHpZ5cIvfdBHbhxZ+OQpzIfqrn+fWegjY85yZ1ugvy9c9vATB33E +60b+3mcw3999SvrIk2j+vSbnZc/hfvPn0db/xCQaN+XjuAmtsH/qxAu6+0n0 +3e/vw0/AYwPXER++kqi9UT6qoo3DUav+vn03AH1k5lyD4+1w/g7/d6PrG/SR +cDOxcweHD24enfD2O/SRdqfCeS843CEXsuvND+gj2ns3SbyE++Ir9ZWdP6GP +HD06vgV41+Ckea8HoY+8P9uY+4rDd/Kt5V79IpGM4c2D/q+hDytX/3jxm0Sf +Tz9ZvLyTw465+990DJHoYd/H/klvYD/6+Ne3/yHRRfPh81+A91dcvdr2l0Qn +s8a73nkL77tuYW7rMPSRn3MnnuzisGHT8Onn/6CPrFnWtPkd5N8Y4vAzCQrp +F22Mmf8e7vf1G0JbJCk0UcrPaMQH2G96nN9TKQr9cjo28By4I+7BzuYRFHp1 +Jae4oBvuzwofvZ5IU6iSqnAL+g++P7Vie9NICmVvbZ604iOHJ54f2P5YhkIH +7n56MuUT5Lf6B+/GURTymPjvEAt85eGkPY9kKWSxe4Ix/gzzoDEx6KGIQuoN +mt/je6CfeK+PaiAoRMwwv+j+Bfp2t+vJepJCfcHOHnq9sB/2Xc54QFGo6Znf +ZJk+yBMnq5L7NIXK5h5/2g6s/Ey9qo6hUELMuSNFLNyHFNe01o6m0L43FSYh +HOxHP9xXM4ZC6xY+/WHDc7h+fKBMjRyFFsV/LlESw/1jaeCMe2MpNKXn3xYx +cLB+FaoeR6G/Sycq3uvn8JR5dm5V8hR6mzbv2emvsJ+8NKPxeApVD5gf2zoA ++03NrujuBArl2rgsXfgN8u1cVXPlRArF5O8blP3O4arJ+3/fmUQhz+Hjl14C +xzWFqN1RoJDV+txtF39wOG+ofu3tyRSaU3ZravhPmI9drjG3plCIFrU8Xz0I +eXjL7GaFIoXEbj3Hp/+C9a/fw96cSqGWWxJmA8DOVr2qN5UodHXcpN+1v+Hn +wWWbbihTKMlH63LSEIet06vTrk+jUFCdxfbtfzh8pEfpxbXpFHJS2qS8+C+H +/+XWK1ybQSGjQP82chjubyLsfFWFQkrNJ2I7gSUcpc5dUaWQhEbeskv/OPyu +KaGnfCaF3h+4PRQpweP8i7t1y9UoVPeypdxOkscTtTMiLs+iUKHuFy9VKR4z +KeObytQp9P8+HI99VTqVyjQowYfj8Wy5gT2XZlOCD8dj47PrH5TOoQQfjsfX +RpPKpXMpwYfjcWEWvb9EkxJ8OB4bnNjcenEeJfhwPM6YKKl3UYsSfDj4/Miv +iRe0KcGH4/Erab3B4vmU4MPx2Pm/OpdiHUrw4Xj83fV8XZEuJfhwPJ5045VW +kR4l+HA8tpjlmnFenxJ8OB4T/frk+QWU4MPxeNjeJbRwISX4cDyuj2vjCxZR +gg/H4yndOVsLDCjBh+NxRTzuzF9MCT4cj3e1ajnmG1KCD8fj8V+/Ps8zogQf +jsdJaqK1eUsowYfj8afyva25xpTgw/FYtl1rQ64JJfhw8P2eobfnECX4cDwW +fcr3PLeUEnw4Hs+z3/w1x5QSfDgeX16/MyLHjBJ8OB4/12tmcpZRgg/H497Z +R86eNacEH47HdsFn9M5aUIIPx+NAj8GH2cspwYfj8VO1yx7ZlpTgw/H4s3TV +cJYVJfhwPF5tPDMjawUl+HDwfse9M8yypgQfjsfZdQOdmTaU4MPx+GuiS1Tm +Skrw4XjsdU9JI3MVJfhw8H5PLmzJWE0JPhyP5xieD8tYQwk+HI9tZPfMybCl +BB+Ox4YLE16l21GCD8djaRF1Mt2eEnw4HtfcfWOavpYSfDjYX6nE7zQHSvDh +eHz/ZdyVtHWU4MPx+GDNLt+09ZTgw/F4+plCzTRHSvDhYH/EL+ZSN1CCD8fj +mMFZl1OdKMGH47EmvTsgdSMl+HA8Dh8zzjjVmRJ8OB6Ty+RkU10owYfjsV/P +jucpmyjBh+Oxp+m03JTNlODD8Tg3fv6+FFdK8OF4LB6fvTzFjRJ8OB7Pkvea +muJOCT4cj+c+jvue7EEJPhyPB6LGNSdvoQQfjsdhgd8uJm+lBB+Oxy/6dE4k +b6MEHw6ed+aTncmelODD8TjKvcE2eTsl+HA81vk0fVGyFyX4cLBfvndMS95B +CT4c5MkTjkz2pgQfjsdTb3r8SvKhBB8O9kv/wp6knZTgw/H4RqX3q6RdlODD +8bhr878nSb6U4MPx2GPywP2k3ZTgw8H+01pelbSHEnw4Hs/4MHQ7aS8l+HCw +3wPkbiX5UYIPx+MmzSO3kvZRgg/H4/4VrpVJ/pTgw8H6yaXdSwqgBB+Ox941 ++g+TAinBh+Pxgzzd50lBlODD8XjN4OmupP2U4MPBekuu55OCKcGH43GsVJhE +cggl+HA8vmIiI58cSgk+HI/5oa8ayWGU4MPxODPYzDQ5nBJ8OB6r//vpnBxB +CT4cnPfHY4KTIynBh+Nxw6yTqckHKMGH4/Ffu923k6MowYfjsWXCjbfJBynB +h+Oxi8YWmZRoSvDh4Pc3BmilxFCCDwd5uZNzSjlECT4cj1VyG4+kHKYEHw72 +p4lcRcoRSvDheNx56E5vylFK8OHg/FY2TUs9Rgk+HOz/WZaOqccpwYeD9y2r +kZB6ghJ8OB5L5O9pSo2lBB8OztvSGXTaSUrw4XisNsNwVVocJfhw8DzH75xK +i6cEH47H66oL2tNOUYIPB+sh+1s5PYESfDh4HwXXd6SfpgQfDubZm9c30hMp +wYfjsdZ3n1EZZyjBh4N80fbckJFECT4cjxufPSrJSKYEH47HH1XTpTNTKMGH +4/GG7a0umamU4MPxWP5DcEVmGiX4cJD3L49PzEqnBB8OznOCbFBWBiX4cLDf +bPtfZmVSgg8H+bDRAmVnUYIPB+f9x6jz2dmU4MPx+K3ngrFnz1KCD8fjw9+e +hp/NoQQfjsf/3r1kz56jBB+Ox7f9bTfn5FKCDwf5+F3/WU4eJfhwPB5XcMTq +XD4l+HDw/z+2uneugBJ8ONjf5fuX5BZSgg8HeZA66XbueUrw4Xg8rV7NMK+I +Enw4eJ6YvMq8Ykrw4XisNCHBNP8CJfhwPJas5hvyL1KCDwfn81GlfUEJJfhw +PC4L/fO2oJQSfDiYpwoXdxVeogQfjseqPY3/CssowYfjsd78zafPX6YEH47H +Ros8NIrKKcGHg3xf9qK66Aol+HA8rsuodi6+Sgk+HI8XHlb8XXyNEnw4Hkeu ++JJ64Tol+HA8XqI5x+jiDUrw4WDeB7ztuniTEnw4Hrd7jzpSUkEJPhyPFzvk +zi+9RQk+HKzP/iudpbcpwYfj8W6lRScu3aEEH47HM0/MX1JWSQk+HA997ay4 +7C4l+HDQB14fLLiMKcGH4/H8VZ2byqsowYeD/Zd3UeFKNSX4cDzOU/vaduUe +JfhwPN6qWJp0tYYSfDg4b4+7HK/VUoIPB/9/xLGp1+sowYeD9Qq90H39PiX4 +cNAfiGWlNx5Qgg8H+bdnbfDNekrw4SDffr22rGigBB8O5mtPp8Kth5Tgw/F4 +0bH17K1HlODD8fjRjBU1txspwYeDvBi6ln7nMSX4cDyWc04PqGyiBB8O8vrE +b/u7TyjBh+Px3dZnuriZEnw4HlMRsyZUPaUEHw7yq/n776oWSvDh4PuMM3lf +/YwSfDjoL3EjGu89pwQfjsfHYs1u1rRSgg8H59PhX0FtGyX4cDAvtRam1LVT +gg8H/W9r7/H7HZTgw8HzmyhGPXhBCT4cj/+MfBhc/5ISfDjIR8nv/g2vKMGH +g/4Wmun38DUl+HA83lFZ4/eokxJ8OB5/Y7YHNL6hBB+Ox+UVx0Iev6UEHw7O +g8zcg01dlODD8VjXxCb2yTtK8OF47HCxN7X5PSX4cDzenkwUPf1ACT4cj6ut +im61dFOCD8fjh1R907P/KMGH4/FPDc//nn+kBB+Ox81fDg23fqIEH47HbMqs +ye2fKcGHg/UJs1rU0UMJPhyP0z/0Ob74Qgk+HI+Lx40NfdlLCT4cjwfXV+S8 +6qMEH47HPr/fN7xmKcGHg3m0LO5bJ0cJPhzMywN3p7/lKcGHgz7xe7dtl5gS +fDge//5VcPBdPyX4cDz+0uB68/1XSvDhYL9czeI/DFCCD8fjx9JuGv99owQf +Ds679PmtH79Tgg8HeTfsl/fpByX4cJAXRnX/ff5JCT4cj/cTGRpfBinBh4N8 +Lx/07f1FCT4cj+3DX93s+00JPhw8T8UyaW6IEnw4mPeX9Oz4P5Tgw/F47IUL +58R/KcGHg37zo+h7/zAl+HA87nutZT3wjxJ8ODg/RUtyv0nQgg8H8+38s7/f +JWnBh+PxSpWvTj+laMGH43HwttRbgyNowYeDz6tsUPwtTQs+HPTVvQcPDI2k +BR+Ox7Yt9z//kaEFH47HMuqJdsOjaMGHg3zCvXf/ydKCD8dj/OGxpiRBCz4c +jxe8W5wtRdKCDwf9coTuOGmKFnw4HvsnXT86kqYFHw7W72Od1CiGFnw4Hm82 +dguXHU0LPhzkQ++RP6IxtODD8djJYEkYKUcLPhz8fF+4BD2WFnw4Hr/+bHOI +GUcLPhyP6Q/nmTHytODDQR5eSkiVG08LPhx8Xhoxa9wEWvDheBwtHnNDfiIt ++HA83jucbzVhEi34cDxuG/PwzUQFWvDheLxzX1iAwmRa8OFgvQKqxkyZQgs+ +3P/68ukSRUVa8OHgPB36YaM0lRZ8ODjvWl84ZSVa8OFgfhfvOj1dmRZ8OOgr +5tGLVabRgg8H923rOd2q02nBh4M+RW6JV5tBCz4cj0e3zDNRV6EFHw7Wlz0u +1lClBR8O8qgoJG/OTFrw4aD/Ogw7aarRgg8H59tYXl5rFi34cDyOKK98oq1O +Cz4crN/w71gdDVrw4WCe7W5cpTebFnw4OE/rdMYumEMLPhyPjw7P7Vg4lxZ8 +OMjL63fOGmjSgg8H/abxnbfhPFrw4eD7nMwwWKJFCz4cjy9ZsyITbVrw4aAP +2j5/jebTgg8H+cjalpvq0IIPB/vRd9uxZbq04MPBeZk5dquFHi34cDzetsnB +1FKfFnw4Hmtv156xYgEt+HBw3zyVK22zkBZ8OOivsy/1rFxECz4c5E2E/dPV +BrTgw0FffB9/23YxLfhwcH/J9jxvb0gLPhyPL4zqSHYwogUfDvqT1/uj65fQ +gg/HY6txh8M3GNOCD8djN4f7/htNaMGH4/Gd8LO+LogWfDgeV74Z77N5KS34 +cDzuqFXzdjOlBR+Ox0mRz3w8zGjBh+PxSTfFPVuX0YIPB/eZG5JBnua04MNB +Xj49eMDLghZ8OLj/SeTGei+nBR+Ox5MSN2fstKQFH47Haa8qSnytaMGHg7ya +VV69ZwUt+HAwTx9YdvhZ04IPB3lAhff729CCD8fjc+Z2dNBKWvDheDy5tm5O +8Cpa8OEgL9s7bEJX04IPB8//9Pju8DW04MPB80q+TYq0pQUfDvrLzRYcZUcL +PhyP45d79kbb04IPx+MTwxkKh9fSgg/H48J5+1YcdaAFHw7mgzobdnwdLfhw +MB+XyF6NXU8LPhzMn7t1fXGOtODDwd//mamesIEWfDiYD5Ya2xKdaMGH47HF +tycFSRtpwYfjccCayV9SnGnBh4P9Wiqrne5CCz4cvA/X9KDMTZC/XyaOoSTE +WPVGS032ZhrNTs7t3Qe8V/qS3DlXyGMzrQedwH9Ttd3z3GhEiW+ds5AU44iG +9dcK3CGfMyzCLwHXdauSRR404ixbNkySEuNLS1I8LmyBvP7uon8AWEut/G7J +Vho15/SM+QJsJd6rWLYN8nuVf5/dCDGW516GlnvSqPy3RP1t4Ft7vry9uh3y +vPBErqq0GO9/nGN+w4tGifaTImKBs+wlSyt2QL5L5Dn9AI5dJ1K4402jgIta +CzaPFOMK9VuH7vpA3jvelqsHvqAo/7NqJ40cRy5ntWXgeaIn7qjZRaOHl1vq +U4E3Xq19W+cL53PTpjypUWJ86O8Ux/rdMA+ILxHewGuuTH32cA+c1xv+G58D +n1V/uObxXpgPHpILl8iK8a6y6U+f+NHo3+jYsQXAdZmqa1v2wby4M4ljRGJc +Y/bsxXN/Gr3bntcQCJzxXdO9PQDmx3jt/C7gUzL67ItAGtVU3460IuD7NXwO +fh0E82TXcudyYMvTVuTb/TTKn/xs4RRSjMXX1me9C4b58mDTuGjgwzHj9LpD +aHTY7wvXB1zmENb4MRTmjXLAQwdKjGcEn/HsCaORV6NkwV3gQgvnkX3hMH+C +Yg/MosV46uiWfC6CRtYzFVzigf1n/bTsj4R59DRv0S/gyx8ecQMHaKQZri3v +zohxT/Ka5B9RMJ9m3+EfAlumxJj+Ogj50b78ke5oMaYMvMVD0TCvDj4ryACO +aZLMGY6BPNHaHDVyjBhPLLFaK3kY5tfrLy67gA01zAjpIzR6fiTAoB04NJm7 +J3MU5pm+1HgkJ8ZBNjbhomM0uv4uVnwe+H2GsxF1HObbSYVGubGwHzuV/zIn +aJSyOL8wGFjdI6lKLhbm3Sftgx+AH0VVHZI/SaPg03c22YwT422nslZPjIP5 +Z2K5+Brw6G7tKZPjaeTc92y8krwY9z0J7FE8BfMwZXP/IeBFxX63lBNoZGze +28gDm9arnpxxGuZjf8B5x/Hw/iOOb5mZSKNpWVLR1cBaM88vUT8D83LFyc2z +J4jx2NEhCnOSaCT1U8HwNHB5gvSgZjLMz9z8CX+A7400f6GdAvm2ev7XLRPF +eNRdo0rdVJinf+48fgzsJNeXuyAN8u68ZdGCSfD+HOxjDdJhvjo8j84G5rv2 +7jfKoFGRpKurrAK8D5H1dpNMmLelvYZ7gF+ovt5gmkWj406BE18Cdx1VW2We +DfNXZsSA6WQxLjk529zyLI12XjnZdAE47+hnY+scmMebJxfLTxFj46euhqvO +0Wg1VRATBix75/Ri21yYzzfnu30Ezj17wGhtHo3mb600Wq0I+7119tL1+TCv +5awm3QSeXx5n6VRAo3F3nw9Mmwrv40SZnUshzO8drk+OAkfdid3sep5G3yf0 +FX8Fdk6Z5etRBPO8JvDQRiUx9gsMP7CtGPqj7wj3WuBvZQlJXhdgvivGLdFU +hv2dsaXU5yLkb/1khSTgtfHf6n1LYN77F3wbBv7Wbfpxbynk8XSdZs9pYnyw +f7VMwCWY/02VF5qBE2lFjf1lNAoPtjpsMF2Mn8QXrgq9DH1ArdX9HHB/y9eA +iHIauT5zNSZniHHjnOGcqCvQDyL6FPYBmw80PIm5SiPTuUHfXwM7HFgncfQa +9IWOEU/NVcSYnpene+I6jVRj4i6WAsfZXvGKuwH9Yf6UIxNVxXjcsuhzCTdp +JPOmwCMS+KPLxDdnKqBPHNMx6QHe8dNHMfUWjT4vuDvZbib8vleMS8Zt6Bcf +rH7cAt5JuuVk34E8i2t9qqIG52uhxOdzlZBnRm4lJ4DvL/OYX3AX8uxz35Hv +wKaxx8KKMOTZmaAtm2aJsZdXQOPFKpgPS6XRA2BNnVlKZdXQR7i4KdrqYpyM +MvdeuUejPWlTfqYA32M7Gq7XQD+xKGyR1ID9lPBS5VYtjewHdEp3AC86ei6y +sg76Svbdo8+AVWfP76q6TyN9mxVbjWZD3j85ZFr7APrLYCvKB457mlv4oJ5G +E/PdFJk5Yjzv+JHRjxqgz9iyPwOAcxz0g5se0ujX36Bnb4EvHiv+9PQR9Jti +6UuWc8V4dvTn9a2NNHq1Lv7YZWDZDP5hx2PoOyMUt03WFGObuVXodRONKi8V +Lj0IzMQ5Vbx9Av3HWXdqH3C4Etb70EyjbFk8uHaeGL/R48o/PoU8u7bieSXw +kbmfdb+0QJ65tV1S0xLj3s0lN9hnkGe0+/E44GMaxsb9z2m05Ra7bRBYojvt +wbdW6Evb9pu6aYvxr54a+8E2GlmMG6n0ENgwqeL9UDv0Jxz/S2e+GGebhe77 +10EjdR/F1nRg+ZWUaMRL6FOTzpdJ68DP6R1nZV7RiKjTPbET2KnrzGLiNfSr +PdizDdh/Wnw73UmjvqnWZia6YqyvsSFQ7g30rYdtSueBvzv0K4x/S6OmAPff +Y/TEWE1qA57UBf1LhWvdD7z6aLyn4jsalT3Zf/k9MLk8Zey099DHQkfGWutD +nifvrlL5QKME9VPbrwJPblXYM6sb+lmr4rKpC2A/uiSqzvmPRvsOnFc+BPzl +WNfLeR8hzzT1hjjgwlvDp3U+QZ69xG3rF4qxtyW/esFnyLND1uVVwDviy5nF +PTQy0G2P1VgEecZZNi/5An3urbtXAvDGqvLEpb00mnKCWzYEPNNNvNG8D/rd +ouBpWwzE+KWmlJoVS6O/3SP/NAJPPfj5qw0Hfe/UqXb9xfD5lbn31vA0ertk +6pUsYKvFemfWiqH/fTl/cpQhnDeHtB2O/TSqTtLbsRs4ZGebqfNX6INmVeYv +gBXefJ7qOkCjXN56uqkRzD/pliGPb9APM9r/FANrmCS89vxOoxhLj45xS2D/ +ftGo8v4BffEbdyUU2MMjtcD3J408c4Lj/gO2kn0X5zcI/XGljPcqYzEOXCAZ +GviLRla/T1ncAM60/r0j5DfkWcHUGdNMYH/mNzpHDEGe2Rf9PQL8MyfI9uAf +yLN/ei/6gQezhi0P/4U8u1h11QmJ8dHfm8yOD0PfdLSJrwF+ODYNxf2jkVi6 +w3vuUlhf8zJ0WoJBbpc9lp8BNv+aY5YsyaAWF37GMPC8AF+rdCkGmREhw9tM +xVhFW8EuewSDrl6XefkE+Hp4tkuuNINmeiRcW2QmxserpHwKRzIoabTSqRxg +t7VmYRdkGDTqTpEPsQz2T6rbqUujGBS0Xd/SDziIdTl/RZZBPfLVKq+BC8oW +3bshYpBTtc2/ZebQH5d+fXObYNCjnR0vS4Br/h0bxiSDjCZvuT7BAtZ7xYjp +tRSDSu7zpyKATSI3WtTTDFLyC9n5GThYMnFXI8OgOOVRVrbLxXiLZklq82gG +STQmqN4CzvUofPB8DIP2BClJqFjCeow4ONghx6D3qsWvjgMr7EJzO8cyaO1T +/RvfgAmpLvd34xhUF1ad4GIF30fZPeM/eQYtmL1y131gx1kPOnrGM6iwrcNK +awWc96DRk7gJDJp0cMvMFODefYZOXycy6KiWWELSGvIixDr7xyQG/X4V8toL +2Jo1+fRbgUHeR0bdbAGumK2g828yg17rnT5taAP7I7E9YoQig1a+U/LNAzY5 +HtI8aiqD7sYWr6BXivEH35GqlBKDtBYvUAsAXpnrHzxGmUFnP1ZLvgUOPdT4 +TH4ag+ROr+xcvgo+P5jQVpjOoCiTFzfLgAu7tOKmzmDQQO+WRIXV8PyTl/RP +V2HQlhSxbxTw0iM669RUGdS6LNS6FzjpxJi7s2cyyKJ/1Ky1a+D9HWlX11Jj +0I3M01KVwJPeHE7SncUgjRXKb2bainERN33UInUGpf0orjgJrKNYEGykwSAy +d8GZn8BZ9fL9aDaDQlff2+1q97+82rXDfA6D2KGVNg3A9mpXPlrNZdCm8y9m +6dhD/03/sHWVJoOerN06Ih14ooLEZ7t5DEKS/W9GrIW+ISHauV6LQZdLQm/5 +AFdcGf62UZtBM5xkk1qBLZLeRbjOZ9BpmcQ9xg7Qn4lyeqsOg6SvKK8sBEZ+ +u7O8dBnkv/mC+ph1YmynO1Vnlx6DPpILpfcDXy65Wb9Xn0Hrb957+w54l7mZ +e+ACBtVvWXV7xXoxLnWpHA5ZyCADuZdJV4CvbJiVFbmIQcWVW/cqOopxS8YB +FGPAoCk7+lfGAIcda/zv6GIGnZgQpsEBGx2SPXnSkEHD92RHrt8AfX5A3+C0 +EYN8fRO7MHDCAodPyUsY1DVl2h11JzG+Vr4tJcOYQbb1F5JPAUs17rDJMWHQ +vX0L/X4Dh390G1GAGKQ7vWaVx0YxNttmU1m8lEF5j1fNbgQ+dGl28CVTBo0P +fjlS3xn6kNpfg6tmDDqktu1dJvAzrdo/N5cx6GdL/x0ZFzGu1oq8V2nOoO0R +YSm+wD+OzT9+z4JBL+aI9nUATy/oWPdgOYNWdCSuXroJ5slH/5mNlgy6HT1t +TjFw0iXRz2YrBs2df1Fm3GYxrvRMfNS6gkGZnQvfhwCf9puQ+9KaQcyxmspu +YItF8WFvbRgUsWB16kpX2J9TpDZ2r2SQ+P3LfdeBdx7wMexZBXkWt22Nshu8 +7+YnStxqBj0z/DrnCHDsrrkjB9YwaNnnsFH9wIlVUdxPWwZdSxR92OAO96+5 +z17+sWOQ2tIzd+8Bu05Seii5lkHJ7LS0OR7wfD0ed2QcGCSbdtE/EXjLqPzL +5DoG7bdYZPsXuLntXdGY9Qz68rVm7rYt8D7vTs4f78igjdmrZZ8A16quyZ28 +gUGN1q8+LNwqxoq7o/KUnRi0ZHAbPgs8dlT5edWNDCrN+5om2gZ9Zc3bSxrO +DFK2DQ/YC3yinLg1z4VB8X9Fdq+ALybqPdDdxCDJ4jOayzzhPuri0r5oM4P2 +rpsuKgEO2BfzZYkrgz5IlXSP3w59FJVImLlBnl1aVBUOHK7eqmDpDnm2sTb9 +E7BN4l/9lR6QZ7JrAtd4ibFur5qD3RYGnb/6yq4C+F6ibeD6rQxScPOcN2MH +9LHBsAznbQw6Rg+IjgOfCr9Y6+bJoKGK8P8GgH1PdvLbtjPIZxtR7ewtxtrx +cko+XgzqHJuUUQf8X6/l6j07GLQKTw+a5yPGN0TRBwO8GYS9S+yTgb/Y1twK +8WGQ9iQDLYmdYtw5d9T3yJ0MyqmtJbyAR41Yo3NoF4PG7lnz8Snwb6Osvcd9 +GXRw6uvqxbvg/Zh9vRa/m0HfGjwzc4HJCJs/Z/YwaGvAQBDlC/3escQ8fS+D +2mZErPUHLjEYn3DWj0HLnxDab4BvHYt+l7+PQTdDksjlu6EvXRvSveAPeaY+ +49MlYMMZIUfLAiDPnpfcm7RHjDcbjnh/LRDy7IBB1gHgMTsSl9wOgjzTrNv/ +BfjzRK2Mqv0M4l6scbDfC/O99NnfumAGbT70WvsO8N/kKPdHIQxq1tlOzfSD ++8YSo4fNoQxa+nbgUyxwBCGp3xbGoPLjETU/gOs8n557Fc4glUVk9uZ98Pe4 +ZNy7CAYldicF1wOHhiUd/hjJoJGnZqyb7w95RZwY7j3AoIAlpfPTgNVaTgb1 +RzHoU48BPSJAjA8YZ3//cZBBjkl1n73/x7cq/f9EM6jB1Lb2OfCIy18GJQ8x +aDH/OntJoBgPnVCLGHWYQRfSt4cUAAdX7JGljzBI0fLbutFBkH85jYljjzIo +9luEThBwZ9lC1UnHIM/Oksw74B12V65PPQ55tjK5x2o/5Eu/iY3KCcizXzPq +yoHLfnd2q8dCnhWUnp0SDPnWERs57ySDauwWh0YDX/m5WlkvjkF6/+rWs8Cn +a1SrDeIZlH/BVnddCNwfz1PbTE4xaIJjJ4OBtcfKMuYJDDos7fVlVijs950T +K1acZtBg2be6eOBRaoaeaxIZ5OUSmfMLuP2836R1Zxj0UkSFuYfBfHavbtyY +xCDr68mOj4AZrBrtlsygO+4qenrh8H7nZBl7pjBIc/Sl0ZnAt8bP++OTyqCs +24t7R0bAfbPn+Z29aQwavf3+/V3ALvKnI4PSGRQpb3euHThihNfy8AwG9Vd1 +hqFIMV6sul4uOpNB7ju9NhQBD31weXM0C/JM4bve2APw/cvCSuOyIc/uR44J +AX724/qBM2chz/ZSfR+AbWcSjuk5kGfKKQ9souA+XxQ4P+ccg1IeqeReA67r +/0cX5jJIFHQpXOkg3Me9c9mLeQwKVjV0Ogz8Mca9uTyfQb3N9/XFwNtKl1y/ +WcAg5zA7uQ3RYnzVXj/7biGDHmu86asGduy2Ol57nkHGbV71s2PEeKApJPhh +EYMuRX3PPQ1sEfXIp7mYQdO0DkT8AdbcaeD+fy3ad1yO7RvHcXu7r6FsEZFk +z6xctuyRyIps2bKyFZWdVZmlrEgom+fI3qWSLaGSkPu6UpHw+/5e5/Hn58Xj +vu9rnOdxPq/3sxMmLeB1hdGT12P//3t71JuTJq2YX1DbOHTU4XkuHyJN2oLW +Nmo7X+x3CZ2GZ54yaWmpUd8OonfotiOyo0za8M0d75fxw7y/sNWYH6dN2p32 +d8PnoS88dJtUcMaktcsYuvoVusTkyDlForGebU8Z3d0fv/90zZWlYrCedZnR +7iT6udWprRXOYT37kqtabjBT69ru4ep5rGeBa7JXoA3r9lerXjBps3pUfJCB +7hXY6rnVRZOWYg46PGgj7t/3Ibk2l0zaoP02ay6iy+3dWdn+skmLdTo9xnqT +mTzLFXZoccWktcjr6LAB7X7Kz73dVZN26NDdSjnoPfkdNne+ZtIqDRr2ffRm +vM8ula50/8+k+fxOeXALvbGz+tWJTFru0RlHmmzBelPToc7gWJM2xTlvzW70 +2UnrRrhcN2nPi6wd+w/9xrcgYMwNk9YnsmL7aVvNNDg/MN79pkm75BpskYAO +sRknT79l0hqVqm9uvw3v75oBznNum7S9Z08/PIQ+uWrK3oV3TFoFt05Hywfg ++gYcT19216StKH9vrSf6mW2VVmvvYT27MGzcW3ThuShvv/tYzya9a99rO/bD +yAXPtzzAeiZ7WEahY9ZMbLrroUnrdi3PXGUHzm/hq/z2PjJp0dPXPlqNJt97 +6aGPTZpNZdOxz+iOBx17HYszabtuBHsP3WmmuWM/HD8Vb9JKzanvdgXt3CZG +PvfEpC2ucaaDzS7M28ExXlcSTFrm3U6VN6MD/n78dD3RpLl63tNz0TOedRt5 +L8mkPajj/HjcbtzPnQkP456atI6P3x27i46J3NYtOdmknVzq4dM8EOfrnauv +vn5m0mo1yHcLRkdcDW3/4blJ25K4tmOxIMzPe35cynxh0v6tNFXxQP8LXdr5 ++0uTNtd+j5GEtu/d4lbuK5P2/nn9uE7BZhr4t8bAwtcmbajPmeOH0fc6dnhd +7C3Ws+ad15n2mMnSc5NH2RSsZ2/vjV+MTqpR6Z/0DuuZv3OnVPSVo3G7Kqea +tCptU6s47cW8voma1Xpv0vw+eOScQW/pkvGo3geT9mtLflz1fZhn23Sf1eij +SZvR0TvCG3334zO5RZpJe/3JtP4rOpRCL7RLN2n9d+6ZMHw/zouO4RMcM0za +Na1B5//Q0rMUU89PJq3ptzNVbQ+YKe33EOqXadIOBnf+sRX9ov7f+UM/mzS5 +1/34n//v+x/tXLNM2hrD+cSEg7i+Y4unuX0xacaB1PUP0ENHjg2d8tWkTew3 +071ViJl6NDMmzPpm0p7m53feh27peqO+Z7ZJ6xnuXa1kqJkO94/76vXdpJ0f +LOXOQvdZXfnCGrNJs/2z58kz9IcZe338dKxnxxuc7HLITKO9xw7famA9cznr +ewx9WRtrtzsH61kxx4lKGPa76nuL7P+B9ezUfUcv9Mf1VV6H5Zq0saOHV/+I +dv2beDEiz6TFlX6f2y8c7+/Px8Fn8k1al5iZCTHoA1/KrLz406SdHv/zZK3D +WC8G+0ymXybNuqKP33p08EFt8J0Ck7b9kjTpOzpzkKPj498mrfiUvV1GHkG/ +XNHsaaFJ81Rta1xHvzn3r97rPyYt/b+zeXZHzWQ75laND39NmouHY+J2dP+e +jyp//mfS7lZ5EPkbnf25iqW5iKQ53BruP+mYmRJuHK2cX1TSjs99P+kx+nmf +5TX+FpO06rVmaW2Pm6lk7q56JUtI2sb7P2scRC/XcptWKClphQt98ktHmGlt ++J7OlUpJ2qy6ctJctNee9YOql5a0lLi9p16iP+29NMm6jKQNWma7odsJvH91 +W69oWFbSYm2jJ59AvziaF9SsnKS1fOrY1eIkPu9I0Ytty0ta2OoHNVeg/+4e +/qpzBUmzaOLyMx396Yfxr0dFSVv38n3SwEhc3w6vGvY3SVreullRF9CPUssP +HyZJ2tSWvzbUOYX1e6KfzyhZ0l6k+EzxR3t2HnxhgiJpThvlbgb6UebEb9NU +Sbvcbl+t0VFmOpF6s8HcSpJmn2b76ya6RsjciYstJG3ftuinjU+byenQjLCV +lpJWsXOX07vQ3u5nM9ZVlrSVnx9s/It2GNOnyeYqkvZ9l8vUqWcw75drsnhn +VUkb3+1Dtyfo2Vnjb+2tJmkJ2bOs2p8104JFaRZh1SWt295fv0LR+Y0uTouo +IWnRvdcll4vGfODzhs7UlDSbH/KZBejvf4ZUv1RL0naF7Nv0Bm22qLYk1krS +Sg9oOK1nDN6/ni1f3q0taUt+RXc/hXapcKBzfB1J+3y4S+0q5zBPZow5/Mxa +0kYNfViwCr1z0Bw5pa6kPfzr8iwT/eF28sr0epLW6cSHM0POm6n6nW3mrzaS +Fjli9ubL6BWPQyb/qC9pViUKptW7gPnctVTK7waStvX0uh6b0As/XXct3lDS +ioxV6uSiHXKTXpSzk7R5Zff/HnsR+1uRzqPVRpL24VzD53fQ7Xz/pFazl7Rh +7jFnm13C+at05RnWjSUNW8qWIPSgf+vzGjaRtDZXHk4vetlMzb4NXt+8qaQd +mTqi5wz0mkGe1RyaSVoVi491ktCvw/WoLs0lzS92dmHHK2b64XHfqXcLSfs1 +s+B5OPpIvcKMgS0lbUa19dEVr+J69t3g69JK0t7cVrYuQmeP97Qf11rSBszf +P+MdOi3tcuLkNpL2n5Vdrz7XzNTGZdyKWW0lrdnDGOsz6Gmt3ewXtpO0kMXa +n2r/YX34d+3NcgdJU2wevViL7th2WYBPe0lb+2REzBf0btdAp00dJC1n+cet +zoT16LNacmdHSZtkN8fjGnrd5OybeztJWnJyQa8GsVi/BjZaH9ZZ0nqtXV93 +K7pu/bv9TjhK2oWm6t98dPD02xbRXSSt4ev9L8dfN9P9ffXfX9YkLdjX7tx9 +dGCXzNM3ukpaudbntrW8YaYySRV9HnSTtGWp2sy96F4vdo9K7C5pXzc96l3i +Js4TT7xbv+ohaWPbj6w3C72yc7LyoaekxaV//JuMXhGy0fjcS9K6bJ/zyvEW +5oFlR5/pvSXtjOPvc0fRCYPs/vvVR9LqflkfIN/G+3tQOV60r6TtCFRnLUX/ +/DY+sGw/SSvR40CfD+iSV6v4K/0lbaHZzqbfHZyvN7VdWW2ApGXsO/cvGl3r +w7VF1gMlbYRT19c17+I81uXUfLtBknYv99H5deh2tmXmtxgsae0Pjdyejd5S +85Fn+yGSFjEwbdaIe2byOVTg1XWopNX4PccpFu3aaaeP0zBJ23T0t43dfTPN +mxUcMMRZ0v4M8y2yHe18qdwh1+GSNrtIpTcF6KiwzHMTXCTt3ckDFyY+wDx8 +utXj6SMkbbBrox2P0M0mfv00b6SkXS95fnabh2aaoFUq5eWK9exs174H0LaP +jtmuHYX1bNzj+qUfmSl1Q2T/DaOxnpV3LToXbVG07sLtYyRt/YW0Ny/Qs6JL +he4ZK2n5E+de7PoY+0+DMU8OjZO0aXLhjgj093d1i59wk7SXV33nVIrD8zZp +dPvo8ZLWd3qlfsvR+xxKLbgyQdKuWB5skI4++6He6Zvuktb4RqNiA+NxHvwU +Y344UdL2zz7/9jy6RNyl1k8nSZqpRrdLtZ+Y6Vr1VsvfTJa0VXcf7/RDK87W +d9OmSJp5getcHV2zyDrLb1MlbUKd9H6jEsxkdXjc1Nxpkpb4aK7tTfSK+6eu +/pkuad2XFhZrnGimWzkLLUt5SFpMfb+UneiwiJh5ppmSVj+x0uU/6O+eHgmV +Z0na7pUHd01JwvP+ck/r2rOxntnbz4tHf3Trvtd2Dtaz5+f7OzzF998yuWTz +uVjPvLs1DEV3yC66wGGepI1uHle8XDLWi5LV0rT5kvbojeu7+ejM0SdGOi2Q +tM7+6Zdfoz8svfRkiKeknWozb3ePZ2Zy/NS9/6iFklb7Q+G8SLRt434P3RdJ +2rYtfgMqPzfT5yfxAzwWS1rRjhZ2q9C/xyYmLVgiafM/HSyRic4fPWzs8qWS +9nGHfergF3h/hg3L8vGSNGftwpVL6OTHiV6bl0na7a/dAuu+xDw8LNG0e7mk +tQ2Om78R7TJ76JEDKyTtaM9RA3+g7Y8O63p0paRVNdLtxr7C+df32buoVZLm +f2BeyTton+DXay6ulrSCvn9Sm77Gfjxlou31NZLmke93NRDtt2T2k/trsZ6F +WQQVeYPr7/pneaI31rPBIQumo+fvlJu89sF6Vmg/KBH96+yx1I/rsJ4dv9Co +41tcry53Ar+ul7TQ4d1LhaNzb08fmusraWqx+PcVUvA+x++Q//pJmvepUdcW +okt97pFYaoOk/RiVEZSCdti3IlDaKGmTS8/37P0O5z9Px/FVN0nas+g/g06j +N/z1bWy9WdJ6j/e3r5aK73drVKHdFkm7WMGy9Fr02GEX4ltulTS7SyEfstDd +2x440nGbpO2Z3Pi/Ye+xPvyS1vQIkLTy6sXgq+ildhXdBmyXtOX/dV9Y/wP2 +16G7NZcdkvZtRvzgLehfRU7Wd9spaeOqjG6cj46/PtA0bZekxd/MKD3+o5ke +W68qmLtb0rS58z/eQ/+M7Jy1NBDrWc2//7VIw/XN2fB2bRDWs/v+e/agT62a ++nRjMNazhZaLiqdj/khNitu5B+tZ3dAhM9FtDj15tH+vpC2Ka9wkGV04bFzc +kX2S9snrYhnHDKwv3iuSovZL2kjbHmlH0KP+a/rm4gFJu58UT9InMw3bMT/z ++kFJ67B69N4l6MhtTj8fhEjaicafFr1H/+sRU/5pqKTVfDl/aN9MM5XuEl33 +7SFJ27zub5NodGTF3p0zwiTtb4sNZWt+NlNRbe7o7+GSNifFMt0H3WlysxU/ +D0ta6obQ2G/o/yqsO1T0qKQNaddkn0uWmSrdmfew3DFJu/Hx4mJCf6uTl1/p +uKS12tZjWMMvZmoYqTasFSFp4Z2eNA1APyi4O7rBCUmz/Dy6XAG66J7KO5qd +xHq261O6+1cz1avx77FDJNazrguuP0RHm9ZW6HYK61n2332tv2E9LhkysF8U +1rM9G5bsR2dudd3pfFrS+vWu7Fwq20zjukS9HXtG0q7mhDabg34XHtpo6llJ +axLSpPwL9LUhLZbNjZa0A/0vZWjfMY88Hxe/NEbSpF89bhxHB91tZOt9TtJW +H36yXzXjeY3ZtXbTeUnTh4xZugx9qmnI+10XJM397yfnNPSZ+317HLwoaUkR +C5oP0M00ssruiGOXJK3HiH/lz6OrRKy1OHtZ0s4V3/jJysB+Y6GsvXJF0hqc +rnzT1/j//5/pmnPrqqQFjjl0wIzOumI5Le6apJUp29TLNcdMKVabU5//J2lL +z10afgN97PCxMe9J0rIm9Gxh/8NMFz9Oe5MVi/XMlFBhJ/rEzDi3H9exnl0e +k1mI7vX0ZcafG1jPpmbenJxrpmWnNs4rfQvrWSXPg3HoyVvT/sm3Ja1O7D+v +dnlm6ls+PaD6HUkLmLnRJQS97/xmW5u7klasWpWWZfOxftV/F9vknqQtuH2o +4ny0dfbLse3uS1ravKafX6Erhq/8qz2QtOFWl291/4nzwZvHh/o+lLQ7D3qG +nETfa3Kvr/MjSWu3OGGZ5S+czxrPzhv7WNKO1Rs7YiXacnbs4alxklbtSWbL +T+iXfjRyXrykbVjuaRpcgHlInSkteyJpvxsWybqI9gi9c98nQdJmJm+8bf3b +TOEP4323JEra2zVVQjegL9v6OQUlSdrApmHLc9BD+ptNh55KGr1qOnJMIeaB +J2VenEiWtOa+l1vdRk/rmxh+7hnWs1a9pKZ/zFR+6ICF9BzrWWpC1m70/JVe +TvdfYD3bNPbOP7TV1FHWSS8lLdfhc+i0v/i8sG+Fb15J2pR0zxUJ6JqPWr/J +eC1pzwOKuHb4h/ltSXMyv5G0Po6bWoeh52jvDxe8ldjD6fT1au9tJd5J7OF0 +clo/aaUpVWIPp1OHNg5zq76X2MPpdMj95uS6HyT2cDptPVrKrfFHiT2cTp33 +FxvTNk1iD6dTpbcXxmjpEns4nY4WsZ3QN0NiD6fTldDB050/SezhdHIc1Wbh +uEyJPZxO/W4l+0z7LLGH06mJV8ug+VkSezidXCv3j1r+RWIPp5Nnq3oP1n+V +2MPpNGjmxcxt3yT2cDp9Hl2h/N5siT2cTrP3125x+LvEHk4nh0fGqCizxB5O +pzjv9X6XdIk9nE4Zbq8v3TQk9nA6TSzUsx/nSOzhdBqR+8D2xQ+JPZxORT5N +mfQhV2IPp9PDWXfCv+ZJ7OF0cm6QlZmXL7GH02lSZGLzor8k9nA65Rxcvbx8 +gcQeTqeGe388tPwtsYfD53VqVrtOocQeTqdi1i0WNfojsYfT6U1GwZPWfyX2 +cDrdtt/crMs/iT0cfk+vH/dsisjs4XQKSi5VeBwtPJxOTQP+Nm9WVGYPp1Pe +vddTY9DCw+nk8uhASPtiMns4nR5k9X79H1p4OJ0yFzyv0qO4zB5Op9EXBrjc +RwsPp9OnEid2Dywhs4fTadrJ7y+S0MLD6ZTwp0Yt15Iyezh8H6fmE1PQwsPp +FJrS+MTEUjJ7OFw/k5qbiRYeTqdHDVO12aVl9nA6dVsZuCUHLTycTn3dHFKW +lJHZw+m0oubNZn/RwsPh+S7l4O1dVmYPh78/O/BFmXIyezidtoe/b7YFLTyc +ThMKKvtXKi+zh8Pnx7ZLC0ILD6fTnz49ulpVkNnD4f1K6xQShhYeTqe3H62L +2lWU2cPpNCY4Z+IptPBwOvVwOXuvlUlmD6dTx5njml1CCw+n09/GPwMdJZk9 +nE6Ts1YVu4UWHk6ncXm5s51kmT2cTsahUW/j0MLD6aR1OzXAWZHZw+nk3UCn +l2jh4XQqf6heazdVZg+nU8/SvSLS0MLD6RR9bGTdGZVk9nB4fl+M3vcdLTyc +ThezBlVdaCGzh9MpqXmb3QVo4eF0svtbvvJqS5k9nE5XDz8NLFlZZg+nU7jX +1hob0cLD6WT5pFOoXEVmD6fTqF9vGu5GCw+n0wunuWdrVJXZw+lksszvHIoW +Hg7379S8hw2qyezh8O/NSB11Ei08nE7ue7t/bVFdZg+n09jde1ddQAsPp1PR +qEyLzjVk9nA6TW1vf/IGWng4na75T+zZp6bMHk6ngT8CUh+jhYfTafnj8yuG +1ZLZw+H9nJ5Y8yVaeDidYmulXxtnJbOH06mm4/fxaWjh4XQ6XFsvOaO2zB4O +62+VLye/o4WH0+nj0rfDF9aR2cPpFLXvXpHfaOHhcP2fnoxcbS2zh9Npz+IN +Y0rVldnD6eTxakLFTWjh4fD9hraKVerJ7OF0zL//PAPRwsNh/Xh1276Wjcwe +Tqedu3zTDqGFh9OpzrUeBxvWl9nDYb0/+mf0KbTwcDpViDhbvXUDmT2cTjdq +THp9CS08nE5nh6oHutjK7OHwPh6+5n4bLTycTsqMKXb9Gsrs4XQ6ll/BeIIW +Hk6nx+FnrrrYyezhsH7ec/Z/gxYeTqd2l/JGuDeS2cPh/sQFNsxECw+nU383 +h9+z7GX2cDpl3XgRn4MWHg7P/+ClR5Y2ltnD4feOr77qH1p4OJ1u9bnquq6J +zB4Oz4O7W9vyTWX2cDr5lihhGYAWHg77g29EbuVmMns4nWK6DXuxDy08nE43 +t/y9Wre5zB5Opws3T4YdQwsPp9P79uM2NW0hs4fD/XeqtDgGLTycTsf7PZrY +oaXMHk6nBUH+Q2PRwsNh/fLr171XK5k9nE7bFqptH6GFh8P3uZDSaGhrmT0c +9utjp61foIWHw/oR4ld9XBuZPZxOR35NsUxDCw+nU9WK/dUZbWX2cFjvWjso +ZrTwcDpVvG+vLmons4fDfmVja1mIFh4O60Noo+prHWT2cDqtCm5rXaa9zB5O +p05T+jXaghYeTicr9+ltLDrI7OGw33za1m0PWng4nVYOvjGkTkeZPRzW4/w/ +7kfQwsPpVNuhx6LGnWT2cDoVeOzaeBYtPBz2l2z9kENnmT0c9rdqo67+hxYe +TqcfTnHPezjK7OGw/rwcmPsALTycTqVs3lgM6SKzh8Pz7rOo7XO08HBYn0ZY +jRqryezhdLqfl7TqI1p4ODw/FHh0eleZPZxONhVnJHxHCw+Hz+vY78/CbjJ7 +OJ3eHeloX4gWHk6n9ic6jl7bXWYPh+sd3m9zmR4yezg8r2aP61vQwsNhff+8 +L9+ip8weTqfF2SnN9qKFh9Np96iWM6x7yezhML9tDDxyFC08nE4t35rSm/SW +2cNhHowMqh+DFh4O+6Vrm2kd+sjs4XTq0yX9ZCxaeDidyl45ltPLSWYPh3mt +0epOj9HCw+nU5auH77C+Mns4XI+xM5++RAsPh/X99Zp64/vJ7OF0Krx10jMD +LTycTuqSb3dn9pfZw+mUNrRHrRy08HD4vOgznksHyOzh8Pz/ahP3Dy08HK7P +mgS79QNl9nB4vk76+FYYJLOH0+n680GftqOFh8P76d7KqdpgmT0c9rOTzSIP +ooWHw35dqWelBkNk9nA63fm5YNlJtPBweP4uX01vOVRmD4e/f6H20Eto4eHw +fgzZH9tlmMweDutVRpsWd9DCw+m08PnnsP7OMns4zKvel6omoYWHwyzQ7/BW +1+Eyezhcj62RZVLRwsPpNOB0ovcUF5k9nE6BFS2LfkMLD4f5qMzC1QtGyOzh +dJpZNKdoAVp4ODwvrlvXrR4ps4fD/Dqvb/nSrjJ7OOy/J2x2bEYLD6fTsKlW +tSxGyezhdKpR2uH4HrTwcDo1/zqvnfVomT2cTo2XPLp7FC08HN6fCn1GNR0j +s4fDelk+PTsGLTycTrOeh63rOFZmD6fTugQfqxto4eHw/Izxu9RnnMweDt/v +1SmXeLTwcDp9i/yZO9xNZg+nU0T7KYFv0MLD4Xql5neYOF5mD6fTqRKnUj+j +hYfD+l7W32/uBJk9HM5PQ/1b5qOFh8N63C0qZYW7zB5Op+edfm8qMVFmD6dT +bviszhvRwsPp5JNSyqxMktnDYV4YcDc8CC08HNYz56jRtSfL7OF0OudKFkfQ +wsPptJHy4xtPkdnD4c+zRm6ORgsPh/Wly8f+HabK7OF0OlA30HQdLTwc1oef +nom9p8ns4XB/668IikMLD6dTpHR6/PDpMns4nb5UN9m/QQsPh/nxZGC++wyZ +PRz270YD7nxGCw+H+/uraeBcD5k9HPaHlZ1n5KOFh8P7VWdpl5UzZfZweL57 +fKhccpbMHk6n34OXmjeihYfDfHHC8ZE6W2YPh3nqUsuIYLTwcDpV/jh8Q505 +Mns4nYZsPjbzKFp4OJ0a1LYf0nSuzB4O5+t/b9udQwsPp9OWndfrdJons4fD +ebzns/I30cLDYf7wqvnLab7MHk4nr+CdmU/QwsPp1LVcl1cjFsjs4fD5rWvG +paCFh8O8sqrxrcmeMns47D9D5179ihYeTqcWVTLPL1gos4fDetp6Z3QBWng4 +zAfKwrNrFsns4bA/V90YXWaxzB4O893pZ+e3ooWH0+lDt5FXKy+R2cPheraT +b+1HCw+H+/fz72ObpTJ7OJ0ssm1enUALD4f7t2ZtZksvmT0cziM1qv66hBYe +Ds9b/YzyXZfJ7OEw75X7VuceWng47BctmjgMWi6zh8N+UfrokGdo4eHw/XJG +zRq7QmYPp1PKBKeNaWjh4TD/XJl/wmOlzB4O+8GM548NtPBwOv18tdhYukpm +D6dT6UXO1YqultnD6WQ+MqubH1p4OJ32vrg5S1ojs4fD87JoxN7daOHhdArI +aviw1lqZPRzWk1CHP+Fo4eF0KlLKt0Vjb5k9nE7lfCymRaOFh8P+5vs+pIOP +zB4O7/ey7NfX0cLD6ZT+qGM1p3UyezidNn+6P/IJWng4nZ60C94zYr3MHk6n +eo0iUlLQwsOh7f/YTPGV2cP9///3bJ/1DS08HN6PCh4XPf1k9nA6jb+zvmQh +Wng43I9uGc7e/jJ7OJ08v/sfKbdBZg+H9af9/IIAtPBwOuVtPji42kaZPZxO +bqPV4yFo4eHw70sPizfcJLOH06lk1Qfjo9DCw+m0+qMU23azzB5Op4ap+6z/ +QwsPp9OS5fPW9dwis4fD82e75csjtPBw2I8H5gxz3iqzh9Nptnvof6/RwsNh +fkkIsp+4TWYPp1Pbcq/2ZKGFh9Mpc/nkCvMDZPZwOt0L6rj6F1p4OOz/j8fk +rd4us4fDfLnh/uwyO2T2cDq90tZ+3ooWHg7Ph5vvlCo7ZfZwOvUe8TL9AFp4 +OJ0mBi2Z2mCXzB4O6+uOyV8i0cLD/f88EzKvzW6ZPZxOa7waFVxFCw+nk2uf +4ut6BMrs4fD9d9irj9DCw2FeiAsLHRYks4fD/jx9ZqvXaOHhsH4+W3fXPVhm +D4fnzdc8NgstPByer+yTefP2yOzhdApZcSXgF1p4OOxfR2o2W7NXZg+nU/E3 +T+LK7JPZw+m0dtuLudvQwsPp9F/b1pZV98vs4XB9uqZdOYgWHg77V73Pk2wP +yOzhdKo7pLschRYeTqeRnXKutT0os4fD/Xf9Nes/tPBweP6qu9TpFSKzh8N5 +N7ds8mO08HA6zR1ruWl4qMweTifpzpKeb9HCw2F9CWtddPIhmT0c1mMPJ/qK +Fh4O6/u2C6s8w2T2cJh//Fd1K0QLD4fz1P3Q0j7hMns4nL/uWMWXPyyzh8N5 +IjcvaAdaeDjMU48aTa5xRGYPp9M1utwqDC08HOaNnkdL2B+V2cPhfUwyPz+L +Fh4O62lqYGSHYzJ7OFy/9/vW3UALD4fz0sAi4/sel9nD6bRv741OiWjh4XSq +0jWtxqgImT0c5p9zk/+8RwsPp9OUOb3fTz8hs4fTaWiG/10dLTwcfn9Ak9NL +T8rs4bBfZrbcWzRSZg+nk7X7Hj9/tPBwWI8WT1qinJLZw+H7HQyYEYwWHk6n +pkPrullHyezhsP6WqeJyHC08nE5JHeYOanFaZg+n0/wJTftdQgsPh/Uod4hT +1zMyezicF+a8drqPFh4O+1Gfh/2HnJXZw+n04pf10Jdo4eEwr/955zohWmYP +9//7U3LSZ7TwcDjPpe6YOy9GZg+H9Ttmw6pfaOHh8HuvZ21bc05mD6dT6JqY +8LLnZfZwOjWbm3k5AC08nE4zSvsmVbsgs4fTqdqNbdmhaOHhsL/XKFWh0UWZ +PZxOiZs/2Z9FCw+nU69dbQZ2uCSzh8P5M9I8/wZaeLj///+Manv6XpbZw+lU +qzDqZiJaeDh8f/tz30ddkdnD4fqMsrP6iBYeDte3ZMVBHldl9nA6uRwfvzYH +LTwczgc3bC4uuyazh8N5P87VXPw/mT0cnuc+Rew3oYWHw/kjquo0C5LZw2E9 +WB12dB9aeDjszw6hn21iZfZwOjm6qE0j0cLDYf9xyfdsc11mD4d588Lg/66h +hYfDfvHTqlyvGzJ7OJ0G73YfGYcWHk6nWLnmcZebMns4PC8Z/QpT0MLD4fvu +MoZMvSWzh8P9PyBHfEcLD6fT0lVHSiy5LbOHw/p2MWZCkTsyezh8/v2O1/3Q +wsPp1L1Fp3rKXZk9HOblued9g9HCw+lkW+ZEtvU9mT2cTn6Lq4+MQAsP9/95 +vOStlvdl9nBYX997tLyCFh4O68ubgWHdH8js4TBfxJyq/AgtPJxOZTI3bnJ+ +KLOHw/myIKPEW7TwcPh9M2NXTX4ks4fD8xBb9c83tPBwWA8Xm5cveiyzh9PJ +27LPv79o4eF0Wl+jjo9vnMweDtejwtLycrzMHk6nTh7DdgWhhYfT6djlKGvr +JzJ7OJ2yVmw5fRwtPByeLwdz15YJMns4nebMSk6+jBYeDn8/xHFm90SZPRzW +R5fGJR+hhYfT6YZ6MMQ5SWYPp9Mi10DHt2jh4XTqEGX5bvJTmT0c1uug6muz +0cLDYb7dc8R2cbLMHk6nfh0ux/9DCw+nU3jhcC+/ZzJ7OJ3sp3rZKs9l9nD4 +vH+2z4PRwsPpNKifm3/dFzJ7OJ1OP6njeAItPBz2J8tZua1eyuzhdPoc0iPq +Klp4OPy+n0c9er6S2cPhvBsW2CgOLTycTm3sLL66vJbZw2Gelq1Ov0MLD4f1 +6t25RdPeyOzhcJ6r/aaLjhYeDvPkiIDyXm9l9nBYj6RnL4ulyOzh8P7ER0Rs +RAsPh/mxtrzS4p3MHg7nWb9SzvvRwsPhPLxjS+MGqTJ7OOwf946VjkILD6dT +hTPD09u9l9nD6bTh2a7bsWjh4TAv3ph+zOmDzB4Oz8/fhM2JaOHhsL5ZxC8c +/VFmD6dT8uYJ49PQwsPhfJTrP2BWmsweDs/vsz6d89DCw2E/v3Kg2ap0mT0c +3r+mm2zKZMjs4XBeu6zUDEALD4d550uzytU/yezhdKo04JMahhYeDt9/hoPa +OFNmD6fT9/h6FufQwsNh3i0bUdXxs8weDvtb2MPad9HCw+H72fnbDc6S2cPh +fjb62OYlWng4naq2eNXD/YvMHg7n34ezXb6ghYfTabhv6AzPrzJ7OJ2C9Plr +/qCFh8P1C/q4Z/03mT2cTu3L/jgvZcvs4XSixPDkILTwcDq19M7Ls/4us4fT +qdHxz9VPoIWHw3yatLJra7PMHg77d8D5GdfQwsNh/5wXsLuXLrOHw/5dXL4d +jxYeDtfrecu8kYbMHk6nYisK7D6ghYfD7/WZOt4jR2YPp5PlCq/gH2jh4XRa +9rNV8oofMns4nYr67qxUOldmD6fTrkv7nLehhYfDedd6QFC1PJk9HN6HQUdS +DqGFh8P7++yYbeN8mT0c5tlewxecQwsPp1NGy5PXHX/K7OEwrzc5pd5DCw+H +8/y90VOG/JLZw+n0dPe5q6/QwsPhebO/ajmpQGYPh/N5tbnzvqGFh9NpYE5C +/KLfMns4nNcHfGhepFBmD4fzxJXQnf5o4eF0ep0g/1b/yOzhcP6t13zSPrTw +cFhvehV5Uv+vzB5Op4THaxyj0MLD6dR10Lkoh38yezisf257691ACw+H/W1n +6z39iijs4XA/vNdUSkYLD4f57/rareOKKuzhMM+lO5gy0cLD4XnyCd86r5jC +Hk6nnW3vVPqNFh4O62nAgT0+xRX2cDrdsW9qYyqhsIfD/LR/4elAtPBwuF/L +F3axLqmwh8P64d40IQItPJxOv9JCJrcupbCHw3ln/+PCa2jh4XR6V+/c7t6l +FfZweD7kka0S0MLD4TxReD5xVBmFPRzmr9WJnmlo4eFwPm1zotrssgp7OOx3 +MV2v56OFh8N8ErTbY005hT0c5h+viKrlyyvs4XC++LHi3k608HB4H0KUZVYV +FPZwmA+qT2l+DC08HObR38syW1RU2MPpNDl1WNgVtPBwmAcnZo/vaVLYw2G/ +q93fOh4tPBz++zOz0kZKCns4zG/nhkV8QAsPh/3jzt/5M2WFPZxOI9bOccxD +Cw+H857PkQqrFYU9HNZHj/C3ZVWFPRze38TpZ3aghYfTadrIfN9alRT2cDgP +7Bkw4ShaeDjcj7azOrewUNjD6XT3gUvNK2jh4fC855b728NSYQ+H7zd8w4c4 +tPBwmF82JN8fWVlhD6eTb4tv0R/QwsPpFPYlIWRmFYU9nE6/R/hsy0MLD6eT +R+WS3qurKuzhcH/uOi8pV01hD4f7V85z7k608HCYZ1dP9LCqrrCH00l/UG/6 +MbTwcLgfG85Nb1lDYQ+nU//x1WZdRQsPh/kpe9CCXjUV9nA6VX7muvwJWng4 +nb5cauc3qpbCHg7rW6es3Wlo4eFwPvg379hsK4U9nE7qkfirP9HCw2E9SCr+ +dG1thT2cTh/tpewKdRT2cLi+Q4xygWjh4XSa+jmykbW1wh5Op9Td3QacQAsP +p9OOv6fnt6mrsIfD+3f71x5CCw+H8/LWWnec6ins4XQ6XKzWjyS08HA47936 +aTPORmEPh/li6ZmRmWjh4fB+3uu9dX59hT0crt/Iq/cK0cLD6TQsTirp20Bh +D6fTkoRuPRRbhT2cTg/KuKzfixYeDvNljb4P6zdU2MPhPHOmdqXTaOHhsN7P +ez62g53CHg7zbon5J26hhYfD/VDNvwc2UtjD6TSp+9BBL9HCw+H50YIPT7RX +2MPhvB99++83tPBwOgX0fDFqSWOFPRzm4YMJl4o1UdjDYT70OFtjM1p4OKyf +zstWV2mqsIfTyal4k8xQtPBwOqXXuze0cTOFPRzuz/gBsefRwsPptGDyteZd +myvs4fB731YNf4gWHk6nQwvGV3dpobCHw/yfvHNHKlp4OMxnkeclj5YKezid +TBF3tuSihYfTqfri2/LqVgp7OMwv16N3lWutsIfTKbfd9lq70MLDYf9ZP+FY +7TYKezidgsfUbRuBFh4O79vU5Dut2yrs4XR6NnzZKEILD6fTkWcWulM7hT0c +Pi/o0IanaOHhdCrfv76tm4PCHg7P08H9dz6jhYfDeah7heme7RX2cPj+mfNM +/9DCwxl0tnP8ef8OCns4g2rl2rhbdFTYwxk0jRbIB9HCwxmUOeDqdbtOCns4 +gzoM/bcwBi08nEG9V3Zu0qWzwh7OIEefxZ/uo4WHM2hP5VPhzo4KeziDrDLf +T3qHFh7OoBcnKjWc0UVhD4c/t+yR/QMtPJxBO54vuLBKU9jDGWR9NMy7XFeF +PZxBRRyeDt2FFh7OoCjHUvXrdFPYwxlUMKdDQQRaeDiDfq6el9imu8IezqDy +ticjY9HCwxn0rsqXjf16KOzhDJpVttmsZ2jh4Qz6dWbJkAk9FfZwBlW6d8/h +K1p4OIN2F6tTb3EvhT2cQSeqrpKL9VbYwxl093pGkc1o4eEMOn5uxI8qfRT2 +cAZNOJmYdQgtPByu77iRaU2cFPZwBpXw+Zx6ES08nEGbn61P7d5XYQ9nUD2p +xcc4tPBwBo34lJnp2k9hD2dQqWpRehpaeDiDRo/z+TOnv8IezqAn86ZX+I0W +Hs6gJiY3q/UDFPZwBvlmT26lDFTYwxnUM25lv31o4eEMqjY9YortIIU9nEGl +l332OYsWHg6/L7bTkc6DFfZwBt3LDX9wDy08HD7vRV1j2BCFPZxBCTYXar5D +Cw+Hzwt27ztjqMIezqDpOfWX5aKFhzNotl40avUwhT2cQc+H5KeXd1bYwxm0 +MKlUnUC08HAGzWzTYmzd4Qp7OIOatVu8PxItPJxB64Nepjq4KOzhDFpXzdX2 +Flp4OIMCvfLmDhqhsIczaNHys9deoYWHw+9J3VxxykiFPZxBNab6j9fRwsMZ +lJN4+PxyV4U9nEHn0z9KZUYp7OEMujqhx8wdaOHhDDpV9t5Dq9EKeziD/EPm +NI1ACw9n0L7PHXe2GaOwhzNo47Emf2LRwsMZNCC05/T+YxX2cAY1DfJ58Rwt +PJxBj4Z86TtxnMIezqCaO7xis9HCwxk0xbpVey83hT0cns8bludKjlfYwxl0 +umO91gFo4eEMyho25nzNCQp7OIO2JVzveAwtPJxBu5Y532rlrrCHM0irZjmY +0MLD4X7ML/Gu70SFPZxBLgPrzXuGFh7OoH8L55dyn6Swh8P3P2Mc+IYWHs6g +mAfhHZZOVtjD4fnf4P+yxBSFPZxBUy+FLtuGFh7OoKd1s+vUnKqwhzMobNuc ++0fRwsMZtOZxg4Wtpins4QyaH25hQ2jh4QxKTG3/rO90hT2cQSMHBm58hhYe +ziD1UpMe7jMU9nAGTf725983tPBwBllcLENLPRT2cAa1zRi4puRMhT0c1tee +Cb0C0MLDYX07tE2qNUthD2fQ1sebXx9DCw9n0Kod9yNaz1bYwxl0+GaPFbFo +4eEMatOkyLD+cxT2cAZdP1ho/wItPJxBrb90KDNprsIezqDkd1c+fUcLD2dQ +r4GrHyybp7CHw/tR2v906fkKeziDvrx5FrwDLTycQc1DZqyvvUBhD2fQpHo9 +F51ACw9nUL7D1OntPBX2cAadi05wu4kWHs6gO1PWuQ5aqLCHw/NW39vlNVp4 +OFzvWw9dpi5S2MMZ9Kfy+FE5aOHhDOpiaBNWLVbYw+H5tp/jUX6Jwh7OoO+7 +vywJRAsPh/tb/oJ/vaUKeziDaFzi/ii08HB4n6Y6nuvopbCHMyj39+/4u2jh +4QzKzimfPWyZwh7OoMcN5kipaOHhDNo0s0HrmcsV9nC4X/tbjvmJFh7OoPHb +d/r6rFDYw+F62ww4L69U2MMZdLLx2Mx9aOHhDPJYd7uW3SqFPRy+bxlfl3No +4eHwvgYc2t51tcIezqCiBVUTH6OFhzNosNUXi1FrFPZw2C9fVhudgRYezqA6 +ZY+Ez1+rsIczaIjfNv0vWng4g5a0ftV1o7fCHs6gjCzvXVV8FPZwBg303/I1 +DC08HPbDbwW9mq9T2MMZFJ93P/wqWng4rPfehSWd1ivs4bC+z98xIxktPBzW +z7CtiRN8FfZwBqXl652z0cLD4fkZeOWkl5/CHg733/+rVWl/hT2cQTf81u/c +gRYeDv990w0V62xQ2MMZdGbsT/+TaOHhsL7mJ5Rtv1FhD2fQyndVNt9GCw9n +UPDPB5WGblLYw2F9a/B1fwpaeDisHwOW23tsVtjDGfRsyPKr+Wjh4QzyrPpt +sM8WhT2cQYfWx2fKWxX2cJg31tr47EcLD4d5xfy1bqNtCns4PE+X7G6fRwsP +Z1DJm69ndA9Q2MPh3/tR3OIJWng4zAttw2LHbFfYw2E+nHN57me08HC4f/59 +bRbtUNjD4Xma5fy62E6FPRzmlxIvd21FCw9n0FKHl8Nq7lLYw+H35Ay3PI4W +Hg7Pb53Br9rsVtjDGVQh4t6hG2jh4Qzqtzx29qBAhT0cnr+1Do5v0MLDGbQg +ooUyPUhhD4ff++7kp1y08HAGLZdOXF8brLCHw/ts2+SgtEdhD2fQ78qtV+9D +Cw9nkO3D2El2exX2cJh/Wz3tfx4tPBzmzS5zHLrvU9jDGVQ/ZbvtE7TwcAZd +KKJVH7tfYQ+H/ThwsZyFFh7OoP92dCi3+IDCHs6gzxn+pUscVNjD4fd7TykT +gBYezqADoxIqWIUo7OEM8h7/0OIEWng47A9+w+s4hCrs4Qyqentus9to4eGw +/5W36jb0kMIezqCUweNGvkMLD4d51r/l/JlhCns4g0wn9m79hRYeDu/jiT2n +14cr7OH+/7w1T650WGEPZ9B7m3F/QtDCwxm0faONXdMjCns47MeRPiOvoIWH +w/rps2pjn6MKezjML6Ur30hGCw+H80Vzp0L3Ywp7OIPiflXrgMGPPRzelzH+ +y1YcV9jD4f0aFhRbLkJhD4f796p32SC08HDYD74EDa9/QmEPZ1BLn02Hz6KF +hzOobki9X11OKuzhcD06uQ1+jBYeDuuXi3ZiVKTCHg5//+vdsplo4eHw/P/O +81h4SmEPh+fDJy6hWJTCHg7zjc+gjtvQwsNhni70OlbrtMIeDuetb0OqnUAL +D4f5yyV5s8MZhT2cQe3alyp1By08HNajwPQ1w84q7OEMGufpWfQ9Wng4g/om +RPvMjlbYw+H+nQkvX4gWHg7vS7U+u/1jFPZwmHcqHLSpck5hD4fz3NaI8+Fo +4eFwnjrq0b/leYU9HP5914/phBYezqCGJ1XvARcU9nDoIwX1XqOFh/v/fLbv +3rSLCns4zCsnf87NQwsPZ9DXu3Itn0sKezi8D8fTHymXFfZwBnUbvWT1QbTw +cAatTr/frskVhT0c7u/Q18ZltPBwBrlFRp7pc1VhD4f1uUg3z2do4eGwH48I +7jDpmsIeDus1xZQw0MLD4X3pvitx1X8Kezj8fb1jeEVS2MMZtPP58aV70cLD +4bxSLHWoXazCHg7P19p3zS6ghYfDPDbpuNzzusIeDn//smNuIlp4OOz/O0Le +jr+hsIfD81Tkyf1stPBwOK+ocZeW31TYw2F/eL03stwthT0czn+zOxwOQgsP +h/PFm4iQBrcV9nAGHW2ffTAGLTwc5pM9JcO63VHYw2E9UY3jT9DCw+F5uxAT +M+6uwh7OoDf7B978ihYezqC5b2KTve4p7OEM8vKv8LXMfYU9HM7PV1uWCkQL +D4fzysbWNvUfKOzhMH/LlXpFo4WHw/4zMd6j60OFPRzmzf0zdsWjhYcz6MWL +9BtjHyns4QxyaNbtxxe08HD4+1dW23k9VtjDYX0LPuReJk5hD2eQX/qxg7vR +wsMZ1OPKzlSbeIU9nEENHKbWj0YLD4d5fan17K5PFPZwOL8duHU5Hi08nEFJ +t4aUH5egsIfD96nwwO0rWng4g3x2Nr3olaiwh8O/v3K1RdkkhT2cQVZZsZ6B +aOHhDCr8ZX5R/6nCHs6gD/FK1xi08HCYn7fYRHZLVtjDGWTv2qhWAlp4OINO +DLMJcHumsIfD+32oUtlstPBweF9m/PJZ/lxhD4f3PeFZifIvFPZwmL/+nPQL +RgsPZ5DZYoXc8KXCHs6gkC599p1HCw9nUPfDUuOerxT2cAYNn/2UktDCw+F8 +dzNwhPtrhT0c5oH4UTlmtPBwOC9csdqx6o3CHg73Izqtremtwh4O3+99ZMo+ +tPBwmPfmL9tgn6KwhzNo7faBHS6jhYfD589pmN3nncIeDvNV23JHnqOFh8P6 +UDl3wpRUhT2cQZGOWda5aOHhDGqRkpXu/V5hD4d5of7PSPWDwh7OoOk9K3mF +ooWHw7w5p3Pf5h8V9nAGhSYvtiK08HCYlyJu5g1IU9jDGaRb1016gxYeDvPM +nJ3RHukKezj03RpBBWjh4bAfuF1a7Z+hsIczaMPM2bOqflLYw+F5qd9p3FG0 +8HAGrbhRb1jbTIU9nEEXlzbofxstPBzmG++eTs6fFfZwWJ+qr+37ES08nEHf +Fr4dND9LYQ9n0ISEka5Fvyjs4Qxyn5o7ZRtaeDhcjwUxS2p/VdjD4Xq3CNxy +Ci08nEFHUg4c6/xNYQ+HefPiozuP0MLDGXTtt/Xn0dkKezg8n5kHpS9o4eEM +Onatdwev7wp7OHzfK9WnlzUr7OGwn1lX3xeEFh4O60nL3km2usIeDs9vszDT +BbTwcDjPjWk2sJehsIfD5xV8DUhGCw+HeWfQ25eTchT2cDh/Hija4AdaeDiD +7jcZvcj7h8IeDufV7l8eqLkKezisl/Wj6x1CCw9nUKMaMatb5Cns4bB/zvr+ +PhYtPJxBYydO6T04X2EPh+vXu/qZd2jh4bBeT6xQe85PhT0c3o9ijgF/0cLD +GfRg9unSW34p7OFwfsudtLZWgcIeDuvxhzFFI9HCw2G98g726fRbYQ+H+cu2 +ZoVHaOHh8H1rZgSOLlTYw+H6nPlp+wUtPBzOk+2GX/X6o7CHMyjoX6Fzub8K +eziD0kfpejBaeDj03lY77P4p7OGwfljedriEFh4O+1eNox/6FFHZw2F/LPZ6 +2wu08HAGta3j3n1aUZU9HOax+50L8tHCw+HPh88551tMZQ+Hz6v+07NKcZU9 +HPaz+S8djqKFhzPoaYxF0XYlVPZwWC86nnh8By08HOaR8QcOuJRU2cNhvlz5 +fUEGWng47M/6wQGLSqns4QzaWPmMfanSKns4gz462Zh2o4WHw/v3ueBH/TIq +ezisN85tU8+hhYfDeTnreXzPsip7ODzf5d7fTEYLD4f933LY1cnlVPZwBk2Z +3exSLlp4OIN8Fy2+vK68yh7OIC2gcaxlBZU9HM5XdQc/OIwWHs6gO1s+vmhT +UWUPh/NEx8wvt9HCw2F/2upW3MWksofDemTuWzsDLTwczsN3TnRZJKns4Qwq +tsx7UilZZQ9nkNOipM270cLDGbS/7v4rDRSVPRzmnaz3386jhYfDvNohzKa3 +qrKHM+jyig9uz9HCw+F9qRt6cGollT2cQbV3pX7MRwsPh/NAz0ON/SxU9nCY +r8M+La1qqbKHw/prdfbhMbTwcNjfGhav276yyh4O82vLN8vvo4WHM2hUlOMb +1yoqezicV9TGWhZaeDjMDwmHj3lVVdnD4bw1PtKyfDWVPZxBAa17+O5FCw+H +9e22xx/76ip7OIPsNtZfchUtPBye3z/z8/vXUNnDGVR51/Blb9HCwxkU/yiu ++OyaKns4gzrVTt32Fy08HOarMhvrbq2lsofD5xVPuFjbSmUPh3lga/Sw02jh +4TAfNmqdo9VW2cP9///nOgcmoIWHw/ltvaXmXkdlD2dQntPSbwZaeDicr2qu +OehtrbKHw365pqmLRV2VPRyuV8Fa5TBaeDjcL9PqhDb1VPZwuH5dbHffQQsP +h/XW0stthI3KHg77jaVXk0y08HAGyfcaFllaX2UPh/nnnO/zsg1U9nAGVZwa +FL0HLTwc5rUpY3ba26rs4Qx61yV56VW08HA4j60sMWlAQ5U9HNb3U1+GpqCF +h8P9H7ep1xw7lT0c1uOamY5FGqns4QzavKRIpwC08HB4f4o971zXXmUPZ1DZ +/rN6RKOFh8N5IePWoB6NVfZwBs2Z8s4tGS08HOaHcf95TmmisofD/Z44cUs+ +Wng4g0ZWeHLSr6nKHg7vR3bJJ9WaqezhDNpyqNTPCLTwcAaNjk2y6dRcZQ+H +8/f72S6P0cLDoUNebhrXQmUPh/05yPLed7TwcAaVmWJTZk1LlT0cnofDpQeo +rVT2cHi/82J3h6GFhzOoT96Q9NatVfZwBr3qedXhDlp4OJzf1hUNGNFGZQ+H +9XZkvexMtPBwBn13qzfYq63KHs6gVVrxC+XbqezhDIo9cKvufrTwcAZNrDN9 +R1MHlT0cno+ZRplYtPBwBk2zc/ce0l5lD4f1qejlYh/RwsPheu/7vd6zg8oe +DvP6kYZyqY4qezjsH/e7HQhECw+H5z+6Xwu7Tip7OIMylZ73L6OFhzOozfbm +U/p3VtnD4felSWVS0MLDYf2+mHZqjqPKHg7XI/b0qKJdVPZwWF+jFpbfgRYe +DvNAuzbXbTSVPRz214r6svNo4eEMWvopomOfrip7OMy/yyYVeYUWHg7zx5ra +Dzy6qezhDKp35m3QH7TwcDg/3QqZubW7yh4O896iGT2te6js4bA+eHeqF40W +Hs6gW4erlurZU2UPh+dhX5HsZ2jh4Qyqapv3alovlT2cQa1K/H5UgBYezqDS +ufKtTb1V9nA4P11pH2vVR2UPZ9C86ouvn0YLD2fQz+QHd7s5qezhDKoe3Tbp +KVp4OIN+Lb76cUpflT0c5r8vY3/9RAsPh/nsWU2Ljf1U9nAGjSj2u1Wt/ip7 +OJwHHQpGRqGFhzMotWdN764DVPZwBuXnup9NQgsPh3mp8pOMyQNV9nAGdVw8 +uc5PtPBweP4+2bhtGKSyhzNoUDs5rOZglT2cQRU62H09hRYezqBlN+Z16DpE +ZQ9n0JfwL5uT0MLD4fecD8qYPFRlD4f9M86rx0+08HAGVbm14+iGYSp7OIOK +zk2Xajmr7OGwn52ctyIKLTwc/ty5g7nrcJU9HNaH7l2nPUULD2fQmRF+6VNc +VPZwmHfmKNN+oYWHw/2ZkfJ94wiVPRyeVzt9udVIlT0c7u+WftIZtPBwmA+W +fT/S3VVlD4ff8yyl+zO08HAG+fvUyJg2SmUPh3nS4+jm32jh4Qz6u8Cnw5bR +Kns4nGfWx3ytM0ZlD4f7ubl9eDRaeDiDvi6wnNBrrMoeDvNwg771XqKFhzPo +/I6XWR7jVPZwmKeP377wFy08HJ6XkfKGADeVPZxBK7dfmWAzXmUPZ9B4p/uO +F9DCwxnUZV5H674TVPZwmJf+VSr7Fi08HK7vZ+e8Oe4qezjMN7ZFPhebqLKH +w/c9U+X9LrTwcPi9a/enNJyksofD+r9rx/sraOHhMB9n/P48cLLKHg5/vuBF +/nu08HAGRXe0L79wisoeDu+rY169MlNV9nBYH1Z27LYXLTycQRf+FE5uOk1l +D4f5P7bT1uto4eEMKveo8JrzdJU9HM6ftl2MT2jh4bAfvizZeNkMlT0c9o+v +Qz1MHip7OIMSZjQ8HYoWHg7zsJtfQeuZKns4zPcvPPveQwsPh++X9v3g6Fkq +ezisX9t/F2SjhYfDvJQTPHrtbJU9nEFXqj2+bjlHZQ9nUHi1wCbH0cLDYT8p +XXCg01yVPRzW/9/5lk/QwsNhPS23Y/vEeSp7OMzDgx5b5KOFh8P5OO3Yvg3z +VfZwBhXPsG1ktUBlD2dQqbn9r51BCw9n0KbQqi49PVX2cFif92z58QItPBzm +ueWng2YuVNnD4fpOX92tyCKVPRz2s3VFjB1o4eEwv+a1PGq7WGUPh/P1x2ru +V9DCwxlk73mh7qAlKns4rCeJFT9/QAsPZ9AMu9rnFi1V2cPh/h7+tr6cl8oe +DudBz2XjDqCFh8PzdftBx5bLVPZweL8fvLW6gxYeDt835nzpUctV9nCYP86P +yPuGFh4O/37pm1lrVqjs4Qz6701BmuVKlT2cQaGzi6YfRwsPh/kj70VW51Uq +eziDfKK88xLQwsNhPU4uXmbKapU9HK7v0dG1C9DCw2H/nLi505Y1Kns4g172 +2+tWd63KHs6gS6G+fufRwsPhehx3vtDXW2UPZ1D/YyW+pqCFhzOoZ3pwgwU+ +Kns4fP9jlaeWXqeyhzPoWKcVkXvRwsPh/n6N/9VsvcoeDue1kkr/W2jh4Qxy +S+4WPtJXZQ+H+x85tdg3tPBwBv15u2bKGj+VPRzO61d2PLH0V9nD4bwYfFCL +QAsPZ9Dh+KPnHTeo7OGwP8acapmEFh7OoGZh52OmblTZw2G9yb3eqRAtPBy+ +X5mkB9s2qezhDDK3/zqu/maVPZxBS7KkX5fQwsNhnlumBQ/corKHw/MyaHWX +j2jh4bBePkz4sniryh4Oz6tLuwMVtqns4XAeGxHtEooWHs4gm/Z9LNsGqOzh +MB9O/vnyAVp4OMwTfe+Eu21X2cMZ9MQlZuEPtPBwBmX9utXff4fKHg7r0ZEC +O6udKns4zKvxIypGo4WHw7z9OSWv9y6VPRzWn1nbM96ghYfDfJnk+WbebpU9 +nEHNd/m9KBWosofDeaTZk1d70cLD5VCW1cCPzYNU9nA5NCSthHEbLTxcDvkW +yS81OlhlD5dDW/Ia1DWjhYfLoYb9Aruv26Oyh8uhZz59ParvVdnD5dAL++7B +UWjh4XKo7QPvxz32qezhcujyF1OZV2jh4XIor9anPnP2q+zhcqin2bStxAGV +PVwOPczxexuMFh4uh7yujGjR7KDKHi6H1DcrNt5CCw+XQ7W//vniGqKyh8uh +Ej6vhn5HCw+XQzmdK8f6hKrs4XIo91x0q+qHVPZwuD7+5yKj0MLD5dAGV6um +PcNU9nA5NP2OOeYVWni4HPqxoFm3ueEqe7gcWlb6bXLJwyp7uBxq1LBwzl60 +8HA5pKzaKrc4orKHyyGbC8EX7qCFh8uhzitqTR5zVGUPl0M1V9eqZqCFh8uh +mXP3JfkeU9nD5dCtIvt31jqusofLocPp1mOi0cLD5ZBPcpNGThEqezj8fb+b +f1PQwsPl0H+X0l96nlDZw+XQNZvAK+VOquzhcqik36uwELTwcDmUFHZ2e9tI +lT1cDrl1qOv3CC08XA6lSo193E+p7OFyyC8rcf1PtPBwObR1e7VtW6JU9nA5 +1CSxWIjNaZU9XA6FLAw4fxktPFwONe13L2nwGZU9XA41qBeRn4EWHi6HPsa3 +r7virMoeLoce1/caVilaZQ+XQ2N+z9p4HC08XA5Vt6v6oEuMyh4uh8L9l0nP +0MLD5VCV/D2jZ55T2cPheg9feqrYeVX7H8w3Fps= + "]]}, "Charting`Private`Tag#2"]}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{-1.19261150404447807409012815183557425662`16., \ +-0.90661150404447807409012815183557425662`16.}, { + 3.138050252314118, 4.67774460927708661650482733282982060227`16.}}, + "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {-0.900653170711147, 3.138050252314118}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), + "DefaultStyle" -> { + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + PointSize[0.003666666666666667], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, "Primitives" -> {}, "GCFlag" -> False|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + ListPlot, "GroupHighlight" -> False|>|>, + "DynamicHighlight"]], {{}, {}}}}, Exclusions -> None, AspectRatio->1, AxesLabel->{None, None}, AxesOrigin->{-0.8000000000000018, 2.0000000000000044`}, DisplayFunction->Identity, Epilog->{{ + EdgeForm[ + GrayLevel[0]], RGBColor[1, 0, 0], { - PointBox[ + DiskBox[ NCache[{(Rational[-732, 325] Log[ Rational[13, 4]] - (Rational[535824, 105625] Log[ Rational[13, 4]]^2 + (Rational[1464, 169] + @@ -124899,8 +151914,9 @@ Dis= Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2])))^ - Rational[1, 2])}, {-1.1927019496292608`, 4.678263341617183}]], - PointBox[ + Rational[1, 2])}, {-1.1927019496292608`, 4.678263341617183}], + Offset[2]], + DiskBox[ NCache[{-(Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -124956,8 +151972,8 @@ Dis= Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2])^2))^ - Rational[1, 2])}, {-0.9056115040444783, 3.2143130224054905`}]]}}, - + Rational[1, 2])}, {-0.9056115040444783, 3.2143130224054905`}], + Offset[2]]}}, LineBox[ NCache[{{-1.1304021775466495`, 26^Rational[1, 2]}, {-1.08, 26^ Rational[1, 2]}}, {{-1.1304021775466495`, @@ -124992,7 +152008,142 @@ Dis= Rational[-4, 221] (13 26^Rational[1, 2] + Rational[13, 4] (-9 + Rational[17, 2] Log[ Rational[13, 4]])^Rational[1, 2]), 4.6}, {-1.2591364170852495`, - 4.6}], FormatType -> "StandardForm"]}, + 4.6}], FormatType -> "StandardForm"], + LineBox[ + NCache[{{(Rational[-732, 325] Log[ + Rational[13, 4]] - (Rational[535824, 105625] Log[ + Rational[13, 4]]^2 + (Rational[1464, 169] + + Rational[-38232, 4225] Log[ + Rational[13, 4]]) (Rational[-1647, 52] + + Rational[732, 25] Log[ + Rational[13, 4]]))^ + Rational[1, 2]) (Rational[19289664, 2640625] Log[ + Rational[13, 4]] + + Rational[-79152, 105625] (Rational[-1647, 52] + + Rational[732, 25] Log[ + Rational[13, 4]]) + + Rational[26352, 8125] (Rational[535824, 105625] Log[ + Rational[13, 4]]^2 + (Rational[1464, 169] + + Rational[-38232, 4225] Log[ + Rational[13, 4]]) (Rational[-1647, 52] + + Rational[732, 25] Log[ + Rational[13, 4]]))^Rational[1, 2])^Rational[-1, 2], + 4.628263341617183}, {(Rational[-732, 325] Log[ + Rational[13, 4]] - (Rational[535824, 105625] Log[ + Rational[13, 4]]^2 + (Rational[1464, 169] + + Rational[-38232, 4225] Log[ + Rational[13, 4]]) (Rational[-1647, 52] + + Rational[732, 25] Log[ + Rational[13, 4]]))^ + Rational[1, 2]) (Rational[19289664, 2640625] Log[ + Rational[13, 4]] + + Rational[-79152, 105625] (Rational[-1647, 52] + + Rational[732, 25] Log[ + Rational[13, 4]]) + + Rational[26352, 8125] (Rational[535824, 105625] Log[ + Rational[13, 4]]^2 + (Rational[1464, 169] + + Rational[-38232, 4225] Log[ + Rational[13, 4]]) (Rational[-1647, 52] + + Rational[732, 25] Log[ + Rational[13, 4]]))^Rational[1, 2])^Rational[-1, 2], + 4.4}}, {{-1.1927019496292608`, + 4.628263341617183}, {-1.1927019496292608`, 4.4}}]], + InsetBox[ + FormBox[ + StyleBox[ + "\"\\!\\(\\*SubscriptBox[SuperscriptBox[StyleBox[\\\"E\\\",FontSlant->\\\ +\"Italic\\\"], \\\"-\\\"], RowBox[{\\\"\[NegativeThickSpace]\\\", \\\"\ +\[NegativeThinSpace] \[NegativeThickSpace] \[NegativeThickSpace]\ +\[NegativeThinSpace]\\\", RowBox[{\\\"1\\\", \ +\\\"\[NegativeVeryThinSpace]\\\", \\\"RSB\\\"}]}]]\\)\"", + Directive[ + GrayLevel[0], FontFamily -> "Times", FontSize -> 10, ScriptMinSize -> + 7], StripOnInput -> False], StandardForm], + NCache[{(Rational[-732, 325] Log[ + Rational[13, 4]] - (Rational[535824, 105625] Log[ + Rational[13, 4]]^2 + (Rational[1464, 169] + + Rational[-38232, 4225] Log[ + Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ + Rational[13, 4]]))^ + Rational[1, 2]) (Rational[19289664, 2640625] Log[ + Rational[13, 4]] + + Rational[-79152, 105625] (Rational[-1647, 52] + Rational[732, 25] Log[ + Rational[13, 4]]) + + Rational[26352, 8125] (Rational[535824, 105625] Log[ + Rational[13, 4]]^2 + (Rational[1464, 169] + + Rational[-38232, 4225] Log[ + Rational[13, 4]]) (Rational[-1647, 52] + + Rational[732, 25] Log[ + Rational[13, 4]]))^Rational[1, 2])^Rational[-1, 2], + 4.25}, {-1.1927019496292608`, 4.25}], FormatType -> "StandardForm"], + LineBox[ + NCache[{{-(Rational[19289664, 2640625] Log[ + Rational[13, 4]] + + Rational[-79152, 105625] (Rational[-1647, 52] + + Rational[732, 25] Log[ + Rational[13, 4]]) + + Rational[-26352, 8125] (Rational[535824, 105625] Log[ + Rational[13, 4]]^2 + (Rational[1464, 169] + + Rational[-38232, 4225] Log[ + Rational[13, 4]]) (Rational[-1647, 52] + + Rational[732, 25] Log[ + Rational[13, 4]]))^Rational[1, 2])^Rational[-1, 2] ( + Rational[732, 325] Log[ + Rational[13, 4]] - (Rational[535824, 105625] Log[ + Rational[13, 4]]^2 + (Rational[1464, 169] + + Rational[-38232, 4225] Log[ + Rational[13, 4]]) (Rational[-1647, 52] + + Rational[732, 25] Log[ + Rational[13, 4]]))^Rational[1, 2]), + 3.1643130224054907`}, {-(Rational[19289664, 2640625] Log[ + Rational[13, 4]] + + Rational[-79152, 105625] (Rational[-1647, 52] + + Rational[732, 25] Log[ + Rational[13, 4]]) + + Rational[-26352, 8125] (Rational[535824, 105625] Log[ + Rational[13, 4]]^2 + (Rational[1464, 169] + + Rational[-38232, 4225] Log[ + Rational[13, 4]]) (Rational[-1647, 52] + + Rational[732, 25] Log[ + Rational[13, 4]]))^Rational[1, 2])^Rational[-1, 2] ( + Rational[732, 325] Log[ + Rational[13, 4]] - (Rational[535824, 105625] Log[ + Rational[13, 4]]^2 + (Rational[1464, 169] + + Rational[-38232, 4225] Log[ + Rational[13, 4]]) (Rational[-1647, 52] + + Rational[732, 25] Log[ + Rational[13, 4]]))^Rational[1, 2]), + 2.85}}, {{-0.9056115040444783, + 3.1643130224054907`}, {-0.9056115040444783, 2.85}}]], + InsetBox[ + FormBox[ + StyleBox[ + "\"\\!\\(\\*SubscriptBox[SuperscriptBox[StyleBox[\\\"E\\\",FontSlant->\\\ +\"Italic\\\"], \\\"+\\\"], RowBox[{\\\"\[NegativeThickSpace] \ +\[NegativeThickSpace] \[NegativeThickSpace]\\\", \ +\\\"\[NegativeThinSpace]\\\", RowBox[{\\\"1\\\", \\\"\[NegativeVeryThinSpace]\ +\\\", \\\"RSB\\\"}]}]]\\)\"", + Directive[ + GrayLevel[0], FontFamily -> "Times", FontSize -> 10, ScriptMinSize -> + 7], FontVariations -> {"CapsType" -> "SmallCaps"}, StripOnInput -> + False], StandardForm], + NCache[{-(Rational[19289664, 2640625] Log[ + Rational[13, 4]] + + Rational[-79152, 105625] (Rational[-1647, 52] + Rational[732, 25] Log[ + Rational[13, 4]]) + + Rational[-26352, 8125] (Rational[535824, 105625] Log[ + Rational[13, 4]]^2 + (Rational[1464, 169] + + Rational[-38232, 4225] Log[ + Rational[13, 4]]) (Rational[-1647, 52] + + Rational[732, 25] Log[ + Rational[13, 4]]))^Rational[1, 2])^Rational[-1, 2] ( + Rational[732, 325] Log[ + Rational[13, 4]] - (Rational[535824, 105625] Log[ + Rational[13, 4]]^2 + (Rational[1464, 169] + + Rational[-38232, 4225] Log[ + Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ + Rational[13, 4]]))^Rational[1, 2]), 2.7}, {-0.9056115040444783, + 2.7}], FormatType -> "StandardForm"]}, Frame->True, FrameLabel->{ FormBox[ @@ -125026,3068 +152177,12917 @@ Dis= Scaled[0.02]}}, Prolog->InsetBox[ GraphicsBox[{{}, - GraphicsComplexBox[CompressedData[" -1:eJw0mnc4V+//x42kokFFElJGotCnqMTLThKSpBQRKkkkoyhKJClCVFZCRsne -47b33ntT0TnnTTuj3/29rvP7y/W43m/nfe71ej3v63oIW9wwsGJhYmLKkWJi -+t/fx/7Mwj9ZCPQx9y15TX8j/D/LMy9O/4+vTW3prWEl0Hj2e56rF3jhhJJM -wKsVBIqcdBasaeQH6bCjmtfYCPRjxuDGYb3twMUwXTqykkCDddqvZL7sgG9a -zlnr2AlUUWbiqpglCl0xT6+NYjb01HIyTtsFuX/jdmSsItAFtws/XYck4fWp -oj6v1QQSLLE3C1WQBvcPHYGn1xDo9ABjlaGVLJiyzR4V5yBQxn2dHAON/0DZ -lOXfb8ywfcV0ueIB2JHLl1PPSaCumU0ab3TlYcUG2esRawk0Ya7sMe1yCKav -aInYrSOQ0SFOeJ6vALVlZgOwnkDeUL4nYqMSJG91CeLaQCC+HXm3mdWUwd/x -2bEJzJX/HMMv+qrAjcZ4pmwuAr1yH9H90qcKJ0WLc324CZQrL279Sl4d/rvX -aWe8kUBbo/sy7GI0YHPPrOjuTQQ6MDHNdpn3KPySZh1awPx05o205yst6PPd -GtK0mUDnNAr/5YprQ+GY7PFoHgLJCB/X4Cw/DpGHj7E48BIo9UhN850rJ8Aj -+GK+6hYCSQ+w2zDz64H5Vxf7TXx4/Od3zjfb6oO6RoD4NObj99aziRucBLGo -d8O5WwmUFVbd8UjRAFb9Kn7xmJ9AuqG/1Bh7T8GMXpeOyTY8nxwsCmZihtCY -+JV1jwCBRMR/RnbsPA0fmVcULmP2Sg05qSNuBIHn+G+2CuLxLOkerpU5Azcz -90m8FcLz9V3ziKayMRhyao86bifQnSVdharTZ0HOyjxMQ5hA7CwzW9UdzgFf -iasu7w4CtVw6XlIWZAILPIFsXzDL/zZapVhwHoZuJBQV7CQQE0vMQNanC4Bq -Sxz9RQikKmm3dRe/GcQId+82FSVQETrbob37InjdIcakxQiE9nHILtddBKuO -Fa+YxQnUrvMzNMXWHI5KbdPvwDywMcrJmNsCJLz/Y4/fhdc744LicoEFcAxr -lzhLEEjH6YpplPUlIOUsnLR24/HW1Gkd3GwJrQG3pbZKEshaxsq2odoSMj4H -Tsxi3q7/8+AZNysIUUl8XSxFoNHBDYID+6zB+TU6GbCHQFPR258YEdZg/K17 -lfleAoXcvErVJl+Gwzok2ieNn6/AXiB77Qpsi2dzWSGDP58lzgbuvQrLS9v2 -dmPmcPuiOPn9Kowa7Z9KkCVQ1HRkp1SJDVSkHo+4vY9AoVKP/K/6XYP4VZdO -Hf8Pn89jfzpenbWFR+Z31gjsJ5Cc/Ha+YsnrcLXgeRmJWXz6X107kx0c35jk -WnqAQFpKtwz7e+1gj22pdJAc3i9bZcU6Mm/A+qqe6UvyBArcYW40rGUPcwJU -5IGDeL8WVu0+nm8PHc4rT7MfItAjiclqaUkHyG4R4OzDjGQ1x55EOcDLXQcq -kg8TaPiJuYzZxptw577OHXcFAvmNhtkkPb4J5/svyeoeIdCvGjlNG2ZHUPrP -7bOQIoEe1rrcjrnjCNv9g6LnMGuf9izQ/eEILFNJRhVKBMpuNEcODrdgUrFs -7QsgkIALn9Ia6hZUh/ZWWisTyNQ78CuvnRMkUpTbQRUC2a+P8HxNOoGfFvt/ -a1QJ9I97KfW5vTNcjxGcGcDMcfOizNI3Z9D7eyAmRY1Ad+dMcwddXUD21Alj -D3UC1cjf/Sv9zwU2frBcf1KDQPcaJFN/+rjCjxXu1Ts0CbTAVeMns+E29FwI -vvsd87cTYapDr29Dfk7y/uqjuH5FtT5ZFLsD4evLZ8O08H5fCOJ/lnUH7l7p -e3v1GIFeHpp591zNDczKGGcVtAk0w9w8vrLTDVS2ruJae5xAeV3CDwkrdxBx -FKodxryFIxs0/rjDykY5jzQdAn1uUK1f++wufBbRlXtwgkB2m272aorcg/q7 -VsQpXQKxZHQJMgrvwYdu9zhRPQKlqXw9ufq0BzyTDjH5hXlk73axIMoD7H3f -c9fpE2ixd0K8SsMTDMbK616fJJDP5aAy1xBP2H+439PWgEDKyfsKJiY8gSd4 -Tl7pFD5Ptm2zbPvvw5/ZVdR6QwL1mjrIjDy8DwPq29+NYR7j5rC40X0fiiPl -L2SexvOx7H8uZ9cDiP6pu8nbCO8Hl4FvmW4P4L6edYPRGbz/clvZr7Q8gEuJ -dx/sMiYQW/cR+/adXqDB/OLQX8zyjVMrv7l6gfi5D4yGswQKcHgUX9fsBasz -KxIiz+H64N4haiT6EGY5BkxvmBDokqeD+Uv3h9BsOb9Z5Tz+nFqWDex8CGnF -q5u4LxCokGe9g+IebwjiEX44iTl8u+TvaB9vuHXjoEKOKYFIrvY3uaPeYFSr -N//IjEBW9a7qDxV84KDw5aSzFwkUz+pXxBbmA1vv3LsoaU6gD/rW4wrffGCx -/QXvEmYxAcsAMf1HMCyZ0txsQaDGDy0RVSmPoPRhpfebS7h+bZ2d2MLpC7FD -A0duWhJoyJhU3H7NF7zlvn1TsyLQfmn2hwP1vnA5YM37zdYE0lQ4G6At+RiO -fRa2+ITZkVo6eN3/MUiqHOLLv0ygM0ErzqmRj2Hta/1Wvyv495VuNjTq+wE1 -f/nR+asEWjOqYr06yw/ajnso7bXB51fekvUP7xPIjAv98Q9zVxdyC3d/Ai+W -Uj60XSOQuoBsImPsCbgaVV2KtcX9TtnP/PdRfziXOrjV6TqBbqoGe2Z89Icj -q763adoRKO776mFBnqcgaM7xeMsNAr39FWOgeO8pMBXsUJ7B3J2/Inftp6cw -zn34V6E9gda6tE0E6D+DymsnPz51IFCHfGR6RcEzeFd5xcrsJt5PrfO/E0UD -wFfAc5usI+7X4wZB8DwAbJzDOlhuEcih5z+jR0sBcKLlo18n5pZ5z3XKBwJB -ele1yjsnAq3wCRjZZBsIXPeHfrs4E8jlscMa1dhA+Nb3PfWYC4H8q0641fQH -Qtc+zsv8rrieTmsIxnM/h9wnOwUJzAHuziP92s/h1eThrpLbBKr7+zP5itdz -cFM08A+8Q6B3uX22ukXP4ULoVTULNzxfXyW5/H88B6A8//7nTqBDWT+8hKWD -YIfWy3S2uwRSWXs4fd3VIFgRk3qlB/PP7p8PT8UGwfSfaqGke7j+tYvMUkNB -UGsw3H3HA+eht7ktE1uCIfn9j6c6ngT6WhcpKG0YDP4r1moI3ieQXkppRVtA -MNhdEFmkMLM/X/G+oSEY9HMUMsseECjzlVbptlUhsG/9KZtgLwKVN1hTleoh -sOmKjbDVQ9wPOPaLVN4PgV+l93vlvAnEy++pvRWFQB/fq4BVPgTKidysW7MY -AoU30zT7MXdtLNjacPgFRDbULL1/hNd7cE+E6O0X4CEyknXXl0DXDkiVdOe+ -APO7P6/pPcbjPXTNdvjnC1DrXrtT2I9AVaPhQUfkQkFUWrR/HnMbs822OadQ -YPc98rzyCT7feu4/FrJD4cvoKa1QfwI5Gd9afeFnKDQeuvbv8lNczx+Tapvk -w+Bj0IOcQ88IJLX7QaCwaxgEzr66zhFAoAemZf0P88Pgpnq6yBDmQdFD65QW -wsAwsnbgYyCev3MOPCcUX4Lcz5Egz+cEupr5dTjd4yVs0ft1zCAIzw/adcq2 -/CX8TVjHLBKM/38m0tSd7RUMMonl/cDcdBt9HdN6BSVnFW/UhBDo+ZOFzxH+ -ryAmw1Ds1QsCNWwpVEppfQVeHLZDNqEEuv3NvI9782uwsvQKORKG81e1blzT -2ddwtPj18XUvCXSZZ8BvKOo1SPBksIxi5gQTV9XJ18Bxoy4//RWBrjgJGi9K -hANRM2rv9Rrvr2q7zRz24dCy/bf46XACSfI8D7fLCYf02+tHxCLwegpXdYkt -hUNwu1job8y372ik/qceAc6SSifqI3G+9D+68cWTCDB+eHpFRNT/8uDCV+2O -CDg8ZFt4PRrnh0x/8dP8kbBN7uFNeEMgm+btHzMvRcLys3AJrhhcL5N7r1l/ -iITRTxmj45jJsZbj139EQrlyfVjWWwJ5jG87VKsUBXGvxnR9YnF/ZbQKuvhG -gc/8bzbjOAJt2vn70+32KLhyfEOxRDzub1deebRui4bjceK3FjA7PshpvXM5 -GvYsKUk2vcP5gU2n2jUjGtYbGY1HJeD+dv7k8fqlaJj7eP2VfSKuR+bn43NF -3kAHu7e+ahLOM/G5PZnabyD7YgT7pmQCrbq2N6PP/g2E5WeWTGFmW7dvt0TY -G7jN3eCU+55ABQayB94WvwGTa+NSjz/g+mH9slZ98g0oVv6ZOJdCoD+jrX0b -OGJASIArXOojgfpLVUxX7osBFuddBsuYx+30jouejYHJZljdmorzepFJ6HXP -GKgWP1Mak4br43jV/pGEGEj0tHNxTMf732uG/05LDPj1ee/VyCDQ6+SdKkq/ -YsB2X+QUTybOW3W1rySF3oLuk6yIz5hNCvfyqx59CzKTDacKsggUW55QcP/G -W+BWnFjjn02gT4+9rs+EvYXvL/6WXcjB67tzvYR76VvoIbluS+cSqH7Vi3G5 -L28h/6iEDHMegTz5LwRs5Y6F8DfKn9oxC8Z9EBFXiIW7f85ExeXjfm9QHXbe -MhbMDG6cdi7A6604M1TwNBZU3vtwahUSqHTi9FeV3FjYuSKqgq8I5yEJ9by5 -0Vhgu5B9ZxZz7DlCrn5NHHzKbpQtLv5fPnx0oWF/HNStm/z8rIRA61PVhX+Y -xsGHywvRFxHOkzZGLkcfx8GzUu4z+0pxP1WZMSzPjAN7vt3rVpQRaI+nZKrV -cBwY3FSp6sK8uVfVTXZ1POxvMHZPKMf90Nzoo+j+eOARsf/vdgV+/1ePFVXN -4uG3+6MZ7UpcPy2XBB75xUN/V1TMtiqcN9e0qn/PjoeivTnGJOZVRwQSHo/F -Q9SjpvWl1fj+y7F86Ojad3B/dLL6eQ2Bzn7znZc69A4uHVq8e6kW9/e2tqrD -Vu9AI2jjgQN1BDKb+ZJo//wdiM/u/rqynkC7pkcCm4vfwWp11dhezJHt6Kbh -zDuYjTh7LrkB19cdwWosvAnQ9MOey70R57/3F3/3qCVAqq5v7YkmfL9LlvLp -sk+A5wnRHkLN+Pno99jfyARwZMqVm8PMTFYwaTUkgNHZZqK8Bf9etF9P0e8E -OJgxFRfSSqAn0ZomZ8USYSvHkol1G75/CFGeAoaJsHhp08aD7fg8Zbkqrn6Q -CMNFkvWrOwhEGXV5b0tLhNLNavcHMGtafNc9M5wIb+3OHUzpxPMRWv40nzMJ -HtY4UPe6CHSLd7e8mkISWG9//E6/G98fr3Erf7+aBFq331zY0UMgJfeLkY0v -k0CyPXfTd8xuYT/VGmuSYK1kS0NVL+7f17N2ffuZBJTX9IOwPnx/4nJRVhFL -hrbBpUNX+wnE/WeLZ87pZMg8sHnu8ACB/lt2GDTwToYXz6QSOQfx88+f0ebJ -TgaXT2pmw5h908NLmKaS4ayyCU/aEM7Py+v2cm9+DwqvbjbdH8b9OeHeU22N -9yAw//jhqRH8vg7ZbUlO74HpeIyC6CheTy83SvrdexiPzZv/iTnpSujAYPd7 -qFxsSaodw/dbzjq/NPYP8O70p4uvx/F9u6hjLvngB/D9uMxrO4Hz6q5bbI1X -P4ANO0+L4iSBlsytCjaGfwCdi3t81k/h+8igGduDxg+wN19dcQzzZlu+AZ7l -D7CB+/z3jGmcp49qyLRLp8C8jeP7h5/wfmUL+ZNpngJdFX4WRp8JJBudKVkU -nAK5297y7fqC87udXuFMVQq8cspv/YP5894NkfA7BdyaWx81zODxL4RXFez+ -CBfEPytFzuLvG4RKnL/wEcDz3w+7rwRazfWmeGfgRxDu40lRJnC9djrjzFXx -EVj37bXkJvH6Cxlrif78CFN+GvyTmLevU9hlJpEKNRPn27MpAh17G7oGnU+F -5CO3Hj9iEOhixPKEemAq+L94onx2jkCnBFYkMSpSwY58+2v3PO5X7w7olv9K -Bf2jBR8XMR/xXz85uDkN9r1ps2r+RqCY/jjvWek02PTn87Y33wn0fZ3gyrXa -afDzJFOnww8C1UoUm6lYpkFvMu8TtZ84nw2U+/veS4MCVmnVzb8IlPHQ7tH4 -yzSIOK/5ZxqzyoHlY3qZaeCRfSEt7zfujykfm5ub0sB8ndNlvz/4/J0vXG/+ -OQ3ULvsLnv+Lz7e5KftK1nQQLY3t2rNAIMaT1tRigXRg5yv0/4fZ8dwxVt+D -6fDFoV2tbZFA6xaWFyxPpUND/Ze/b5fweTguFmJolw4pO5kzbi3jfNQ7X2X0 + InterpretationBox[{ + TagBox[ + GraphicsComplexBox[CompressedData[" +1:eJw0mnk0Vt/bhw1JRQMVSUgZEoW+RSVuc5KQJKWIUEkiGYqiRJIiRGVKyFAy +z8M2z/M8z1Q45zw0h3r3b63z/mVd63mc5+zpvj97rUvY4oaBFQsTE1OBFBPT +//4+9mcW/sFCIJkVU3PX9DfC/7M889L0//ja1JbeGlYCjWe/57l6gRdOKMkE +vFpBoMhJZ8GaRn6QDjuqeY2NQN9nDG4c1tsOXAzT5SMrCTRYp/1K5ssO+Krl +nLWOnUAVZSauilmi0BXz9NooZkNPLSfjtF2Q+yduR8YqAl1wu/DDdUgSXp8q +6vNaTSDBEnuzUAVpcP/QEXh6DYFODzBWGVrJginb7FFxDgJl3NfJMdD4D5RN +Wf79wgzbV0yXKx6AHbl8OfWcBOqa2aTxRlceVmyQvR6xlkAT5soe0y6HYPqK +lojdOgIZHeKE5/kKUFtmNgDrCeQN5XsiNipB8laXIK4NBOLbkXebWU0Z/B2f +HZvAXPnPMfyirwrcaIxnyuYi0Cv3Ed0vfapwUrQ414ebQLny4tav5NXhv3ud +dsYbCbQ1ui/DLkYDNvfMiu7eRKADE9Nsl3mPwk9p1qFFzE9n3kh7vtKCPt+t +IU2bCXROo/Bfrrg2FI7JHo/mweshfFyDs/w4RB4+xuLAS6DUIzXNd66cAI/g +i/mqWwgkPcBuw8yvB+ZzLvab+PD4z+9caLbVB3WNAPFpzMfvrWcTNzgJYlHv +hnO3EigrrLrjkaIBrPpZ/OIxP4F0Q3+qMfaeghm9Lh2TbXg+OVgUzMQMoTFx +jnWPAIFExH9Eduw8DR+ZVxT+xeyVGnJSR9wIAs/x32wVxONZ1j1cK3MGbmbu +k3grhOfrm+YRTWVjMOTUHnXcTqA7y7oKVafPgpyVeZiGMIHYWWa2qjucA74S +V13eHQRquXS8pCzIBBZ5Atm+YJb/ZbRKseA8DN1IKCrYSSAmlpiBrE8XANWW +OPqLEEhV0m7rLn4ziBHu3m0qSqAidLZDe/dF8LpDjEmLEQjt45D9W3cRrDpW +vGIWJ1C7zo/QFFtzOCq1Tb8D88DGKCdjbguQ8P6PPX4XXu+MC4p/CyyAY1i7 +xFmCQDpOV0yjrC8BKWfhpLUbj7emTuvgZktoDbgttVWSQNYyVrYN1ZaQ8Tlw +Yhbzdv0fB8+4WUGISuLrYikCjQ5uEBzYZw3Or9HJgD0Emore/sSIsAbjr92r +zPcSKOTmVao2+TIc1iHRPmn8fAX2AtlrV2BbPJvLChn8+SxxNnDvVfi7vG1v +N2YOty+Kk9+uwqjR/qkEWQJFTUd2SpXYQEXq8Yjb+wgUKvXI/6rfNYhfdenU +8f/w+Tz2u+PVWVt4ZH5njcB+AsnJb+crlrwOVwuel5GYxaf/1bUz2cHxjUmu +pQcIpKV0y7C/1w722JZKB8nh/bJVVqwj8wasr+qZviRPoMAd5kbDWvYwL0BF +HjiI92th1e7j+fbQ4bzyNPshAj2SmKyWlnSA7BYBzj7MSFZz7EmUA7zcdaAi ++TCBhp+Yy5htvAl37uvccVcgkN9omE3S45twvv+SrO4RAv2skdO0YXYEpf/c +PgspEuhhrcvtmDuOsN0/KHoes/ZpzwLd747AMpVkVKFEoOxGc+TgcAsmFcvW +vgACCbjwKa2hbkF1aG+ltTKBTL0D53jtnCCRotwOqhDIfn2E52vSCfy02P9b +o0qgf9zLqc/tneF6jODMAGaOmxdllr86g96fAzEpagS6O2+aO+jqArKnThh7 +qBOoRv7uH+l/LrDxg+X6kxoEutcgmfrDxxW+r3Cv3qFJoEWuGj+ZDbeh50Lw +3W+Yv54IUx16fRvyc5L3Vx/F9Suq9cmS2B0IX18+G6aF9/tiEP+zrDtw90rf +26vHCPTy0My752puYFbGOKugTaAZ5ubxlZ1uoLJ1Fdfa4wTK6xJ+SFi5g4ij +UO0w5i0c2aDx2x1WNsp5pOkQ6HODav3aZ3fhs4iu3IMTBLLbdLNXU+Qe1N+1 +Ik7pEoglo0uQUXgPPnS7x4nqEShNZe7k6tMe8Ew6xOQn5pG928WCKA+w933P +XadPoKXeCfEqDU8wGCuve32SQD6Xg8pcQzxh/+F+T1sDAikn7yuYmPAEnuB5 +eaVT+DzZts2y7b8Pv2dXUesNCdRr6iAz8vA+DKhvfzeGeYybw+JG930ojpS/ +kHkaz8df/3M5ux5A9A/dTd5GeD+4DHzNdHsA9/WsG4zO4P2X28p+peUBXEq8 ++2CXMYHYuo/Yt+/0Ag3mF4f+YJZvnFr51dULxM99YDScJVCAw6P4umYvWJ1Z +kRB5DtcH9w5RI9GHMMsxYHrDhECXPB3MX7o/hGbLhc0q5/Hn1F/ZwM6HkFa8 +uon7AoEKedY7KO7xhiAe4YeTmMO3S/6K9vGGWzcOKuSYEojkan+TO+oNRrV6 +C4/MCGRV76r+UMEHDgpfTjp7kUDxrH5FbGE+sPXOvYuS5gT6oG89rvDVB5ba +X/AuYxYTsAwQ038Ew5Ipzc0WBGr80BJRlfIISh9Wer+5hOvX1tmJLZy+EDs0 +cOSmJYGGjEnF7dd8wVvu61c1KwLtl2Z/OFDvC5cD1rzfbE0gTYWzAdqSj+HY +Z2GLT5gdqeWD1/0fg6TKIb78ywQ6E7TinBr5GNa+1m/1u4J/X+lmQ6O+H1AL +lx+dv0qgNaMq1quz/KDtuIfSXht8fuUtWX/zPoHMuNDv/zB3dSG3cPcn8GI5 +5UPbNQKpC8gmMsaegKtR1aVYW9zvlP3Mfx31h3Opg1udrhPopmqwZ8ZHfziy +6lubph2B4r6tHhbkeQqC5hyPt9wg0NufMQaK954CU8EO5RnM3fkrctd+egrj +3Id/FtoTaK1L20SA/jOovHby41MHAnXIR6ZXFDyDd5VXrMxu4v3UuvArUTQA +fAU8t8k64n49bhAEzwPAxjmsg+UWgRx6/jN6tBwAJ1o++nViblnwXKd8IBCk +d1WrvHMi0AqfgJFNtoHAdX/ol4szgVweO6xRjQ2Er33fUo+5EMi/6oRbTX8g +dO3jvMzviuvptIZgPPdzyH2yU5DAHODuPNKv/RxeTR7uKrlNoLo/P5KveD0H +N0UD/8A7BHqX22erW/QcLoReVbNww/M1J8nl//05AOX55z93Ah3K+u4lLB0E +O7ReprPdJZDK2sPp664GwYqY1Cs9mH90/3h4KjYIpn9XCyXdw/WvXWSWGgqC +WoPh7jseOA+9zW2Z2BIMye+/P9XxJNBcXaSgtGEw+K9YqyF4n0B6KaUVbQHB +YHdBZInCzP58xfuGhmDQz1HILHtAoMxXWqXbVoXAvvWnbIK9CFTeYE1VqofA +pis2wlYPcT/g2C9SeT8Efpbe75XzJhAvv6f2VhQCfXyvAlb5ECgncrNuzVII +FN5M0+zH3LWxYGvD4RcQ2VCz/P4RXu/BPRGit1+Ah8hI1l1fAl07IFXSnfsC +zO/+uKb3GI/30DXb4R8vQK177U5hPwJVjYYHHZELBVFp0f4FzG3MNtvmnUKB +3ffI88on+HzruX9fzA6FL6OntEL9CeRkfGv1hR+h0Hjo2r/LT3E9f0yqbZIP +g49BD3IOPSOQ1O4HgcKuYRA4++o6RwCBHpiW9T/MD4Ob6ukiQ5gHRQ+tU1oM +A8PI2oGPgXj+zjnwnFB8CXI/RoI8nxPoaubccLrHS9ii9/OYQRCeH7TrlG35 +S/iTsI5ZJBj//0ykqTvbKxhkEsv7jrnpNpob03oFJWcVb9SEEOj5k8XPEf6v +ICbDUOzVCwI1bClUSml9BV4ctkM2oQS6/dW8j3vza7Cy9Ao5EobzV7VuXNPZ +13C0+PXxdS8JdJlnwG8o6jVI8GSwjGLmBBNX1cnXwHGjLj/9FYGuOAkaL0mE +A1Ezau/1Gu+varvNHPbh0LL9l/jpcAJJ8jwPt8sJh/Tb60fEIvB6Cld1iS2H +Q3C7WOgvzLfvaKT+px4BzpJKJ+ojcb70P7rxxZMIMH54ekVE1P/y4OKcdkcE +HB6yLbwejfNDpr/4af5I2Cb38Ca8IZBN8/aPmZci4e+zcAmuGFwvk3uvWX+I +hNFPGaPjmMmxluPXv0dCuXJ9WNZbAnmMbztUqxQFca/GdH1icX9ltAq6+EaB +z8IvNuM4Am3a+evT7fYouHJ8Q7FEPO5vV155tG6LhuNx4rcWMTs+yGm9czka +9iwrSTa9w/mBTafaNSMa1hsZjUcl4P52/uTx+uVomP94/ZV9Iq5H5ufjc0Xe +QAe7t75qEs4z8bk9mdpvIPtiBPumZAKturY3o8/+DYTlZ5ZMYWZbt2+3RNgb +uM3d4JT7nkAFBrIH3ha/AZNr41KPP+D6Yf2yVn3yDShW/p44l0Kg36OtfRs4 +YkBIgCtc6iOB+ktVTFfuiwEW510GfzGP2+kdFz0bA5PNsLo1Fef1IpPQ654x +UC1+pjQmDdfH8ar9IwkxkOhp5+KYjve/1wz/nZYY8Ovz3quRQaDXyTtVlH7G +gO2+yCmeTJy36mpfSQq9Bd0nWRGfMZsU7uVXPfoWZCYbThVkESi2PKHg/o23 +wK04scY/m0CfHntdnwl7C99e/Cm7kIPXd+d6CffSt9BDct2WziVQ/aoX43Jf +3kL+UQkZ5jwCefJfCNjKHQvhb5Q/tWMWjPsgIq4QC3d/n4mKy8f93qA67Lxl +LJgZ3DjtXIDXW3FmqOBpLKi89+HUKiRQ6cTpOZXcWNi5IqqCrwjnIQn1vPnR +WGC7kH1nFnPsOUKufk0cfMpulC0u/l8+fHShYX8c1K2b/PyshEDrU9WFv5vG +wYfLi9EXEc6TNkYuRx/HwbNS7jP7SnE/VZkxLM+MA3u+3etWlBFoj6dkqtVw +HBjcVKnqwry5V9VNdnU87G8wdk8ox/3Q3Oij6P544BGx/+92BX7/V48VVc3i +4Zf7oxntSlw/LZcFHvnFQ39XVMy2Kpw317Sqf8uOh6K9OcYk5lVHBBIej8VD +1KOm9aXVBPrI8ffQ0bXv4P7oZPXzGgKd/eq7IHXoHVw6tHT3Ui3u721tVYet +3oFG0MYDB+oIZDbzJdH++TsQn909t7KeQLumRwKbi9/BanXV2F7Mke3opuHM +O5iNOHsuuQHX1x3Baiy8CdD03Z7LvRHnv/cXf/WoJUCqrm/tiSZ8v0uW8umy +T4DnCdEeQs34+ejX2J/IBHBkypWbx8xMVjBpNSSA0dlmorwF/160X0/RrwQ4 +mDEVF9JKoCfRmiZnxRJhK8eyiXUbvn8IUZ4ChomwdGnTxoPt+DxluSqufpAI +w0WS9as7CEQZdXlvS0uE0s1q9wcwa1p80z0znAhv7c4dTOnE8xFa/jSfMwke +1jhQ97oIdIt3t7yaQhJYb3/8Tr8b3x+vcSt/u5oEWrffXNjRQyAl94uRjS+T +QLI9d9M3zG5hP9Qaa5JgrWRLQ1Uv7t/Xs3Z9/ZEElNf0g7A+fH/iclFWEUuG +tsHlQ1f7CcT9e4tnzulkyDywef7wAIH+++swaOCdDC+eSSVyDuLnnz+jzZOd +DC6f1MyGMfumh5cwTSXDWWUTnrQhnJ//rtvLvfk9KLy62XR/GPfnhHtPtTXe +g8DC44enRvD7OmS3JTm9B6bjMQqio3g9vdwo6XfvYTw2b+EH5qQroQOD3e+h +cqklqXYM32856/zS2D/Au9OfLr4ex/ftoo755IMfwPfjX17bCZxXd91ia7z6 +AWzYeVoUJwm0bG5VsDH8A+hc3OOzfgrfRwbN2B40foC9+eqKY5g32/IN8Pz9 +ABu4z3/LmMZ5+qiGTLt0CizYOL5/+AnvV7aQ35nmKdBV4Wdh9JlAstGZkkXB +KZC77S3fri84v9vpFc5UpcArp/zW35g/790QCb9SwK259VHDDB7/YnhVwe6P +cEH8s1LkLP6+QajE+QsfATz/fbebI9BqrjfFOwM/gnAfT4oygeu10xlnroqP +wLpvryU3iddfyFhL9MdHmPLT4J/EvH2dwi4ziVSomTjfnk0R6Njb0DXofCok +H7n1+BGDQBcj/k6oB6aC/4snymfnCXRKYEUSoyIV7Mi3P3cv4H717oBu+c9U +0D9a8HEJ8xH/9ZODm9Ng35s2q+avBIrpj/OelU6DTb8/b3vzjUDf1gmuXKud +Bj9OMnU6fCdQrUSxmYplGvQm8z5R+4Hz2UC5v++9NChglVbd/JNAGQ/tHo2/ +TIOI85q/pzGrHPh7TC8zDTyyL6Tl/cL9MeVjc3NTGpivc7rs9xufv/OF680/ +p4HaZX/B83/w+TY3ZV/Jmg6ipbFdexYJxHjSmloskA7sfIX+/zA7njvG6nsw +Hb44tKu1LRFo3eLfRctT6dBQ/+XP22V8Ho6LhRjapUPKTuaMW39xPupdqDJ6 +nA4B7luuav4jUM9I6JNrceng0CW9fQsTiR7pqI0EoXQw3Hu05wvm0wXihY39 +6SD3yPRZITOJVt66ycf3Ix22jDppPGUhUbKj/jcXrgz4c/DpkikriUItCI0v +Uhkw+DwuU2YFic68clx1XSsDSmYKbVjY8PeFOOVYLTPgjVqHcCdmhw/Dte89 +MuBBxExv/EoSvY/nKrQOzwDL78yBLuwkura9fuX+3AzQ1OU7emwViZpHJd9t +7MgAiQSZv1tXk4g6ezaYjcoADiat7DnMkymOtas5MoEwNrMtWUOi1JTXsF08 +E1rSnXcGcpDoYN/cgpZaJqSvedZvzkkiVbNHg15mmRB8Kf75f2tJZC3g86PV +LROcioq02Nbh8b5hVZB5mQlnNnf+68asvoMvLiYrEw7ZzeYkrifR8tNhSdG2 +TOCvYbG7s4FEJW42jQVEJiwLbRXV4SJRwb52D4s1WTDqKjsowE2i6kQJ5W3i +WVDephVMYT7+wIv9s1oWxO2+qF22kUTK3PMtVRezwMfLhTl4E4lEzz0Izr6b +BVcGn+VZbibRt9WaOrmvs0D7wLsbcjwkEvQ89q0+NwuknhWLreIlkWfdS29G +Zxas+9Q51IfZLEbpj/hCFjBgLuT9FhKdc1DXcVifDe0vWXXu8pHI6mSGU6NU +NmTPb2XV20qiSvYA+0Pa2RCmva9gOz+J/q4alcu7nA23Y485LGDuc0kq1/LO +BpOli7sqt5FIX5RcO/s2GxRPu468ECCRf2Aqd3RpNgh9DAi9LEiikWmi3nI4 +G5jZE04cEiJRLmuizJGlbJgwK1nBsZ1EkfYDiqJbc6Aqr6twEPMuq4dzQgdz +IIGLuPlRmER/qiPlpIxywM9mxW7PHSTKkRDm0b6VA7YV/GMnd+LPm1d63w7K +Ad1t/73cKYL32zuNuwVpOSDjpK33HbPuiY5fnC05wN1svrJGFM/v+rh5eyIH +vondLn4pRiLHqWTzaY5c6PYIvGUjTiJmlz7V67tzIa83QfLILhJ1b9kewHYs +F17LovG1Enj/Nl1XTr2cC+5+3a9GMP/USNO38ckFswlCP303iX6MdOTLxeeC +yhG2VV6SJApLqHDaVJkLO19sQ4ZSJLpjdPUe60QusJH/OYvtIdGXUylNrCx5 +8Enz+J5fmNuynC9sFs6DumiLybq9JCpVeC9+UDkP3v+6HR4uTaJeY2kxW7M8 +eHryucF1GXweJKYN0u/lwY3kxNUgS6KJ2+kJ7FF5cJK1tHTDPhINlTkI3ijO +g/3ne1zGMTPvWpn+aTAPeLLJvVn/kWiDp85Zh6U8+LV25bT3fhIpHhfm4tyW +D/3WApFnDpBod55Ze65CPhSh/YYScvj5b8dCb5nkQ9QWHY5FzD0hHsaqbvng +6XCpvFGeRO2VG7iEw/PBov7O7aiDJPrNc61gQ2E+qO8MkrE/hPf/tku6XAP5 +IOae9EnlMIn+bWqs3rGYD6u7SqM2KpAoY9ZFQIO/AGb39J6ewnzgsLyOq0IB +NPlQnLlHSMR3tE+nyKQAUkdWVvoqkkhipRQfl3sBPD8o6HZOiUSXtywlO0cU +gOPzA/ukgESsjZuW5ooK4PSMzpdlzOe2Ka+8NVQA8mqWb1qUSUSma1Zw/C0A +vgi3MzEqJLIcp6SyBAth8VvQOkdVfJ7SmBWvQyEMn0iuUlcjEX+jMiF3sRBK +35W586jj/RpkL891vxDe/uv97zPmeM5Tm5diCuGhMWMmX4NEMQuJnj/LC8E6 +nf3tE00SSSfKOrJOFoLWGqGzF47i91V6Py3EVgS7L8ltkNYi0SXH9k4dsSLg +LDpRw3QMjz/DQt7vaBGQm6zutWOe5eZZ1XulCFqvux+I0yaR17l4ZTm/Isis +Dp5zOo7r4Z+a/rj3RfBC6H3sUR0ScWzc37qzqQhcXMvP8Z0gUZRd1NZMsgjO +tvVxzWI+5VOQabChGBR2z9cW6ZIoz+PwC5Z9xSDgtcrzmR6JDu9pySs/VQz/ +BoTkL+qTSHjdri3BTsUwtl+elD2Jz7vu1w+OYcVQ8VQ3ntWARDdrhuwt84sh +ftrqfBfml3+DLlgNFIMv3N2YcIpEL8SKbZ2Xi8HmZUi9qyGJGgTZXoYJlYDO +/Pv72qfx/Dty99WqlMBe7YqD24xIZHDhicQayxLYENtPEZi1yzd5mfiUwMLi +/Dt0hkRl+w1GihJLoNNwtelzYzw/X6fk9zaUQE7K9s2XzuLxzNr7pBIl8HLl +wcb950jUmhVUqbwBwR0zPa+VJvh9Qr7Mju1DcCHP+nAvZv673D+CTiMArnvz +Sefx/l+bNHjKFYGwzYtEtwskOhR49JVIOALWig9mJ0xJZPfXR5StBMEUfyWP +kBmuZ0t/73wfRVBza6CJgVmYfFv3h7kUkpoWHpZfJFH5iV+ZDzaWwhOxNUdC +zHG/vHnsNrdoKVz3EP5qZUEiY18znmS5UtDrPZgsf4lE3Fysvse0SmGfrL75 +aksSbZ9arGecLYVNfpe3DGDe8x/XYPS1Uvgxfq/lgxXeL56r84zulkKvQqjP +PWu8PxKSTTYHlEJBSIqi/mUSpfXnVwy8KYUIovKb8BUSvY1ZnEvKKIV7moPv +v2J+1v9fp0dlKVyM/mpRdRX3m3xR5wvdpaD6a83WMBu8n+uDW1Q/l4LIyR1t +V66RKIWAIek/pcCefMj3sC2J7p2bDRfhLIMvLCeB8zqJKqKMVgkLlkGDyZUf +Q5idolSERGXKICXLIyXVjkT9wdeGZFTLIGBtmOX9GyS6vz4Y1A3LwMH6I/8p +exKp/XJSNbMug1Ooql3EAffrJ23j913L4MCWocc/MBMmFgIf/MqA1+Gbcu1N +3A9OTZJDEWXwu47j1ytHEtlkchvyppbB4I6dqddukchnQ+Ix47IyKHE7bK3o +hPPCGdPGNx1l8KbzpMB6ZxKZN5K9jKkyeLDnaucoZhF2blutX2Vg6eP5JMMF +z9dPjwcJa8pBcyRM9aEr3j8T1JZ1AuWw62Dq79O3ScR2eYuUu3Q5rHlenSZ+ +B+eFW6l58yrlMPdl6PJvzNQpm0I7w3JoVv0u2OCGOW5Z5qt1OaSFc3ZHuJPI +9bwAj8ftcgj+tvOp3V0Sra12v8ztXw5OJxTUle+R6LZXs3BKVDmceWewyOWB ++7F/pYpeejkc+nc1YwJzmMyGyl8V5cBvfP9qtieJrm60iE/qLofltJfbH90n +kZGMzbj5l3IYWZ3WY/yARNm9PS7CS+VQZlHzbLcXifZmnbX4vK4CYguHNZYw +55dGRuUIV4D3ph9LTQ9J9MbgpIT//gq4cn1tVrQ3iR5sEv535WgFaFeLXHPw +IZEee/62E+cqQEroyA61RyQ68aDy3sHrFbDO9VTfJl9cv/8jhSU9K4DRahM4 +jTleYJRFNLgC2iUeHM17jOtNhJqg2LsKyHrw6u9jPxLdNSuz35tfAaEDadkm +T/B47Jh/KjZWgOv+Wts9/rjfi71PMRypgHNPR3b+w7x42Or5zYUKUJz+0d/6 +FNfXnK6oMLZKEIJ1QW+fkYjzd0x7xZZKYH4peuxWAM5n+XYSPyUrYYJxhEkz +kESxbKPRslAJVccMc3mfk+iC8qt9jgaVkPD2mt0XzKM7FYcLrSrh8eID0cIg +Ej0tvR7NcbsSrhm+HvQPJpHk3cabFv6VcCIlPdg0hESfef4YlkZXgvTKOm2Z +F//LY6GqopmVwG02yswSis+/j8rB59WV8C33Z14HZtZHr/at6K+E7g3r7ePD +SOSbf2TvPaIS8q6Kibu8JJH7k1rxf0xV8LpccVjrFYnelfbxP9pUBe78p19s +fU0iTUdOdp5dVWB6y1ZnDvOGTUtT7xWqQLnJi7UknET7bNSytfSqYIdYeEFA +BM73we4OcxZVsMIjw8E8EvcHVhXeMOcq+NRTt+u/KBJlekvEavlVQZ3M2MiK +aDxfh5u5mKOq4P3jX6HdmC+8rjYrTa+Cp+PrdRPfkKj+ZtJjn6oquKEgznYn +Bu+fz5z+p/qq4GSIUtHxtzjPu/hcEieq4D/itKNALIk+MpI5WZirYbPm9d0U +5iH3dQ8nNlXDz6iHY6VxJPr0Wr26YVc19P0MfxkUTyKd7aMtBUeqoUg/U8/y +Hc7Hhxwj0/SrISqpfqVcAol2XAmQTLGsBk+W8WL2RLw+PlXOaa7VYGHy+1Yf +ZoPKeLcC/2pQz9og9T4J14sEJNfwphrE1u6acE/G+WPd27jxrGpYZQ2vdd/j +fCs1UMZUVw0zJUYnt3/AefLJLz/RoWpo5LVbtYC5m/PRkv58NXy090YVKTiv +febe6MVWA8/rIpxffCRRcIp4QyFfDTjuyNpzORW/j7K+8OKeGjjt1jB5MI1E +cUM83CqqNSDfOR6+Jh3fv3jZXj81qgG+PX8MBjHH9cRmj9rUwKI315qPGbgf +mbqZH/aogaHhXWUembi/q258/Tq4BpC8suvJLJzvHBZMmBNrICbwjPTObBIF +SUfH2hXVgNcXu+lvmLn4oq+Pt9aAtapPZHUOrudCLjnnp2pAKzzS8GUuzn/+ +7+8M/a6B3d+yOGzycN7+i3Is19UC54nGcoV8vP7X5S8v7KgFMn7i9toCPH6x +EF8f+Vpo/ftHZgTz7eYzvMI6tZBxhvtzWiGeXzPWDeUXayEkTSL6QRGJXu/h +vXHVqRacV6sYGRaT6PEotyyvXy0YWxivFSvB6+3qZNAQVQsKhTcqf2JuOFHc +4p1ZCwKbHrnVIby/116J16ythX+2UfvCS/H9pWihZe1QLYxVZX+xLSORfG7f +8YH5WqgQbHqjVI7zaNrLzakr6yDeZfLMhgoSGWYmSzzmr4NHrYvrxjF/FvJ7 +eFWmDq5KbKzOrCRR08e0HfoadXD8we673lW4Xt4IY1I8Vwd7BlT2n6km0UJN +zlaZG3WwYf/Z2V01JJJy9rku8bAOFvzt3/7BnPPs7vddr+qgc+rR2cZa/H53 +uVP3fqyDHKXoDVF1JFJgKww9XFEHL8Nyam7Uk8hWeS5Rp7cO7jCa7qk04Prw +Zuu4JVEH549NHdjYSKIsIl/Ji6UelN4uzU1iPqalWJjAWw/bFzfG5TSRCMR5 +Ddul6oHFUNLEtxnf14zz2VhV62Hqgyr3uRb8e6wvGg+dqYcatnN1kq14Pd5u +jXe2rYckUwfPZcwvOAue5N+vhye5vvItbTifXBt3Zwmrh+sb3pBv2kkUosbi +dPJDPehdzY2/2UEib7tH9u/K6kG2vPm8eieJXARHrjH31MNG/umNPF0kKhx0 +umQxVw/fHZfrP2He7Jd7qo65AXoaNz3I78Z5wHT9ITneBigQlTr0pAf3+5lP +65OkGiDinhrjfC8+H5vedwqrNsC9nnMJe/twfeAv93pzpgEuytw0Zeon0ZHQ +F3yi1xtA9fHjze2YBW8+DUp90AAi428aYwfw/ejbhll42QArFfK8nAZJ5Ffo +I9Sd0gCfg1sOHx0i0a8CQ6mbFQ1QPzc9v2WYRM9hdO2mvgb4oPE3cQazI/G0 +tJBsgGdRmy8WjZDIobRM+eqKRnD4KcX7bJREvJwjftu2NsIpffVmszHcP920 +wrukG+FAkom37DiJTLkv2oVoNAIvi+MR1gmcn3Y/Yjpr0gi/z/l97cS821VQ +d6dDIwxkxiS/m8T5eYXPqa8+jVDMmW/uOoXz2j6BtXURjRBt1bpFexo/r0jV +JS6jEe6XfGrh/4T7XZbhM+/aRrjE+8+HwBz6ME3XdrgRNO15lNBnfH6pjCzj +b42wq27P98AvuN6uyyvSXtMEa3ZofLCYwfnJkP+S6vYmmLtz/tL+WZyf/OTj +QK4Jmjsct66cI1FSp7W7qk4TpEk9aevB3PCe5bO2RRMEeb/1TSJIVDV+acLY +tQluDeeDG4n7Xdy4je2zJjCSb/uhQ5Go5Gb3He+4JjgY+DlFkIHvAxKh6+MK +moD/yz9LBmb7RRfR2tYmWFbh3VY+j+v1rba8+ekmGHm9tyN4gUQHXX5WbV9u +grKvGn5WX0mUqqx07PTGZojVuaAi/w3fNy1/KwVININ3/K1fq76T6HyrW2wz +NMPlv09S+zEfr+V13GjUDMfOxFp/+EGirTOCyRdsm0EyrUDg3k8SpUuOq6c8 +aIa1q9s79X7h8zeQDiyvmoFh/uWJ8G98n3wzFHo+tRnaC5jUvmLWvp2lWVTV +DFkbt/yp/IPre8nD48KDzRBqK50eukiidcIRMU8WmsG1SvPKlSW8PklHNRZX +tcA5QVOhw8v4POd37LMXaoEjLk7dHH/xenH4W88caAHBVv+nQ5iFMgsHr+q0 +AJNEnHrqP7x/+VOekxYtMH6/cNGTiULma5Lvu95ugar+9gwDZgqJRiwmsge2 +QMJ/M1dFWChkdnSGNeJdC+3DUUhsoPjZgeIW2oej0E2PYo3Ojhbah6OQSLa0 +qOtMC+3DUWiVZEpIIFMr7cNRyEtbJeETRyvtw1Hoyz1nLRXeVtqHo1BigZ1z +5I5W2oejUG7EcemlPa20D0ehV/Z7HS4caqV9OApd2nvwcJl6K+3DUaj8zmNf +cf1W2oej0O93qucCTVppH45CZJpXyqJ1K+3D4fGo2XpevdlK+3AUasnib+u7 +20r7cBQqW50eqfO4lfbhKOS9oD1ZFtJK+3AUOtPIHnvoTSvtw1FIKoatN/N9 +K+3D4fcXt7gvk9tK+3AUulpxODK1vJX24Sh09+EHCdnmVtqHo9AP8U6R7L5W +2oejUAJvs7/CVCvtw1FI3ibHrJLRSvtweL4nEsP1llppH45CDQulSkPsbbQP +R+F8ynf0+sY22oejkMCvpox/gm20D0ehxb2/PEJ2t9E+HIWYPDI+SMq10T4c +hQTzeeSrVNpoH45CqiZKAuYn2mgfjkJGgaoX/hm30T4chbRkjnyPtmyjfTgK +rStVm1C1b6N9OAolOTiIfnZro304CkmLDOUHPGqjfTgK1V+KijoU3Eb7cHg9 +rza2TUW10T4chTy23TsZktxG+3AUClhfz6+R00b7cBRK9qre+6usjfbhKFRo +/dg3pamN9uEoNHpql7hVXxvtw1HIIThvldBUG+3DUWg1v57kAKON9uHwej9l +evpqqY324fB4FMYkz61qp304Cm0VZlspsKmd9uEo5DrjwTcu1E77cBTSpSwt +kyTbaR+OQjsO1EzelG+nfTgKjdnlv1RSa6d9OPx+Syfuceq10z4chabnQoMH +z7XTPhyF9v6J7/5o3U77cBS68dRfw+tmO+3D4ecVmQyfvddO+3AUUtERid7n +1077cBTq/fDDe21oO+3DUej+8njgTEw77cNR6OOa38V1Ke20D0eh67paHO/z +22kfDv8eY8T5WVU77cNRaH1181/Htnbah6OQocHOGJOhdtqHo9CfqlkTjS/t +tA9HIed/B/bIfm+nfTgK/fNbv1GIuYP24Sj07K03x/q1HbQPR6GZPfGbmPk6 +aB+OQh/K70h9F+mgfTgKqcdxn5yV6aB9OPy8ClfPiSMdtA+H16ssL29Iq4P2 +4Sj09PPQzz7DDtqHw+t74KtC78UO2ofD76vP6tVr20H7cBT69HxLQ79rB+3D +4fVcPsI98rCD9uEoJKd5x2gqsIP24SiU39T3gojooH04CrHGWjb/TOygfTgK +XfDa+Zc1u4P24Sj0X7/gTu6yDtqHoxBfmanCjqYO2oejUPu/GfX9fR20D4fP +G2eDotZUB+3DUah/zUoR0/kO2oejUGfT2+9Oyx20D0chtu6EtIDVnbQPh+uN +Af+p95s7aR+OQjHbWQdqhTtpHw6Pp9FS8/OeTtqHw/0hQCN49eFO2oejULZB +MpLS7KR9OArtTwmrO2nQSftwFDo7yZXqatpJ+3C43sxts4ux6aR9OLw+1kUr +Gp07aR+OQhzvvtr/etBJ+3B4/yZWpYkFdNI+HJ5PgQPlRuGdtA9HIXtz9Vjf +hE7ah6OQr8f3k0WZnbQPh99/n3bjPOqkfTjcH8bVNkg0dtI+HH7/hAl+i95O +2oejULjWbiJispP24Sikc3jLgz5GJ+3DUajrTUov73In7cPh8643Q55Z3UX7 +cPh8VjeVv9rcRftwFPp88IzBkHAX7cNRqOKhd/iOvV20D0ehDYfORFw93EX7 +cBS6ElxvkKHZRftwFLL1HCpaMuiifTgK1YY/7dUy66J9OAopy/TGhl7ron04 +CuXIF2ybdumifThcT01kVOQfdtE+HIWGF+TW+wV20T4chSRW1j8cjuiifTgK +pR+fit6f1EX7cBQylXho6p/dRftwFLqYFVMyVdZF+3AUOpJ+sES5uYv24SjU +GqxmEtnfRftwOC94FAUvTnfRPhyFXmhGWpl87aJ9OAo9vzbSXPSvi/bhcD8W +cm8S4uymfTgK1ajbmj3c0k37cHj+bBIfzIh00z4c3k/tuw4YyHbTPhzujzZT +twoVu2kfjkIDHV0gpt1N+3C43p2fDw4y6qZ9OFx/VkjfYLrUTftwFNK87d1y +40Y37cPh/cA3mzHq1k37cBQK+3qa95RvN+3D4fmtKvxTHdJN+3AUOrDEZXIk +ppv24fB85ZyQy0zppn04fF7LLvlJFnTTPhyFMl5rnY6v7qZ9OFyf7Bkh2zu6 +aR8O9x8hvaORI920D4fzxQFjG/65btqHo1Ap4+/i61/dtA+H+wHI/eFn66F9 +OJw3ShgWUVw9tA9HoTfF2/fvEOyhfTgK2R3Ou5awu4f24fD+nUhk3yvfQ/tw +FNrGN8mWo9ZD+3AUuv3lnCXo99A+HIWqN/3dXn++h/bh8PnnKDlkdLWH9uHw +eSP8Pkw49dA+HO4X/oYuNx/00D4chb5dXfOSJaCH9uFwvsgL5wwJ76F9OAq9 +P/uzXSyxh/bhKPRzjONLQVYP7cNRaM2LGh39sh7ah8Prc3nL0nRTD+3D4X70 +b/bbvf4e2oej0Lt06QNbPvXQPhyFTHxaCzK+9tA+HK6PBwq9dJl6aR+OQufE +Bp/OcvbSPhzuB45CPY/5emkfjkJOn+0vSIj10j4chc7/KxSs39dL+3C4307N +brGFXtqHw+fXZfbYep1e2oejUIpGfGqmcS/tw+H6/oxV66xVL+3D4f9XZ2xg +utlL+3AUUlx1elXivV7ah6PQsb38Uief9NI+HO4fhZJ3FsN6aR+OQvxWNxjv +4nppHw739+Bm/1PpvbQPRyFtM9kTzCW9tA9HobYgD+m0+l7ah6PQbNIb2Ys9 +vbQPR6GFNreTXJO9tA+H3/fLT/8KRi/tw+F69mBxzHm5l/bhKNQ3aKsruaaP +9uHw/JKyraM8fbQPRyFxExmrsJ19tA9HoVC3Exx6Mn20D0chF2O7UnbFPtqH +w/vtg8uDsmN9tA9HIYupE3ruRn20D4fHK9wlcvBSH+3DUShLZp7p+40+2oej +0PdQ77EM9z7ah6NQpq9TpcPjPtqHw/ViLjZBNrSP9uEo5H7+q+/C2z7ah8Pj +3aFpnZXaR/twFDJQvKfoUtRH+3D4eSZ3ORXq+mgfDu+XEZG2f119tA9HoYfD +px9XjffRPhyFNgvO7/On+mgfDvdv3p6GU0t9tA9HoXs/P53ctrqf9uEoJBPO +WjG1uZ/24XD+CV4tkLajn/bhcB4R6bvgJt1P+3AU2qh20uvokX7ah8N57qX+ +k03H+mkfjkJPPLMdx0/30z4czpurTA+nW/TTPhyuHwviQ543+mkfDp+/dMbZ +k+79tA9HIcfrLz7ueNxP+3AU+vp3offbi37ah8P96etUd83bftqHo9A+P+13 +4an9tA+H+1XOkrp9UT/tw+F+L9ibplHXT/tw+P7Dn/eJv7uf9uEodKvA5dPC +eD/tw1FIuGA+pZ7qp304Cm15xnQodqmf9uHwep2/5+O+eoD24fDvM2mEGPEM +0D4c3m9sey/K7hygfTgKDaZwf+aUGaB9OHwfIBokvhwZoH04XM9t9+2sPjZA ++3C4PvgItscaDdA+HO5P2lf2P7g0QPtwuD67fzpqbj9A+3D4vhTsuEbl7gDt +w1FoU/7EbWG/AdqHo9BE47oQlrAB2oejkL55g9Fk7ADtw1HoF57D6rQB2ofD ++6n/ZFNS8QDtw+H9fqXG/Wn9AO3D4ftlvWijQ88A7cPh9eRRzTeaHKB9ONxv +t8yrHJkfoH04fN/WZ7fc8XeA9uFwfpw3FljNMUj7cBSqmsy/xuAdpH04CkH1 +sk6vyCDtw1HowaefJaWyg7QPRyFjXTeUpDRI+3AU2pl9Tif4+CDtw+H7vt+V +S3eNB2kfDs/vV2eWK1aDtA9HoeXqM+Knbg7SPhy+jwYPtih5DNI+HB7/q+7f +u/0HaR8O5782nljeV4O0D4fz5fT1uhXvBmkfDq/v4Q82CxmDtA+H32ci5uEo +GqR9OArNJwtubmkcpH04fD/gXuAr6RukfTicF/RmA1OmB2kfDvcznT6XyK+D +tA9HIf8kv8anTEO0D4fXu6jJ/97aIdqHw/s3wD7nxtYh2ofD9XhC+ai5+BDt +w+H13supeGr/EO3D4fwUHv1CQ2WI9uHwenDU6h7UHaJ9OHxf4TGwljQZon04 +CnGuWtMveGWI9uFwPR8vTOB2GqJ9OFwfzf5rWvlgiPbhcH+fVdBafDZE+3B4 +vpLf8DDCh2gfjkK/XaXkphKHaB8O54+ppLj+7CHah6PQY7kJs9byIdqHw/3/ +6lur6pYh2ofD/UomPbNocIj24XD+/NZ7NPPLEO3D4f7XPS6U/GOI9uEolPo+ +7FAM6zDtw1HImqk06OWGYdqHw/WJV0QiUGCY9uEoVDn75M+j3cO0D0chSym0 +wlN+mPbhKFSv7K3hqj5M+3D4+5rxBfYnh2kfjkJL3NPmV02HaR+OQosy6w5Z +XBumfTgKqU1MKJq4DtM+HN7PO/fYGXoP0z4cnt+Y1JoTQcO0D0chq2oZraPR +w7QPRyHmNCdC+cMw7cNRqGm/Wvbh/GHah6PQ0fELkfurhyF/hncDJxMDHVt+ +HLu3Yxh2h8XO3sIs8OBJ1a7RYQhXk64ZwvxURIx5JzEMnIyCt5rMDMTQEzAU ++DMMdyM076ViVhTfX8TLPgKkVvvZLSwMxOu9R5570wiYfb9w4D7mscWGck7h +EWiN+bJhBnN9V9t59r0joKLrNGfAykAjm5hZWRRGIOMPU20hZn5H/syloyOw +M8E/VmQFA7lYjNv8NByBkFNbPJ5i/s3Ju2vBfATYmOLO/cBcZXjn85zdCDh/ +kJYzY2Mgqc7GpE9uI/DJuJCrFnPpUPPVcd8RMGY7SsisZCBTd2WxoRcjUJ/e +XvsKs4bj2GDP2xFQMDWNY2FnoB1KDk/aU0fgw5oZj2uYhblSpZuKRkAg18mk +EzNz39mamroReHaJWV5xFQMJKcjol3ePwL/1T7nfYfZ/wagpmhgB+6It5LrV +DLTln7FULmMExq7E1blgtjkq7pa+PAIGm2XiRzF7u3H2nWYbhYqyQs9jaxio +MMHpUzvHKOy3O3o+A/PL+JRPetyjEL+1Q56fg4E+Nwf1Nm4ZBZ4a040PMa87 +x5p/TGgUHjnOkHOY2c4NPaoWHYVfQs71pzkZ6EbJXzU1qVG42sj8rgRz+CmN +ObRvFPpdn94XX8tAAeXPPI4cGoXjonwXAjGvf563lAejUNQWd/A35nfCbywO +aI7CnnsymyzWMVB65o60dJ1RiNpdRNVjtrwkMLnn1Chs6Dna8N96BuqSuL6c +dHYU7nt1vIvAzDZE/hG9OAoL0mYP2DYw0Bdpj4EY61G4NDhzwQ6zfcafSIHr +o9Dp63yoB/PzNkWVV46joHGAZbMyFwPp9ohUbrozCjljTxmJmCtmnogGeo6C ++DO+Ri5uBpLYoGfJ8WgUXh6OT7iDeWKXtofP01FY/UnGawKz71djJ+aQUbgT +XGSqs5GBPnGc0XB/PQqzoHU4G/OmWSHyx5tROD/XsVlwEwM5fHpm55AwCk0v +zeZ9MOfu96iaTRkFJY3ZRgrz0kTDvFXWKKTOOycab2agsgm9hZGCUdgexfKw +DPPvf1NVZ8tG4bn2M7PdPAzEPX7lWkfNKLD85FMIxvx3Q+aYTvMoOMbG8yxh +VpqPEq/uHIUpPdkFS148P5Urj8DAKBgtFTU1Yc57XymQNzYKNYlaSXJb8HrH +ZjTIfB6Fg6c7H0ZjZvFJVk8iRyGJ+eLFVXwMdGWtzyPh76Ow9eOsggPm3hbB +4FeLo/DknAtvP2akomvNxTIGSytZv6puZSDzbOKf76oxuJ75rPk95slz3aZM +68dg2Gxr8iZ+BrqoPHbfZfMY6HG+876Lefjkp8sk/xiU5smaT2O+drZsvdWO +MZC1Kj6it42BBP8ddR3YNQZvuY5tycMsXHfqzUnpMdhY0vl1uwADFfEX+9Qc +GIOHNhdbHmO+eOj8bsUjY/CdZy55AXOK6mq/DNUxsK5w8TERZKD7chFx4sfG +oOcGq0Ul5r/zc64RemOgtS1AcY8QA72Q6WDjMhqDgtqtfKGYufzFtL3Pj4Gk +07tvfzHHuJeo/7YYgwjhfa2XtzPQqPHNb7ZXx2Btc/H7Vszjp/mMR2+Mwb07 +xx4dEmYghUd+dqecx4AS67J4i3ntSIRctfsYXOy4qMSxA/Ne8dSDXmPQ5jHH +dwtzyE6mnuTHY6Aq5fp9EHPsib8ftgWOQWYva5vGTgaa3/JL+lnoGIh4B3z4 +iHmhtcL0X8QYvJDl9+UVYaDpaPmD9rFjsHL43SVPzKPPJApHk8bAxW8ffMHc +7n1jWj9tDD7LlWw1EGWg0OMjeaU5Y3B24tiPAsy/vXVlZYrHoD6gq22nGANV +5wfpRleMgcIR8xR/zO3a99evqx+DD5/nfL9jZnWnbrq3joHAC1dLU3FcD29k +uMx0j0GAygrlGszrxaK3GQ+NARMZwC+zi4GcPf0uVk2MgcNr/p8vMcsqHVPd +NzMG45oJ7cwSDCT2N7U0ijEGp77u+2iD+ZlScP+an2NQGV3yuAMz04WaZ87L +Y3BAR9vqyG4Guhe6fXCMdRze/epSjsfsxu5YprNmHHjjzbetk2Sg2fdBirkb +xsH3JPHTGfMJs1N6wrzj8HvZtWMEc/qg73c/gXGwSV6RqiWF+0nJSulvO8dh +wCjQLx3zd483f87vHgcd1m3WW/cwkOYdiTNVMuNQnJqg4oU56NMttT3y47D3 +/H8Cc5hvj50uCVEch+hV6JfhXgZyMo+uXlQbhw3Z2p3FmMeVRUwstMfhvnl3 +qpg0A605lHunVn8cFtZaPAnALDuxW2TvmXGwLCCsf2GOzjtpFHxhHLqsb6ua +yzBQGvff9b8ujYPmRjbBeszdu1n0z9uMQy4K/L1PFo9nq8zmUvtx2GW7rSsc +87rBs6Y7Xcbh1ZbEtBX7cL3ROrnb5+44rKn6z/865g7+zy6fvcbBzQFd7sas +QlEa2n7jMCdwXA3+YyBDpcPB7wPH4UJ9t2Ai5seWL005w8ah2dniz4b9uD5H +DryzjRwH2El23cactrLLpjF2HNJabqePYxaLOJ0kmTwOwu5sT48fYKABhb3m +fmnjELTr+ZUszIJx0s8/54wDa9c2dQE5nAccdx/ULB6HW/cThXww80hShrEV +4zC1Z/8iiZl/t+70v7pxMOpH3WfkGehyBu+sSes41PgczyjFrD7Nb5HbPQ6H +/ut5KnGQgYja3drcQ+OQPGJxNQjzS6VNb20nxoHfn1RfxOzDmXil+ss4+B+8 +s93yEANx9mVHCDHGYXmSbakRc5TkWkXXH+Ng9/x5z4HD+DzZ3tVqXRqHEUWB +zCjMadNdxeKsE6A/k/iMXYGBZCqnwu+tnoCy0P029pi7udzHOtdPwD61Uo0+ +zM7Fl5/u5pmAWOq4sOoRBjoV6hLlsW0CNkX0LCVj3nnizsbOHRPgrXWpd6Mi +A4neUpoVl5iAH9/ITHfMuzyCRNykJ+ByzJ2AKcxhFeolTQcmoPfEymu6Sjgv +uO7MFToyAcf+PNfMxdyZs7TWQXUCCt4J7NgO+Lzejior05oAyVNJy76YPcK7 +W7n0JiDi3/6+ecy+gzcPm5+egLUfSrPOKeN+Oai8nGoyAR7GOoEVmL9tW7fl +r/kEMFb0XpNSYaB4lsTHx69MgHn6paMvMEd2DOi/tJuA9gvUjr+Y5fOcbSdv +TYDaGre/1qoM9KdAY0DabQKyclb2t2BOqN0ccef+BIheCso+qMZAammZKZWP +JiB0veDzGMwSO+fWrXs2AexFSbZr1Bloc/aTEqOQCXC9ckDLEbPMoGlh1OsJ ++LKpbOcgZqF52RXTbybgXJnOP3UNXL+l28KkEiag4Xpvfwrm+sxVTjdTJuDI +VsscHk0GyvSIe52bOQEp1dRzD8yfnOzZl/InQNDR7fpnzErnDxZA6QQECLEf +O3mUgcwGatIfVE8AU2OQSAHm+nszc5WNE+DgKsi0Uwv326xrNis7JmBcJHng +CWa+Fh6Jo30TYNh2IPcb5iLmXPFHIxNQdbcs6MIxXE8sea2qpyZAbvcJu2rM +2vMsoyvmJiChu/eYtDY+bz7qYaoLE7DFy1L0JeblyXePPX5NwGNpBhPzcVzf +0r5lF/6dgD8DboNXMVMOv7b+XDEJ13zZ89oxcwS758pyTMLg/uBgBR08Xovj +fte4JuHEmOCNOMwj3NJBcbyTUPI0WXvtCZxf8uebBgUmQfqwnJgzZlfdC0c2 +iUzCm+ky5hHMQ6kKg9q7J4Er+MTQUV0Gaoo0+uApMwkPoC8vDfObIpf32XKT +8HXWMoRPj4G8Qq70fjkyCZYvGTceYH78fEFWQG0SutTdj89iLmQdydE7Ngma +8+zihvq4Xgj9tLqvNwm5kcEsxZg/LbIqZZyeBAltoWHRk/h+ENqsOG4yCa9/ +JOc/w/yyWciSy2ISOGLlXvzE/PZqaSpcmQR3vXL7iwYMdGbDbaHrdpNALJ7Q +qcPMqc+d8erWJJgm9onvO8VAD14bWlfdmYQWQyvWcMxfNVYpMDwnQZl5fpjV +EOeNp9/3bn00Cekp7gW2mG0CJpXVnk7CjnOrQrswN+tH3rgWPAnBK0MclE4z +0MqCT/lBryZhRabQiQTMgS+fCOZHT4KT2ftdG4xwXorSjxiOn4RpDvkVtzHX +XPory/phEs7klY+MYe64dH5YLGMSai11C7XP4P7JtO/NsbxJOMTVH5qJOe+e +pvO1kklILra6uc2YgaQ5zM38KyeB32b+hDfmFTla5z7UT4I/z10JEvNqp0qr +htZJ+Fu+iu3MWbx/d4Z7fumehBs3QkYRZt/7wUkrhyZhlH970a5zDHTrwK2R +HROTcLL2fdhzzKH1rMJKXyah/Ja84x/Mc+mrrhtTk/CfcIXuJRN8P1M9Vu7w +fRLimnR3N2K2yn0i5Lc4CZvv9LMdOI/73X+hXjHMU+AjZj0WidkW7SVy2afg +Z/t80coLDFRylN+kae0UXPG4+/IG5odvhBvHNk5Bn+TqW72Yr3ixw3e+KdDu +DdFTMcX1MTAog337FBQ+3C6ZjPm5jq8on9gUSMl+WLnRDJ8vkY+hElJTEDkk +P+6GmbSpW3Fo3xSs86sonsRcdSba7ujBKfCQ03t14iI+32u+txsqTQFjvP9W +Dua6RwEy5upTYB5grS9kzkBni/7zsdWegg6FBUlfzE533rU760+B+ue77POY +Nd0+bPI0moLskNUTZy0Y6L3pmuO+56dATOVFSTnmY+8f3gqwmIIwYvtryUsM +9Lp5JODFlSlY9fqDUwhmFoHR8Nd2U3Bb8+DJZcwDbcfCom5NwcxChZS1JV6f +9WOeMXemwCRab1UL5kcjV8/Eek5B4/GBCXkrfL72JfLF+UyB4i9r9AbzxVGz +6lj/KfgYt/B6tTUDnU40PP82aAqETt5zvol5QUa7P/rlFAQurzYYwHxk1QqI +iJoC5uQXe9QvM1B+rMbjsLgpuGkkvDoFs+fF9tznyVMwwZIyufkK7gde1+v8 +0qbAMPVg6T3MLw/2Fz3ImYIqk8rwT//jkIHA20VTILdK30X/Kr7PBe9Xv1E+ +BYlZAwb5mP9sSey6VDsFfOaX9+6wYSDj+V9qZ5qnwG/t19VPMPu1fnl6rHMK +FvPvTX3FXGigmHG4fwpsrdeUnb/GQMeXslN3j07BEHdoRBXmoUM83nzTU6CL +hF332uL7w8XtsuxzU4CupZwKw2ycEprxdX4KZLYckma6jvO/psKqkZ9TEFNZ +ueYqZv3DrTJ1y1PA7aA/3Ya51YNXMoN1GrwEBssO2+Hf/9H+/dXqafhWdzky +FnPQ5coAz/XTYOX81ZXzBgMle6X8tNo8Dd07PAydMKtO6Ulp80/D0ZY1MsOY +Q9Zfl9ojPA15bqEcR+1xf7Cr/rFOfBokdu34lIo52VjAj5KahtedKeVbHBjI +keO/qeZ908Bx/1DUfcziqbUrUw5Og/ueqtszmFlORxOPlaaB7NM/feomvt/8 +dHlppT4NZj6DMkWYdd02rlTWnobWfVc4RR1xfknZd4BPfxpURr5+eop5SSNU +ZP70NGQ88aj4gdl96m97jck07DzIEW12Cz+fdw9Emk9DyGTonVrMJ/TGLjtc +nga25zuMZJ1wPSIGjqv/Hw33HZfj+8UB3B5ZIWSTjLKSQkmXQmY2yd57ZEXZ +oUhI9giVVKRBkqKTSlPapb2fed889/1EMvI7v9dzvr//Pi9f9dzXfV3nOsfv +/Xr2SJjjlJBx9zA3NyuT9zgoYVK5WaeWjri/tvhPlRyVsBW3Psp2YS73rJ// ++qSEpVovSszD3OqDe/ez5yTM/FvpoylH8L+XDr+8wF3Cnt/ffuwp5kdVl6L7 +eEpYv1n1y7scxf7+kOO92psSdrn+lPFRzFcXBYx8cV/Cmh536FyF+bBO+e5D +PhK2z/a2fLYT7p9pxavNAySsslHv40vM4oJVv5qCJWzR05DHfZ2xX7s5aHr8 +SwlLWGx+/Bzmt6vkk85FSZjJv492PGatvEOF02MlzP/5ovHLj2G97esyoFWi +hPVcUdYZMHeYnNUxPlXC3FrtUAw/jvPJ0AE+JzMl7GdY/UdPzIOjDYvN8iVs +x5rTPo2YeyRERKqLJay4fccTG0+owPyHs2lwpYTNjby9Ih3z9/rJyzZJJOzd +xiEmJidxv86L1OnNSdjoLqFdvDGPOxx4IEOQsIcx5srWp7AfzMvac7pBwrps +T0rai/nDW76V8V8JO62z2LcQs+fG9xOqW0iZEFd2YuppFYxp+Nv8Wjsp27hn +h30Q5g0ee7ZYdpay3N7fTbqdUcHoIWnrFN2lbHrSae1jmKWB2d9u9Jay1wc6 +cjWY5zRY6VgOlLJhA+8kz3PBepv3Jb1OX8rupA/xe+3y/38PWdLDw1DK2h8N +PTngLN6/aodvRkZS5qw/eaUb5q4b+BV5plKmzEoyVWEuinBd6DhZylafWNzV +/hzOq0t/5fW0krIMg3LuA2b9G63rXttImWXBjhTD83jeXm45tWSelIW6fPe7 +jtm+rND32yIpGzT2zKk/mGvH9J7vbidl10o6rtriiu87RHJqyBopa3HhzoTP +mEMW/50Qs1HKDprod5vohvPsn94HFm2XstrKUP4RZpcTjWMle6Rs2eXJqe0u +4DzjtWKf00EpSzJLfrIf83GZekwHJymbKFl8uhhzB90Le+6flLJAr/JV0y5i +Dso2MDwnZb3ZzonBmDt3vbzxzUUpc1d+79bDXQXxrxx7TrsqZb9vn/l6AnPn +mjnTM25I2Z7pndIkmIdVfFQsuydl5ao7/gsu4f4v8GtX9kjKFnjrn4nC7CF5 +/GCjv5TFzQ5bPdgD938fJ3/JMykb92PyJHfM6t2ywTvCpMzXN7m7GvPHpGfa +itdS1n3Bkm+rLqug7t8xhx0xUnbud3la4uX//75eU6VxUvY9YOfT0VdUsKaP ++clNSVK2demPM7cwn1z+0KA8XcoKm7ms+Yc5fHgzK7tsKZv1opPZ9qvY/3Tt +m/C5QMre2t/Vycbs4vosZHqplBm2Gaoy81TBPPmOFm+rpOz+y7B0X8yhl3Te +j5RKWcd1FgEdrmH/Frql7AEnZSc6pLgcwmx7XndjR1HKvr5ZsrYMc5exstnO +DVK2bnOFmY0Xzgfvr16X/JGyLO1dPUIxzzBOm7KohYxZv/+h6nUd76+Ti2dG +t5WxVztcPp3G3P9sTcjgTjKm37NzoBxzWrdJB926ydjN+LtnF9/Afuab1nVl +Lxlrs2/ouhjMdp96dprfX8aO9A0317+JzzOvZ2WInozJki16XsZs3SpRq/MI +GbM/lCJ8x+x1tPjSrtEyljZoacbaW3heB+psTDaWsckZFYHJmJ3WjfQYPEnG +gp12nTO6jfOSZ3E75yky1n9Yw7q7mP/+KSnIspaxKzkuk1vcwfm2XF4/dJaM +/TvZudcuzEPWx25wspUxh5H3xFzMlx9375e+WMaqCod+triL91WIn17fFTK2 ++Fx4kD/mQe4jDu1YI2MJRlPOd76H/fqw1V0iN8qYSVnK+iOY3237KTbbLmP+ +F5daVGKer/+m/5w9MtZrQmWv2fdVEKi/+Mq1AzJ2oXqXOhyz85Cz0wqPyFjj +lYbPfR5gf5bR0qLvCRnbOfnss7OYC+MvO65xkbESaWdXDnPQjWLB203G5t24 +t2GZN84XyX7BpR4y9n7qsCmxmA1yff17e8nYGD5cd/hDrAeDr5UsvS1jj+5O +qb+KeVXnEbZXHsiYtk1q5k/MfxSDGj/6yNgZcenzDY9U0O6XSfnvpzImPqx0 +TcOctb//77HBMrZp7u6N4x+roOHY9fkbw2Usr6FhygPM100XFnpFytiMJ2d7 +t/bB/RLa8caHGBmLXNjl+x7MS/kzZ7/Gydjwv/eyCjCnSLc/6Z0kY3eChgUz +XxW4ujt/t06XsfbLX7oFYt71et/hnVky5tzCclNXP+xHLZoP8cyXMWVIqqUz +5gdS6Z9XxTK2ZtWyPjWYDx4sbV5QIWOf21Z9n/sE+wfP20Y/amWMRezOjsCc +WpvtpqOQsbD1P4P7+6vgUvvpbcZ9k7HBnc5dcMUcfPnps7n1Mub1tsvmb//P +9eEHNzfKWMut99mKp7g+kQPWH2uSsUPdhvf9gLmftf9ez5ZyVhf78odBgAoi +f/719msnZ8t3WeZ4YX5nXMVFdJKz5F5pL35jPj2l7crEbnI2KXHZxc2BKviy +akRtdi85C3Ko2pyB2Tjpz8WyfnLWp/+eqROC8H58ZjFHOljOLqX+7PsI8+7R +j4d8GyZnfw6fa2j7DM/z9gqd7yPlbI+edq4D5rG7XvZrNJKz8s/3Q4ow77PI +NvttKmcLjg13t36O+/OLeudvczmLG/5qy3PMhsY5IY1MzozzLK10gnGeNdZv +9WO6nPmdTut3AnNCtM8O1Ww50xm9/GcdZqfHLcpl8+XsfFFV7vwXuN/Cm9ZV +LJGzH+f3hL7B3O2V7dfcFXK2zbjRfVAI7vf1AW5Ja+TsS/m5rRcx2+9OMHyz +Uc5mX9K2FjE7nbEv8N8mZ9ETH/RfFaqCrVaDL3rtlrORtcMbEzDvmpFjdWK/ +nD3wfJU3Kgz77QH6/7Y4ylmnKSzsJubDyz7DvGNydlKedqkJc8POCy7jTsvZ +t5vLt20Lx/pT2N5G57ycrbeuts7CXNhRq/33i3KW/XXPALOXKlh8cGpa7hU5 +s77f2OiDOX/35gth1+Xs1czz+Vqv8PctGW196Y6c6ddrhx/EPMR58Y9N3nJ2 +8/EDj1LM1qMu+Zn7yllb2xHbZ0SoYEHIlVldAuTsaOOraSGYYYpObdVzOZP7 +s4G9XuN6d8099DJMzlYuTv91CvOuFS6Np1/LWXrT8gIZ5vcWRQ620XJm8bw6 +fFGkCiorrxT1Ajl7Ybf3cjRm0w0rjSsT5GxAq1/bh7zBP88VnZ6myNnVsPPT +PTDXzeoSujNDzpqt6TroO2bbtK1Zo3LkbH97799rolQwbXVYKVcgZ9WvRxQm +YTb965vzrETOlmyMeDn2Ld5n/t/DtlbKWWLnqVfuYM6fvc5xUJ2cmcak72ge +rYK8gluDv8jl7Ok2uxk7MYebLgm//FXOeunUDMrFrDNnrr6VWs4uxO39MzkG +5zHR1ElskLPG3b8Kn2Be0D4txOePnO3s7fqq0zsV6G2KTZjfXMFKP3a96og5 +LCs1srG1gtke8N5ZgbnHAD9XXy0Fix1gYDPrvQr6dG9uPLuLgo1Njxgcjtnu +4L03fHcFe3xk6t/esXgfNA3t6amrYF31P31xwfxu38p5Rv0VzCXLLkKJefmp +rys+D1Yw9fGaq0sB61HVHfOdwxRss8G+Xe8xr7bRVrUcqWD5+b9shsXh51nV +4ej9sQpm4+KqdxXz0vSp2UYmCvZmTLemBswGFtt+JUxSsBEl3kXrP6hAd7Gp +uHSKgt11M3idinl0yIY3NVYKpmXy2tM4HvvFr76zHGwU7Fjl1N33Md8ODX3y +a46CcR6fZrZKwP7c3TLNZYGCrTFbMWQP5k492kS1W6pgn+tqmvIxX6t7t9tj +hYIxr33Flok471/pLe20RsHCLX+/DsB84nHO0MsbFExP6XpN+yP+/ecPh2tt +VbDrt7vtccIcNtlEeW6ngrWa/nBWNebz5bP3/d2rYIdVBvpzk/D5B9x6efCg +gkkevP73CnPuucxX0iMKZjfbqqRfMvYn94L32x9XsJTvnyLPY24nrVGknFYw +M98VXl8xrxFGDphwXsGeza/dY5eC9Z1N1vK5qGB9f++bHYe5/4qUF+2vKJhH +wG99g1Sct+FiWwcvBfu7xK2ZF+bFf0x75t1SsL3Nupf+wpzkdfaL6X0Fqwh+ ++GZTmgrutjOwvflIwRbaG17/hHlnTekB0U/BPrSO3GuargIWtGyWbaCCGb+0 +mvMQc1Dl7E/+wQrmtzZjaNtPKlBoO/z9HaZgOh3smztg7h1/snjBawVzfVNb ++gXzvBOjNvq8VbCGTQ5RVhkqiP409rrqvYJt1/5z/Rnmqsls95R4BSt657av ++2fsr+y6cm5JCjZnR/e5xzEHhazumpWmYDE9Hg2rw9wpu7C4R6aCjYo3bDE/ +E/uPhWYz7XMVzHtvZFkkZr/4icvuFSpY577Wbwdm4f59d7FlUYmCnUrOuHEB +c1ZB3cIelQqmOmjvIGCe+KKFxYJaBdswqG7uymx8/2W3ElxlCpbzyWF4Auaf +be0lMZyCTXP602JUDs4HWf98v6oULGLohfIbmBPLrH4O+K5gQ3O6R//F7FeU +XzuvUcFunXx0c2uuCs7tP7Dt6F8Fazty5P5MzKuXfz7p01zJjhZGzpuUh/OD +xWODlNZKJj9rPcIH89Wyh1u59kq2yuhzS618FQz4ftqkc2cl+1RqX3EA88rp +f6+N7qZkUy7WRZdgdvRMOzGnp5KFmO6/Nb0A66Hfox+b+yjZwOo/+19gLp8z +/vfxAUrmeeWCbc9CFeSstHD30lOy5pN1DE5hnvl8v6//MCU7IH3USob5eW93 +60hDJau5PrJy4Rfsly5Y7Egco2RLp76Jefv/XMl6ZBkr2UfO+rZeEfaPXebO +KJqgZBPufj5wCbO6XY+fFeZKFjBj5fx6zDeSlo6qtVQyXbHOYE0x3l+zPlTW +WSvZxYf7WydhnnOjq06djZL9mvO3ckyJCka9+ZNYNUfJdjVceHcbs0WimVgy +X8lK/XTuNCvFfij/1L3cxUpmu/DxwR2YrTu6vktZrmSxf0YuyMF81rOlXcxK +JRsb9MZwchk+/7XQ7c/XKpnPsmltnmBePtNKdWejknVrkVnVsVwFd77t485u +VbKzISvfH8Y8OFtctXunktWvlNwpx7zP/MyUxXuVbEvbA4dmVqhguEvOVdMD +Slbw6u+CMMwz2z5c1NNRyWauvziydyU+37j7Z+qdlCyqY4+2Lpi3O5wZkHVC +yQzePq5WYH48pd3woDNKdm/LqNglVSpo2Vd699R5JevQLeruO8yF1/MPL7mo +ZMdjpx0eWo33ncWlt/qXlYzfmbnwCuZvr2CT2lPJ1vZaNaoB8xIfw4NwQ8ky +EyRt19fgfBZ5VnLhjpJNdThQk4J5eJjLuwUPlCy8X1PsuFo8X0sq1N0fK5le +6sV79zD/2b/oUr6fkl0/3MOxZR2+D4nnmRsBStZKz2fRbsz+t5blL3yuZI6f +R43Ox9z1kN15rVAlkzpHtbOU4Odznu/54aWSrRg+vfYpZtOXYv3hSCVLzc2E +LlIVnBr9J3x4tJKZn151/yjm9R2GJBW8V7Lno6SOVZiPOw40OvtByfoVHVg8 +R6aCK/5BX0d9VLLL55tGv8J8tcSpWV6KkjWNc2/fT64CbtuszUc/Kdm+8h51 +5zC/epqh2ydLySrdfeJ4zPHN4vu9zVWyRRNHP1iuUEGjsmzvskIli6+JOgKY +tyqLOn0rVrLxntOXjFCq4NjVvT/PlyvZE4usMdcwT3JcOrpPtZL1kK/S+oV5 +49zpz5/XKZnrTWndRg7715QfDuZyJWuwOvghHbPwWv9EEqdk2782PTDhsf+X +u35eoFKyonvuR70xP5hZsKlArWRzZ/Zc2uarCvompk9e2aBk79Q+Y/dhNtgw +aFnJLyUb/Xh0hy+YRxtdDrFvUrKH895Kpn7Der4o3Sa/Oce6NE6PD8K8+cf1 +fvNbc+y0f5Z3NxXOk2sej0lsxzFh0WqnY5i3FQYdm9iRYxubpEtrMR9J2NEi +sAvHcp8dNLIVcH67dvdDj+4cm273r0Mk5v0J4pvTPTn2uuUl6QBRBUbyMbWy +3hwbFtYzwQ3zfP+2M+b359jt1b4PVZibPZ9QFDaIY+3aj3G2V+M8EHv4kbY+ +x5xev10Wj9kh8uStPcM5ptgwY9zIetwfTh3eJxtybFXn7I43MA9rUdB14BiO +fYpeLfuD2WzVnesHx3FsyjZZwpbvKijw7Wj10YRjId0PPfqMeUV7XldnEscG +xf1znvhDBZ+z1b3XT+bYtd2Xlj/GPGpxiXWQJcda9O5l3L4B6616jdc3K44d +/Ojb6QBmM+MJbcfP4Fjt/jHyYswmDwc8PjiLY8sGRCdO+4nzRkn2qvC5HEtK +m/E4GLPbYy0zbj7HJh7JPtajEfdz9NkJ+os5Fjhkjd1JzNPb/Vxsv4xjvbNk +xlLMm07pXb60gmPuxw91XvhLBZ0NYmuiV3Hs94hmiijM8UNcl0nXcmx3/qWP +g3+rYLyfaZX2Ro6Vnenl4475jMrt/MQtHJs/xu+4GrPjzklWq7ZzDIrHrFj9 +RwVvzrfofnwXx4zcosd/xPz3k0/j3b0c8xlv02XMX+xHq7LVEfs51q0yW3EL +8zjn+c0yDnHsrMeapH+Yv27J7V99hGPfJ8l9tjdh/b7Yb269M8e21h06kY25 +bXWda8uTHCu81sze/B/WaxdlZpczHJtl6WHihznzlly/9zmOPJwAPzc8ODfQ +jSMPJ8BaFsfruXPk4QTw9u26bshljjycAFm9bAsHeXLk4QTInjZ2Wd/rHHk4 +AfbVHirsdosjDydAcFbx6rZ3OfJwAqh/9q/9eZ8jDyfAsm2/d0kfcuThBEhk +RuocH448nAC2cU5HY55w5OEEWGR189fjAI48nABJplOPnn3GkYcTYM/ISaqN +LzjycAJ0STffwMI48nACxHxpnab7iiMPJ0AzEzuDb6858nACGH7hTsRHceTh +BDhVei7JK4YjDyeA86Gy5utjOfJwArRXRYwx/MCRhxMgLSZ1rpDAkYcTYO6G +YrvXSRx5OAGs3PwXOaZy5OEE+CTIJ5p84sjDCRDusrX9t88ceTgBHpgVJz7N +5sjDCbDCpNWOVXkceTgBeob61Xcs5MjDCeDf6ujWmCKOPJwAL0Omvt9aypGH +E0DL5n1D5wqOPJwAX1xe6ERUceThBKi1yO62vJYjDydAzi6Zql7CkYcTYMvw +Vy885Rx5OAHeBQgzDTiOPJwAN2dsjo39ypGHE8DDOb3LIoEjDyfA6iqpeaWa +Iw8ngN4EZ8vdPzjycPi8y6f1/v6TIw8nwE7rVmnOvznycLhf+p5c8PcvRx5O +APehBwKPN+PJwwlQkeyT+7MFTx5OgN4enzP3t+bJwwlgMDD6vrQtTx5OgIOL +hk1YqcWThxOgc69879SOPHk4fL8XLmSbduHJw+H7qWyR9bArTx5OgM9n2txp +pcOThxNApVo2YltPnjycAM++vDqVpMuThxOgvF3NA72+PHk4AZocn5481p8n +DyfAYssPQ7IH8uThBJj8+If7ED2ePJwAJ1ppvTqgz5OHE+B0Y+y92GE8eTgB +7poUTW1rwJOHw/Pj1e/JvJE8eThc3562CVdG8+ThBJjOj/HOGMuThxOg7U5X +o/bGPHk4ARra6R63MuHJwwkQuTfwpOMEnjycAMnb/0wInMSTh8P9zH/xKzDn +ycMJkNe/ZWLzKTx5OAGeWE+9ZcB48nAC/M5c1nu+FU8eToCyTe3n7ZvGk4fD +9UoaNPryDJ48nAA7uA0xATN58nC4n9Ve32Nn8+ThBIjSdy7OmcuThxNgQEPZ +9hpbnjycANIO5x+pFvDk4fD9jptw4tcinjycAJ02RDVrvpQnDyfAqoScEa2W +8+ThBLgYPK++5QqePJwAjx/yG5qt5MnDCbB39gmHxlU8eTgBMtwTBnxbw5OH +E+D73gu7qtbx5OEEiDe6sSxrA08eDtffPLQkZhNPHk6AwiG+f/y28OThcD0j +Td9c2MaThxPguNJYa+cOnjycAE6KjfUzd/Hk4QTgOl49pLeHJw8nwPvS0xcb +9/Lk4fDPdVoYZzjw5OEEKPEp3e19gCcPJ4CvT/GknYd48nC4HpuTbox35MnD +CeC4e+epxiM8eTgBWu671hDjxJOHw/Vd2uaP8zGePBzWkwk3Lk04wZOHE8By +axv/ryd58nAC6GwZMdPvNE8eDs+D4qPDUheePJwAZ6qeDWpxjicPJ0CbXX7L +gs/z5OEEOFB6rPMSN548HD5PXLM5Py7w5OEEGFHU1OaWO08eToBt/aZNN/bg +ycMJMDjqXrP0yzx5OAFCtHPN11/lycMJ4PbhrSB48uTh8Dx599M/7cWThxMg +KDQ1S+sGTx5OgAvmV39cu8mTh8N69XbyjR63efJwAqRXegfevMOTh8P/3vuc +cbd7PHk4fJ6eYHTpPk8eToAOwf18m3nz5OFwP/3cff7AQ548HN6POaeKKh/x +5OGwns0a6j3XhycPJ8BM/9GZL3158nBYLxx27O35hCcPh/fb7iAnR3+ePJwA +Xrdjv+U85cnDCdBjtHPmyECePJwAff1f9DoTxJOHE6AxwjA55xlPHg77hwUJ +pYOCefJwAkj8Z9vtesGTh8P6N8zT9GUITx4Oz+/pHY7fQ3nycALUPQrUNQ3n +ycMJ8CZsUN/9L3nycPh5BvofD3rFk4fDn3ervUV5BE8eDutHK70VXSJ58nDY +f9yMz7R4w5OHw/0dBT5bo3jycHgf9vv6yeMtTx4O602E3sLQaJ48nAC7Xhkb +fo7hycMJcMNTbS9/x5OHw/3QenJ5s1iePJwA97pUvNYBnjycABu+va7Qj+PJ +wwlQbXBr5bgPPHk4Aa5eWD7UPJ4nD4f3d+/PliyBJw+H9cAoz39qIk8eDu+z +bVPtLT/y5OHwfN1X2E1K4snDCbC+/tnjMck8eTjc32X2xoNTePJwAlxpn9VB +O5UnDyfA00OSkb8xazycAEs3OF6rTuPJw+HPX2k/OSmdJw+H+8t0x4inn3jy +cAJ07HTaziWDJw8nwOzZR5NXfubJwwkw9N6oI2MzefJwAkz7dWRLsyyePJwA +QojptQzMGg8nwOVZVo23snnycAIofB0frM7hycPhfqkLdxqQy5OHE4A/l3m1 +FLPGwwkwzCq47FYeTx4O98uIoRtt83nycLi/bvTq16yAJw+H95PRBq1QzBoP +h/2rWDFyZSFPHk6AdoMPnGr+hScPh39/4Y+W/pg1Hk6AH1vnRk0v4snDYT9y +e+H9SswaD4f3xfa650eLefJwAjQPlcs6lvDk4QT4e23KIm/MGg8nwCj3DzUG +pTx5OAHGbVz+6CVmjYcTQH9imcvEMp48nACtnCZejsKs8XAC9FPavDct58nD +4fl+wncNxazxcPh+tTu761fw5OGw3/3qrn8Ls8bDCZC/ZGZly0qePByux7Nh +7/Zg1ng4AaxfdXyTi1nj4bB/PleWaVrFk4fDft79ROsbmDUeDvvTS9nLv2LW +eDgBtP1i46dX8+Th8HzMMJx1G7PGwwlwjv2qq8Os8XACbH2jc9+ohicPJ8Dm +Ueu3HsGs8XACJBxJsInGrPFwuD4ZQyc3YtZ4ODz/0XutTWp58nBYHwxdV+3C +rPFwAszfuPT8Q8waD4f3bYv42AzMGg8nQIBWVJtGzBoPJ0D3/IGrB9Xx5OEE +qO9T834aZo2HE2C0Wmm4CbPGwwnQP1ff9yRmjYcTQPfqUb1bmDUeDj/Pypxn +QZg1Hk6AXmn9zd9i1ng4AVKybDITMWs8HM5jCWY7PmHWeDg87/Y1bbIwazyc +AIeCjAIyMWs8HN6nY7rMTses8XACtPi4WxmPWePhcH55MfFyJGaNhxPghcfa +0U8xazycADU7stKuYdZ4OAHsXx7f7IRZ4+EEMJq0pHE1Zo2HE2DC+OkXLDBr +PBzWT6up2rqYNR5OgKPbJnl+w/XUeDgBJnYd0DYBs8bDCTCyW81BL8waD4f3 +/VOn/DWYNR4Oz/f+PMOhmDUeDvv9M5IDMnzfGg+H+9/WLzgAs8bDCfAosqlg +I2aNh8P+tT2v6o1Z4+Gw/vzd2PgJ95vGwwlgGrns2zHMGg8ngPJDdPYwzBoP +h+ex/4VHGbifNR4On29chJ0DZo2Hw34437SxM2aNh8P3u6DV2SA8LxoPh/3S +0l71DLPGw2E9aLNtbg6eP42HE8C1Uem2HrPGwwkQZvwoUIHnV+Ph8P4e5hzs +gFnj4QQojXH0EvH8azycAAPnXrV3wKzxcFh/HsU2U2D90Hg4nIcb/rmuw6zx +cNjPtV6gzML6o/FweD6/BYyyxKzxcNj/Lmg7JwDrl8bDCTB24k7rjpg1Hg7f +16jPOnuw3mk8nADdRo6LS8X6qPFwuD4B16bpYdZ4OAFmeXz1dsT6qvFwuP8s +Zn5Owvqr8XACRN+4n9sNs8bD4c+TK4NXYv3WeDjsT9qZ2T/E+q7xcAIssDhX +WIb1X+PhBLCZlTmsN2aNh8P3t6ufzUK8PzQeDvvvjXtNzuL9ovFweL9sTlGF +4/2j8XB4vwwf41yK95XGw+HPK/fLbIFZ4+HwvjMfo9LH+03j4bBf25VTbI33 +n8bD4fyqd+vKarwfNR5OgGZXT2kdwPtT4+EE+LXhpu1ZvF81Hg7rW0b5ck+8 +fzUeTgCz85uG3sX7WuPhsH+CkVHeeJ9rPBzeNxOmdn6I973Gw2E/M/zZsHvY +D2g8nAAOSw4288J+QePhcH2bPb13HvsJjYcT4OHvefWHsN/QeDisXzcPdlyP +/YjGw+H6Bg6ttsF+RePhsN+5vu+QAfYzGg+Hz8/WxrfFfkfj4QTw6fsvrQr7 +IY2Hw/tgyPrLb7Bf0ng4nN+Lrra7iP2UxsMJwOZ5T16O/ZbGw2E/2ePO0IHY +j2k8HNa3FZ4fa7B/03g47CdTbvV58ponD4f7w/fdkPXY72k8HM6TL7tX9sJ+ +UOPhBDiyJGR+OvaPGg+H/f+eR3udw3jycAJcP/rLcij2mxoPJ8Duk7mx6dif +ajwcfj5dxu/B/lXj4QToun9xaofnPHk4PB82Qxb7Y/+r8XACGEuTXc2xP9Z4 +OHxfE+22pmP/rPFw2L+Gyr7ZYX+t8XDYL6+8oVfpx5OHE+BgyYG/m7A/13g4 +XN8d3qdqHvPk4fDPc0YHrsX+XuPhcH1OWDrm4zyg8XD4+XcoZDMf8OTh/n8/ +2v57jfOExsMJYNfgEjXwLk8eToAuNwO7n8d5ROPhBJi0q6SLBOcVjYfD/u6Y +WbA1zjMaD4fz8cYq6T2cdzQeTgC/leKHrzgPaTzc///9yMPMEucljYcTwPxU +pu1FnKc0Hg7vv4llfzMv8eThBEjqXTirG85jGg+H/dLxitELcV7TeDjsL+x6 +B1905cnDCRBoFRgfi/OfxsMJsOlImMM3nA81Hk6AnoXLX/c9w5OHE2BPTdzV +aad48nDY3yfo/NuK86fGwwkwdYZTC1ecTzUeDu/X4h53H+P8qvFwApx92C0+ +EudbjYfD+2DxneMph3nycDiPrc9Kzj/Ik4fD+bik1rd8P08eToCNZ5p1qtnH +k4fD+h49v3UNzuMaDyeAy53f7uU4r2s8nAALS8d75+M8r/FwAnwQ9Cen4Lyv +8XACZIp/NkVu4cnD4fwQoOj5eBNPHk4Azwv9l5zfwJOHE2Ddu4+9tq7jycNh +v99XZ7P1Gp48HM4TY80n9lnFk4fDemO605NfwZOHw/rXp3Dvu+U8eTis92cj +sl2X8uThcP8kTYiat5gnD4f9qsprcOeFPHk4vC8Smzqm2/Lk4QQY9D7M6exc +njycAKEdKrdOnM2Th8P1uJeYK7HhycMJML3Tbbg2nScPh+/ng6fBJGuePBzu +lyyldjHjycPh/upSc+jIFJ48nACvO71cqj2ZJw+H57nvzdAnk3jycFjfpqee +M5nAk4fD+rbMIxfG8+ThBNAq7nffZhxPHg7P34Do4pQxPHm4/89jT67ZjOLJ +w+H9WtUXwIAnD4f1N3HjRpPhPHk4ARqMYpyf6PPk4fD8TtveVluPJw+H9bHi +RdsjA3nycAI4BWc5F/XjycMJENS35/qJfXjycPj7tiRGevbiycP9/z7VO1mn +w5OHE+DOqqOvTbvx5OHwPOzsvPpMF548HH6+vmP2p3TkycMJIHoPrtfS4snD +4X3xdXztrLY8eThcv6KwqS6tePJwAlQ11LZ705wnD4fr87q/hbSJIw8nwGfb +oKJufzjycHjffi6oNGvkyMNh/9P7x8LVPzjycLjeVXYGzmqOPBzO86G2O66r +OPJweL/UGmgH8hx5OAHGR7D+UQqOPByet5E1VxOkHHk47M/G7tiWVsuRhxNA +dmK436cqjjycAP9CD1mnlXPk4bC+mQVOTyjhyMPh/t/eJ+jNF448HP7+Xfr7 +AvI58nB43ucNuuWVw5GHw/pav72/UyZHHg77sVErW636xJGHE+D7o4VzJqVy +5OGwXhcFfdVO4sjD4X02M1FVG8+Rh8P1mfJvQQRw5OGw/1VFdzj1jiMPh/3d +iRVDZrzlyMNh/Zaa3WoTyZGHEyBVN35TwkuOPJwA2bVLLx0L5cjD4Tzf1q7j +2GCOPBzuxxFjJGWBHHk4nIeKp+pe8OfIw2F9uv/DZ7QvRx5OgOVf3rh8fsiR +h8N6zPFvd97nyMMJ8Gdwy5kt7nDk4XAeithocPMGRx5OAI/Mo+uHXOPIwwlg +df2lMvgyRx5OgLbdTqaNc+fIwwlgsWTOn3BXjjwc7r8lbqdHn+XIw+F81vbp +8ienOPJw+PvtdU/2PM6Rh8N5beyiH2ePcuThcL9vTozlDnHk4QQ4nScpWLif +Iw+H9WHxGMuwPRx5ONyPYV3rtXZy5OEEeAbtfq7fypGHw/7E1mP2y40ceTgB +ehj2lDWt5cjD4XkcOjjXZhVHHg7v9+4GWu52HHk4PG8pzzxSlnDk4QR4O1h/ +ZYuFHHk4AbY0dT8wcR5HHg7nR5tfudtmceThsH+T2p26Pp0jD4fPWxpyMHoq +Rx4O5x99l7BSC448HM7Dn5eZ/prEkYfD/Vcf0ry7KUceDu//9917DB/HkYcT +4MGaDvsmjObIw+F57jugi5UBRx4O/75tfr3NUI48HPY7q2IGzhrMkYfD/v3D +qqvT+3Pk4QTY22naNIveHHk4rOd1TeZje3Dk4XD+bTPAsX9Xjjwc3m9WRvVt +OnHk4QSIPJcUoWzHkYfD9S448Ca9FUceToBLdim/nzbjyMNhfz5z1ZkTf5Tk +4QTIb/5h5oKfSvJwWE+be87vV68kD4d/XrXuZu03JXk4Ad6tTe0bqFSShxNg +sN3Wqq1SJXk47BcysusG1SjJw+E8Ig8YUVCuJA+H8+jP80GuxUrycHh/79Xa +blygJA+H51eZt6koW0keToBrJq4PjmUoycPhfTAlrmvvVCV5OOxn9IclhCcq +ycP9fx5bHTYjTkkeDufNb22L8mKU5OFwvviVabHujZI8nAAh41eX1L5UkocT +YPbzZRFbQpTk4QSIfbI1uSpISR4O68Udcx17fyV5OOxHJpy8l/5YSR5OgNwt +FfZmD5Tk4bCft+m02Pe2kjycAEuMo1zaXFeShxOgJu6acssVJXk4PP8f53nE +XVSSh8P7f5H35p7nleThsJ+PnHRo22kleTgB9rnlR786piQPh/Xw7shJvx2V +5OHwfmhVr5xyQEkeDp9/mDz/2B4leTgBKv2SvkdsV5KHw/5o++y58k1K8nDY +jwSNLdBdpyQPh59fMunWtJVK8nD///9bhl7asUxJHk6AV5+jItwXKsnDCbD9 +V0S3gLlK8nB4vw2teBJroyQPJ8AZu787s62U5OGwHvIFmysslOThBBhz28RL +NlFJHk6APisrRc5YSR4Oz/91f1dutJI8nADODQttpSOU5OEEcLsVZlM2REke +Dufb4usHPg9QkocTYLhHelZ0byV5OLz/sgw2+OooycP9f553GXK+i5I8HL4P +t6Bem7SU5OEESDd0nGLRWkkeDu/DRLih3ew/DyeA0bZ1/St/KcjDCeB/eWzh +s+8K8nC4Xn06xjuoFOTh8H2uyikbp1SQh8P1aLfB8Gudgjwc1oeZdwL8KxXk +4fD9vbBftqJEQR5OABN2YXybAgV5OLz/D7SzDs1SkIfDedwo7vSSdAV5OAEm +f7n1TfyoIA+H953l4SuX4xTk4XD/hM5YMyRGQR5OALlKvSritYI8HNaT6Xvc +p4YpyMNhfd72WJb8TEEeDuvBzROOc/wV5OEEsHX/MT7lkYI8HNYbl6ZBVvcU +5OEEsLF0n/L6hoI8nAD3mnte1L+qIA+H9eZ229ZXLyrIw+F8vUgRWn9WQR4O +62mH8e7LTirIwwkQPqr8evhRBXk4fP5A+ad2BxXk4XA+bLbMdNUeBXk4AXbY +DM4I3KYgD4fP23/RDWGDgjwczl/vZe6mqxXk4fA8CXzYoeUK8nB4X/bd3iZ0 +oYI8HM63E9Zfqp2jIA8ngOOXStZjhoI8nADPjX4MsWIK8nACvOwUMnG7mYI8 +HNbf0l7H3McryMMJMC94+teA0QrycAIIo2ZeixuuIA+H/VHE+M15gxXk4QTg +LAZtq+mrIA+H/d9O/Xt8DwV5OJxX3e3/il0U5OGw/t4s9lS3V5CHw/M7NnHp +t5YK8nDYv78wmS35KycPh+en57y9Xxrk5OGw3x04KvGjICcPJ8CAiT/nhCjl +5OGwXpzMb+FVJycPh/UuS6F0qJCTh8N6HLe+zdwiOXk47DcfbVg8KFdOHk6A +k869s1Sf5OThBGg3IPLUuyQ5eTj8/LrbNpyNk5OHw3kres3hGdFy8nACJPfO +iGkRIScPh/ObjsIk5oWcPJwAN+crK/cGyMnDCXDfrH1cfx85eTgBlF1P5iTf +k5OHw/6y+rzunhty8nA4D7mt9ep0RU4eDj9f6MypQW5y8nC4P4pd9KaekZOH +w/544xKzHGc5eTjcH0ebzq07JCcPh/VoWHpr2R45eTh8H4tbvNu1TU4eToD1 +8V8DFOvl5OGwPvQpS928Uk4eToCy6r56xUvk5OHwfJ7/HTbHVk4eDp93yWfH +SBs5eTjc366yg/2nysnDCfDL6nHgKTM5eTjsR27a9igzlpOHEyDhxJxo01Fy +8nD4eRXi7YtD5eThBFgT/STkywA5eTgBLiRAk56unDwcnq8s/wvbu8rJw+H8 +9DR6XpCWnDwc9ustNs+tayknDyfACZ/25/v9lZGHE0Bi0ePX/B8y8nD48z3F +Z8e/ycjD4X2xqssdf5mMPBzOj+tLPqRWycjDYb+5O2WEvFhGHg7v+6VzMlrm +ycjDCeDbWBDaO0NGHg77vzmSLMMkGXk4AbrOFI0mgow8nAB5zY5kWUbJyMPh +PPZQDLUKl5GHw/5sTV0meyYjD4f143q2kZmfjDycAENfTc4d/UBGHk4AmPvs +Tf+bMvJw+Pwdn5a3uyIjD4f7L+ntrG+uMvJwAhxzOPAj65SMPByev2HrZC+O +ysjD4fw6uutA1/0y8nACxP3oe99+p4w8nAhDBvdYM2KTjDycCHXON7aIq2Tk +4UTIbWXy+s1SGXk4ETbVX5131FZGHk6E7pE2o0xsZOThREj69nOp0lJGHk6E +YWdnJ3tPlJGHE6GDZ9nZuUYy8nAilDmuvlQ/QkYeToTWJfvK7wyWkYcTYbF/ +wolJfWTk4UTY26bNztxuMvJwItg6Sf12dJCRhxPh8cc+o363lJGHE2Fpi2Ut +L/yRkocTofkdW0Pt71LycCLsnxbrc52XkocToY/upp3dJFLycCL4ufw651Eu +JQ8nwra5M8TmhVLycPjz7rZ5dSBTSh5OhGyxZUp5spQ8nAhe01ob28RJycOJ +UFOSJwRGScnDiVBxiLVrGy4lDydChLGO4/ogKXk4ESYF6Fu89pGShxPhUt70 +Va3vScnDifBq7Ny8hV5S8nAiMD/twNvuUvJwIiiuORQWuUjJw4kwu2jWpl7H +pOTh8PnznOYtPCglDydCwFrJ9XO7pOThROhWcNA8YpOUPJwIuwdoWVWskpKH +E+GD3/XA1kul5OFEGLTm7/7h86Tk4URYnznh4fTpUvJwIpR0MR6zxkJKHk4E +s+Qavf0mUvJwIowxmXbi9CgpeTgR5o6ZY3lJX0oeToS0Ed+3XusnJQ8nwmrT +aT+9dKTk4URoajNBfbWjlDycCDn12SsutJKShxPh+5/+hsf/SMjDibAhecj2 +XfUS8nAipAZ+676ck5CHE+GMv+cYi1oJeTjc/4d03/YvlZCHEyHmzP2IX7kS +8nAiGItGernpEvJwIiT6iy0CEiTk4UQIM/9r5xgjIQ8nwkFtBz2rVxLycCLE +z9mzqe1zCXk4EdzzevdL9ZWQhxNB2Oht63pPQh5OhDVH9RotvSTk4UQ4tq1g +oPqihDycCIuWy+N9z0jIw4lgY3WlxtZJQh5OBMumXy71DhLycCI8Z9t9b22X +kIcT4cXtrtNM1kvIw4kw+pjp1gw7CXk4Ef5N79Fp4wIJeTgRtMy+TxJtJOTh +RHBw66c8YSkhDydC9IJ8/dYTJOThROj4ZLfUbbSEPByeVw9jkzZDJeThRLjQ +3rnj6X4S8nBYL3Tv7v/eXUIeToR2b2Sbt3aQkIcT4VxNojSnhYQ8nAj5fh6/ +zX/VkYcToaCNr89DoY48nAhtRuws+SurIw8nQrGx7bMVlXXk4UTouTWyS2hh +HXk4EYb30unUPLOOPJwIx18X+s1PqiMPJ4KYcTj/9vs68nAijO281a80oo48 +nAi9Zg3p0j+4jjycCEaHR/ax96sjDydC3+h/Hz3v1ZGHw/Xcqa+TeK2OPJwI +JlqD26gv1JGHE2HlstPe/U/XkYcTYRbLKpl2pI48nAh2P33it+ytIw+H50N1 +ccnZLXXk4XD/lA30fLC6jjycCJlRTU7hS+rIw4lwPjWxW/ycOvJwIgx80n31 +Z6s68nAizBvraVcwqY48HNaHgOo2xWPryMOJYOEbuatoWB15ODxP3WLc8vrX +kYcTIXKu7+p0nTrycCKktNbj3neoIw+H98l69cTgFnXk4UQIkuVY3WqsJQ8n +Qpe7pzscV9WSh8P7owpurZHWkofD++upXZ15eS15OKwfc7s1ds+vJQ8nQu+w +97my9FrycPjzJ4w88ja+ljycCOqUsXXn39aShxNBWew3cH5YLXk4ESr3bhrR +LaCWPJwIe3rPb57jXUseDutL65nBl2/UkocT4X2DycgZl2rJw+HvW9Li9M8z +teThRNg3wDs44GgteTjczy0bXi7eV0seToQrK1rfbNxSSx4O13vwh6UPVteS +hxNhgc7wevMlteThsJ55TzycP7uWPJwIX2t/FO2aWkseDut/5m79pgm15OH+ +v/7+yz1G15KHE2FVQ8iBnvq15OGwHpR7Oz/oU0seToQbHy84DOhaSx4Oz/tF +9+UP2taSh8PPV/FhTM+mGvJw+PwrFv2+VF9DHk6Eb1vXxf5V1JCHw/Owvqfz +rqoa8nB4/559YpRfWEMeToSov5NrzT/XkIfD+5DrdvtBYg15OBGmbd4091d0 +DXk4EU4e3NVyaXgNeTis18P3fAgK+O/74XC/Xwtx++393/fD4XlKc1ox+8Z/ +3w+H9+WPfhOuu//3/XBYT+cq9IpO//f9cCK46Ewa3PfIf98PJ0J65Prx9nv+ ++344vP9fx6+6vum/74fDfuVN3INU+/++Hw7Pk/uHn78X/Pf9cCKcGmF0wNDm +v++HE6H0/bEOyyz++3447Iee90o+Zvzf98OJsM7jWMDDEf99P5wI9yYPCX0/ +4L/vhxPhSOGVyi86/30/HNbbZGtLldZ/3w8nQnlsclrL5v99P5wIrz8+u9C9 +oZo8HL5PsxPOA/lq8nAiyHLzfIfXVJOHEyErdG6rUUXV5OFE8LE97TMqs5o8 +HL6fOz1OGHysJg8nwg7ly7t6MdXk4bB/6NHqV6/wavJweP+tf/u4fUA1eThc +rxtung0PqsnDYf/4Z/inKq9q8nAiHN29YnnKhWrycCK0mlUy7vnJavJwIkw4 +d2SD+6Fq8nD4vkN+SrfsrCYPh/VQHJMyZX01eTgRPAd8a9VteTV5OKzfAd18 +qudWk4cTwTf0yKNQq2rycCLgbdDSaWI1eTgRtsy79dlydDV5ONwfb4a0bj6k +mjycCG9X3gsC3WrycCIM1VLFOneuJg8nQtdtA2cZt6omDyfCrrrhsySNVeTh +RDDP7hR/61sVeTgRBiwtjppWV0UeDvtP5/tj+eIq8nD4Ps03G1zPqiIPh/3r +kXlBpklV5OFEWNFu/8u8mCrycCLsjFXPdAivIg8nwsMdv3e3C6giDydCbPkr +fe8HVeTh8Pn/2h4Y41VFHg77/Yh/K965VZGHE+FE+1alNieqyMNhfRxwvynj +QBV5OOxPTbUSF22vIg+H9TL6/ticNVXk4US4O+3R9AVLqsjDieD9YEeb1FlV +5OFECE5depRZVpGH+/99G+f9cnwVeTgR3oldnPUMqsjDYb2fG6J9dUAVeTi8 +vyy0tvzsXkUeDvtp6zvOa9tXkYfD9Z2Us/RDUyV5OBF+2k9sGFRfSR5OhEML +5m05Ia8kD4f7d+x934LySvJwWD8uvHo5Kq+SPNz/+zfDu6dSK8nD4fmad88+ +M7aSPBw+70q/hr4RleThRIgzVjpuCaokDydCf+3+Jc8fVpKHw/kgTT782/VK +8nC4fiVtN4y9WEkeToSR28a77z5ZSR5OhB9TxwQ8PVhJHg77RSyJZdsrycNh +fxv/Or3r2krycCLIo6uLrZdUkofD9xk2kHeYVUkeDv/+rLltHkypJA+H88y/ +2YaJxpXk4URoa9xmjXx4JXk4EU4PdPDt0L+SPJwIRfUnmgy7VZKHw34qe+Th +mW0rycOJMMq7QSf+ZwV5OBFaduTOfauuIA+H58t4kXWvjArycPh8DguWmb2p +IA8nQvslfZOW+VSQhxNB6v39we5LFeThcP3Xjqw+cbiCPBz2Jw09Hl5cV0Ee +ToQlRn2Lrs6uIA8nQrX1i/ue4yvIw4lwQDHn66X+FeThRBh84FTRmbYV5OGw +/iVUbzkglJOHw37qcO8Ha0rKycPh+hbnnZv2sZw8HO6nrrkj9EPLycPhy9Gu +8Px3p5w8HO7vt1kf813KycNhPajxyHq6u5w8HNbXva2jDywvJw8nQvhCs0tm +U8vJw4nw0d/E9rdBOXk4EZxjtdtHdS8nD4f11qg+ed/fMvJwIvC13a7rScvI +w+F5NQg5mJVVRh4Of1+czkGn6DLycCI0cr73+j8pIw+H841bvPrd5TLycCL8 +0lK62R0pIw+H7/Opz3p+fRl5OLz/5ji5nZxTRh5OhE6x3dp0MCkjD4f337N+ +Eq/+ZeThRFj2Ysm4nm3LyMOJ8HeHi3BDVUoeDuvXnTNGXYpLycOJ8KTXpJ/n +EkrJw2G//Ofe4h/BpeThsD76vZi96VYpeTgRtsefkaafKiUPh+t9dOIEox2l +5OGwv1n7l3kuLiUPh/X0nnYXbnIpeTgRNn9992La0FLycHhfp68edrtzKXk4 +EVQb7M9KGkrIw+H8HGGYOa6qhDwcrudTOx2ntBLycDiv6TiuefeqhDwcnv9S +w9e/H5SQhxPhcYPBsImuJeThsF5enBCzd18JeTicbwS9U74rSsjDYT9VnnU8 +x6qEPBz2K+vGQZNhCXk43M8N0xcN1ykhD4f98Iu+lvP+FpOHw/lPP99zj6SY +PJwI8wMfL72UWUweDuuX1fsb/lHF5OFwv6c7rX7nU0weDvuR1qZvMt2LycPh +fei7JqziYDF5OKzXGw8s4VYXk4fD+juoRfD3GcXk4bA/VT7/+HtMMXk47Me7 +Dgxu6lVMHg7nTYu+u5qaFZOHE2HtKYfOv+VF5OFwP+3+51OfU0QeDuuFwdtx +ypgi8nAi1J55lFr+pIg8nAgLmxIPZ14uIg8nQn39POv3jkXk4XAefbxrcuC6 +IvJweF+O27rHc1YReTgRPsm8ag+PKyIPh/f9UPsXK/oUkYfD+ff4uLxJLYvI +w4mgn+m/pSf3hTycCBlrFu0V8r6QhxPBsazyV+r7L+ThsP+/3E338dMv5OFE +qJobmXPw6hfycFgvg19MnHH0C3k4EUo6ckt0NnwhDyfCzWeOJlWzv5CHw/vv +7WbJc+Mv5OFEuHykwvFQ3y/k4UR4ZqOnNm/1hTwc9mfgvP0fV0geTgT75Fm1 +H/ILycPhff2s6aBLbCF5OFyvsYOHWgUUkocToXnwzNZNVwvJw2F9HdxP7+3R +QvJw2J/PX3XxwIZC8nD4+ZPzZhnOKSQPJ8Jy7V17K40LycPheQ/p3u5m30Ly +cLheMwqHzGpVSB4O58Nn2ZmNXAF5OBFe/hvX51l+AXk4ESDZvKt9bAF5OBFM +m1sntAkoIA+H94lFuOWrqwXk4URYHdnaa+3RAvJwOM/vzkpvt6GAPBzWs0mR +v8JnF5CHw/letm/0SuMC8nD4fpanHWjet4A8HM4bZ58WBbQsIA+H6x3c6GDL +5ZOHE2Hc9oyZYl4+eTi8H96xPbfe55OHw/056azK7Gk+eTg8n90qKkuv5JOH +E8HELNT21JF88nB4Xx3ebDN4fT55OBG0T58rjp+VTx4O59N+z3pvHpdPHg7f +z/jz3Vv3yScPh/0DX1zo3yKfPJwIrp/OO9oo88jDiZCcfPifJDePPBzOZ1vC +XNze5ZGHw/ukYKH2CP888nC4/toXolIu55GHw/tE+eDSDsc88nA475hxd7XW +5ZGHw/pQJ1M/n5lHHg7fZ+d+z2yN8sjDiTB+8NCMb7p55OGwX/3xdo9X8zzy +cLi+/NMHpopc8nAi+HfI3FmUk0seTgRrnVHyEzG55OFEuBP4ecSQJ7nk4XDe +2lRunuqRSx5OhK0/7ho5HM4lDydCko1dX921ueThROhz6WzHDza55OGwP9ju +12PX2FzycHj/aC+Z1VM3lzycCMZrbSLjm+WSh8P+5Pus/Q7yHPJw+PvWWV4a +mJNDHg7X11CvZ1Z0Dnk4vA/attN38cshDyfCRnnfZFOPHPJw2I/p+XZVHsoh +D4f9mGtDD981OeThcL45f02y0iaHPByer/lNN3uMzSEPJ0La19oJOb1yyMPh +n+9rXerZLIc8nAj9fk66s0ieTR4O9yO3/6ROTjZ5OKxvnd75FEVnk4cTodcD +ix4+ftnk4XC/Hzbmdnpkk4fD55vww2zi4WzycNh/r/nVtc3abPJwWK93prsV +2mSTh8P92tQl9PnYbPJw2I80u3jfRTebPBzeR9B1w+rm2eThcL7p/Li7mSKL +PBz273umJ+vmZpGHw58/0tDzd0wWeTgRprjcdql6kkUeDuflOP5l2uUs8nAi +3NoZZPrGMYs8HM5rKzcODFiXRR4O52FZ+rl7s7LIw+HzaL12vjYuizycCKOd +2/X06JNFHg7vr8JPu9xbZpGHw37o/my93kWZ5OFEuOLwcMLQ55nk4fD3ecxn +ZicyycOJEDF19rZlCzLJw2H/rPumyGlwJnk4rE8Gvz48VX8mD4f1YHnI3NKP +n8nD/f/f94J8+9z5TB5OhNCjf8QNOz+Th8Pz3ihsf2nxmTwc7q+Z2uM6dvlM +Hg73a57u0X1VGeThsF940W5X6asM8nB4PjN0Jy5xzSAPh/t9S2z7vBUZ5OFE +8Bj3XHvdyAzycCLofsxwqv/7iTwcvo+SRQdvZH0iDydCSMDjkczvE3k4EZyG +b8iuP/yJPBzWnwNHr7+e9Yk8HPYrHbV8zvT9RB4O5yvzowb2X9PJw+H+WLh5 +tcWHdPJwIhxuF7pj5I108nB4nxUePTNsWzp5OBHuW+jljTZPJw8nwpp+87ys +OqWThxPht/YXbkNlGnk4/O/jZTpXX6WRh8P+c0r15FTXNPJw+H5n7ryuvTKN +PBzu7+jttltGp5GHE6HwS9sHyc3SyMPhegRGhE/MSyUPh/Vx9sAPrwNSycNh +P7Vk8l+rY6nk4URwN5x6t3R+Knk4vH/XBqWc10slD4f9ZIuJMOVHCnk4rG8d +v4a1SkshDydC6gvdnGLvFPJwuD/Dbezi9qeQh8P+v9j28OsZKeTh8HnYnA3R +vVPIw2E/9OLhwkw+mTwc1v/m34/Wf0gmD4f11sNS2/BWMnk47Pf3R8xz2JlM +Hg7fd9svm5Mtk8nD4fs1P3h1bPdk8nDY73B3WgZJk8jD4Xqv9P85/l0SeTgR +Uk5YPs3yTCIPh/2ww9Lpp7YkkYfD588a3GGqeRJ5OKzXBqPGd++SRB4O7+Ml +07//rPlIHg7fR5mruxD1kTwcnh/H1aP+Xv5IHg7XY76zTr9NH8nD4Xk9W318 +/qSP5OFEGOFR++hGp4/k4XAeu9c/6mt1Ink4nK87OTVbE5VIHg7vs3yjiIrL +ieThRGhWl9H5yKZE8nD///fzEfOGmCWSh8PPO2JHYG3nRPJwIjR1brc+pjaB +PJwInic/hwZEJ5CHE2F6ekFekGcCeTgR7Eo9OsZvTSAPh/PMg5AbKosE8nB4 +HnbMe27aPYE8HPZDbqlXrsnjycPh/OVVeqJVXDx5OHz/4tU3V27Fk4cToY2t +9TGjPfHk4UQY/udpO8W0ePJwInR3SXoU0yeePJwIZf+aTvsLH8jDYT0LbsUH +pHwgD4f955xq/YRHH8jD4fnxbdzR4PiBPBz+vj8tm6bP/0AeDv/8ZrchwUM/ +kIcTIWvg1b6j/8aRh8P508zCMCUvjjwc9rucqdeJ4DjycLhew8VbtufiyMNh +Pz3F6vik1XHk4bDf3zrf1dIkjjycCAlmjxvXdYwjD4fvc6vxBPNUIA8nwqsN +qnWcE5CHE8FMEf67wBDIw4lwcemOm79KYsnD4f5MS3xrdzmWPBz2m0vG/vhq +GUseToSrFdlf4lTvycOJMGbxDVWG73vycHj+PAdk9Fz2njycCBM8i5rut31P +Hk6E/jtUPddGvyMPh/PxtkUBa/a8Iw8nwo1Bodb3B70jDyfC9YtnjvfKiyEP +h/1Ql7byHLcY8nAiXAid0f/z5BjycPi8PTuGtldFk4fD+hQjmrg+iSYPhz// +32qb6fbR5OFEmLfQ+dj0ztHk4XAemTNzj1vCW/JwIoSXX3ndyekteTgRnjop +vxaOeUseDu+rkSkRktoo8nAiDMnf4Gd+P4o8HK7HohS7vEVR5OGwHw2o2BfR +Loo8HNYL8w3uJfCGPBzuz1+lNnOOvCEPh/vnfvSM5mPfkIcTQZJhPqK5NJI8 +nAgN+496znkUSR5OhMDCHYPL7SLJw4kQ1lh18p12JHk4Eb6+u2YrSX1NHg73 +y7j241effU0eDutFQVT+gCmvycOJ8L1CLB3TEEEeTgTxGKfyCI8gD4f9x0CI +NtsdQR5OhD/ZO2pNh0eQhxPBwClH16X6FXk4nI9GJbcc+PAVeTicf87/W9Rp +5SvycDivfe8fbtvzFXk4PB8DwzMrc16ShxPh3CjTNclXX5KHw/vXsIdWC9uX +5OGwH9wd7HZN6yV5OBHO1s684JgSTh5OhDdXhkO4azh5OBGuZZ2qnDYjnDyc +CKpqZ5/RrcLJw+HzTTaP2pcQRh4O559fhSVaZ8PIw4mw1m574j/rMPJwWN90 +fhsuaBlGHg7fZ43ZdbVbKHk47CdtXkY20wolD4fz0J3Dk5I9QsjDYf/AP/Ca +pR1CHk6EnYYzrVxvvCAPh/NoywfxZ3q/IA8nglerzFsWj4LJw+H8cU9vatTQ +YPJw2L8MU1r/CH5OHk6EMz28hv40eU4eDvfnrzsO8P4ZeTgRPoU9CLGd+Yw8 +HP79syt2P8kOIg8nwiH7oEkfVweRh8N+V+r5JFwWSB4O+2/FpGm7DgeShxOh +ce2PIHWLQPJwIqSnz3OYdy2APBzed+eFsUcGBZCHw/u0Wea5w2FPycOJsH5I +u06zrZ6ShxOhte/v7eocf/JwIrB1Myc7bvEnD4f3U076iNyfT8jD4f1yMPRL +h8tPyMPh+RyzsX6w3hPycHg/lzwe3ivKjzwc1u+4o4P4+X7k4fDPtede9Zf4 +kocTwfZk1jDrU77k4UQYldLvQaKuL3k4EWyW+j4d88qHPBzWrwzTZi7zfcjD +Yb1L7bolTvGYPJwIKw4WX1e6PSYPJ8J+5mPbethj8nAifLPJfj7A/RF5OOzv +Xiyo65n2kDwcni8j7y8/OjwkD4f9+c9rfeMXeJOHw/1wrs3s4zcfkIfD/b6i +pJlB2X3ycHh/pDWTpQ+7Tx4O613WycDtB+6Rh8P1rj4utIi7Sx4OP7909pGH +Xe6ShxNhzqTNassNd8jD4fl/ekZfFnGbPBzWx/u3P3tr3SYPJ0IHVhO9aeMt +8nDYT4YLb6a8u0keDuv70sC7Y3VvkocTYfIgW7MpjjfIw2G/2PHq4Z0F18nD +4c8/d8U4ZtJ18nA4f/B19uO9vcjDYb2c9LK4oKUXeTisp1fvBwXtvkYeDvvr +FuVxoYWe5OFEOLVg8SB+uid5OBEMD/H30rdfJQ+nhg9l355OnneFPJwaOv44 +6Gpscpk8nBqOP43fGjXIgzycGt4Uvjmd3/USeTg13ErI0/Vq604eTg2rdyw+ +9aP5RfJwajitb6LdofkF8nBqMN+6cvyXVm7k4dRg4XVz9dZOruTh1JDRFNUh +ts958nBqCNAN+SQddY48nBpyn7lN5KadJQ+nhpo5N1W561zIw6khT+4YGHD6 +DHk4NVRcNOrn8PQ0eTj8/eOPG+72PUUeTg0e8vm5rVqcJA+nhpAeBj9jdh0n +D6cGrRUJR/wqnMnDqeFc47Y5GWucyMOpYeugfi5zJUfIw6nh85LUOUZOjuTh +1LBu/jbF5Z6HycOpIW3QsA+OsQfJw6mhhf+d2X8dDpCHU8Nr6wpdkzH7ycOp +4W6Hp+9XcfvIw6lhRZeNn35d20MeDn+enYfBLttd5OHUMGHjhMKcATvIw6kh +erR15xVttpGHU8PclzGWg1ttIQ+nhqetxmsv091EHk4N90f02thn2gbycGrw +HzbkjlH7deTh1KAbm2jBvV1FHk4Nik3OiZF3VpCHU8O8V7YRFf7LyMOp4aNZ +QKs3VYvJw6nhlHtHvcvzFpKHUwO7CCVbMuaSh1ODZKDVSfX6meTh1BA+bE3S +1qXTyMOpgXts6pyykJGHU8MQ88BIvZhJ5OHU4ODl1tNAbkweTg0XTewDl0aN +JA+nBvvEhqFfDYeQh1ODZ8MCdzd7XfJwauh/sqv2r+YdyMOpQRpWMHalTYOl +xsOpoZX4dfaqDvmWFz2aD/7Rgodm9L9ddbpfklvyMHFJxP+YOvOwnIL28Vuy +VEgoErKEkGQnY6+oFC2EIllSCZElhBRJpX3f933fl6m7qCitVMqaLYmzREjE +b953nt/3ef+8r+d0zpw5M/fc0/WZ6+MlNa+qVHuDskeQCAMoIn/v0kVVpUsC +tqmfGMHA4YDaSs3FVaWS/MFBNJKBZ8G2hSuVq0q/bb+QO24UA38NAhQwiVuj +7p7oJDFT0Wzn/Xx0acFA7Ozs0QycXtX5o0DxOw7Wxx2OogxYz3ux/PeYZmSX ++sRztxgD04vcKvz9+vDBEZ+3zRdnoFhvuvqQ+V/RpoPD/vWT+P2v/TlDG4aU +zi6Qya8dw4De9u7lbQrPkMj4pSdDxzLQqrl30cyGHNRlvl3+1DgGmo88Cbxr +1ogfVpg83yjBAL/r2paz37px8tSL3pLjGZhZPtvLW+4JdrNx13hH4mGTrI2N +V39Ep+vihuRJCvtHd25pgdMEBrrHPrJ67cug5ddaTu2dyEDZkGvtqZVfkNTT +z3MXTmLg5PmwhfVeHfjnkuEvf5PY8V75ZdWwWtThPNW3XoqBfepmp1sSOlHJ +m6VaEdIMOPl3vu+c14fDVDSGnZnMwPW1Qd59bZ/xdZ9DRVumMHDK+KBkwNWP +2PTLRetJMuR9Sv+Y3h5ajlXVPOZ3kdjdMm50vncumhce/6pgKgN+V03m3B37 +BI/+Wep3R5aBx3Kd5UcXFqCena07jKaR9h0P2neln8d1iV+GL57OwJ5ft8r8 +lvE4fahIyV8Sl969qtfmxGHP/bJnm2aQ771EfG2afRI+m7NsQbQcA+MmGuqa +GLPYYIxmp81MBnoWLXO9EfUYrzpmGqA2iwHXy4onZ494gmTKbHUmzybfFzaE +12Z9wL+lPUd8InG5X1SQz98m/PJ0Ai6ew0BiY726dEc3hodlNm7yDPx2za98 +8pbHUbPaFh6cy8DBj77GWXdrkONl5s2SeQzkndacVmHfgY89EQkaOp+BX7m6 +0pdC4vA2xWm7npD4n0zfrMW+rWjBreWj4hQYGFATW/Jv8xsk/kqz7MICBnZY +7XkeNjcWsasOn9++kIF7zW4/oeM9bvK4pDh1EQNjX7jvH/zVhbO7Pd99JvGE +ecdza7e3YN/NicGligxoBuw7dAMXowvBoOuxmLz/WrUH5Re68d5vbaNNlcj3 +OOR+X+TRW6yyg4VlSxi4LDbNq/57F54WN+KiiDIDux3XXdLI6UB/B6cptZH4 +4XDzfr3yF7hzz4oPCUsZmDN/crGBcg6+n6EVemkZ+R7LGxJPS7zBcaOP6Gst +Z2DGR4+PbS8/4Numl8WmryDj6RbblT6sBlkUe1WwJBaT7Phd6JyFtSYm2Zav +ZKDjin+mCNuBF1uVL/FexcDtv3q3xox7iCWqnnYdWc1AppyW8r6YfNQ7nQtb +uYaBDVkHrUbrvMdPLozcPWotA0onTxR21rfhvMbpYzpI/HorG3jc/gEKVFh5 +P1mFgeFr3lT/6XmGL9/YcdluHQOLZdQj699lIONnR5bqIAYagmo9f2q14g3L +r3TLrWdg4+Syu18+d+CZbt4RvST2a5aftX7zKzzsQ9Ke+xsYOPfi2pfNg+34 +/fqKsX4bGVCWjDyf8yMLVfu3V5ptYiBNtHnU0XNtOJHjrqzZTO6X8SXXXbkE +u2wftVxsCwMPxJu8LS934pNRM3qek9glp/Lp5NcZaOfAyqi0rQzo91uGV07u +wEv1tfdeV2Vgp6virRjvWjQx9aiErhqZ//1z9DTbGvF3Ebvq2eoMxI9cNLAg +uxk9PeBztY/En5Ivbci3aUFF+ckrqreR+6mNKrRJxShE4t7ngO0MHFo4pHOV +YQu6at4RbaHBwAIf3TEi7HNsUsHvW6fJwNTkRzWxrdl489TRkmO1GDAIBp+n +b2qRvI3cw1ckLutjhsTsK8Qj61Zdz9xBxsersvJrLx7ibnmdVQ7a5Hv3VCgk +8g249uoxRl+HAZG5jzuWFYWg1Da72Lk7SX+1P3O2k2nD7kt8jX6SuFxx3FlD +o1hk7ZwyoWYXA/tfh/fs4tqx3pt7NcG6DEgUTP7Q5FqPV6g8s7fSY8Bk9Lop +6uJtWNqnd/UGfTJ/FOZ1fDgein99Hs1JGDBQ1LitOvvNM/xcdWb8GxLLXfpx +wUe7FJWGrT6Qs5v0T9NfuebUchzxQ2fSrT0MWBq8FWmZ+Qzf2Gn2aI8hA/mN +jseSJerwkcSrDgp7GZB8LqFmEf0Yqw31WztAYvWBv85dowHP35/KP9rHQNiV +w2+OpjUh0Zz7CWH7yfoy/9BYt4/V+LP484Onjch8+3s9+XzkA9Rw9KvUZmMG +ro42TJMaFoUyS0XrJxwg/dG4Z/4zsXvYW3rWzfcknhygOMZulSM+d3rNuvyD +DJzVXD9V0bkM7Xm48+ttE7L+SUVsCFwViNfMOp607xC5PktU9eX+MjT18rVD +i0zJ+2RPvHHHphr/eew3eZDEW1L6fhwanY1fLUpraDjMQJWRsrq/Wz0uv1l5 +K/IIWY8m+Nx8qvQYx7x8js4eZaDeyXnfg0mF6Naqb9+2HiP3U9kuHyKfi497 +iKVImTFwaa3525v3qpBG96zDH0lc/GlPdF7EPbxo81qZouMMePdYNs3uqsFj +g3c1uZiT8TNBoVjHKhpzX4/fNrZgYLzHiee9U6pws9b1DUqWDNh8DGCkhxfg +nFj/7/9IPKZc86xEWxb2G0xLbT5B1oNT4Ztcr9xGtnuqjsRYMWC1891bmfIm +vD/jxdTzJ8nfPwohdWUzRqP7mtVPCdfDGabid6acJuNvg+rKcdXReEjx7E09 +JP77qCD7a3AzfjtB5WeJNfk+qj3XjN3KUOUJ3fS7Zxhg92SERaaG4fhK82Mm +ZxkI+VmXqe3ZiJ2n209bakPW91ITbyXkjSwvBDwZdo4BUanGq0NPA9JuTHdp +OSd8/hKF6s3x50l9cnyhlUtYM5a88bL/4gUGHAaHHy08W4C/dfRlaFxk4EZf +mHnc6xrcumzMcVlbBszDlDrgcDkqcJ0zgyGxsmnTvrVfI3HQe5XWsksM1EUG +qFWuTUFX1uu5eV4m49thd+IJaMIH/C22Hr4ifP5Gzn5guR0DfXqH6q3aWvDs +7YFZI64yMPhorbKvXj0Wicowf0pipZhBhdVvHuOuX9VySdcY+Hq5aqPkiTb8 +UO9V2+XrDET88NnsV/wEJ6d8v7vDnoELZk3mx03vYTeRsWozbjDg5XI50G9n +CTp1QP4PR+J5J4eebfMoRbvy1+VUODCwSuWjWZ/DE7xMQt/Sx5EBLfln5q2K +lXiSueWsYzcZ+GNfMVFnVAX+WX6jfdUtkq+aT45suvAAd8gEeYx2IvmOlzxW +qR6DS85mqj8j8Zh2UWnO6AEKe/RgMOU2A98bt8i6nn2Mr8u/zr3qzMCagOFP +JPTDsenVHyd23mEg4ffyFQ15+Xhr29g5s1zI/D8zrVHVLxnPXTL32VcSV3Y7 +7j49DeNRzsir0pU8v+aCjuysZvypU3+7vxsDUY5MtJZ/Nq5be+Lf8bvk/mpd +lQ3Da3G6t0P+WncGxOdr7zSprseen4NOinuQ/nvooL2o/CE+q5ol/5LEBc/U +M1vkC5FB2MPn6Z7k/j+Omq+53YxX/Xjtbe9Fxo+K6Y1lumV4ys6fGnreDNhp +9A/7sLUeDySMGyrvQ763rPvB9SMK0Ish8wq/k1hiRmHElmTAZfvWn37gy4CM +vDN/7EYTjso2mBfkR9a/8PPyemaPsKO41UtLfwa6ms7US8wuxceOOvqiAJK/ +6oyWTLjahLeVBmuNCyT5Xq6RPbO3AC2Qzh7WSeJUeeX9pzPKsfjpmqKsIAZi +GhIeeqncQ8yDTmvHYAaCQiu22KzzR40z++fvDmHA/qpVtdbwWJx1SeL1vFAG +Zn2XHPJAuw77PJ7n30/i58XbpasWNuILizZo14aR8fdETWF2VyXee3O3SGg4 +A5vmndyT5PEQq7y0KjkZQb6Hw6Fy1Y4iPG3VzbMbI8n3Pb7nnbWiJ/7rHrJA +Mork57r3tt4XS1Hnx+zOtyTONVw42mtjNr63qTYgN5qBDx4/JtyvyUSxQW90 +nGIYCByTE3tb5yF2+to/Ym8sGW/G2fPw8UxkrjW+dEEcA43rzNovyRQgrdj5 +536TOH3R0dWtyml48eCGRfXxDGz7GbdhxXyMJfbseRueQJ7fKfVt+L5a3Jt+ +Msg6kbyvlatmza6H+MmoW7u2JJH6c5tFf96GZpx3KHTUpGQGnioNP3ElsQkH +FOWUfSBxg+3VnIHEVHRpwqPzBSkMLOxZ88DgewM2OvFW8U4qqRcd6ocdco5F +6yt/vdufxsCkPYHF8rGFWG66ZIhiOgNLRVXCL/yLx8MuKOj9JXHtu8SCdVcr +8PuGjaJNGeT6Q85zjcrLUPV8w/KoTLK+ywT9+7KxHCfan7pok0Xyz/DotEPm +ecil45aSWjaZzwkXqnYtzsdWy8I+SOcw0K4yrjtM7hHWcc0N7c4R5hPl94/0 +i3NJPh3p8HnOi2I0Yf07Mbc8BkZfuqMk61OF+/wGKg7kM8AFs6T2v4WespKX +lhSQ8Zhrv8HgYwEq2rZAeWghWf+SR2l+UcpGIZGbPj4mccuoEU3eL7Lx1V+G +4bFF5Pkzl1fIj8zCJnqnd18oJvPr0iWThV0paHOK05jtJcL2zBEJvy+DGbh1 +65DdzgOVeMSBvMufSey9QHVdnEYU/phXt7S0lIEfn5wnPVgTgGvGve92L2Pg +S7ZvdUdIEko9/jviEJD8wL3oWb07HrmXTzBcVs7AI0tR28NZachaZuE4kQoG +7j/nu+Z0h2K9s5urWklsf/jW82XXqvGKR3vtEu6R/dObxAV9SfextLz18kv3 +yXz0CVkCdim43+52j2YlA9od19Zd6S3Gz1rDo6ZVMRCp3PEy4GUmxkr5e1kS +3++e2/MoIQ+H366XKK9mIPmZhFXSpUR0o/N9tdcD0l8bnomJDm3AR9b+uXrk +Iak35qw0m6dUiNW8J65cWUPG54Z9Mv4TC9D8zwu/jKxlwHD2sUmb1j/Eoqpb +YtpJbN/feT5gQQL+HLpvf/IjBs5Uhi962VKH679bS9rVkfVVOmFfbUgKztBx +fqhdz4CU74JFYxY1Yq+EiOtyDSQ/vYv369HNRjZDClb1Ngj7f8++BuZeI9nv +TFIbY7nWH6/J/hDr20Tq2ditk3ekh+Op4oNGZs2kHvyYPv6ESCH+c2TSxDWP +yfh3tZOpuOaLX+FFtaJPGDi241SYofo9XC619cZzEpv8O/TOdkYBjj61f01a +CwNGt6T/6bSEoZsPznDXWkk9ol4g6VaVis1m3onf1UbqC8eEiG9DHuLtlyIP +zH5K6vVNB0QeJZB65HHBpD4SO1ytDxgwI/XIosZHVe2kfihTU1v3oxxzjl0O +AR0k3xYwUnk5uaj5xeBai2dk/62xs7E4Pw3nrJTqVXlO6vnWj6t7Qu5jP3fF +xDEvGGAUmDVDlhfgix+3mrwi8QLtwF2+Dxrwvk1G0pkvyfvVj7uy/HwWXhd0 +tv7GK7I+nuwf92drDJ7+9c5N/dcMeF77Vs2JlaIhWlHr5nYykFLZb+jyLAi/ +jSn8+oPEftkm7ped7uPKP41JD98wgHmL2KWRdTh+98dDwW9J/rzBX0oYkoud +0/9OtnpH1tc3WXMP9oZgy1HSjevfk/y9OjChbXsU2nFosZPEB7J/dHPa1v29 +ACsVqa5/Q+I3TrMPlM6uxuMnGPdldzEw8miaa2ZcDf5qaZNy8yOpB8ZBoEWt +H2q973J4TzfpvzEV28Oj8nHBtGgZhU9kv7v46azjjeU46HxR0y8Sa5QGK30Z +V4WvNDTdftRD1vepz/d835eJDszv3hD2mcxPzeNiFyuz8Eb7f99PfWHgiItz +ULxnIZrVIZ22iWGgmnWytntViYcvUzo6gSX9YdiwpCmkCH9wUZN9T+KibOgU +t/dFD94ZP87jyHox2bjQyDEHJaNzd27zpD7XaPTsXRWN3fxcN+3rZWB9e8eH +H3e90Sk2+ufCr2Q8OvsvLk/Lxbu2Faf/IbFSu5J86bZHeFlk87GGb2T/LH/B ++8vsdDTpV/e0yD7Sf1cTo7+dC0Q/dIe0nPlO6sNlU74FtqSi9uTJrlt/kP3b ++ZFYe70TKh6+ZIvUT5KvXlXObVmei0KN1X91kVhRU7q29+w9fD3vQGZhPwNz +l0vFLtP3Rqbjzh93+UXyLZ47bElCKNp63G2G8QCZ/245PzMV8vHc8pjWxb/J +98RbDvRH5+NRMiVu/0icH58td8kwE30683hr8x+SXw421klnxuFHtZ8GogcZ +OPGw6+LK8RU4bc7Q7HN/yf2fVGc6ZXlhD7spFur/GDA2m/xyxbL7+EzrkplT +hrCwKjRt0leuGhsobXv6icT35+U764dX4lW3D7qXDGVh9Ms14zsfZ+MpnefV +7g5jId/BeOz9id5oYM3dPweHs2Ax4vfuqpQK/MIrNkdZhIWmpoLLivf9UVlP +ieWwESyMaR2+ssi9HEdufTKrhcTZ90KPnzd9gB1Ce9rjRrLgs8ph0Eg9Ch39 +PtTz4ij2//KJuo7MNo3RLMzK29XWOicfL0hQ/jtVlIUNA43D3Zbcx+JDtud9 +IfGJ/aJPtBdFIWaviVWZmPDvG7MuzPEUZ+G15hF7XZJfs8Tcn5mOYSHhinih +lmwU9jkS57V8LAtiKg/bzEXK8XmMt48Yx0Kn9OTYD5ezkKFUy782Ejsk7hZz +2VOJ1576nJ8owcKuudVo8pI4LPtg2KnL41lwu2jjPTcqFw/KTZ27Q5IF08GP +FdqH7+FO26Uvpk9gQd0mZNWrE+X4XvN2H47EIS+jTjJ7XXDswkOaFRNZqLwZ +Kr8pKhU7OV4c6jOJheQZjXve3klA5i/cC49KsTDBSR2kT+ZizZXxp1dJC99P +0b103ujJLHxeUBtJtlR43MeWlx0kvh6XGBG+IQPxG7/4pkwRXv84cPiOqzIk +bj91+p5rPMrrnTp851QWLpWYjl6fkY8DNJcVz5RlYcuEIZ+PoUJ0KUbjzFcS +x2doF6uXFWCjP4cUKqeR5z1ZZ12Udgev32372m86C+1+a7uu33PFcuke/sdn +sOD6smJK454qPHRUgvZaORb2jvAf+ORfgN+ZlImIzxS2p6qwteTF/8QJkszZ +9FksxKQOGExfXoxdLEUW2s9mYURTjLLTyXJsdV/2je4cFn6OWZG8dWc+0pm2 +PHCOPAvlaVZGvVwOVj6vufP7f2KtcSbO0yvwhAbTkQ/mCu/fN+9SaeA8Fi5O +Eu8aoRGB2657nrOcz4Kvuonop5RCXNiesAgpsDBpY5PvnrNZOHgpvB27gIXC +6UaS6TFZyM6lLeg1iV0cZVdNf4SxyTtmV9ZC4f03oxGjHRexoDVbQTT6RDKa +4zcNDBRZcNSKjtR0CMUj2OUX5i1mYZ3I7jU15pH4o7rW4n4S7065OdvLtxjX +RBx+X6PEwlnxJS/M9xXhlP5LISFLWFD9Pr63dvkdfFfXS++kMhkPwytVvx5O +Q6eTE0U3LmVhffrrvK9Wnlh3eHn5+GUs+JXunPjZNQ+vMH568S2Jj3j7mrd7 +Z2HpPFYpd7mwvf1jR3bdWsEC2WCr+P8tx8/MpocZriTzv3aqlfblaIxhhcGC +VaS9H8zsPN9F4vApO8R/k1hJ3F9k+ZAYbH/myL261SxId36+fHNHKjpce/lS ++BoWchomuXzb74NU53grW68l+UF21dDvRuV4nl3Sx80qLDTnL66R1vVEoq3l +4RPXkfHS3eS5WysPf17cvvsDibfYrjI6Kx+L6p24MQWIhX/S/ZNmv/dDGa9H +VjqvJ/MjQldHUyEYea2ZcWX/Bhb+TgkcVmLgim28Vi5T3MjC4in5W/MVvPHu +nh2fBkksvlLJalSrL1q99Whk4ybS/vXPR9ilJmKZ0CuGUZtZqI73+OIzowT/ +7vMeZ7OFhfcKRzSlNmWiV9rJVapbWYiee3iUqV8+Ko+vsJNWZaEsp/WKo3kA +iv7XvrybxPnTsnJ1i1Lxzb18T5EaC+nV1p667RnYLGtUtKs6C485Ztj117l4 +u5jcvgPbWDCqGfd481w3tPDIqvFLtrMQarbYv040Bo3B2g+GaAi/Dzvp2LXH +JD5mxYvvfhODmk7arYzVJP3jdnzk9Fe5KKfa58t5LRY091607tOPw35yKTHb +drAQu/XTgJbZbXTR9t5+GW2S3ydIil+fVYL3NXdIfibxkQDvc2pDfNC6hb0P +sQ4LPxTdk88YBqDpjqPt3Xey8F206fbzwDj077nc6kO7WJjxfWdX16Qw9GbF +anapLgsLdeK+tYeV4/t3deKG65HvMV3UxHeaL47rOmbcqidsv/PGqxMT9FkY +PvvGRn3du8gy0LfW1kD4+47elBuau1mQdZg4vTgnEytp3l8zbQ8Z73Jzdh66 +EIzHxzzjGBInaqie369fgr/+7o0HQxYuzPw+LO1+PG4xED3otZesNxYdV91m +OKH8tJlSR/ax0Ho5J5hpi8WBI9fUrdhP8s/POIkJw+6iyyY7HUcasfBHTyL7 +fT6pRwrNVNpJXNIspqdVE4s3Sl7rTTJm4bzHVblYJgXPsvRLvHKAhaS8NiNT ++yQ0/H6qifZBMr6GrQ7+dcYPfZCtlJYzYWF8+I8nyikl+MG55/U8id9McP1y +4hpGSfVfb947JHxf13liyNdUGJ+8PuvbscMsTGvWrou/mIh3tq9JXn2EhU2S +V9bbO2TjZUt3mYoeZcFms961vTqReJLL8SnPSXzT5JXCJc88/OPttcbUYyxE +9fwT0cjyQu3r/J2umbGQZ9C1TekjxsW+aet3HSfx0WHswG2MQ5nKvlnmLKjY +bF7/910+uqb+IuUbiX2v6cT4bgnChyK+Ha6yELZvS7/Y1ABLFq4y246+vJGL +5XVnN5ufIO29rHXLqjUUj0pe66xixcJXv6PRItGl+NMw3Y1jTpJ8+eXDnGfW +2fiRkfmPlySe1Vrm7O4ShNNyr6dlnCLjvSbt6ruFCdhjbMDRG6dZGGkRYHSo +2hudMUuX1bcm47k7a37V1DysD1WP5c8I27Nyyss7P0hsNN7hKrMrA08+07fp +4VkWRPSPtnzMT8S/asT7g2zIfCm5cf/b61T0YvacjBPnWOjBd5RfWobgsisq +ZuvPsxDZ9tZJeVEZjmzRnS5xgYW2g+0GtyyisMNii5ZOEi/RWREfIZeBjzrZ +u2ZfJPXAcekXFl8xVn8dsOWmLZlfyw2s9ebfxAprMn7tvkTqCXm3HZ2mxVjM +qzpz/mUWzpiPuOMxIQ19+fTy+C8Sf1tllLD/bDRq2PJ9xqMrLFi9u7Lhq2Yh +zgwZ0xZqR8abrhUXZFGMffrm3D11ldRLxy/uWTvXC5/XXqe66RoL/dOfnze5 +exMZxuv9lrzOgnOwxsjNZj547T+L7Hckdhg46ic7NBHJ7r1hkWfPQnHdyq0f +rvujwczAmbdvkPEy1dxEtKoMvxbNfLrXgQUNrWSHradzUcXhB+4LHVlQfDnw +MW1CAI4peaX2h8SzE9dzH16G41uTfvypvynsf/OTY3MjbrFgYOzkUZ3mgTSr +5U+ccSL9LXVxVsNABFKUQ7O33mahzv3zbxPfUDTOVr9jkjPJlz+CnOOkMeab +LD27SHxQYWXLhqx0/HiBw7bCO8L75zoE/b3jwoJUZdjBsP447P88M8/IleSv +uD9FL2ZGIdsVD60Wu5H+P3W9+2tbFt5/9/WcfyTWDRxQOxaRgtd3/XjWdJeF +bSYi+HpPPpLbOM472p2sTyISq7p/F+ChgXM1znmQ+YrMrModI9E7Hg1R92Sh +6PF3yeFtabhKw6BgshcLX5BpmU5aJE6IPnHqE4n7XRePHaOZg+/8dphb4i1s +7wmD4BduPuT9FWI3l7lmY+20LJ+DvizoiQSn7UzNx0tG1mgq+5H5xUimljYk +4wkmnUOH+ZP6bPL10r+JgPsKfhY+IbF1wFLjKbM8cdt4Ceu4ABbmmqsFT3VJ +xoUW8+ZfDBQ+L/je+lfbg4Sxnexuv6nBZH7NH1Nw+08KOnjOascXEmuKSJ90 +SMpDm+odh5eFsDDlSL9C3ZlsNHteSLFHKBkvTewKsjvCItezz5iGsWA4WWPy +/IfZ+OPTGoXl4aRebT4Rebo3AdUov3ktEsHC/pLTPf6KqTjlTr9/G4mP9qcr +KJ3OxXffSugkRpL5senSYIZaID69bv6Iy1FkPZCwbTrxKBfr+m7AWtGknhN9 +NeX8q0y8nNltMz2GhXEnFeXHGiQhKfWTCzkSn/Atfp1RG4p/ht98Ux7Lwi21 +zT8Hdrnjjp8hgd5x5Hs6JW1Owz4Y78rZeTSe9OdcQwObe9k4PKl25KoEsn9Y +Iurq6OmP7Ye9LR2VyIKcgXlojHEIOmz061wHiVcYhL310IjDqrnjFVOSWHhn +s1+1KToFzRur8M4umazX+TnDJ1yMxKPNNgbrpLAQ9tbRr/VqCe4p26M7M5XM +nylGv7+bZOK6yadGfyWxythni8NCMlG69S24n8ZCBJr15Oz2OOxVE3rBL53U +8x34tKxnCbaZnbv4eAYLNbnPQrb+9cW7rzx6vyaTrF+Ljsq2WSbi1S1vQ8Sy +WHhYbfX0fGccklk8oPeCxIGtudIlbzLx71uSYunZpJ6MmTV2x6ZM/PKVQsX1 +HBaQuPvlHnk/BKs32ermstCtGzIQuyYRR3kaLpmTR+oX5c6sjiPF2PHTqa4+ +EmeM/C1SeSABmW1xCqvOZ+GkHpxuPe+JtoeEGQQWkHzM/p6640IcXtiXK25Z +KBxfY7Tr7q0rYiGgzjMWl/ghNu7dpbHFLHiPcFxaaFKEm/4OKL8uFl6fbTih +O7OEhTXVV7+u+BSNfTMXRDhgFkY5TtMqWxSHL4hu3mNQysKNvZo5MUtC0N7D +e8fOKxP+/bqS05U/Sfyq+Wtg+tMsPH3S7Ss1wMIC25vBX7JD0D+r8GUh5SwE +zTG1PSvuh95U5X2yqiD5rl2tbt59F3R/Rn3khntkvFtayiu7ZqO4i+8Nx99n +oS/616w6jyh8u+n3uLf3/7Mfm5WoX5yNLRZMrM6pJPvDJy3WKTE5WMth4dVb +VaTeM1A5Gb8gFS1+vnmFYbWwfeNX7Pus8IAFhdySOnemFH91s44eILFheXjw +yUtuuOXD7X11D1nY81569lPteJy/IWJ8eA0Lh4tN83siM3BgQP6D07Vkf+iX +oWfy3gtd5uuvbX5ExsOFA4vPx0RiY40PKyfWsfCspuzf7gQntCH6z5f3JA6Y +5BDbJJOFZ/6eGJtfT/Lv6b01JedS8DCDRUbODSwMlqsZmM4JwR9St0zY30jW +o/4+idj0ePxgxP6aRU0ssP5vh35Zn42TDp6xH2z6n3qkwHl1YzPpzyjb1SZd +cfjk+Eg28jELDYZJN7UuZOOdFgVxZ58Ir196r8FYtYWs/25Lf7odi8ITZbsm +SreS/cL+geGqV+Pxd5vB2o8kzu2MjCpZl4mf1k1yKGoj8y25pu7jpGxUPFdx +retTFjyW7l2xNy8Vh17byhu3k/pu6Fudij35+NrT/QlKHSwsn6es7fq0AB9S +PntwyDOynmrf7bf5nYy33Lkj9ZjEjbE2DC67i+XfRtbFPGdhqHzkg61Od9HI +dYWO51+wcFdWf0rj/mTU7dOosu0lC5m60mqRvnmo9ktX75RXLJgfS7hxY1wW +TlX7m9hD4uplkhtddIOQe7jUIfyaBduwX5pNRZnozE/Fye6dLDxZYTN4XLUI +6+9SbTB5w4L/xZfjD/yLRCuTjG4tfUvqo5uzxx34FIUmD7NBw9+R58/Pjao2 +vo1+7Xf51kLiwOtSpsZ7E/DznKjk+PdkvTXYXxmbGYlLxxSZ2n4g4/fMtkvF +djdRxLGmKZpdZP0b0yIbY5qFb5R9bJT9yMKjzth3IZLB+Mjkf04MiePTlE+E +9mRidWvpDdDNQunTrvRx4gFIoWbxd89PJD/b9+scm+qDxWarpR7uIfXM1Ncj +Vm6JwF8uGx9Z8ZmFzUtn/FGZlY4anthMHfmF1P8Gqx+ctsvFmYquzU9JHGT9 +53X3iUDkfSvaOYkh4+3NR/cBuXx87lXRxiuscDzsWd38YwdH1uPosPcxOUl4 +jWd32gyeBSd7/7ixiq5I9tO/ozwvvH5w8+Rp93pZULv/eNzASg/8Oljpic9X +4e8V39Rcjn0j9fnhWcO8faNwzI4Dm1f3sfD75twdh4yi0a24c/2jv5N6bXH3 +Qq+hhfj4X9eMZyRWzfmuc3O2N9YwjDFL/UH2Lyaz0uvtMF6UWTz92k8WjK88 +fLdMPgOPFX3csrOfzD/lkXc2kP0mb/rJddYvsl9+ULTqQH8xflw8ZOs3ErfF +dxqVcvEod+KUgcoBFna+iFsutaAA+1styfL/TepPceNU6z5nZFulbm7+h9T7 +c/+MuhiZg/fPOCinMih8H3TxfJv4XxZ2aP2IbMjNxjOa3O6+JLHS4nO73W5n +4SELYlUz/pF8eM2F99+TjN7eKPltP4SDfSGdP4+8DkNVzx5n6w3l4Mser/TB +9rs4YXmPhfwwDtzkPHSdl2ViysNx4DIjVCQoJwFTHo6DK6z+VbOvaZjycBx0 ++1uulRjqiSgPx0HUzRmzd+MYRHk4DnZWmt3tqYhDlIfj/q/9lIcTxpSH46Bw +1Mdvb23TBDwcB63TvnnM1fcW8HAc3F4nb3thXwKiPBwHGZt7t9f/TMGUh+Mg +yztmkuKZWEx5OA6CHzatSRifhykPx8HZM18j5BxLMOXhONhVMPoM05Im4OE4 +MA9X3ygblCrg4TiQlknxHTc2FFEeTtheysMJY8rDCWPKw3HwgP/1e43FbQEP +J/yd8nAc/Olw//a7MBpTHo6Dc9tsokzOZmPKw5H3k5CY6yGWK+DhONBY9meO +0pdIRHk44f0oD8eBd/zNVz31nojycBx8O9lze6llPqY8HAe2nOyY7JR8AQ/H +wXWLjMq02EJMeTgO3KcaDH5qKxLwcBwMv3DkuMKRDER5OA42pLvaHz4YhygP +x4FCXe+WTc8CEOXhhO2hPJwwpjwcB80zXj9dpRks4OFIfGvWPofGNER5OA7K +pp6vV4kJQ5SH42C12iLXhbapiPJwHNQcrH405WMkojwcBw2jB1ttV8cLeDgO +bNz5r/fm5gp4OA48n5hZxq9OFvBwHLhqaLb5RMVhysNxoDlKU5wpCBXwcBy8 +nqucUzS2EFMejswXcfE1s8fmCng4DioOpC+6d8RfwMNxcH+gIj9cM1TAwwnf +l/JwHPx+/2B12KRsAQ/HQe/kJ7e/s9mI8nAcDJytZGpzSgQ8HAe8Y4nbYctk +THk4DkYf+zPs+4g0RHk4Di50yz59OzQTUR6Og6btG+WWLIlGlIfj4NSDZct9 +/dMEPBwH9rNMPVXq8zHl4TiovR76/I58AqI8HAfV3Yt0NEryBTwcB1JoREg4 +Eyzg4ThYEKOl0WKbgCkPx4Hv1mzlUbEpAh6Og4jcja/+2mQjysOReE6iGXcg +G1MejgOv7JV/vudkCHg48j65w++9/OOFKQ/HwXelyi+KZmkCHo4DB5X50nZS +vgIejoOVE6csde/OFvBwwv6lPBwHPoVrhu0ri8SUh+NAMaLZBdbmCXg44fWU +h+OgZ6Rlm+XuGAEPx8GmtRe9DL3jBDwcB2tGvfJO5b0FPBwHhzrExRV/3RTw +cGS8LWjSt3qQhSgPx0G7t99ieZNMAQ/HwVFn9/3xXaECHo6DhyN39Q7NS8OU +hyPjTdRwMGZaEaY8HAfxYrm1wdaJAh6OA/3YlBz5RTkCHo6DA20T/02enY8p +D8dBndQO1Wvq0QIejgOt7JhHItVxAh6OgwKH7Or+sfmI8nDC96c8nDCmPBwH +H5e0K51+nI4pD8fB+cMqX1+9dEOUh+Mge5pH8LERUYjycBxsH9WTdJjLFfBw +HFimwtbHOqGI8nAcbHMd7G2IuYsoD8dBoN/F+380EjDl4cj3OSybkbWkSMDD +kfmgebHJ7m+mgIcjz/9SvEDbwlPAw3EQLlPbm/7WBVEejoMzUyo0GqJzBTwc +B/4Hn7y85+eBKQ/HQb1Z8MpR7+IFPBwHhyMf7XnnnIApD0ee33taLEchCFMe +TtgflIcTxpSHI/dvQgfWOEcJeDiSj5JVbt9XiRLwcGS9y/oXvB55YsrDcTA9 +d+jIuzf8EOXhOOiq41Tmdf1/Ho6sl2fOWs87nyXg4Tg4HrZspHaSH6I8HAeS +/caHdm3KwJSHE7aH8nAkn0fE5l7JjhbwcKR/HjSEyw0rEPBwZLzKlLbuTQ8X +8HAcbLlyV6PhZoSAh+MgucT/SYlpjoCHI+t/iaS5zJV8AQ8nfB7l4ThIWhgU +lxIbhSkPR9YfSflDV+6FIsrDcSDxwdLa7riXgIfjIH3MUZPQuV6I8nAc5N5o ++7O9LUfAw3EQtPw9t7g2RcDDcXD5yxOvJrUsTHk4DgxU7sVn+8chysNx0Jgj +r5lT6C/g4ThY0XwMqiryMeXhONhdrOUxgdS/lIfjQPXYjePKPtGI8nDC96E8 +HBkf4amqek9jEOXhODjx4nbniM3RAh6OA6cbyQ6XjDMx5eFI+5mssG+Znojy +cCRfLuEm61eFY8rDkXy6ZE7ojwX+Ah6OfL95rsGnJrgjysOR+TNimeqtRS6I +8nDkezgca3k0IV3Aw5HvmaTeG+0VKuDhyPve6zW+PjweUR5O2H7Kw5H83jR1 +W79koICHI/lxMe9eoZSPKQ/Hgc4ODz/HKF9MeTgO1p47V+UQGocoDye8H+Xh +yPyvu27+5GWcgIcT/k55ONL+fcOg5N1dAQ9H6q98p0L8yBNTHo6DztFfhpie +dxHwcBwkXN8SOmFVjoCHI+O9UkblmH6BgIfjIEV5X8An1buI8nDke3xLnzdy +VxyiPBwHcuIqe+1kPQU8nLA9lIcj+WTtrUeyjnkCHo58/46q3N9nvBDl4Tj4 +Vz3pnZFCgoCH4+D51iM2kzRyMeXhOPis44A81kQLeDjyfhvcjS2cUgU8HFkv +G8X0sGkgojyc8PmUhyP17/jQdvWaIAEPx0HsM8tPty9kCXg4Dga7JfvWnI5B +lIcj+efClfeOkwoEPBwHuhtlb9hdChDwcBy8GNoSUjwkUcDDkXpl1NaWL+Gx +iPJwHODUzHKL8TGY8nCkPukK0r6ZlIUpD8dBcdqN+dlb8wQ8HKnv5o27ogAp +Ah6OjE81v+uasgECHo4DMdcMJfENkYjycBwsTBFXYH5FIMrDkef5F9g0JIcJ +eDgyn/BRXK1/F1EeTtgflIfjYOpLAw3D/fkCHo5833NzkuQUCzHl4Ui+XGm7 +wmlUkYCH+0++sEmo7UsS8HDC+1EejuT3yK2GphuyEOXhSD3QDkWLZ0YKeDiy +P/ntvXBhhReiPBwH/VkwbnRxNKI8HJlfEfPmdKhkCXg4kr+qj/NHlFIEPByZ +vzf6Z3avTcaUh+PgZbzn0q6QLAEPx4Fy0nT860YypjwcB4xOncnOVR6I8nAc +5APvuiU8WsDDcWA8v2Piz8XRiPJwpB78frPP4m4EpjwcB5faT8jNsg8S8HD/ +U4/8l4cj9X/CVMNyBS8BD8fBp7e6dut+JQh4OA5GaZlIHmFiEOXhOFivvdD7 +/PNMTHk44f0oD0fyv0IM1tcKxZSH42D53qWBEuOSMeXhyPdWwE39Jwsw5eE4 +MIo419obVCDg4Th41x14MDE6WMDDkfVyndhOJ5c7Ah6O1G/e4r82SfghysOR +elVxXqyxi4eAhyP1HCzzU1yViCgPR+rBM9Yex0pTBTwcB0XKYvVt5rECHo7k +33zRpJFkflIeTvg+lIcj9c/jcosrb9IR5eFIPT53yiT7f1ECHk54PeXhyO/S +FsMslZIFPBwHfz9lDF8601PAw3GgtrJ9pqlBgoCHI/vNbXLDF1f7IcrDcVC1 +68yVwk2+Ah6Og3U3jg5Ux6QLeDjh8ygPR77fTVKmLo/ClIcj422mzVazTQUC +Ho4D0derdjrm3EaUhyP9l75/ykWpSAEPx0Gb51e31ftCEOXhhPenPJwwpjwc +2Z/CnVMFJT6I8nAcBCTfO3daJA5RHo7sx9bXbv34NxpRHo7kJ6NFi9DPBAEP +R9ab3Vu+/lB0FvBwHMS8GyvmnO2CKA9H6remv3WS+UUCHo7UF/WNVWGi2Zjy +cBzkMK35/PhIAQ9H3i/gJNZOixHwcML2Uh6Og0nSI7PmrXZBlIcj9bL/DqkR +l1MR5eHI/jt+5qj9b+IEPJzw7ykPR+qViKyWpltOAh6O5BsX59Ed9mmI8nBk +vXA5/WPnmHREeTgOQnzlRnTqRyLKw5H1xXrH1B1srICHI/nwTLrIobIQTHk4 +kj9G2ySsWlAg4OE4uLby7NA5krcQ5eHI89ecWWenlSbg4Uh/i5qXz/ydJ+Dh +SD0e4Bp59GemgIfjoM+299pe8MKUh+PA4u2ROSOdkwQ8nPD9KA9H+l8u3FLt +QJ6AhyP7Dw2HwPyRXgIejgMX9eJnp/1SBDwcGb/Xetd2FaYJeDiyfr1n47FO +pICHI/W4dn9EVXQ2pjwcqcf6HF9VPs0R8HAcbF5mdnybha+Ah+MgMXZ12XuF +uwIejszHkkHt0RXJAh6OA3kLsaPsrVRMeTiSf1ysRPb35mDKwwnfh/JwpP51 +s8IGm7Mw5eFIPvZ2lCgj6z/l4YTXUx7uf+qR//JwZH2N0wiTdYoV8HCkvYXq +95TEvQU8HHmf6NevR9+PF/BwpF6y3xDsbJyDKQ9H6q+TsRsen0lAlIf7n/+P +/JeH4+BsqP3GwvX/n4fjYMbRcfC0PwlRHo6Dn28XHyi7ny7g4cj8X8hOHXIx +HlMeTng/ysMJY8rDkfVSw+RAoliYgIcT/k7PZ/NQ9tjjvJ1uFqbns3nITbY4 +aGlB9of/PZ/Ng5Nrom/41yhEz2fz//f39Hw2D/FXN015U1SA6fls4e/0fDYP +X5R7h4yoLMD0fDYPfPztCXHv4hA9n83DQlMZ7R9ZMYiez+Zhk2+fSPOKLEzP +Z/NwQuvE1H+NmYiez+bBWypN/NmOIETPZ/PQmzws6dWoBEzPZ/NQ8eaC6tTe +XEzPZ/Ng2Txsxf1xXpiez+bhZVv8fJ1Nfpiez+bh+J8esZUjvRE9n83DnlMo +4XxFEKLns4XvQ89n8yCnGFA3/yBZH/57PpuHe0UxjWPaczA9n82D66XQR+rX +MzA9n83DuHrlwgPSyZiez+ZBK1dtReCSKETPZ/NwLVKrSfmpL6bns3kof/Ij +sXqzJ6bns4XPp+ezebg8PGROL45G9Hw2D229C6ykCvMwPZ/NQ1zxN+m/YfmY +ns/moe5MulZyeCGm57N5kHkY1ur8OxHT89k8nAt1mXuv+A6m57N5sNpXnxCU +FYXp+WwepmyuUXmYkITp+Wweti837V6VmIzp+WwezA5vti26G4Pp+WwemqTv +JZ2574/p+Wwe7A8NmT9PKR3R89mkvdMeNPDzXTA9n83DkU53Nnu/E6bns3lY +efWY8oShKYiez+ZBR8mwbMuueEzPZ/Ng8bthU4NrEqbns8n4PKQ5/MaMYkzP +Zwv7i57P5kHWxfDhhzG+iJ7P5mFwrtnjtc3BmJ7P5uH6ip+ihlJJmJ7PFv49 +PZ/Nw4XUhsglO7MxPZ/Nw9OwG2tQfxymvhIeisaan1pb4oapr0T499RXwoN+ +/qxPbSl+mPpKePBPUPvUn5mCqK+Eh2Waxgkxd+MR9ZXwcDdQ60vo/ChMfSU8 +ZC95GDn3nQemvhLh/amvhIdV60ZEf1vqiamvhMw37wOXN5D6kfpKeFBPVfMM +UwvD1FfCQ0SfRMM1zVBEfSU8VFWN1OrdkYGor4SHsbez149dGY+or4QHlPpj +sHZCOKa+Eh6WmwdFh630xdRXwoO70whDsblhiPpKeAi4srpmlI4/pr4SYXup +r4SHA3Z3XjtNysHUVyL8nfpKeDC8Jn9UszUXU18JDycTjy7SXBCDqK+Eh6++ +1d3Xy9Mw9ZXwkJKmdXZZlRemvhIe5s/5vb/xSCymvhIeSvo26kiS9Y/6Snhw +y5gTdVsqA1NfCfk+Ig+byvUzMPWVkP6zzVy6OScSUV8JD8WvFsRcvJiDqa+E +h9Xs0mV343Mw9ZUI2099JSTfWK6606fohqmvhIfQurCrPnNDMPWV8JCz8PQC +3jsSUV8J+R6zllpKe+Zi6ivhYUKH6hfHfzGY+kp4EBs45TmmNxNTXwkPS+9Y +/5m1zw9RX4nw+dRXQvLN2izFqYYBmPpKePid1p2VbByGqK+Ehy2Lvv+NUPBB +1FfCw3DH7TFLuHRMfSVkPjMbNJ1/RSLqK+FBl3lRHLvbFVFfCZnf6eOx9blI +TH0lPHzca+wQqOGNqK+E5Kddob+6hkRi6isRto/6SnhwkVsh1mcXh6ivhOTL +PTrBzxOCMPWVkOs10tcd31qEqa+Eh1iR9lpbu0RMfSU8ZBjWHrTTi8HUV0L6 +p9WhxjA4HFNfCWnvqIvbZJ8kYeor4WF6gk3FCc1wRH0lPKyJ+qPdVuuHqa+E +hw82cvuOPktD1FfCw+m5Mj1jl4dj6isRtp/6SngYWiYiN3NRMqa+Eh4S/v4I +2v09ClFfCQ+ll16mFq/OxtRXwsOsP72vIianYuorIffjBw8aPQnH1FcivD/1 +lZDn79nyd/vZPEx9JWR+O61Qb4+NxdRXwsMlS+c93J4sTH0lPLxgdfsT26Iw +9ZXwUH/RyFpsXiqmvhLh/amvhIfHt9UuVStnY+orIetRxMpls61jEPWV8GDc +PnmZw5J4RH0lZLyc9Q/oHBaHqK+Eh0gZSTclyzuY+kp48B2l+bDTvghTXwkP +7cdt+xrsozH1lQifT30lZP3M2DnZ3LgYU18JD9EZ1q5xM6MR9ZWQ+uConuiY +Mi9EfSU8+KiI2XL6mZj6SoT3o74Ski+mnZqT8SUZU18JGb89VvccuAhEfSWk +XmBkpsv75mDqK+Fh6mL3cZs+Z2HqKyHfp1B2TZ6EM6a+Eh7sQuUWqVZHI+or +4aEmi8/WWxyLqK+Eh2OiOEJdIhRTX4mwPdRXwkPUWIsZH8b6Iuor4SG1dWTv +lLFJmPpKyHo64C2jIJuLqa+E1B/MgiDdfSGY+kp4wMlPPZVb8zD1lfDw8IE+ +67c0E1NfCQ/mZ3ccCvldhKmvhIc0SbGWPb9jMPWVkHpuptR4BadQRH0lPPyV +PmmG6oIx9ZXwwB6ecutzpCemvhIeToUtve60JBhRXwkPLbZnZ96xDkfUV8KD +gs7M8SMTMjH1lfBw0/VNdcOUGEx9JcL3p74SHt6IHbRdUZuBqa+Eh/VxUkp3 +d+Rg6isRXk99JTys29M86FefhamvhLxvTMDaYRqJiPpKeHD2L9/06HoCpr4S +HsJ+do9XOBqAqK+ErOdu1p4P8nwx9ZXwUBmWo2WrnICor0T4POorEcbUV8KD +tmgcs/CXD6a+ElIvHPR/ERpWiKmvRHg99ZUIY+or4eH98SkXVowvwtRXwsPm +NV4zmkyiEPWV8ND3fWLE10leiPpKeICUH27e45IQ9ZWQ+Vy56m/90SBEfSU8 +pGebPD/6NgJRXwnpP+bEqJYqb0x9JaT+Ku9oFN2bgKmvhMyPIbzhowv5mPpK +eOhCxp16ivmY+kp4eK1/yjfsbQamvhIeJs1peu65JR5RXwkPiQ9RX+WwBEx9 +JTzs23Ft15JoV0x9JTyY+jwySmHCMfWV8CD+udN89+Y0TH0lwv6gvhJSr6sH +dNTlBiHqKxH+Tn0lPGzVeCOzKC4PU1+J8HfqK+HhdrG/suuEKEx9JaTeu732 +4JPxxZj6SngICbCr6S6IR9RXQvJ9vMT36oMpiPpKyPu++BA3Z68/or4SMn7H +m6jvD0nH1FdC+terVvrVhSxMfSUklhIJMrCPQdRXImwP9ZWQ8TZ1uKvTSQ9M +fSU85CX06fS5pWPqK+HBOlV9nUVoDqa+EuHfU18JD3sfrfwaNDkXU18JD+ft +J+2smO2LqK/kf/LZf30lPDwILb6lnuOBqK+E1DumRoefT4vB1FcivJ76SnjI +VFnpND86EVFfCQ+iZpPR6REemPpKhNdTXwkPzbafFFv0biPqK+FhwWHDTplN +OZj6SoTXU18JD4usvBZ0a0Ri6ish69u2qVKXFTIx9ZUIr6e+Eh5+bdsAOqZ+ +mPpKSL54Kt988UI8pr4SHpR3bUp68zUTU18JD3P6K/oWmGVi6ish/ek+ZfzN +famY+kpIvbm74GXd/lhEfSVkvyh1/fGsU6GI+kp4uJLb/lj2QCCivhIy//6s +HufkmYyor4TsP2TUZQKzbmPqKxG2l/pKSH14I/ipTm0cpr4SHnpEP437ku6P +qa+EB6VMl3ng64Opr4QHvYMfz4u8yMLUV0LWzxH+49vTizD1lZD5/MnU4m+A +P6a+ErK/O3ijSqM6GVNfCQ8jtAOemzdnYOor4eFZt9GpzrpITH0lZP9pKHHH +UiQNU1+JsL3UV8LDqBPHHirejsTUV0Lq2fCmMxtDkxD1lfBwJum6zMC4LEx9 +JSQfnjLfJ9oWjqivhIfdr8Ov7vVIw9RX8j/57L++Eh5Gm6moZBQFY+or+U+9 +f/6cYlUsor4SUj/pGvUN/vDA1FdC9j/tJW+ethVh6ish+XuZ6BGpn96I+krI ++iN36oAcqQeor4SsV8E2fx++j8LUVyJ8PvWV8DDgJnLYeF4mpr4Skm9WVM75 +rpSCqa+E3K9vcIiMbwymvhIyv7pD8EeHSEx9JWQ/EFA17Ip1Eaa+EpIPLEtc +9UY7Ieor4aGhWU9WfX4Cpr4SMv6rws68HeeBqK+EB795RmunWbli6ivh4eKY +F1tdcrwQ9ZX8Z38d83QCF4upr4QH2x1NEsPCkhD1lfCwreHntDKZCER9JaQe +t3NZ//F3FKK+EpIf3de1LPqShqivhNTPw4/9uL8jElFfCZm/ylfWB35NRNRX +QuqrNP8Q1dlBiPpKyH7Ysj+1uTgeUV8Jqac2eDlFz45F1FdC9m8rHp8xmheF +qK+E7G/MVZcFT8hE1FfCw5iJYanrPkUh6ish9Way4cIor3hEfSU8BE/uVrQw +iETUV0Ly4883Us27MhD1lZD96buFGeuKwhH1lfDwqLYp6vKFaER9JTz0DzZJ +JhqEI+orIfslcU21u/2piPpKeKiLOba59oU/or4SHjTUqlzzUyIR9ZXw8Ekl +/dh68VhEfSUk/3fa75mtfxdRXwmpz17v26waHoWor4S0b191rKFPOqK+EtI/ +ObY3VVcnIOor4WGjmeNsg69hiPpKeFjSqqAi75KAqK+E/C4r8iLoRTyivhIy +HkzcTGoUoxD1lZD891jfodQjElFfCdm/3LxhNhAQgaivhIerv+f6vrGORdRX +wsOdP19vnlKNR9RXQurx2sfrbOrDEfWVkP6olmoOWB2KqK+EjM/UH2bSjDOi +vhKyf8yaPUZ1fQKivhKy/qsfz8oh9Sf1lfAw8ewIb5uuGER9JWQ/HR3adi0t +AVFfCQ9O3d8Na1xTEPWVkPG8Zt+JZ9vjEfWV8PDOevCm3rRYRH0lPMzb8Dzi +gm4sor4SUp/+zZZNvxqDqK+Eh9697zccPheNqK+EjK/xrscOH/BC1FfCw0qD +qLPivj6I+krI/pvR8XoZl4Sor4QHj+OVN5/7RyLqKyH735qWCAfHKER9JWS9 +15zeVqcRgaivhOy3lX6YdWdHIuorIetbm1SoPslv1FdC8sfGCJUGnRREfSU8 +/BiZUL56cTKivhLy/iq7PtsbuSPqKyH72+cxpw9bZiDqKyHf51C/yjy/SER9 +JWQ8Txje/GtZFqK+Eh6skldfLFBNQdRXQvZXbXvlbaQjEPWVkPlsm+jFNiUj +6ivh4flHyauZyxMR9ZWQ/W9SV8v8v5GI+kpIPZn/vaPlZwKivhIeuK8Zn5Z5 +xCDqKyH5OKa0I4XxRNRXwoN67X259VkJiPpKyH5FIiBNRi8JUV8JqZ88DT8H +f4xH1FdC9jdb+yss1mci6ish+xl7gwdSL5IQ9ZXwcHag0bHhShCivhLS3vHL +kYZ1GqK+EvL7IZErNqlxiPpKSPs4608PX0Qi6ish60vP5WmTL0cg6ivhQfLT ++szhA3GI+kp4qLCr+ezVH4Cor4Tsl66PXBt+IgtRXwmprycMGOprBSHqK+Fh +pnFXW9nnKER9JTyseLbDs2tJJqK+EpIfnqluWrcxBFFfCQ/Zm0yTR/6JR9RX +Qua/8+a1ibF+iPpKeCic+EfMojwdUV8JGX8L32kZPwtD1FdC7s+LfJ35NAtR +Xwlpn5t100vFZER9JWS/eHrM0uk/EhD1lfAw25dXzFKKQdRXQvr31TXlPbJR +iPpKyP5vWrKveGAUor4S0n8TysOm64cg6iv5T73+7deY5fGI+kp4mNu19HKU +eyiivhJyvdjWBkOvNER9JTzc8uladlk6AVFfCVnfDFN3eZxLQtRXwsPI6P0W +f5/7Ieor4WFXz+M5e6ZGIuorIfksb8oh3Y+ZiPpKSH3ya7VdXlMaor4SUr9E +6sXvEUlF1FfCg6NrD9pUk4Cor4SHc49eOwYtjUfUV8LDZ6W9xyqM/BH1lZDx +jIaotqr5IeorIfXHzMkn3n0JRdRXwkPk8dSL/g6hiPpKyPdSyIrdPSEGUV8J +2Y9EOtks1k5H1FdC2v8u3WlGfSKivhLS/1emG6h+jkHUV0Lyo+sb29vjwhH1 +lZD+H8O+fuuWiKivhId8SdulWeWBiPpKeMg4t3bM1bIIRH0l5H73NhhEqUUh +6ish7wNlEmb2SYj6Skg+jlpa9OluEqK+ElJvp0Z7P/odiaivhIwffvQ1BSYK +UV8JqR9R3fYhdpGI+krI+BfNv64xLgxRXwkPR24FZo3PSkTUV8KDiHZ+7egD +8Yj6Ssh43XbE+PvjdER9JTyErkaGcDsBUV8J2b+uf3fD+HAaor4Sku+u3weF +DaGI+kp4eOLuM8twWTiivhIeTqYanXzWn4yor4SHtzo3DUt0IxD1lZD9xaZT +g52XIhD1lfCw6qBc/QuROER9JaTemBC/LXBZEqK+Eh40PSY7j9EORtRXQsaH +dPfbhcqpiPpKSH9F1lmJRMcj6ish9UTrDK/Pr6MR9ZWQ/ViPg4FrRBSivhJS +36RbrDhVk4Sor4QHf+9/F2OssxD1lfDw+FKZrenbVER9JWR+is+ZyOglIOor +IfPZ4/DjrbMiEPWVkHxsWr2/VTURUV8JqQ/rZQpfqsci6ish9S3yVhq5MBNR +XwkP4xcGjahYF4Wor4SHp0fWHt8RlIior4TMX++6/R6tiYj6Sni4xy7Bsfe9 +EfWVkPrL68BEw0EfRH0lZL83VdMxfEEkor4SHkxmmv05Qb4H9ZWQ+kXDPsBg +ThKivhKSz6/fbI2AZER9JWR+mg28sdCJQ9RXQuqT5Wcl5r9PRtRXwsOp9ubJ +N3LSEfWVkPUrbPfaMTJxiPpKSD5+aqOX9C4VUV8J2c/+eWadNzMJUV8JD6j3 +4R3nUZGI+kp4aFppoeIQFYuor4SMryMcDPjEIOor4UFlrZ/jhv2RiPpKyPh6 +ou24LDQRUV8JGZ/W2b9e8qmI+kpI/+mKZLz+k4aor4SHrOHHFnpUZyLqKyH1 +xN3viVIaUYj6Ssh+KPawWrVBPKK+ErKf2RpuY5+ZiqivhOwn7sTrDLkai6iv +hKy/Jp2HG8YlIuorIfXW79Y2t1lxiPpKyPr+clLsoYsJiPpKeNied+H+JJ1o +RH0lZD+lZXF/yrYoRH0lpD4qPfB5AueNqK+E9HfzzOUSRbGI+kpIfqhSsI+o +iEHUV0Lqv9M+MddaYxH1lZD5fzLioKR1EKK+Eh4OL/Xa3t4XjaivhAcJVf9j +p5amIeorIe21GVZzYWs0or4Skv/ErDxN32Qg6ivhwTlfYt9l3wxEfSWkPvY9 +X+yjmoyor4TsZ+c8djMMS0DUV0L2Q+EHQ5ZfTkTUV0LeN0di4OWFUER9JWR/ +HPKz85FBBKK+EpKf3bQtdkpGIuorIfkxuiKz4nYcor4Ssv/8t/n7zIEkRH0l +ZPxORSKtITGI+kp4sDE57zOjIhxRXwmZX66Xrxb2hCHqKyHr9aQi/clyyYj6 +Skj+1/HRTVCOQ9RXwkPVrMqtE3R9EPWVkHowJ2zX0BPxiPpKyHxsaWif6JuI +qK+EB/MjJT47ymMQ9ZWQ8WsxpyF+cyKivhIeGvuOv12oH4eor4TMz7UmU8Un +RSLqK+GhWzVmZfK3FER9JWS/O/pzjvuKOER9JWQ9CxcZ1tQViaivhLzvPbWg +7Q4JiPpKeIhXdltV/CEeUV8JWR/efSh9fZXks//6SngY5ifz6KuYF6K+ElI/ +7i8Rs9fwQ9RXwkNgmvFgj084or4Skr9CbUqcbvgj6ivhIddv+ZOEU3GI+kp4 +8FIaTH5v9v+Yuu+4nt73ceBGyc5KEllJCdnz5FRCS7JSZqnQEKLIKrIi2nvv +vZfq1NXQkpkZSYqMvOicSEb8rvfnvv36vv+7H696vc7rnPu+7uvO831dKQzp +V4Lnr4DG702lCQzpV9IB5kedNgS+9GRIv5IOmPfSbr+wNJIh/UpwPmndLD1y +J5Yh/Uo6QCPr9e4+Z5MZ0q+kAz5qX6ocNz6OIf1K8H55zKrMXZjIkH4lHZAw +ZY/N9bFBDOlXgueBlNgxCn2uMKRfSQeYlA771Do6giH9Sjpg/rUdcnpZUQzp +V4Lz/0xj7gPNMIb0K8H8f6XExNEOiQzpV9IBv7ucB/0Xr0i/Esw3uL8S2wdF +MaRfCe4H/f3jtsn5M6RfCeaT77OntrExDOlXgvM76MbdmbvSGNKvpANWb19R +xLQmM6RfCZ4fmixVDe/FMqRfCeYbhr+kXu2IYEi/Etx/TNWGSR9IYki/Epzv +T6KLBy6KZEi/kg6QE08Sxm71Y0i/Elxf8RIvfinGMKRfSQe8/lBrV52fwJB+ +JR3wN7juUhfOR9KvpANCiyMLlynEMqRfSQcYS+Q0WPV4MqRfCZ4/z3vevVMV +ypB+JR0gbW1apWPgwZB+JbieLCS8u3H/I/1KOuBcqb2HBBvFkH4lHfA4Y5G4 +9t14hvQrwc+feERiZf8AhvQrwf15cXFH/2UZDOlXgvPvcmugnGogQ/qVYH5h ++Cj0yoY4hvQrwfMjY+m+52QUQ/qVdIDmotopmZczGdKvpAOKIoq8tmrHM6Rf +SQd8s/cvshgRxpB+Jfi8TyWfm8RHM6RfSQdEz14+9dXPBIb0K8H1/idLNLWf +H0P6lWA8OH/1lf4cf4b0K8H5zYXcmnwgiiH9SnD/q3AaVboqgCH9SjC/6c+F +Tmp2Z0i/Esz3Dv0UXzAokiH9SvD7KMlemXYyiSH9SnA/nnpF73xCAEP6lXSA +w5CzQ0NKAxnSrwTzoTaptLGnUxjSrwTPk0LBuKxcd4b0K8H5bufeEXInkSH9 +Sjpg677Ro3T2xjOkX0kH/LBOStnulcSQfiV4PWMXdsouyGRIvxLMn1rHrHqu +HsmQfiUdoPZ77IGM7FiG9CvB/SMs4M57tziG9CvB9eQ6gDdQj2NIvxLMf2y7 +ao6rhDKkXwmud4VN5XJ74xjSrwS/j+aP5p3KGQzpV9IBYyUuatxWTmdIvxLc +j2pum+eejGFIvxK8vz+MzEYej2BIv5IOeDbguWqS6XWG9CvB88PIyp1D7EMY +0q+kA7g+Wp7n6gIZ0q8Ez9dWTL/As54M6VeC8XHRg+EGakEM6VeC8SZdZvC5 +O1EM6VfSAf6uqX13HkxgSL8S3O9bI1Y+wPyU9CvpgBVzf7b5hUQzpF8Jzu/M +m1mBTn4M6VeC62vvj0s7VSMY0q+kA+Rjy87ZeEQypF8J5j81K4Z7+CQxpF8J +xo/U0+2DjiUypF8JrnePGZtsh3oypF8JXm+ZmdcmiQSG9CvB/GH1kotfFwcw +pF8Jnp+jvUfvWenOkH4leB57dGdB3PNkhvQr6YC5+qprWh4HMKRfCea7QXM+ +bUtOYki/EsyPZ6yaM8I2kiH9SjqgQeeheefueIb0K8HXm+oMmw3iGNKvpAOW +tQq3mdpohvQrwfx72cx9G0ojGdKvpAP6zvEslquKZ0i/kg7Y1zX4iE0axrP/ +9SvpgBK43ZqgHcWQfiW4HnsMpTRSMhnSrwTjl8/3lum8D0P6leD+buIxgeuO +Yki/kg7YvuPXyyk3oxjSrwTzgfQlP+yehjOkXwnux43vTgUZRTKkX0kHTPip +1LS4J4oh/Uo64Hld+rntSz0Z0q8Ez9+jdDw2aKQypF8JridjNtGkNo4h/Uow +f5nnsidSKoEh/Uo6YJV3hggM/RnSr6QDrqwbp7jvRiJD+pV0gBQ3JoebG8KQ +fiUd8MjEAubuC2JIvxKMD1uq4jfeSGJIv5IOWJthHZ+8IZUh/Urw+edPVtc7 +7MmQfiX4efuDnn9/kcyQfiW4/ofvcF0+2Y8h/UrweYm+SshdTWNIv5IO+NAw +3KdgfBRD+pV0gKP21lE+57wZ0q+kA5S6D0l6fwpniIfjof1I8yJWKpohHo4H +8wv75+r+TmaIh+PhzZBhQ6SUY6iH42GQ1YGQOC8/hng4Hu5omm25PSaIIR6O +h91ummOKK+IY4uF4kL0t/NCekMAQD8dDdt+t4h/fxFEPxwM3qD1/ea0n9XA8 +WHbqTd28KYwhHo4Hh1AfyZlBMdTD8XAtmLsXFHudejgeQi4ZmTemBjLEw/Hw +XOknu9wtgiEejoeh6eX3N/pHMcTD8RDbleV86nQkQzwcD0LzxJDkmFDq4fD9 +HDPOX6mKpB6OB7NLFy/ZDk5giIfj4ViycdeJilSGeDge0jy7DCZxMQzxcDwU +vhjsfX9KDEM8HA+eO1uWPpmVxBAPx8PEMbnLXy5JpR6Oh9+NEWras5IZ4uF4 ++LKs83KtfRJDPBwPLafVZApHRjHEw/FQsnpbh9KmQOrheHhv2HxO3yKWIR6O +B93qJVULygIY4uF4qNNkiwvkYhji4XhwX+thKC/pxhAPh99X7YLa8GmRDPFw +PJi8W3Hu2JVQhng4vH8DK1+0WyUwxMPxIO7rZ7VqjQ9DPBwPyeWWSXXzIhji +4XhIqXUcId+SxBAPx0OPYtzxM7qx1MPx8ECrxfaRVjRDPBwPl3Iezdh6N5gh +Ho6HTgvJe4sdUqiH4yFQqv20aVscQzwcD3Cr42MPE8QQD4c/r1b2d/mNeIZ4 +OB4i64163kamMMTD8aAydcLn7spI6uFwPv3MvSPjGs0QD8dD288R2g9P+jHE +w/EQFpA6WWpDOkM8HA8WtcM13lxyY4iH46E74zDbnZ/KEA/Hg/T1vJPSZkkM +8XA87L22+bBBewxDPBwPpzyDop1PxTLEw/EQcOlja8KgWOrheLjtc3zHmAGJ +1MPhfFqit1ZfJokhHo4HeclfA83/JjHEw+H9ve372OtROEM8HA81jnKZcydE +McTD8fDk6a1zV1JSGOLheDjnONil1jeKIR6OB523A/t8PBlNPRzOh6PFZiZ4 +niIejodZovcd+uI+1MPxsF7Fqep8ZQhDPBwPTcFKwpmd4QzxcDxkPZ10ZvSw +ROrheFhxx0vq3IhMhng4HvQ/n53l+SaBIR6Oh++CuraudhhDPByP+XXaTJWu +KwzxcDw8VnxglvwjiiEejgfrPq7RU2cnUg+Hv3/JcdJag1iGeDgejJ65/OzC +8xfxcDz4itfXDsf4TTwcD59j89vs8PkSD8dDfL/80CDxTIZ4OB6GJAiXLE2T +GeLhcD0cDLjs1xhHPRwPP+PM2ZUt8QzxcDyUba7cfiY0liEejoekEfvk8ngv +hng4HvqPaTs+OSCYIR6Oh9bX7q+/WYcwxMPxsOzXC0+T6GTq4fB5PI2asqki +iiEejodJHl92OdwLZ4iH4+H0gv3bNxT4MsTD4XyeeeZoV04w9XA8FF+6/MlZ +JoYhHo6HM+1rvA/+SWKIh+NBvfvp6POqwdTD8fDO52VplVoE9XA4ny5vMbYz +9WOIh+NhdrLJpP3JsdTD8XD4a/GP74PTqIfD9xP9WPX1rSdDPBzGU5e65ZHf +oqiH42Gjo8tvTTkPhng4HoYHtfgva/ZliIfj4d47/Ym+6yOoh+PhYkOUf3Rc +FEM8HN6/bcatU5pDGeLheJhqZGX/ODOKIR6Oh5OlknO9rKIZ4uFwfT0Ypujw +Jo0hHo6HTJ2jnfOPBzLEw/FQtMfpbPjRfx6Oh10buorf6iUxxMPh532yzP0W +7Ec9HA/sUrma5yujGeLheDgvP9hADCIY4uEwPlZE7KueFsoQD4f7gczofuVz +vKiHw/2r/U3pyMgQhng4XA99fH/dvRrJEA/Hw7qNM6X8oiIZ4uFwv922/ry2 +ZBxDPBzOP6ulBUUXwhji4XA9dHstbsPzM/FwGD8Zfe9WwY8hHg7j0c+VwZHp +kQzxcDw0+xzNOhYSwRAPx8M4OdMnJ2RSGOLhePiRPOiCr04qQzwcDzsG7/ry +8EMq9XC4f4Y9arU9EkU9HO63WgF5nqtjqIfD9dbj8HCgeTJDPBwPDXGirwpO +0QzxcHj9Ev1WtWllMMTD8fBqLH/s07EQhng4XI/qcWc8PDIY4uF4eGRR6Gxy +MYR6OIzfaVfjNq+LoB6Oh6+Sus/UXqYwxMPxYBcwbUmzRDJDPBwPodPtYz++ +jWKIh+Mh51Tby+P10dTD4fsfeZThfyWGIR4O8x2Iceu0zWSIh+NBNccigimO +Y4iHw/1h34hbow3jqIfjIbxq2mSHfinUw/EwutMnXsUsgCEejodfTx5LtjnG +M8TD8dDxeWy/iFux1MPhekxN6IjTCWaIh8P9RUl3uaVxPEM8HMajyCUfTkgm +MsTD4X5/9va9OxMTGeLh8POKpC18v0cwxMPxcP3bqWsjNZIY4uF48HjqIjs3 +L5ohHo4HfshKJSnVJOrhePA3KapR5hIZ4uHweobnr4ic5cMQD4fxKX395T1n +PaiH4+GlwXz7Bw2x1MPxsGWL1cAEvXSGeDgeJHPWN74P9mWIh8PrPznn7f0H +wQzxcDi/jPd9iNqQwhAPx8MN2yaL3/khDPFwGN/M5ksv+ZzMEA+H9885f9Dt +pgiGeDiMD/VpKuIfg6mHw3xK4aJR1f44hng4HkSPUkPvGKVQD8eDWuXHiWrZ +qQzxcLifKMp7CwXR1MPxIDXKyfaFJMaz/3k4XA9+lvt+lMUwxMPh8w2odph8 +KZIhHo4HmcljriypDGCIh+PhUCVbNUI/gSEeDuev7qjuWuU4hng43B918o5P +6YpmiIfjoaC4snKbXAT1cBjfz7WvOT8ijXo4HpxnD+l09/CmHg5ff3Sj85SN +P/Vw+HnaJvMSY5Ooh+NhbXvE05eaEQzxcBg/0o7MDR8ewhAPh+v/YPaDC+uu +M8TDYfxxzM+sLYlkiIfD/Cg6p254fSRDPBwPA1doxmgF+FAPh/Nr233VUfMT +GeLhcH+w/LH7c1MGQzwc7rf77UvWRiUxxMNhvrCrMSiPT2eIh+PhQOy3b1dl +Exni4Xh4+NFprW1YJvVwPEwLtpEVt05kiIfDeJ/0ddCa/X4M8XAYHxYM2Sqz +3p8hHg7jh57E2/L0ZIZ4OMxvd75W8Z4eyBAPx8OCHEmnMdMSqIfj4Zb04Qnq +pgnUw+Hz+7FO/vOoNOrhcH3YGfooD4hniIfjwbtVXbXxfDJDPBwP968O37iv +2496OLy/+t2TlozIYIiH42G/IKswJSCMIR6OB02Pqu/qM2MZ4uFwf7Ecm7It +IpYhHg73o4hlJ09tiWWIh8P4zWVLnBsbyhAPx8Onu9vTVsXGUw+H+1uz5xqX +ZQkM8XA87KsPN0nrjqYeDvOT39ahnTsSGeLh8P5la7Aun6MZ4uF40DOUb7OO +DqYejoc5Paef3R+dxRAPh/Fk4n2xS8sSGeLhMJ/P/iQnedKXejjcrw+FeMTn +pDDEw/Ewv0K8sVo5iiEeDs8jt8cp/RFLZ4iH4yHaZnq9TWICQzwcDxkHFKqf +zUliiIfD89Lq+JxFN6IZ4uEwf0ow4tRHpjPEw2F+EauUrJYfzhAPh/mMyaiz +V1oyGOLhcL2uH/q+/VcEQzwcDx/S9jHfDoRQD8fDiZ8uv8Q+X6UeDuPLr/zP +fv0TqIfj4fiQCtdvW/2ph8P5Ydr/lY5nLEM8HN7fay6Fa2uCGOLhcP0PAivH +1bEM8XCYbw9rvZCfFc0QD4fnw7NF06OvxTPEw+HzLt55PFUxjiEejoclb230 +V60PZ4iH4//7/0EG7J0YyRAPx8OMz8fLRmN+TzwcXk+bdJvbh2SGeDgeSs8o +vhncmc4QD8cDI3X0l9UTP4Z4OJwfkw/XDJCOZYiHw/34zAjJvVuDGOLh8HXr +CSsWfMmgHg6/v/HSHqs/8QzxcDz0ic1xMlmUQj0cD8/uXpsT5pnFEA+H5yvH +iTfWjUhkiIfD+D2ij4HZrhiGeDgeFgdGur7+GUc9HM6/x41Hf52KYYiH42F1 +3c+Ce6fTGOLheOhSPnjYUy2ZejgegiX8l9osTWCIh+NBbEx40WbbFIZ4OJyf +lfVHGMyPiYfDeHTIaILT3wyGeDjMNzOtJ30fEcMQD4fze/fXHcPjkhji4XhQ +sLjQ6G4YzxAP91/+2T07LMKbIR4O94/YDwnnXH2oh8PnX9FvnaNMOkM8HM4f +pY2ZF9wCqYfD+W0tFum7JIMhHg7zB41JvKp4NPVwuD9+se3x84tliIfD53VW +w3IN5049HA97fpY7ReSlUQ+H6/2s/HvJkCTq4XD9FaUtstQPpR6Oh7nz2uwH +eMZTD8eD06Yhsvn1idTD8aBV8HDR8Isx1MPh5y1yEzPjfKmHw3x1tNvQwYF+ +1MNhProxR9tm9T8Ph/HnxwGjo7Jp1MPh79d5XzT/FEw9HOZD0+sszfbHUg+H +88dzzCzTKH/q4TA/dWHZI8VJ1MPheWzrBZvXPjHUw/EwT8vwtZIonXo4HiKO ++dicNIimHg7394gPJiNKI6mHw/hee1kpHc/rxMPxILEqW/p3Szr1cLh/+Tpr +OF/zoR6Oh91LN2z+Mi6GejgezrZcVRis+s/D8bD1VWSOq2og9XA8fDssF6H5 +MJx6OLwfPaVNKk8jqIfD+OGccNb+RgL1cDy82G68o1UynHo4zAd9assm3k2m +Hg7n0/wpt9X1U6mHw/3TZLJh9+dM6uEwv/+6eV/By1jq4fDz99s+kkjLpB6O +h/zYJ+6SM9Kph8P9w26RmHpxBvVweH5+c3fbRKkI6uFw/z2r6Bihm0Q9HA+b +p9/qd7M9ino4HjZVKhi1BSdQD4f57zZhw+zPkdTD8VDXV3g8yyqWejj8fJvP +exyMU6iH48EgRpgwKcSdeji8H/abGie6eVEPx0Nljfa9npU+1MPxYKGqcWOK +dwT1cDxcVWIdwvqGUw/Hg5xa3x16c2Oph+Mh3aXWxDw4gno4HsQVP1YenxRA +PRwPVRVelkflsqiHw/ttbS7z2DyOejgeZmm8qOs/NIZ6OPz+w6yVJb3jqIfD +ePNkRkPjtnDq4TAfrqsSd5DPoB4O7+e4lbvc12RRD8fDxJ4fa47WpFAPx0OQ ++M4dotVR1MPh+khU37xNIpV6OJyf42NSd85OpR4Oz/dCugS/PYV6OIzX66Y4 +LjCIpB6OBx8bwz6GrunUw2G8ynaqDp2SQT0czg8Fn2WKu9Oph+NBd6/aroRT +vtTDYfz+vGRYVGAc9XC4fzBn1qjFpVIPh/thuVrR1rNx1MPx8HGRffDu8Hjq +4XhoGrzJN6cymno4Hur1Fp+YMDqaejgeXB5LHAptj6EejofLT0sOn5iXRj0c +DwffOqindydQD4fnh3MJDS3x0dTD8dBYvXrSktBY6uEw/5KT/64/OJ56ONwf +tyrOWN4RRT0czg+Z6JcZVUnUw/EwQkjKHLE0lno4HpbFXczUvZVAPRwPymuP +X3sumUI9HA9jsnXvDP0bTz0cxt+/F47ESGVQD8fD2yMrWwsHp1IPx4NpiWjF +J7M46uEwHzlUW2u6zot6OIyvRo27lccFUA/Hw58Y64vqeD4gHo6HxPKWtSr4 +vImHw/jzu+J0wC4/6uF42HvpjmbtvmTq4XD/c04aPlI6mno4Hvo+DXhj8zCZ +ejgeRqlt8NaLDKIeDvff+FD3Ex3J1MPhenBvj3fsiaUeDvNzue8jx//ne//n +4Xgoib6qeVT0z8Ph/H/5MurZ+FTq4XgYu0Tz1SPPAOrhMF683rSTL46lHg73 +91PT/xTsTaIejoe/K7Ruex4Oph4O98P01wuuSKdTD4frdaL22R6VBOrh8PPz +AnVyfiVQD8fD8OkzXZZKJ1EPh/Np8pv0JRiviYfD89QahzHBW2Ooh8Pz71uz +BTMTU6iHw/thbDvQEPd/4uF4GFngdc5jZgr1cDykKt27sbUgkno4HoovOj1c +1B5CPRyeL06Vr5skEUU9HM4nm/I39u6R1MPxELV39zCt4X7Uw/HwRrzbwXCA +G/VweF70mFRlODybeji8H326X7WYu1MPx4PdmVetIzG+Eg+H+UX6xUQntUTq +4TD/9xsY8qUugXo4HmwsXYIOX06jHg7P87sTOkx3xFEPh9/3i6W/Yd906uEw +Xmh6HZQOjaEeDs8711YV5W3PpB6OB6szK08M2xFJPRyu7/M3glTLfaiHw/Om +8gPz+swo6uF4WGjg4953RgT1cJgvHbDM//HOi3o4PG/VLpLNto+hHo7///+/ +AfFwPOTFB23/nf7Pw/FgaHRQo31kAvVwuF+8vHF6dmgQ9XAYj6+LXVtuE0Q9 +HA+O3ZlhGhUp1MPxMCis7sxClUTq4XA9l/ovP+QdTz0czl8zfZ3+P+Kph8P5 +brzUNjc1nXo4PI+t6+smrptFPRyu15XWI2sao6iHw/1SY/vlpOUx1MPh+zV4 ++85OyKQeDvNX3wxLpzX/PBzePzZIc6h9OvVwuD8eLTaWL4+jHg7n84sA7VcY +v4iH40F/raS/ZXoc9XD4fJ+2DikOiaMeDuNJhv6FxW5x1MPxUJbueqAGz5/E +w/EwbPcmDdWWZOrh8DyQ3/rw3pAQ6uF42H7yjf2fAG/q4TDfnDzOredIBPVw +PGh4ZNs5T4+nHo6H3Pbl4Za/Y6iH4+HrwoFShonx1MPh/rl35Z8/JQHUw+Hn +61ezIzM9qYfjAYpjdl88lUw9HM7fbfOWueXGUg+H5/crkpdtViZTD4f5wi+v +Pg90/3k4Hq44DrI9Yp5IPRye/4dKvlhdHE09HOZjadMzHKfGUQ/Hw3OFTKkv +X6Ooh+Phtl7iww+NqdTD8fBgTXelmH0c9XA8OM96n7rkdBz1cDz8PrDjTe2F +fx4Ov2/qo7cNQgz1cHi/tUeP+bo2jHo4jA8/pS9VT42nHo4H3z8LmDPbQ6iH +w3xWIXW7t04y9XB4XvKNjv3TEUc9HObLZ2vdCp5mUg/HwyLXs43Oe8Koh8Pz +9GnD6F1D0qmH4+H10hO7VswJpx4O5+fXRYcmrkqkHo4HP4dMtmTJPw+H8U/0 +ir/ZFEk9HOYHPzIar05Ioh7uv79v7LzSZZ5CPRzmI98klBY8S6MejoeAzo+j +bqvnUA+H1y/cidFQSKMejofJ4rXnxh4MoR4On8/Vo40HskOph8N8ZueuPQZv +EqmHw3jLfnpr1x5LPRyuv0OLiteGR1IPh+vp2bXs0Fdp1MPh/jBDOp+9mEw9 +HM6ne6ZNV5ZlUw+H+Z7vJo+jmM8QD4c/P/uzpGVwIPVwPNydXZ6/an809XA4 +Pw64bfrSGkU9HA9G0rXGY4z9qYfjYcC+ek1N7Ujq4fD8NVnL/Buev4mHw/0u +Y6DWio0J1MPx0F/ELA0ZEEk9HH6eQn3bYcUI6uEwHuT87vpyKYp6OB5iHVSD +7HpSqIfDeLc2m7XySKQeDufT9cqmSs0I6uF46Lk9xVA5NIN6OB7OGFqvPR6Z +RD0cDybVfUuHnU2gHg7PH5FXdmUHJVAPh/FfskbcbmUi9XAYf3fJKL+8Fk89 +HH6/sYZHk5WjqYfDfCzK+2iXfwz1cDys3OEyXGd/GvVwuP/JflrcJO5DPRwP +76vra9v3pVAPh+etpE0b3RsiqIfjobncNyNGMpB6OB7s1x0zrngVRT0cD2Y+ +BUo7M2Oph+PhQt3J5NEPUqmHw/OAgYcZJxFCPRwPp21Mvd3kEqmHw3x1iWPf +wLh46uHwPOF3ePKmtiTq4XiI2/a5Us0ugno4nK/NsspZQib1cDxwI06PmtCY +ST0cvr9pRbr20ETq4XjYtWf0KcfKJOrheHBTHax6VyyHejhc7xNWtBuWJ1EP +x8N41dqhKu9iqYfjIe3C8DmGNXHUw+H6mK1l9/hGDPVwmG9cy5dLWZxAPRzm +A2MNuiYuyqQeDs+7vo+yE28mUQ+H17Prq/m7L/88HO6vy+vLzlZFUQ+H+aCK +sUlJUwT1cLgeLocvCetOpR4O411cjsKjoHjq4fDzfo5O3xwVTz0cD0piP2Z+ +qI2hHo4Hr7u1J6bJZFMPh/dn28DAUYXJ1MNhPDjqc8BaOoR6ODw/7cg14X9k +Uw+H+ws/K0UrOpJ6ONw/Jw2snoTPm3g4HpyWrygPxP2aeDhcj6Olmp2UM6mH +w/NSp6Hrgu3h1MPhfLR65HCzIZ56OMyPGyR2bzVJpR6Oh+Brw95Pqo2kHo6H +WpnRB39UhFEPh/tL+/gV+t3R1MPxEGMR9VdtRxr1cHh9XsMNxVWzqIfjoUF7 +rcmxyQnUw/2Xr6y32zItnSMeDuO3+5Ygqe+RHPFwuH9+v2gXIZ7FEQ/HQ3yf +m63f5/hSD4f5RcjsiPJZntTD4fnqhvirhQuLOOLh8Pkm7ezQm5DFEQ/Xmy8R +D4fPt63m7N6GTI54OB4+nHmmMtM2gyMeDvPf/SEdmTn+1MPxcOmzQaCaWAz1 +cLg//FxXIchVcMTD9b4/8XD4fN3n/onZnk09HOaD5U2GT3LCqIfr/Xni4TAf +VJj96ox1Okc83H/P923w4LeFHPFwOH+PqZRV52ZwxMP1/j7xcJgPHHQ7PXB4 +MvVwmB8NU/R39y7miIfD846mo77MtRyOeDgeNmaWt+uLCjni4XB/st6d/rks +gHo4zJcMRoRcPJPFEQ/Hg9jmxpdLHwZwxMP9d9475i09oJAjHg7jver5Pl3P +c6mHw/intWfET6VQjng4zKdjQVXRIpB6ONx/tyXm5MtFcsTD8WBxPmLMnwHZ +HPFweB44EK4ty8dyxMNhPv/9k/bPkR7Uw/Egr7p4gm9UHPVwPHRxban3XmRy +xMPh9by1HzWgNYB6OB4qDaelrZBK5YiH46Hfn+3l17dlcMTD8bA44fUu8YeB +HPFwOB+8vpj4fE6kHg7PX2nffWvPxnHEw/Xef+LhMP9XNF0voxFOPRyerxV0 +mVzTaI54OB66r5wxXtxSxBEPx8PNsaKpj8IKOOLhcD72abs026qAIx6OBx2n +cfGqIcARD9f7ecTD4f7b19PW6Ws2RzwcnvdG9dHLrE+gHq7354mHw/Uld7Jp +wAtvjng4HowVx+TmzojhiIfr/Xni4XiwlQ9dFKBWyhEP1/s68XA8/NR+rSs/ +KpcjHo6H62UXL4ttz+aIh8N4Envuk9GDUI54OMxXrPR2hGgWcMTD/ffvs+/X +v/7rSz0cvv/nmSeyv7pSD8fD0cNtwuCPaRzxcLj+7dlz15blcMTDYXy7Vvqs +anEZRzxc7/URD8fDQDtrnRn9ojni4QTYmcqfKGzNpvXhBBhxKW7d29QiWh9O +6O2f8z8PJ4BJ68jAC5XRHPFwAtjfctox2SCZejgBFn7MHLwhJoUjHk4ADb7R +7kRhMa0P1/t+xMMJkKMnFvDILIN6OAHOftvmJbH1X304AeoUNdbcqM/niIcT +IKTuo+8Qy0yOeLje9yMerndMPJwAUiWVfb5vjaP14QSI7lv/vOitK60PJ4BF +cb9RPtfjOOLhBFj191njZ4zfxMP1vh/xcALIzHM1DVIro/XhBNggFy5kv/9X +H06Ai5Khp0wGBdL6cAIUuOp8H3EtmtaH630/4uEEcHDqvhSYVkjrwwkwd9Nl +7+ieTFofTgD9oDlxftcLOOLhBLipf9X8t8I/DyeAs+HAa9sOltL6cAJcSnKy +848rovXhBBhZt842Df7Vh8PPfxtTlxvF0fpwvddDPJwAchZ2l1dYRND6cL2v +Ew8nwIzwrSV+872phxNAZ2BW+hmrZFofTgDJgIHPl87NpfXhen+feDgBGuvc +8oNO5tD6cALYtR+R3mzhT+vDCTBNJ9k8PDyeIx5OgEUuTT9GWfnQ+nA4vz7P +9truWEjrwwnw4kD68UXSRbQ+nACRYm8GLLaMoB5OgOAVC0y5oEyOeDgBxLVr +BlqEFNL6cAI0yMr8XXsykNaHE6B/iVlqiF4g9XACLFPc0eeBXDitDyeA6pUS +Tdm6Qlofrvf7EQ8ngOKzqf3Y8gKOeDgBrm4wb1mTnknrw+H7u/9pmfQngCMe +ToCmrYbSLVrxHPFwAtzfPz8rHApofTicHw+8wxunFNH6cAJ0lUbL/O0fxREP +h/O7ZobiPZ18Wh+u93qIhxPgQ+GOq89qA6iH632deDgBjlamnFng5sURDyfA +yqODnQa4FXDEwwlwUNbg5i7tDOrhBLixIuXy7tBE6uF63494OAEyxKSmbIqK +pPXhML7EFsue3+5FPZwAS+RvaGYOzaP14QQYwGeqxBtkUA+H88NIMc76fQqt +D4fxKD6meu2ldI54OAEGjreNCxuTTevDCXAkVHLMRVMP6uEE+HH5UW1uSgCt +DyfAtxuvwyTbgdaHE8D9XPSRUvk8Wh+u9/qJhxPANWtAtkFWIPVw+Pnc+1Dx +gRnUw+H6fFLekKsXQz2cAI8Tkr81HM3miIcTwChlqNng/XG0PpwAaSa/S9od +/nk4AURr9W40vMjliIcToPRd0qLg3BRaH06AU/YHnz1ySKf14QTQlZB5m7Qt +l9aHE+BnoF3Op6ps6uEEOP598dklr29QD4frYbdwtWu3H60P1/v9iIfD6x96 +jw+rjqceDue3XqPk86uhtD6cAO1LPvQsjUvkiIcTAKSK8xTib3DEwwkg6708 +at0zT1ofToCnA0ae2zG4nCMeTgCXt+Lbrn6Po/XhBFBfo7J5WWYYRzycABMc +lupV4fmAeDgBRi22uNKTm0U9nABiu14BNz2f1ocTYH//qmnl06KphxNg2HlV +18SxUbQ+nAABqd3xn2XLaH04AWxn5SbIfMyk9eEEWHNr/pUAWR+OeDicf5vu +7vSUSqL14XrvD/FwOL7S6Ho5Ip16OAFif87YcGSmN0c8HM7/ZSeY6tl5tD4c +xtdCTfdco1KOeDgBwpev8xwvGUPrw+H9Ctte226TSD2cABu1NyTOPF9K68MJ +UFz34puxXib1cALEPa/eqp1ZSuvDCSC9yjHfalUe9XC910s8HM431aCHfGgy +rQ8ngFf3skKLXyHUw/X+PPFwvWPi4XC9WJx8pDQqgXo4AQ5kzBaf+jWTIx5O +gPORP+MmyMZyxMMJMFrh1o8tun7Uw+H8vDZstZZ9AK0Ph9/XU9dtvPMNWh8O +449dnkHt6XKOeDgBQhclGCXM9qYeToC/814k658r4YiHw/W0b9azuZblHPFw +AjjdZ2X8TSpofTgBuOXb546+kcERD4f7seaWRWv/ZlEPJ0CWTnO6y6Ewjng4 +3A+6fCUcPVJofTgBHIPdl05bFEM9HN7/bU87ZVwiaH04/LxH5yXmS8dxxMMJ +wA7UWbS6PY8jHk6Aj4Petht+L6P14QRoMxiqPNO1jCMeToBU84dxTodvcMTD +CaB95umkFwHR1MMJcH1rgFLNgDxaHw7zjZWGvzUzkml9OAF83j4+ecQjg3o4 +AaZEqrfJyMXT+nD4fVWvN8juiKT14QQ4yX1tTzQtpfXhBLC++qh7fFAqRzwc +zvecVz0dNmW0Plzv8yceToCknOV2J5w9qYfrfZ14OAHSC3ZOSBrlQz2cAPvO +HuxWf5tG68NhfNBaMbbYheOIhxMg/s2tcd1HY2h9OAG2dY8bMCItiXq43vcn +Hk4A85qE5N+esbQ+nABlojXFCtk5HPFwmN/Unl9aV5BL68MJ8LVFMLps4sUR +DydAnr/JwHqXHFofDuMXbHM4oJTCEQ+H+7vBoPBET2/q4XC/M9n/pcIhniMe +rvd6iIfDfOTOvFOjxYJofTgB7iRoNml9KOGIh8P9Y8FfjctPIqiH6/194uF6 +x8TDYXx4/NmfOV7KEQ8nwKd0KfnXlv4c8XCYj+SkjP3bkcQRD4frX+/y06vP +s6iHw/WU19OjcCCF1ofDfObU5FbH5Z60PpwANc41b/p/iKD14XB//Pr3qW1Z +LK0Ph/HoqmHW/HlhtD4c3m8tKf19WziOeDiMj0rrd6UIHK0Ph/t9iLN01NII +Wh9OgOw1vy1WSfjQ+nACvDZYfjfOPIvWhxNgap8hVsPPXKceToBDm2bXrq4t +5YiHE2Cc9NcfW429OOLhBHhbdEH2T0wh9XC994t4OAHmefvskDIvovXhcP81 +MJp0KzqCejgBTuj2TEhtyaAeDue3dtY9piKEIx5OgNorwQc/5WVwxMNhvBm0 +rGnpjAJaH04Aqz5pQwe/T6AeToB3Px4NUt5cyhEP13s9xMP1jomHw3x0oWul +VEgm9XACxPywWFYhCbQ+HM4v2WEfxRPLOOLhBDCzXDbPRjuJ1ofD5zHJTf6Y +WjlHPByuj92RBfd73KmHw/W09dvCuZuiOOLhcD4fP1N3rSWZ1ocTgJfdFl1m +lMkRD4f3e+dJ4wObyjni4TDfDHjqYF6WR+vDCTBoQMIu2e4ijng4Ae6dCVZ1 +nx3OEQ+H8c7o+WnFpDJaH04AiaF674e4hFMPJ4C8xQjJOo1s6uEwP1fKW3ru +/g1aH06AxbYPg3Ybu1EPJ0DiqKv23nsKaH04vP8Ob77uNudofTgBZubN8xf0 +02h9OIwn+7e+Hfskj3o4jP8rRkfWN5fS+nD4/sq/+5k/q+CIh8P86b77iMQL +UdTD4fO+tFB66Qd/6uEwv9BKs314I5EjHg7zm92ZCVtMImh9OAF+fbTrP68p +lyMeDs+Dk0JzDO/7Uw+H8+le0JQGu3JaHw7z9XdtRpfu1ND6cJgfrp6Rkz2y +gtaHw/PwlttN5tqltD4cxtPrqnP5yCzq4XA/CH3ieumED60Ph+dXlSfy8+LK +aH04AUyfXfKT3xdMPRw+n5sVTzf2y6D14f47vxzc9C2pgiMeDu9feGDjdc04 +Wh9OAJVv76YzclnUw2H+/nvS87k/Y6iHw/snY7btuHY5RzwcrmeLo08rbuRQ +D4f3c/fvlJ325RzxcDgfS19W33ubTuvD9c5/4uEEYDzU6nx/5tH6cL2vEw+H +57ONt0KuLE/hiIcToFCs3C58egKtDydAT0rT3oMTcml9OAFWrFmpNgzzZeLh +BJhvc7vf07x4Wh8O17/FaD5vUC6tD9f7ecTD4f3Tam02+FVC68MJsK5ry6i8 +4mJaH06Aqn1f/VnnUo54OFz/D/lhDbNyaH04XB8357QmiSKphxNgz/TZyYqJ +N2l9OAGirAIOb71QwREP1/v5xMNh/Cga/2SD/02OeDi83w5dc+emZlAPh/dL +aU5C5VvgiIfD/OFDRIDD3hyOeDgBusfE/NSXzOGIh8Pz3ao3jj+3+lIPh/vh +PM9d7gP9qYfDfEQz+Y5qeCFHPJwAwlb9n6FhsRzxcJhPW+V2WXblUQ+H+8HW +sDkrXaI54uH+z99H/ufh8P6EDlNOKyrkiIfD+2la0aKukkU9HObbV5dHTd2S +wREPJ8DhKSMtWrfWcMTDCSD3WU7an0/liIfD+ekP7bp3czni4Xo/j3g4fN7T +d4776pvLEQ8nwLl8TkNuVSxHPByef2brbs2cn0c9HJ63beRMe9ZUcMTDCVA+ +YUO/IJ0yjng4XL/vBoeFKHAc8XA4vyZpByoa3+SIhxPgFT/Z9Iy7G/VwAmia +zDULuFxEPRw+7w0n7FYPSeOIhxNAKfJhyjSr6xzxcAKoLfsScO1jJEc8HOaL +NYPOvtCIpR5OgPxRllUj5lRxxMPh/N8bkJC1IJojHq73+xMPJ4DHuQcHYjH/ +Jx6u93Xi4XD/85eSMthWxhEPh/P1xETF9S8zOeLhBBhr1+f3vJEFHPFwvb9P +PFzvmHg4vL4GkZdNYQb1cJhv6H7knmpncsTDCTDjjrhqZloNRzwc5o+vFVMD +sm5yxMPheW7jyDu1h5Koh+t9f+LhMP8o03lQbJTNEQ8nQFhSm+ZSjIfEw2E+ +JWp8LHM2iyMeTgDFBu6h3oMijng4ARRyde4WrsvgiIfD/K7sd0OXQSb1cAKc +hvv10RrAEQ+H73+/pVZ7Wj71cL3XQzwcPs9+i2cM2sVxxMMJkOk186/ZynyO +eDgB1v46oj0kO5h6OAEMX/dZaTuogiMeToBNk4eNiDPM44iH631/4uHw/BAl +3ZO3pJgjHg7PZ8xjheV6cdTDCdA5vO/Iu8GF1MPhejk6fda6CF/q4QSYEy3e +/8IRjno4AeqTc+6W7PXjiIfD/CBK63arWjb1cAIUlKyNCZQppB4O48efSYrW +kanUw+H6/c1XHLT4Vx+u93qJh8Pzd8mdU11m0RzxcL2vEw/339+7sobuyCvn +iIf7P3/v/Z+Hw/OW8Z/Hid8DqIfrfZ14OIxPMYq2rfWlHPFwAvw0nqHg/DOD +ejicf5stRk26+M/DYT6Uef2J2pdYjni43vcjHg73Z8OeZZ0Hszji4TB/3zhF +v3LkTY54uN6fJx4O88/SzF8FA8s44uEEkLwYr+zK3uCIhxPg97MSpym/6jji +4Xp/n3g4ATTWhKzVyy+iHg7j51epCZIrMzji4QRI+SGf+DT9Jkc8HJ63tpaX +7y3K54iHE8DX+umFi/OzqIfD/XNbduvEtBKOeDgBbvAn55xfWMoRDydAwJP3 +FvWOyRzxcAKU2i+Lz9TK5YiH670+4uFw/ahlNSa/SaMeToChWn/PJuD8JB4O +42mn2GPRiizq4fD81fPEbYthLUc8HOaD6x6tLAkooR4O95s1o0dZVXMc8XAC +PHzqFMdk53PEwwkwxuFEdorUPY54OIy3hsfySvvc4oiHw+dX17DZu7iCIx4O +9xf50Kf7MV8gHg7ziePeU24OKOaIh+v9PsTDYbxROeBtNTaOIx4O36/Ya9Ha +w3Uc8XACLO1RrbfaHUc9nAATB97a361YzhEPJ8Bc6c8NIGRSDyfA5WqHrnTx +LI54ODw/e6sbVS24zREPh/nH6HZXpekFHPFwAszuJ/3LZEUmRzycAE9iAl8d +L/PhiIcTYP3m2rEND0I44uHw/DttVumzM2Ec8XC934d4OMz3QxRsho6/Sj0c +3t+As77iLskc8XC4P33z1pIMjqYeTgDLpX8fnlDPph6u9/2Ih8P586Hl+w32 +Pkc8HO7HMbuFKvEyjng4fD5JZjZPDxRTD4f532F/1Q9VVRzxcBjfK90Ej4Js +jni4//P33v95OIwfdX//tE33oh5OAM8vr9J+jMnjiIfDeFPPBms9zuGIh8P5 +KTXNnf0cyREPh8/jRVffxG2lHPFwve9PPJwAG985GHZpZHLEw/W+Tjwc7l9N +wbvPXkmlHg7zpan7265v+lcfTgC/lV9vvpmfTT2cANvt5Kc99yrniIfDfLD1 +8r7q8/c44uEEaNq3P6gy+CZHPByeT11c3u166UE9nAAV7dunHlMt44iH+z9/ +7/2fh+sdEw8nQF5g8mmVlynUw+F6c+4bV7Mrg3o4nE+uiQeHSaRxxMP1/j7x +cPj9J0bdXQdVHPFwAnjtWqyuOyaZIx4OzydT2241bvjn4QQIuqNpPCa+kCMe +TgCj+KJCK7s7HPFwGA+PlEU98rrPEQ8ngNZsTZubjpkc8XA4/ycNe9K/tJQj +Hg7Xh8yM63FjUqiHw9fD/ph6ZWVRDyeAbrPBtSVrKzji4QT4NC/lcKNkFkc8 +HF7/IImG7E9l1MMJ0NH1cVPBrETq4QQ432L8Oc04kXo4/P4J999pXS2gHk4A +G+Z54P3FHhzxcJg/zX09cct8jiMeDp+HW0ChVmI+RzycAFeutBzb11DGEQ8n +wIDpc/ffULlGPZwAb6YfMhs904d6ODzfKE0xOvQhj3o43O+trK+aLb3PEQ8n +wIWQ1QvH4vcjHg73Z21u2HKDKOrhBHBIE50fpJlBPVzv8yMeToBZk+znn7a/ +zxEPJ8Ck31tdflrlc8TDYb7wZk6r8rQkjng4jFfrfs+5NbSSIx5OgDW/hpzx +vl3HEQ+H+6GW3cDalEKOeDgBPic+Vnojn8IRDydARIGVykf2AUc8nADH/sbU +R9sHUg8nwN76mUlzNUuphxMgeXjPh2bbOo54OMxvnKv2n0tJ5YiH+z9/7/2f +hxOg9Zj+ubGHqzni4QQoUfv4YtWIRxzxcLhfvlpbH2VWRj0c3q/xJX8G3yjk +iIfD8/B0v4lz1t3jiIfDeDFjT8Vc12KOeDg8H4XPvPTnwgOOeDgBdpz4cfGD +Rjn1cAKcEF9g9OABUA8nwM5B13aed6qjHk6ARecG+43bXU49nAAHsy0nphXX +cMTDCeDfpnzP8NC/+nAY71Q9Bjhdz+eIh8P7OSkg+d61GxzxcJg/g8G80U0V +HPFw+H3uypklPbnBEQ8ngE5EpyjILIAjHg7X246xOzz65VIPJ4C845PHH+Ju +Uw+H97NrQ0h2cBFHPJwAbh/dR+rJ/PNwmC/kuO79K9RyxMPh9abDM8Wpjzji +4QR4HqMZ/BtfJx4O44dW4DC29DlHPBx+vpo1vBxWxxEPh/lvn8LikX5lHPFw +AiRK3zzv8LqAIx4OxyvcL5//W8YRDyeA88dDa+feCeOIh8P9YnxEf6X8G9TD +CVB94au1RnY0RzwcrrdT1415p5vUw+H5a1CKrHn0A454ODxfbnrT91xHIEc8 +nABOtvMKrb0qOOLhBPgzJKp0rk8R9XCYDxlMbl/wuJojHk6ALa83O3+qzqQe +DtfrwwV+2eYZ1MPh993iN+7EsRLq4XD/v+M+0jugiCMeToDNM7Yn9TMr5YiH +w/ult2dYjE0x9XACLE+I/TPEtZJ6ODxfp1keff3oLkc8HMa7Pdej1Q/HUw/X +ux6IhxOAPzawydajgiMeDvPvtatmee0toR4Of95YzBJWFFAPh/lM1kFlC716 +jng4AfYn7Py6ZUY8RzycALY7RyfURDzliIfr/Tzi4XD9q9WdPIXPk3g4jD/3 +HVzlFR9xxMMJkPtYJXUqU8URD4fxTsnE2GpOJvVwmC8sujMlb249Rzwcxs8X +Vzc/ys6gHk4AKft306/LPuWIh8P7sSNk/TSrm9TD4fjrbPNfOfnUw2H8atPS +kTcvpB4Oz8OTvGSk+Xrq4QTQHpQd96e7nHo4XL83ZlySGlRIPZwAXbIL98tq +llEPh/nTzlkfrg17RD2cADFr3cdJKz/giIfD/HpA+nvNERHUwwlw533DZYua +So54OAH+/rK4zBnd5oiHw3zj+OkPqV/zqIcTYEH9sMuZ25uphxNg9WyvSZrn +71MPh897afNnvfLH1MMJUNRw5r3rlgaOeDgB+popNRWk3eKIh8P5vbH51CSV +BurhMN+Q1voyU/0F9XAC5MyfuTbRNYPWhxOgbe/uMeafXlAPJ0CGVai+VHUN +9XA4X3fVzE40fsURD4fPyy3p4qDjhdTDCXC98fgw6233qYcT4PZuLSm5qJcc +8XA4/yT1His8y+eIh8PzsbMgfas8g3o4fF7BQ7RDKktpfTgBnu7qO9gssIJ6 +OAHixHbP7llbRevD4c9fm37G7ocPrQ8nwJFg428eZ15xxMMJYBH0OXydfD1H +PBzmS2KXX4xMfUU9HK7PG1MVNmz95+HwfGcr8h34rIV6ONwPAv6G2Tvc54iH +E8B7vPk6x1uPqIcTYMjg5ezlvS3UwwkgUR48btWcJurhcH++90Fhtm4h9XD4 +fSeYXp4ysIF6OAEGB52um2qVxREPh+ePQZdko2UCaX04jG9e4/WlB7VQDyfA +h1GjXWIPv6UeDveT+9+0dMKaOOLhBAh7lDzV8/lt6uHw/djXC1IXFFAPh/my +ke2cCffuUg8nwLVFVS7Pf8TS+nB4/aJ+N3uehNL6cJjfBN44HH/wPvVwAiiz +zlNf6r/miIfDnw+7Z7fL9Sb1cHh+Wx5g5XehkSMerjc+EA+H+ewOpqZ11WNa +Hw7P/0X6g0sqX1EPJ8CLOZNNaxurqYfD/Ev28NSGUWXUw+H5Wkq01a7tAfVw +AtxaOH/JFvGXHPFwAlR2bOw/+fQT6uHwvGx5wW6W/WeOeDgBhDk70wbpvueI +h8P5JOsopeFaTz0c5ocZh18F9HlHPRze335JgTsamzni4XD9pRasHfPpMfVw +mM+HyoYFDnnGEQ/X+32Jh8Pnvz/4l+ziu7Q+XO/rxMPh/HTdtc1xTCWtD4f5 +hty7w0eq71IPJ8DFmf0GTtz6gSMeDp+HoVn2t5ki6uEw3oQ2cBV/PlAPh+fz +4fdtVDdVUQ+H8dVPJuPPtgaOeDgBgt3dKif8vkfrw3VCkt6f75M6q2l9uE5Q +Xq5T/iqqjXq4TngSEL9EvekVRzxcJ3jmF8YpXX9HPVwneHu650ldfEv7pXbC +rfE/f0vZN9H6cJ1gOUkx3MTjCfVwnRDSFcst9yqj9eE6ISXZU90jL5l6OLwe +vkA29nUn9XCdoLs7a4l3t0A9XCfopLkERF1+RevDdcLqh2FrmqrSaX24TlCT +t2zWsWim/VI7Yd9v3XqFoIfUw3XCAxX1NLPoRurhOkFUrVH34X4RrQ/XCQde +t2n/HNfFEQ/XCa0ufgubvr7liIfrBLNMHY0w8V/Uw3XCkQnK+2ULrtL6cJ2w +e1yLzPGuFurhOsHh0mk3dflu6uE6oWrH5HcHR37liIfrhCHqD7Z09eeph+uE +0KiWBX3n/aAerhMeKUo0rTX9QT1cJ2SdqHc5Wv2eerhOCD//YUXKrFbq4Tph +mbxsYUOdQD1cJxSHVkgmXBArJh6uE2p+zTfIGtBOPVwnjO4McSg9INB+qZ3w +s+TAuxPZEsXEw3XCFLnby86fqaf9UjvhnY1ic88onvZL7YSE/rVS5fEiWh8O +719l09vEL/2KiYfrhOYrGeemZv6k9eE6werZtr7z4gcUEw/XCRe+bB7VrNlJ +PVwnKOQ/0tG//Zd6uE4Y5vG4r5HF0GLi4Tqh6RPzw8SondaH64T2mNW6gY/7 +FhMPh+//ZnjR6ckyxcTDdUK/u0lsiZdUMfFwneB+4pRrnuID6uE6YaZo4fS5 +xyVUiYfrhN/N1Qv8vKRUiYfrBOd1uerPWLES4uE6wWJadsk4PbGSO7f/++9r +77+PBP73XwH7//Mht75TuvqJYK7Y20/WBqPZf+MlfX+3/Te2fjvuWXV/EbTk +Jo+13CnNrls51z1QTAShbxzkqm/Lsir+a9dYi4vg28eNB5evn8yO7NjVwwwQ +QWOtTuDcD1PZTi2HnOESIqgo235cNWc6+zjymnUzjjc7a9kbZSiy+T9jpmYN +FMHOkzu7jr9UZoM2cQ0ug0QgV3Jot98KFfZUykOPLYNFsOVFx8DNFvPYXeLt +a2cMEUHWWb28jasXsGq7+v3txjE7WaytXHUROzVfJu/WUBE8/jhmdYT+ElZs +xLwDIcNE0Gqq5tR2bBnbtl9L3na4CAyXDWU9C1awNWW7X7CSIrjAls8OGb2S +TRp/zGvkCBHITL3h2HeVGut25Lp2K45v/j0SbHJZnT14O7ZP7kgRBJ56pf+h +QYPdML04/+IoEeQvmbE3cIkmu+DMI1uj0SIYH96QZRu5mpV62j595hgRLGpt +E98nvZb9rtL/5S8cX/sYoeIcqMU2XB7vc0dKBNtWF/3Nn6HDFr2epxs+Fp/H +FN3VQ8t12dDl2v0OS4sgnam+e2L/OtbJ26RAY5wIVF5IWPWVXc+afjp2aIwM +fv8d04S7Ngas5mr3GW041j0jKT5j4wZWISyuKX+8CHL8qx5eUt3IDvxe7Osq +KwJ9v++rOuZsYj+uf6y3fQLezyH9VuxW2MzeTvjUf/ZEEcjP6Ap9OG0Lm9ZX +rOgPjl3SfTbozTBkPbbJ2t2Xw+/To7+8Zu5W1i57vlLUJLxfX9cwa9SM2M1D +dZqPTBbBiR79FZVbjNnFFqb+q6eIQKLfx/Gah7exMiXH9aWniuCemW5Jmdd2 +9tdYD/EPOF7SbThQtXAH+/JgPFc4TQR9+kW+yHm3k4WakiNu8iLQULYdryi7 +m42c8mTmruki4MD4oc5ME9blhOi1ioIIYP6QeX9qTViLh2KBfWeIoF6vyy/V +xpRdO2uCwUMcvxgdZm80ag+rdGGBRKwiPu+snap/CvewQ5p0ShyURKBnv39X +2F4z9vPiPfZaM/H7VtdqLZUyZ++7O84aryyCvXMtbOqqzNms9x6t7TiebNC1 +dOtJC9ZHPSGoeJYImhtHyL2Yv5d1CIIN7rNF8DZ88lVD0V7WqPPJQNM5IvCx +s/xSk7SPXa73Gear4PuvkCicZ72fnRArfkxsLr7eLjL2mGPJ/umZMOcJjoec +/KD65qsl22y48G38PBGEtYU+mlVixVak64Y4zheB36xLbpZXrNnYgWabdBfg ++tT+8TDQ2Ia9ZHpi8MSFIli8BIOL8gHWstCz7DOOZ7T9ra3vY8vqjk48XrpI +BForj25+/syWnW1TquK1GOfL+HkKD7MPspKVT9vMlojAY6qpYZPWIZaf+CV0 +0VKcr0WVM3ULDrEPHQZskVgmgktKb6pUlA+zufcmDm3AMcxb8/pq2GE2QHFR +RdJyETRdNZ27e7Qde+Ks3olTK0RwpdnfKtHVjt3x3GyePiOC79WL11j1PcKu +XHDy/SRVEZyvOeYYeeIIO9nNK5zHsc4W50L9b0fYfm8TDStWiiD3tikcPnyU +faNaNsyXFcHEYzIrB385ylb5Pbu5V00Euy54fJK2tWcTvnw5uVRdBIckQ5yD +PtuzV7QkFgzWEMHfUT3pnocc2AORch9f4HiIncncnk4Hdv3PRZGpq0Rwmt+V +33j8GDtv0zojJ00RVC85/VPl7zF2dIq55IbVIjhTp5zedfE4+03sVNXUNSL4 +NbL6ytwRjuzTnd6nv+K4c52/xssgR7YgL2lh1VqMX2H3r/5WOMEGS5a3+2vh +fP/lJXs95wR7en9DlKW2CAKWfYzzXHWS3V3WYbxCRwQf+95tGfDoJKs+fuDI +YboiuPF4ynmRxSlW/sikmiYcjxuSy67+cYodcHuxU4aeCN7Xadwadv00+15e +f/G5dSKwHWP3bI38GfbWaQvRJn0R9Mt6LNdRdIZNeXIqZvp6EWSof9owaIsT +e13FZ/t3HL+aM1nB64sTe+hy8qhaAxH8ftY6o3K1M7vxdXlt0AYRXNznVXbc +x5lduPy5s81GEaglzS9sbXVmx3rzS1ZuwvVk86BdfOFZ9kf7wC+Sm0XwbNfh +ua/On2VfaE6Oe43j16OG7Dn45CxbHLpkZ/YWvB9/3LblKZ5jw7v0x1wwxPlw +7EVn9slz7Nn1e+sMt+L8y78vsf/eOdYs4fQ5RSMRiD9hDtVPc2FX9/Vd9hPH +S26/HdB53IWdsS2lo85YBO6HL8XW3nVhB2VXxIduw/hw6uF0w+nn2fYhL3Yd +3C4CM+fDpgGnzrN3zQUp9R34+pc/8zwenWczigfdGbVTBEVjJQ+rzr7Aeo2d +cv4NjoMnK3eHX7zAHj24dEXeLhF8Hlkfkd98gTWsWS9c2i0Ci1vHNc+vuMgu +nbIv0dhEBLH9r3Di/hfZ8SfOmCibiiDFYG/Lis6L7O96X+keHCtMNHdXMLjE +Nimn3r27RwS3U+6FVKZeYkvP37wQYYbxa3x767ihl9noly8YO3MRvDT6rDrZ ++jJ7YXFn5yoLESxUkTj/4tZldp/74GSpvSJYs8LYXUfZldV+P2XPOxwf+dKz +9ICbK6usvkymYJ8ItnqJbVv12ZUdFmRw/8p+/PyVdnW3Da6wX4R9l3ZYimBw +s/reQTlX2Ae6TivnWOH6XWLe/4f0VTY7xu/bXxw/fgwng09dZX17UlMeWItA +c+K8hI7XV9njhpVm0Ta436ldMe1e68ZuS28cb39ABHYa3s5ZaW4sM/DrgzW2 +Ioj5OqhJbuw1Vs50iOu4gyKI+h65UfXMNbZP4VS1jzh+UiCWP+zdNbZl1PLv +RYdEMOzYg1Z3g+vsTesNadcOi+DhktDMisLrbNzN/Ra77XA+3Re6E6a7s5cn +Ok+YdwT365aNXqynO2vl4P+w31ERHH66wPBSjzu77l7alUc4vic4D1db5MGq +KFapx9mLQOyi+6sxNh7syLMvu485iOCY6+HBGtEebGfD13TtYyJwq1x3svq5 +B/t4/tB9sscxnratlosd5cnmX50mJ8Kx+ymHV891PNnAN8sflziKoPZnV9J+ +F0/2pOpGN48TIojLb7DR5zzZnX6Wq/acxPv1SXmk2zdPlv3i/HPBKREsy/nm +MkXFi52qFZApfloE6sOWZw639GLFItP3P8Vx15Ou85uivdi2H1WTEs9g/KuX +b//y0out2dj05IQT5kNR+fdax3mzScnfruk5i+BTbaicymZv1k1s2Gq5syJY +n1pa8cDdm7XdKf/7C44lPMWS6+q8WYO8Fdll50SQHahVOmGgDztfcpOVt4sI +yuv2frmp6cOO2W81xeI87gdDFsrfPOvDfi89+2zxBRFIyzrrjAcftkEm0H3g +RRHkhUrpV//2YYvsMtY8x/Hj0YXj65b7sqF11T3Jl/B5N84Ome7oyzrJv8o5 +fVkE1otmlTzJ92VNT3dZr3fF77vM2qapy5dd9WTYtClXRFDZHOzFLPZjp6tM +fy7g+EFfqwm8vR8rcZnxvHkV1/f6U99+5fqxH5o3afm5icDe6OignV1+7O1l +1n/3XcN47vp51Zgl/mya17m8ZddFMGvmOY8px/1Zj/bAA0PcRXBuV9nz8wX+ +rJ1mpvxLHDdOXzZ85S9/dnNozYs0D7x/2w6PXacawC7ueuXl7CkCy+xPTZlO +Aey49d+1N3rh/QHFTTblAezP+OF95b3x9z+G7jolHsg29lG48Q3Hdxzh02ut +QLbEWPVgtY8IPK/+eh/iFshGZm1WCPQVQd24opWp9wNZlyE2L638RODYadow +SiqItTB38WH8Mf+q0o+5YxzEri0O0h0eIIJ9Y19ceRkWxCqNzerXjOOh7Pbj +Gm+C2CEHawsyA0Ww317O6LdSMCuqbj7kEoTzq8pWasihYPbe5O4ZW4JFoDzW +M9g2L5jNdJR8pRCCz3NK5WOFnmDWu17BrxvHjidWpy/QDGEdlFeuuxWK+aXb +2tG+V0NYo/NbxELC/ssHf33SeRjCLn9pU3QgHPOHbLcZW2RD2QmLz9uxESKw +ujs5LdsslP1zPVhpZCTGy6Rn1ntTQtnmd1nNLTj+/Pqe7oFvoWy52i3/nCgR +OLVMWFazMoyNCXytfzEa99eO+3LHLoexF4VucaMYEYyZ1v3OsT6M3a87olgp +Fve3/YFO9yeEs7oxM47+wvGRc3n3T+wLZ2f3rFS+E4f5g7he1fGscFbS0LAl +LB73tx0bdG/1hLN82oHAQwkYj0x3xObLR7APJS4YaCRiPhOb/zRbJ4LNNQmR +GJMkgoHWc7IaDkWw/gXZJW9xLD58/kwl/wjWcVSdfX6yCAo3zlsUVRzBbrdu +meWagvFjb0CN5psIVvXmj9ZtqSL40Xy/YcSQSHbSxJHBs9JE8LxUfdeA+ZFs +PwfFjX9w3GK7Xne6cST75i476H465uvcdr8DzpFs1YytpZEZGB9bKhe+io9k +E5xtjx3JxPnv8lH2xL1I9krDhTmrs0QQlDRNfeX3SNZmfujbsdmYb9XWBCpP +imL1r+aEvMfx9qI5shpro9i5b+o2FeaIILo8vvDswSh2lGrrYLdcEbxzdTnw +0T+K/er7s2xnHj7faZJKp0qj2KefRzqq5Ivg1kDflsUfotiCtUpz+94QgbPs +Tvfxo6LZ4Ai1d/U4lotJkZ+xIpo9/WNrWEwB7vcbq/x3mEezuzce3OJQiM9b +9ePLwmvRrHryxaFaRSIobd3yST0/mp0mFlYhw2E+pKR5g2+OZsV35p5ox3H0 +NtHiW4Nj2He5t+cVF/+XH17aWbcwhq0d/ub99RIRSKZrTvm2K4ZN2fcr3AQw +n7QyPLbWNYa9Xjpq6/xS3E/VP24uz45hD8nMHC5WJoLZzsrpFk0x7EY79crH +OJZ6pnFy3qBYduH/Y+mso7J6mgCMYouFCCICohiYyE9sHQkLuwML7EIQu7BA +xUJRbEURBRTpRoZGurvz5Y0bL7aifvud3T/nCJd7N2ZmPc+eJ3P9mbeJpB7a +rP0wfJI3aBra/3cyibz/o2uzzLd6w48zV2RWySR/7vije8XVGyqKn78cnEL6 +zR55ll/CvCF2fPh6nsTdZuq+vVbvDc+vZPeJT+XwQ8+/0+b3egMX6ppS76Rx +uOHz1bax097A9mntZ7d/IvU9Pz9l+s43MPduf1PTdA63yqQ+9nfewEj5aEWX +DA5HtdS65Xx8A90tzb3KSPysAA+vlr0B+dMNG/0ySX4d6m7RUestZH+173cm +i/R/77b9KLV4CwFLr35akk3Od35jXYrt38Kdty+c9HPI8/FH/a9nb8FRJWKy +ksQd+CSVBZlvYe2GHC4xl/y9F66lsT/ewtTg5tf38ji8/mKe9YYRPjCo5x/r +Xfnk/KEvnNdd7QPt2zX6Ty0g+yn0xKzuF32gJnZMRvdCDoW1xc6DA30gfoDF +hUoSz7P9snRdjQ+8sts41b+IjIdH4s0oNV+4nOYgnCvm8IjW6CkWM3xh15Br +b5aXkPPjfvU5X/b6woKTnpuHlnI4+8y2Z1kPfWFMQYTGFxKffvDNIivNF3qN +yc1MKSP1+2DoqM/ffEG41HLxQTk5P/U7PsdshB/kV/2ZtreCQ/WfA8+Hr/GD +ENMByumVHP7316FqpbMf3L811ketijx/0zorzTA/OC6x2FpD4qtBT+JUmv1g +wxxrzcBq0j//7T1efcA7mPHocPaFGlKf3567aTX3Hei2Xbu8qpa8r0NYvu/R +d6Cy6OWM4XVkPi+dFia8eQcNXpFt30jsu8ejsqrkHSS35/p+qifnW7V018Cu +7+HNGsm2xw3kvB1bqPSb+h6ufvirdaCR9KujjnTO2vse9nXVzJ3VxOEfm53R +/Z+8h8Xbxrn0aSbnkaqtnS9mvYfxUZaz6kk84IB2pebf99BXfdOX4BbST8+f +a1wwwR/a9jm+uywh67XzvZ8hNv5QnORqu7aVw4kvQsbEuvtDxOBX2qOkpH+3 +WxYjS/GHR0ej8n6SuHV832fwwx9O5+RdyZSR7//9JCV69AfYPLJ19jM5+fmV +HkabNn8AOP/vq52Cw+79PD8Oc/sABuWa/nM4kq+PrjvWL+kDqJqM36HOk/nX +X79g+LcP0Ow6V6eJxEN6zxi11SgA0ho3FYQJHC585dEDNwWA38wj166IHG57 ++rfR0i0Abty/PmeDksNVup18xaQAsONffR/dRurVG9Olid8DYPn86A/tJJ55 +o09T1YBAMPHM35nzmcOXFa+d5RMCQeNn62DPLxx+6a3XpZdVIHxboVLk8JXD +T0Yft5rtCIQyP63rFt9If1aZeOPquUCIVp1gPuA7h8GX7a40PAyEp5vm/Wwh +sZnp34XLQgLBKWxzYOQPUh/9P+TkZAeCTe+ju11/kv23KaaPTWsgWOy+obfp +F9nfNlu6dlENguHxXsXjfnMoXs8L+KgbBF21Y278I7HjxoWqV6cGgdShwCK/ +ncPev//+3rEqCDIzpL9e/SH7YdGIe6vtgsB/WIfgI39Jf1TWlrL2WhDcPjNw +77x/HJbWelzf/zoIHIonDBmowuOVxRa1dzEIVo+fXyol8ZrokTFZFUEw+cqW +WzEdeOxy5LC29rcgGFh3dO7Njjz6OS7/crxfMPyaerN9iyqPHrbcXOnYYKi6 +8zrEuBOP6x45dju4IBjiZDH7OnYmP6+vNll1RzB4WhQaFJHY4X3Np3dOwXDx +qazMuwuP77z7xex6Egw7vnZwO96Vx/1DMrpMigiGeUu15y/sxmNO3Zg3/QuD +weit8d9B3XkUNmxw7ywEQ0+VBWEKEjf5O37q3jMEuPVbD8T14DHA/zEMGRkC +uUHHhrn15HFquaJtgUUIBPW4VWGjxqP51itVl7aGgPt27zv/9eJxl67Lt7zT +IXA0NnZB597kez1VZxg/DIF1A4r+lZDYcqj265ehITDNTh7u04fHPzdrxgzP +DwGdtI52p/ryGHd6X1Y0FwJ/9AcNX9yPx2iTAifbHqFQd2Jila46j6k+RnMG +jwyFxPwF7gKJF1281LXVIhRej95mldCfxznqytyUbaHgcul4B3cNHodvvOge +djYU9lTditwxgMcv3ectjngcClambw5N1uRR7/zCLxkRoTD21scR3bR4PJ/+ +0FksCoXekqLqchJvfTn718i2UBBBce/dQB43OlgudugTBgUPVRef1eZx54rg +o1ljwyBMOUh12SAek7vetp9mFQYPrEyih+jw+Ldb3eTI3WFw0muhQxuJy4/7 +Ji5wDgPr9m2jkgfzuHw430v+KgxmrTlRe1+XxxtuAeov4sNA/8Ntj916PNa2 +cBk7asKgQ9e3S6bp8xih6mM8sz0MGrfGdeo5hMdn9pWzhg8Kh5TI4pgqEo/a +eVmhPzUc3vbjDn8w4PFX6rPJY9eGg+u+TqPPD+Ux3MhA0+pIOBxI0qlfMYz8 +e04X55N3w2Hp4P8eDjMk6+3N3LPRgeFgfNRq2VcSL11S+EMtNxzUc2y6pA0n +49vntdKeC4cvI05+fDiCR8dmP5uWnhFQ4uR2ZN9IHjscLzc/ODoCIsvejpk5 +iseSgUNud14YAY8nYkMvI7J+sw/OCdgdAWdcSx7Vkvj73MDl+1wiYGsjtzxo +NI/fagujJntHgNnMzt0ujeHxwdukoxrJETDs/mBcPZbHU2v3nlNtjIDO/H/H +RozjUbrKP1u1YyRI5i0a94PE+aHHNg8wiIT0F7ZN6eN5jJ/xbuTUOZHw7sfJ +J08m8Fi2fsKIA1sj4eaKOysPGpP9YNSyMuhcJBzy8+kOE3lsPBn0tuvzSFih +Gh/f14TH6gQHvUMfI2HSptLjDSTuMKpLkKQqEjTD+PGh//HY9/ziDQ7tkfCj +V5cW50k8zlpk0E9tcBRU7NJ9ts6Ux9GRWwsiZkRBLE5abTSZPP9VvccR6yh4 +PnBxz98kLr3ntN78dBScd9iemDWFx4Lkvv0MnkSBbcapk8+n8vhTc39035go +sBx219h+Gln/g7cv7VcZBSPO+ErMpvP4TyMrdejvKOheHP+8/wweg+XHdefq +RIN8XNmaZhKbTp+y+MSMaMh2EdQiZvKoPb98cax1NATUdkm+OotHoy5jtfud +iYY7U/VOb5zN4+6B7X7HnkaD4x1Tk7HAo2qWRrsiNhrWyBZL/5B44+A5XY5U +R8MUix2euXN45IPmJfX8Gw3aT0+ve2nG444GYWyoXgz8/nK3t6M52U+BHWYd +hBioWeKXYmnBo07WHG7ythiIf5NwRtOSrNe79lP6XYiBV//K/mslsbfaqgHt +L2Pg8npRFjWXx5dtPue/J8bArqCur67P43GCz0RH1aYYWNBDf8Pm+eR9Z79r +0e8cC6O3T+47YQGP2x0LihaPiAW12CVpKgvJ9wfbTnGdHwu8xs5zBSSWq2t2 +K9sTC3kHz5i+tuLx0kbvOZNdYyEk1V1xdBHJh7/SKl6/i4X7+u+85i/msWf/ +SXnDsmPh+InEjdpLeHxu93xQCB8LG/LL+8lJvMolOmRl348wY7TyU+xSHiOd +pt/vaPIRdC91O39rGY/Tx+VGJq76CP8q9adsW86jQe9RA92PfoT6SVP4iSvI +fl/6+b3jg4+QdHOpt+pKHg+nVdvviPoI3i07NxWT+OHfu5t3Vn6Eq3C2/9tV +PN4f8fHAsT8fYd/DexknVvOYqdf54QP9OFisfHfBag0Zf0f18k9mcTDeKmnq +4LU8rtx83ajHjjjo61UhcCS2StS4ZO0SB22/lW9wHY8Jk1bWxvrEQdHq7lvu +rCfj87l5yvjMOAj3HzJg+wbyPXJ7lwAuDh52mZo1aSOPeaF3k+f0RTi1ddml +Ltbkfe5J5fUmCJsjd00vI7HOWfVvd9cgQL9zSt9NZP338q1adQLBYN99n9Ob +eZzmNv+R4RME1aT3W5ds4dHur8vwznEIzTrJmvpbST5r/3vqax1C2pHKbJHE +Bvyr9F8d4sE3u+1y4jYeE5f8CLnYPx6uj+gx854NqZeHF55UHx4PB50MPu+0 +5XH91a2afpPjYVnZVL8p23lU76d6deGCeDCZuNym+w4ehzT/zhA3xIOG6+6B +lSQe91+/qhf74+Fbw7nc9zvJejnfPXLt2Xgom+Hhcm4XWR9v/awH3I6H6Hv+ +s5bv5jGwIiqp0jMennLJXwz28Pjq5W+Fb3A8nJtX9e4ziW9V/FfklBwP2158 +tk3ZS+pN1PBjm0viwfxHj0EP9pH1nOGea94aD4Yrhubv2c+jPwfVE37FQ1e/ +aVenH+Dx3Eb5E0O1BJB2XAFqB3lMer62m4FeAmRa7/lWTeKjz830hxsngH+o +k3+AHY8V7vurjc0T4HavBzsuHOLxQh93sFydAA67PuissufR4sdR8627EmAV +phQYOpB6fT2/4cKJBDAdWH3tG4k5a1vd964JoOXwZc6nw6QerGriq58mwM/0 +nj8eOfK4L0R9tVZAAlQNHRaw/wiPLn19Fq5PSIC409N3zTpK+oV1W7I8CxPA +s2iFbp9jPNpk8WVicwJcHLe3qI7Ehl3VDyz4kQA7XM5fDz5Oxuu708W3PRJh +Xu0D88snyPppFAb21k2EUVMDfq45yWPn3QPHnpmQCD3upAaOPEX6hSMBkUqz +RFBIq3f/JLGwal+M3epEyDH/qpd5msSv/xh/3pUIgU/USp6e4fHEJl1Np5OJ +4P5l2E27szz2Sj2zW/1GIhxdMsNyzjkeT17KMfB/ngjr3qz83c+J1OMbyWbL +ghJh2r+9wY0kfmDcN/lHUiLorL+wN+w8j3v723r7liTCn8CHQ65c4HGt8b4G +G2ki1HYPLF1/kcewstLjBu2JkGCbdmv0JR7Hh26wbe2dBF4xNXPbSRwV/+x5 +uEESOGt8a8++zKPnyhVGNyYlwZ6DvUJfOPN4UcPg3575SWCVarjfwYXHZV2j +Bi/ZmARj9WcOtbjC45KLyeemHkyC3idWlWtcJfn7P95gzPkkEPP2ubWQ2Fu3 +ruNw9yQoMLo4P/IayTdPLfRGvEmC0IuP/l5z5fHs1gT78VFJ4FEZGGZ9nXyP +XYfvs7KS4MSkTwfG3SD1fsQ7/9W1SbDxZu2wfyT+PX3nncNtSTCr5VtF3k2S +X8OLnz/onAz60Pvuq1s8qv18WZA0MBk6PBy+8Mht0p9F2Rl9H5MMjeJMlXlu +PHp1rnsxEZIhZeHqCK07PG6e88jEcWUyvH21305K4rphs2pidibDtd8Xh8fc +5fFm/MEXPU8mw/7Vj6tuuPM45mzWYdsbybDEP8h9yz0eWzV/rY5/kQwTuqRb +Gd//fz/mYT48JBnUt9Z16OhB9r+L2dQ7qcnwJeJ7ZCGJVa88MulUkQwlffvY +ez/g8WrUzPHnuGSI3Dti5PGHPJ65/mnkP5UUeJw4q2bBIx7fxJfrXNFIgTM6 +a+4PeszjPEe1rpqjUmDLkQOLFSTuq9He/G5GCszJvqQa94RHk30WYQuWpcDQ +EU+ibz8l/b37GQeFbQp0cgp2sHlG6oOqmdaDYykgKU0f9d9zHkOcjbwWuKZA +unF9bacXZLym5/Tr8DwF3l374VFC4s2PU7fGB6XAzYY+S308ecw47HvNJSUF +Ds0Y2fnUS7J+WtVurCpPgRX3ZscuekX6+eMu20dyKfAft8ZR14vHD6KfWscO +qTBg3sHRAomrz/S+3KiRCt+fX66Pf82j5LFlauaoVCj//uThXW8eFw+py42e +mQqxy0OW7XhD+uNpjs8Cl6fCc9+MLpPf8jh0z+0x/jtS4XzHho9dfcj8uKQc +CzyRCrbWP4+Uk3hlsvfp6BupYBnad+w7X5Iv3uLkTM9UGNFrVOMZP9J/9H71 +uiE0FbrtgsdL35H+dmxlgkp6Ksji1q4Y8p70k9d/uA6vToUsLbtubSQuUbvS +vlyZCh/snTHJn/Rrrer9L3VOgzvpT4/d/8Cju//IzBjtNHAcGjpudwB5nznL +DX6PS4M1pzObpgby+LpaU93MPA2mFDU86RFEzl9anR/fXJsG2uN+rawi8etS +r7C6fWnw27lfjw/BpB5tOW0z3SkNqmtGJTiFkPpu3v/xY/c0wClzTqwIJf2d +Q5t1B580eOm2bsKwMB7vTnjhZRebBpekdi1fSNxP+8XBhrw02GXu8iw1nORz +/ePhm5rTYMGTZ6sfRpD+78a7U9U/02D0l9Ce+yJJv/0Xw3f0/gRqS7ISZ0SR ++T84ZXfb0E/Aezee7BVNvn/EvasuUz5B3t9fxrUkPpmzTstg8ScIXqfeGhhD +xnerat/EbZ/gXqDRi4uxPD4ep3Vo79FPcKy72drVH3m8Vqc+Ucv1E6y3Xd9r +RByZ7xNHV2Y+/wQzYg4lfydx5pKPuc4hn0BX48rpdCTru9ce73mfPsG/A89N +nsST80tsW26v6k9QnxImPZDA45SI8kWVyk+QpJftOTuR9KOBDwcEdEkH7+NN +6/om8bg6xM/omk46XMn73buBxK36rpf3GqfDXqP+qSHJPGZ/CBy6fG46LLo4 ++qxzCsmXhx6ozNqYDuMqzSatS+WxLS18kPGhdOg7aYN8VBqPY4+5HDS6nA5t +N+xf/SJx+K2zX0c9Soei5isbsj6R9zurHjD+QzqEz37R93k6jzM6x3hMT0qH +hw/C0w5l8HhgjsJncVk6nBKzz5llkvzgOahhB5cOmxY2m/bP4jGUi5p9qWMG +zH7Vrmgi8cIFs2LeamXAkN/9X4dn8wgjtVYXjM2AjqvHWF/NIee19VGdVc0z +oPm9ufrGXPL3VO9nTVuXAWmdN6aPySPz8WqQ97EDGeC7xeH8HxLfV4u+HnUh +A65HXJ2Sm0/6k/0NZzo+yICDfT15zwIe71l0PLrifQYs2xvhfbiQR2e7K/Zv +EjJgYmLOJssiHo/r1e7vUJoB/XVa+msW8xhTdXS7rSIDvjr+yZCQeIBrxKr0 +DplQmqVxMaqE9ANb+kybrJUJ0cPHTrteSuq9TNLHd2wmPD1nIW4qI/tD412R +gXkmnCvd+HZ8OckPOomXPNdlwjbjw1tUKnic6XFfe/jBTDC/dm1AAYn1Dt+8 +G3AxEwwbPLO8Ksn56EtfOTzMhC4zIi8dreLRNcZFv8Q/E1rdc6fPr+bxR/Tq +sYeTMiFD0aIcWMPjHajrpVGeCe/n/vWRkdiRuxkfw2fCrecDtsXW8ugQnzBn +b6cscPg+VutWHY9aarWugwdlwarlljlb60n9PL3gSfGELDD1tXae2MDjFvVt +dvfmZoFWR8eZqo2kfxp9RWWDdRb83Oj6uYjEo0/oLR3mkAWVIS/93jSR/rmT +y6rPLlnwUS3K5kQz6ddMdHulP82CFzvzBlq1kOfFmh9/HZwFF+IkuToSUu9C +V99y/pQF27X+uXAk9rgcuPRATRbMs9ecja1k/wrBoeu/ZMGo9HFf3aQk3/aO +jLXqkQ09hs59bysj/dNqne3mQ7JBcWrT9kly0j+5TnkNk7Mhp9BxUBcFj75F +u86YL86GwLHX80tJnPmuY6uVbTbcdX511ZfjMaVhe+P6E9lwpCYKTvOk3r1u +2HfgVjasnZL/bbHAY9zhklPOr7Nhqlurv55IzgNGHn1eR2eDjvTfDpHE9r+P +D/+Ulw1/zLQGJypJvj6SH6lsyYbax+ML3dt4nHr8e8qQP9mQ8Hmu687PPAbM +mb1wTf8c8Fq82WzKF3Le3PFz9m2jHHD2PvKj21ceN+Wd9sqBHNj993pABYkX +fdJy7L82Bxau89r1/huPg2R6fpsP5MCYwGjdc995DBrTYOl/MQd6dS8oWvaD +7L/KIOj4KAdEG+l1g5/kPOlZ7bEpIAcKolUsPpPY6mTovNiUHAjtP/BX8i+S +3+MuLzKoygGPAxOCPH7z2Nvg6cvrbTlwImXenj3tZH5858/93S0XNupt0Z/+ +h+znqEITe/1cmHn8aEnPv2S+et7YJTPNBb28GzerSawfElO1d3EuqBi9tgz4 +R9avjv8d3jYXGi7E/D6vIqBND78LJ07mQkpFQfDKDgIOf/rbp6tbLrz9T7bX +sKOAW+fLVJ++yWU8nIAjKj/eMv2Yy3g4AQ87fZxbVJjLeDgBDcMmDD8hy2U8 +nIDdxvjfc1PJYzycgJeszN5KeuYxHk5A6bljC8y08hgPJ6BPtN2xZ0PzGA8n +YMTTRRPax+UxHk7AR/bjHTZPy2M8nIDbx0+dnmCZx3g4ARNPXbs6cnke4+EE +/PnGfKObdR7j4QTkAy/5/96Vx3g48j0WB87vPZzHeDgBc0N18svP5jEeTsCE +7kHPFl/LYzycgM5tVk0J9/IYDyfguqyuXtM88xgPJ+DYl53LQt7lMR6OvP9I +2wvGEXmMhxNwb9L0ZwGJeYyHE/Ds5fdGE3PyGA8n4LeRRYZh5XmMhxPwrVbO +jRnNeYyHE3DKvvCtyWIe4+HIeDf6PFnWnsd4OAEz2+JnV3fNZzycQPpT7fkH +++czHk5A3R/Zwf/08hkPJ+Dv8T+c7o3OZzycgCpOwe/HTM5nPJyAelGaU1LM +8hkPJ6C59WxdmyX5jIcTcK2b+eZ/6/MZDyfgAuOZX1/syGc8nIC94y0aze3z +GQ8noK+Dw/DW0/mMhxNwgmF11O0r+YyHEzBj+/Pn09zzGQ9H5nNvVn7z83zG +wwnoNPjcint++YyHE/B2nwydueH5jIcT0O9S6vgfCfmMhxMwZte1q/7Z+YyH +E7Bu1aiRO8vzGQ8noIN7ZDf95nzGwwnYXWfZmEoxn/FwZL5vqtx81J7PeDjy +PTPqx2zsVsB4OAEHGXTuoqtRwHg4AU/InLQb9AsYDyfgUmHHDt8xBYyHE3Co +aVrT4SkFjIcTsN4u6uFsiwLGw5H3a19yTm1ZAePhBGxReLhXbSxgPJyA4395 +l3zYVcB4OAEP3bwx99LhAsbDkefFWtdsOFfAeDgBzRYbvjBxLWA8nIBl7785 +9/IoYDycgBf+NLjJXhYwHk7ADz1+fkz3L2A8nIAHly7o+S6qgPFw5O+Jtcdu +pRQwHk7APqk5fx3zCxgPJ+DqlcNeWlcXMB5OwF8pcuu50gLGwwl47J/puIlf +CxgPJ+A/1z799TsUMh5OwFuvnHv26VXIeDgBZeO8NTpoFzIeTsD3iafGfjUs +ZDycgJav1VfIjQsZD0eel3TifOPMQsbDkflKiIysXlDIeDgBb7ZWfy9fXch4 +ODK/pp9nlG0rZDwced/lqpfKDhQyHk5AyZ2BmRUnChkPR+bzz0z12suFjIcT +cPK8U2ub3QoZDydgVHb5fe5pIePhBFT12pHz3aeQ8XACbr407K9qWCHj4QT8 +r0JvmHpCIePhBNRO2DJjaHYh4+EELPgns5xUXsh4OLLf1DJnLWguZDycgBU9 +uhhuURYyHk7AouxXX4/+KWQ8nICdS94G3u5exHg4km9W6qx6N6CI8XACvhyi +WvnJoIjxcOR7snbMax1XxHg4Uh9uz3XvPr2I8XAChq30w7HzihgPJ+Ak/wfp +K1YWMR5OwA1N/QJObCliPBzJN4rBdi/3FTEejszPrthOWceKGA8nYM83n+1/ +XCxiPBxZvz4pgSNuFzEejoynrmni2idFjIcT0N7G0uvq2yLGwwl41enritiQ +IsbDkfc3scpSYhHj4Uh9aLDoa5RVxHg48v5vG3Vsy4oYDyfgkwWjuadNRYyH +E3Dx9IEXy8UixsMJWOzpX6b1p4jxcGS/L5Px67oXMx6O7M/U7MRHA4oZDydg +69R1K6sNihkPJ2DSZecnQ8cXMx5OwL7T1j3dO72Y8XAC7nHPWBk8r5jxcAIe +OF8d276ymPFwAn56crNswdZixsMJOMe4zMtjfzHj4QQMnxI9uOV4MePhSD61 +NjabcrmY8XAC1rRN7uPqVsx4OAGNumRcrnlazHg4AYMWNb+Y5FvMeDgBtxhd +3nIjrJjxcAJuC30Z15xQzHg4AWcGTY2bk1PMeDgB89wtrJ9VFDMejvQLTrHu +v1uKGQ8n4P15z3Zafy5mPJyAd/bX5sT+K2Y8HKnH+mey9dVKGA8nYJrlga2X +B5YwHo6M3z6fizLDEsbDkfVUMMp05cQSxsOR+riv+UjMrBLGwwlYWVgMI6xK +GA9H8t0mpfvdtSWMhyP5p9OEQyrbSxgPJ+C8k865hw6VMB6OrAdteXDd6RLG +wwn44PMarVVXSxgPR8Y3JeZX6r0SxsMJaNrez3rmyxLGw5HxCl8yOcS/hPFw +ZL8mbHcdE13CeDgBgx8vWOOdWsJ4OJKf7MV7QwpLGA9H6o/+svnPaksYD0f6 +C9P1+3QUJYyHEzBe/Pv78Y8SxsORegCTf+l0LmU8HOk34kTb5/1KGQ8noOfH +IZOG6pUyHk5Au+mR+9+OLmU8HFm/jT5dx08pZTycgIO1mzqHW5QyHk7Ak9KN +O2B5KePhBEzV+DskY1Mp4+HI/u8ZN23t3lLGw5H9xrm+bzxayng4Ui9urD5+ ++GIp4+EE/LK3x8OOt0sZD0f6i8gnaveelDIeTsB3G74XjPApZTycgN/re0qj +Q0sZDydgj/tpi5cnlDIejszP7oHtLdmljIcj9eif/Mu5ilLGwwn4JmiC6UBJ +KePhBLR2yYsO/lzKeDiSH01jLi1VKWM8nIAbR1TdlKuVMR6O1ANH/dJr2mWM +hxPwaKv9ZqMRZYyHE3DTvxi9DJMyxsORetssH3gAyhgPR/bvcfnCPovLGA8n +oP9c74CQ9WWMhyP5/Zbqgg07yxgPR37fUuyrcriM8XACzuq2ppvPuTLGwwm4 +cLzO2BXXyxgPR+pHzJhTvx+UMR5OQJ2dh8Q3r8sYD0fqu3vOjVVBZYyHE9Bq +68QlHeLKGA8nYP5dpwmBGWWMhxNQ7us5cVtpGePhBGzLP72iX1MZ4+HI+0q/ +30gSyxgPR/LZxd/1x/6UMR5OwPKqA0vH9ChnPBwZX35iXp1mOePhBBxpbbzz +wbByxsMJ6HF6Sc9lxuWMhxPw+Hq7+K6zyhkPR9bb++MXExaWMx5OQNvmJcvO +rC1nPBz5XoNiw6nbyxkPJ2CosVLl66FyxsMJ+NXDuT74TDnj4QQMuXo02eFa +OePhSL5QeL2d6FHOeDgBz2z6fLXtVTnj4cj3Dp23KzSgnPFwAq6cdW7W8dhy +xsOR51mfVZuRXs54OLJeag3z/xWXMx5OwMs1a66lNJQzHk7AAXpKkxtCOePh +SP3WKs1c1V7OeDgBz32XrBjcvYLxcAIaP1FNah5QwXg40v+4d9cNHFrBeDjS +jxiWbz49oYLxcAL2t1hxaf7MCsbDkX7u4fLrGgsrGA8n4PXzYY4NayoYD0f6 +zW5bpgfZVjAejuSPtpHV5w9VMB6O7L8gccOKMxWMhxPQ8eD9D0OvVTAeTsDP +f9vKvtyvYDwcqU+fm0vSXlUwHk5AE1erN08CKhgPR+pVeLulfWwF4+FIvdcr +C5ybXsF4OHL+0YmU6JRUMB5OwCPRxyVtDRWMhxPQIFrpnyFUMB5OwIG3VKZ5 +tVcwHo7M16ZzLme6VzIejvx9lbn31mpWMh6OrLfO47dNHFbJeDgBq/zVW9WM +KxkPR84DXKaRdGYl4+FIPj9gMix1YSXj4Uh+cNEr8FpbyXg4Up+s9ky6uL2S +8XAkP5+RzLexr2Q8HDkvuTv2MDtbyXg4ATWiGk8auFYyHk7Axqze9zo+qGQ8 +nIDLbTLXNnlVMh5OwB9kDFMDKxkPR9ZTxYps34+VjIcj631P2pmbGZWMhyPn +y4zhWQ6llYyHI/OpaR61tqmS8XCk3g5Ums1UVjIejpy3l3fdMfRvJePhSP+o +XK/bvWcV4+EETGmK2i9qVTEeTkBI/bO4zLCK8XACXpR8j4ufWMV4OAHXLz2N +vrOrGA8n4LCwjYvdF1UxHo6c9133bD+7vorxcGR8Px/ruGdnFePhBPyTum7k +qsNVjIcj51H3qtzZTlWMhyPf/6jk5+gbVYyHI/1fvqaX1qMqxsOR/rLlYHqn +N1WMhyPzO/39vrbgKsbDkfdpfHm5DqsYDyeg0k9vQG5WFePhyPlAvU07rryK +8XCkX1gmd/NvqWI8HKlni8uPP/tcxXg4AW/4umbdVKlmPByZ79jsG+d6VTMe +jqzf2/bhhwZVMx6O5OPGOfNtRlYzHo7M93i1WasmVTMejvRPT17cn2tWzXg4 +Mh89Py2durSa8XDkvKK5ctcY62rGwwmo1q1Hhd6easbDkXzeEPNW/Wg14+FI +ftz6X3aXi9WMhyP1XT5jwe9b1YyHI+Pl56kpPqlmPJyAP0+MndzsU814ONJ/ +NPu+rgirZjycgNcmN27NS6xmPByp/3tf7UzNrWY8HKlXxkEhsVXVjIcj/eeX +svkh0mrGw5H6V9Kg7/etmvFwAga8ezDtpWoN4+EE3KUSf/dh3xrGw5H8pGVo +5KZbw3g4AZPl139dGV3DeDgBd4zFTuen1DAeTsCMOc5zT1jWMB6O/Pw872j7 +FTWMhxOwXb3FZu+WGsbDCfjbuPc02/01jIcT0KKxcZb1iRrGw5H1PGyc3Wrn +GsbDkfF9GZC25G4N4+EE3JlqvGD+ixrGwwnYIfAoN+d9DePhBMyeZBE2PaqG +8XACzm/Y/GxSag3Q+9wiLvxzzWt8YQ3Q+9wi6l68njKqrgbofW4RbxqO6DCM +qwF6n1tEcZnuat1fNUDvc4s4a+SkWK2utUDvc4uo5TxuirpGLdD73CLW/85M +VDOoBXqfW8SM4vxNXcfXAr3PLWKtRgfVjjNqgd7nFlHHUSekfX4t0PvcIh63 +bdj3fXUt0PvcIv5U0xrVZlML9D63iCmrT7Uq7GqB3ucWcWxRlq/kdC3Q+9wi +xlfn7G24Wgv0PreIW87MGVF9vxbofW4R5zrWV5W+qgV6n1vEobMdrhcE1AK9 +zy2iQb+ACdmxtUDvc4vYoXxDWlp6LdD73CLqzzBenlhSC/Q+t4g37otpsY21 +QO9zizjw3/qxEWIt0PvcIu6bP/J00J9aoPe5RXQ+rVa+pnMd0PvcIsa8PSop +6FkH9D63iA+9/SXL1OuA3ucWsTXnblnWwDqg97lF7L1RNWqhfh3Q+9widt5Y +fSV1eB3Q+9wiHor7a2Extg7ofW4Rn6yaq0CTOqD3uUW8nXjLaea0OqD3uUXs +cyeyPRLqgN7nFvGNgaet6bw6oPe5RQwKGRoYtLgO6H1uEXds120at6oO6H1u +EYuNDv7x3VAH9D43eZ9q/tfwbXVA73OLKJ3gVPlyVx3Q+9wi2gf/eqZ7sA7o +fW4R7+TPMnvkWAf0PreIS0sNkzVO1QG9zy1ikuz6cLfzdUDvc4to1HfZjp5X +6oDe5xaxcZSVk8vNOqD3uUW8+nn90Q736oDe5xZR0nPd3DOP64De5xZRQ67P +f/OsA3qfW0QHyS07h7d1QO9zixgxySlF7l8H9D63iO2NmcqdoXVA/SYiJjQu +a6uNrgPqNyHr819zyoaEOqB+ExHVG/bsL0yrA+o3EfFv35D6xTl1QP0mIs5W +Ph+ZWlQH1G9Cxie5y0yorAPqNxEx8l2ybmR9HVC/CZlvr+BM49Y6oH4TETu6 ++Fn68nVA/SYi7unlcsXgax1Qv4mIZbl67o9+1wH1m4iIZkt39etYD9RvIqJN +GPfvard6oH4TEZs2lmxR6VMP1G8i4rY59ReOD6gH6jcRsWaFZDevUw/UbyLi +/g0JfXYOrQfqNxFR79/8E5Wj6oH6Tcj+SV/luWJCPVC/iYixOh9d0kzrgfpN +yPOnbRo9a2Y9UL+JiP7m3V2DzeuB+k1EvDD56euRC+uB+k3I+CkVJ54uqwfq +NxHxvnFh535r64H6TUTsd2OElfOmeqB+ExFfnomz/GlbD9RvImLd+sNfDuyt +B+o3EbFhjfb6ukP1QP0mIs644mq36lg9UL+JiL1qn05OPVMP1G9C4vEjA6Ze +qgfqNxHx3jCVUr9r9UD9JiJ6Lfn7frBbPVC/iYjKgT8m3PKoB+o3EbEtL2nL +v6f1QP0mIra8mDLV3qseqN+EvN8to5g633qgfhMRC5wPtSwPrAfqNxHRY1Ft +ZHx4PVC/CVlvzksnGn+sB+o3ETE16u7SF0n1QP0m5PetLvTpnVEP1G8iouoZ +4fCZvHqgfhOSDw8FH5eV1AP1m5D9P+LF4PXV9UD9JiIeO++6LaWxHqjfRMSJ +sxeam8jqgfpNRBzxNyD+uVgP1G8i4q3Z7hU9vtcD9ZuIqLI57daxP/VA/SYi +nvMYUlWv2gDUbyLi6a6OCYt7NAD1m4gof3d3VkTfBqB+ExGXbF21zECrAajf +hOSfqqtfXXUbgPpNSD2J6zLhy7AGoH4TEb86ef7aNLoBqN9ExHmnjNalGDcA +9ZuIeFdyxGLclAagfhMRT9avibs3qwGo30TEozYvUn9bNAD1m5D1McfQ2taq +AajfRMQe0yJOfVreANRvQsajcbTh+HUNQP0mIr6IXLHWfXMDUL+JiIHqf/v8 +2N4A1G8iYsnojss37WsA6jch3zPIeEC8fQNQvwnZ31Ubtgw73gDUb0LyzYIV +o13ONgD1m4hYqNN6vPVSA1C/iYhmgjDXyrUBqN9ExNWzp7u/c2sA6jcR8dqO +h1vUHjQA9ZuQ/Pys8s2BZw1A/Sbk/boU78vyagDqNyHz+XSN7xi/BqB+ExEr +Z4y3cQ1sAOo3Ifv79YQ7reENQP0mpB9wHD113scGoH4TETXHCKu9khqA+k1I +PR+9tOVfegNQv4mIu4O15NZ5DUD9JiJatujYRpQ0APWbiMh9Gm2lXt0A1G9C +6t9sjVcHGhuA+k1EdFHz2ZMqbQDqNxFRrTzsqb7YANRvIuLzMb1mnfjWANRv +QvbTgbML8tobgPpNyPe2FH8cqdoI1G8ionFy85Nz3RuB+k3I/PQ7U1/UpxGo +34Ss/4+7b47WbATqNxFxlcfx506DG4H6TUQctuRU/6KhjUD9JiIOPzJbPtKo +EajfRMRRTncNT09oBOo3EfFBkmVctmkjUL8J6RdODIvQn9kI1G8iYlF4ey8H +80agfhOyX08+T0hY0AjUbyKi05OSvH7LGoH6TUh9qzo83WZNI1C/CamXVXP+ +BFg3AvWbiPhlcO+Bf20agfpNRPTu6HNt0Z5GoH4TEZ8VVi5/aNcI1G8i4pTI +YweajjQC9ZuI+Ct6buWE041A/SYivv004OmpC41A/SYiWgSG+CdfaQTqNyH1 +eJiid+9bjUD9JiIOCLset/ZeI1C/CRnvqi0xzx83AvWbkP5JObFTi2cjUL8J +yd8T8h+MfdsI1G9C9ndIt6OH/RuB+k1EDHF6/TgipBGo34TU86P2XdujGoH6 +TUg93TQ1GuIbgfpNRNxamRZ0MbURqN+EPO+cTJGc1QjUb0Lqbej+fV0KG4H6 +TUTUztU0ml/eCNRvQupVh4iRV2obgfpNSD7ZobUztbkRqN9ERCtlx7pOikag +fhOy31wsH5i3NQL1m4j4p+nNNacfjUD9JiS/BX4Ji/nbCNRvIqLg8GPQ905N +QP0mIvZ0PxMxsWcTUL8J+V7bRa77+zUB9ZuQfll9wt3XWk1A/Sakf4lSZlfp +NgH1m4h4YunmmRqGTUD9JiJWB8yoshrdBNRvImL2s7Xvzxs3AfWbiOgZe/xd +2OQmoH4TES/d21MmndkE1G9CvudO20RdiyagfhPSn6rWhi9b2ATUb0Lyhf73 +nReWNQH1m5D5+K06O3hNE1C/CTkfeOTMarBuAuo3Ifs5R39HP9smoH4TEV/t +jQ+APU1A/SYirut7Uv+gXRNQvwnZ38vVgx8daQLqNxHx4uPVu1JONQH1m4j4 +eW63GeL5JqB+E9Jv3Pw6ftCVJqB+E9Jv326aY3GzCajfRMSc5c8O7XdvAuo3 +EbFLtCTq7qMmoH4TEd0eXteLetEE1G9C+qXny5/WeDcB9ZuImLb970TV901A +/SYkH2/fVDMiuAmo34TUTxUTz4WRTUD9JqR/Ozfv2P64JqB+ExEn9LTZeiO5 +CajfRMRO4Qs2vs9oAuo3EbH70eSdmXlNQP0mZP0Oe3JeWtIE1G9C9vsFd98u +1U1A/SYiHjE9Uju0sQmo34T0BxmqBrOlTUD9JiIqgrodXC80AfWbkPOZ+cJE +h69NQP0mIu6MuK7v+rsJqN+E1Lv/PC697NAM1G8i4gEcz0V0bQbqNxExbr6O +dXavZqB+ExEvexpk1fdvBuo3If3npa7wVbsZqN+E5Ee3u8FdhzQD9ZuQ/n7x +1eHaI5qB+k3I/jL84GE0thmo30REfl96p2kmzUD9JuR8t+6F3fypzUD9JmR/ +9/hasHp2M1C/iYjpV24b21g2A/WbiLgh9j+XA1bNQP0mpJ6felNwbHkzUL8J +2b+n32ucX9sM1G8i4rstPRZd3dQM1G9CzsPvLh+5bdsM1G8i4uOc2tv39zQD +9ZuQflu37slju2agfhNSH/MXPnh+pBmo34TMT5/68y9PNQP1m4h4pXbvOq/z +zUD9JmR/mfhov3ZpBuo3If1v3dZUrxvNQP0mIq7xWb3p1d1moH4T0i8aW1W8 +eNgM1G8i4sxuneDp82agfhMRo7zmXnvwuhmo30TE89sKIu74NQP1m5B6cOlg +umtgM1C/Cdl/UytiL4Y3A/WbkPhepdvJ2GagfhNynnOfZHkosRmo34Tk/4E+ +xds/NQP1m4i4XvnDYl1OM1C/iYiuedKbC4uagfpNSH5YOSt4ekUzUL+JiIva +wwJG1zUD9ZuQfDRN01m7pRmo34ScH7YNmdhV0QzUb0Ke7+8R/FnZDNRvQvr/ +eTO61X5vBuo3EXH59Dzj9D/NQP0mIuY5aY0JVm0B6jchf/9bwddH3VuA+k1I +v7c7+fb5Pi1A/SYi+l3y/75zQAtQv4mI5s3LxlrptAD1m5B+vs/BseMMWoD6 +TUh9sEv91ntkC1C/Cfn99bquwtgWoH4TER17/tecY9IC1G8i4siAT138p7YA +9ZuQ9bLmBXdtdgtQvwk533w//nCnZQtQvwmZn9P9u8yxagHqNyH9i7+Jqfby +FqB+E9L/zfUwVK5pAeo3EfFM89+CNOsWoH4T8nytcfDMpgWo34T0y8vqdzvs +bgHqNyH5iKtcZHmwBajfRMQO06qlAxxbgPpNyPra6T2n5UQLUL8JOd+5fVka +dq4FqN+E5KcE1/6XLrcA9ZuQn5eMvLnMtQWo34T0u/XXowe5tQD1m5D+/six +x033W4D6TUS8veLtGP8nLUD9JmQ/atQcOPKyBajfhKwfi4pN09+2APWbkOcv +s/71930LUL8J6dfuD7FMDG4B6jch691aOvVyZAtQvwnpx4uOlFrGtQD1m5B8 +q3NRr1NyC1C/CamnM/LUEtNbgPpNyPlkuN7Lc7ktQP0mpF5Ej66YVtwC1G9C ++pWk0PDPFS1A/SYiTv92yvR9XQtQvwk5X3yZsWZ7SwtQvwlZr4vDNbQVLUD9 +JuQ8cNTncLayBajfhPSDRXkHz39vAeo3ETEhiutk8qcFqN+E1Bvbj5MbOkqA ++k1EHP/9T4c73SRA/SbkfH7j4M7ZvSVA/SYijhuWsVXWXwLUb0LqrU++cE9b +AtRvQvqR72Yas/UlQP0mJN8WlWU2G0qA+k3+//8hqwbcGC0B6jch9fezvWBs +LAHqNyH9lw23vshUAtRvImJ5qMvyYzMkQP0m5Ly6+leRppkEqN9ERMN7nZvD +5kmA+k3Ifgve6bRqsQSo34Tk4+rSV8IKCVC/iYhN47WXuq6TAPWbkPn+0OI0 +bLMEqN9ExA8r/0yOsZUA9ZuQ82y79uEVeyRA/Sak/p/9OaHloASo34ScZ+6u +P3TSUQLUb0L2R+vn8T1PSoD6Tcj8D7x68Mk5CVC/CYl9841GX5YA9ZuQ81a/ +m7YR1yRA/SYiJoYc07S4LQHqNyH/3mhlmX1PAtRvQvqd2hTZmscSoH4Tsv5L +vLpVv5AA9ZuQfr7F86mttwSo34Ss/0EnvVv8JED9JqRfOdBqsDdQAtRvQupb +ql9fWZgEqN9ExOZ/p+33xkiA+k3+//e05kjiJUD9JiJuHjT93PZUCVC/Cdlv +a58b1WRKgPpNyHlypIrZunwJUL8J6X/66STllEiA+k3I+Ln4fbCskgD1m4i4 +WLq3Y1S9BKjfRMSA6xofx0gkQP0mpH8L2Fn9VCEB6jch+cV5oK1amwSo34Tk +lwmtC099lwD1m5Dzwcfb7i3tEqB+ExHnmmTMWtGxFajfhNSvcyvnR3dtBeo3 +EVH3UuMHg16tQP0mpD9Xn+p4Rb0VqN+E9DNCD3e5VitQvwnpD7M0ey3VbQXq +NyHfs1iz7sPQVqB+E5LPOyX36D2qFajfhKzHExXX949rBeo3IftVX8M2zaQV +qN+EnP+3jrlhMLUVqN+EnJfcKrqdmtUK1G9C+vf2ypI881agfhNyvq2Rfhm+ +oBWo34T0N9vibE4uaQXqNxHxpmf/wZkrW4H6TUi9+uA1VGd9K1C/iYhDXEcd +2bu5FajfhPTrIzb1CbdtBeo3If3Q7h9tKntagfpNSH0wjNC1OtgK1G8ioo/h +ylt3DrcC9ZuIeGrYJYvS461A/SakP8tWnalzthWo30TE0sSbxzZfbAXqNxHR +916F8tmVVqB+E3K+SPN6X3WjFajfhJzfCl95a99tBeo3IfnA4E7l6getQP0m +Ilr3HrXk1tNWoH4TUp9kQ36mvGwF6jcRsduvSTW/37QC9ZuQ+uyg+3vC+1ag +fhMRv592X2ob1ArUbyKiu+ny0rvhrUD9JmS9BKjdS4hpBeo3EXE1d+ESH98K +1G8i4ifJntfaqa1A/SYiurie+mqe2QrUb0L6ibBDR/fltQL1m5B+dGaHYW7F +rUD9JiI+lUjaQypagfpNSP12rOpQUtsK1G9C+ge3B8bfmlqB+k1If9mUf0VD +1grUbyLi9e6WXSYKrUD9JiK+v/nGb9GXVqB+ExJ/CXLc8bMVqN+EjE+43rbT +f1uB+k1EHGzubeemKgXqNxEx/MefZ17dpED9JmT+TeoVob2kQP0mpJ+b1XVj +sroUqN9ExDLrUU35WlKgfhMRTVLbr1UPlgL1m5D66DfTSmIgBeo3If37OM9h +wggpUL8J2c97ajW+jpEC9ZuQ88j+4ME/jaVA/SYiHpqZP+23qRSo34Ssz7LP ++35PlwL1m4g42qTgw0+QAvWbkPOsiWGnb5ZSoH4TEZOiX+4VF0qB+k3I/vLs +WNO6VArUb0LWW9DfrbWrpED9JiKqhyzhC9dLgfpNyHrf9vZK6mYpUL8JqR8H +kkZH2EqB+k3I8y5sKPHeLQXqNxFxl5nBtbsHpED9JmT+5xaYnXWQAvWbkH5b +z/DfzmNSoH4T0n+sycHFp6VA/SZkPe67enHieSlQvwnJP6Xd52k4S4H6Tcj+ +UevR/es1KVC/iYgrHedkFN6SAvWbkPpzYMfVQHcpUL8J+XurxplffygF6jch ++eHUym/bn0mB+k1Ifhp73Wv6KylQv4mIyz7cWtDnrRSo34ScN2dpNNW/kwL1 +m5Dx7ld4JDhQCtRvQr5v/cWf58OkQP0mIn6cWW6/JFoK1G8iYl3drXItlAL1 +m4hoarPRpC5JCtRvQv69sO3km09SoH4TUm8W9AnYly0F6jch+T1jV97YAilQ +v4mIFpsCqxQlUqB+E/K8P68K/CqlQP0mpJ55fw3cVScF6jch47Fw67EhzVKg +fhMRi0o8DMqkUqB+E1KfTFcF3eSlQP0mImpYLTI0+ywF6jch57E205Nt36VA +/SZkfLpnfHjZLgXqNxFx6Pa4pKUdZED9JqQ/zEsP/9lZBtRvQvo3PS+XVz1k +QP0mIg7q38FkYR8ZUL8JqR+OjyO4/jKgfhNSD/4O13QbKAPqNyH779DGxca6 +MqB+ExHXOvHrcwxkQP0mJB/VP5y+b4QMqN9ExE3z+oqqY2RA/Sbkfax7nngy +QQbUb0J+PnNOvvEkGVC/CcmvM3f/SpoqA+o3EXHgStO21bNkQP0mpL/7YBPR +aCYD6jch/SL/aoH9PBlQv4mIDwICXv+ykgH1m5D+3HV2xsVlMqB+ExF7DegS +2W21DKjfhPR/zbEHbqyXAfWbkPP+LW1Jr80yoH4TEc96Fgy/aSMD6jchv//u ++cgeu2RA/Sbke2ZMkl/eJwPqNxHRuWbhoT92MqB+E/L9eh7Bjo4yoH4TEQsv +54ZIjsuA+k1If/L4vcOGMzKgfhNSHySNsk/nZUD9JqSfUY7Rm+wsA+o3Ifkd +ZvR4eU0G1G9C+oP1n/y735IB9ZuQ8zZe62p/VwbUb0L2Y7upZpGHDKjfhPSL +dy+VmT6RAfWbiPiom9GS+y9kQP0mpB9qrDrc5iUD6jcREXzXLFjiIwPqNyH1 +sW5hlvd7GVC/iYiyvvZ/fgfKgPpNRNROPFexLEwG1G9C+qezY21fRsmA+k1E +jM6a4C5+lAH1m4hYPwMOzEqUAfWbkP5qXT/FlVQZUL8J+XsfNvXLy5AB9ZuQ +8c8vrRiQKwPqNyH9x/Jp8zcUyoD6TUT0Spyy5nGpDKjfhKzf2Guq5ZUyoH4T +Um9LmpcPqJMB9ZuIOMW/48xlTTKgfhMy/9UeSS6tMqB+ExF/dN3QEqOQAfWb +kPNB3r9XvCgD6jcRMbna7IfeVxlQvwn5++XFTYt/yoD6TUS87HB494k/MqB+ +E7Ie1uace9lBDtRvQs4PMz2NPnWWA/WbkH64+vkuRXc5UL+JiHpfz0/q3VsO +1G8i4kbLP3fGqcuB+k1EPOaWcdZKUw7Ub0LyodeLbzsGyYH6Tch51eq/32f0 +5ED9JiIWbJzpeneoHKjfRMT57xxeeY+QA/WbkPyi7WoePloO1G9C+qWrM/cm +j5cD9ZuQuA4G5JnIgfpNSP/YZ9Hc8slyoH4Tku+6DfhRO10O1G8i4r3U1WOb +ZsuB+k1I/VqQUNdsLgfqNyHnsXv9NJrnyYH6TUQcG9GeXG8lB+o3If1w8rS2 +yqVyoH4T0g8VOz0uXCkH6jch9ULNJfbTWjlQvwmpL26q62I2yoH6Tcj33wnY +826LHKjfhOSr+WbiQ1s5UL+JiA+FQ4pLu+RA/SbkvJvfZn1gnxyo34TU++kX +Zq20kwP1m4g48mLBbdPDcqB+EzJ+XZ+v0DwmB+o3Id838cmFLyflQP0mpL+y +v6CXd1YO1G8iouesbiN9L8iB+k1EVNWRPHJylgP1m5B66l58dNU1OVC/Cal3 +M69HGd6UA/WbiCiE4PbPbnKgfhMRV70c7Yj35ED9JuR8Fn6p5epDOVC/CXnf +wIuxy57KgfpNyP5aVfu5v6ccqN+E9F8OK64Xe8mB+k3IfLS4Xbj3Vg7UbyKi +t8ea4uXv5ED9JqTfPbLOuUeAHKjfhLzfqaVuCcFyoH4TUv+C274cDZcD9ZuI +6DSuPWhktByo30TEbT2HpZZ8lAP1m5Dz6DF940sJcqB+ExFvefvyY1PkQP0m +ZD9UnlQp+iQH6jcRUbF7wY4TWXKgfhPSL73JHjgoTw7Ub0K+XyVxcFShHKjf +RMSf8mq7NaVyoH4T0g/Jy3sJFXKgfhMRT9+2++FcIwfqNxFx6rHV4wY1yIH6 +TUS0XWT57l2zHKjfhPSvn77ZT5fKgfpNRFSGGZ5NVciB+k1I/y91yVkmyoH6 +TUg/Pb9ke8lnOVC/iYg6yZkzNn6XA/WbkHpnM2RN5S85UL8JqW/GNz9s+CsH +6jch+XxF5rziDgqgfhMRd3xzH7y0swKo34ScJzd7jk/upgDqNxFxd6nv6Slq +CqB+ExGPJ+3t6NNHAdRvQs5vdx4lDOivAOo3EdEhqS3ivKYCqN9ERGPp+KZW +bQVQvwlZz95d5y7VVQD1m4io8m5yeeAQBVC/CTkPxB190ddQAdRvIqJ9+DmP +gyMVQP0mZH2c7PkxbbQCqN+EnNc7lvTTH68A6jcRcZr1Q3fHiQqgfhMRS16p +maVMUgD1m5D83Z0bqDFVAdRvImJO/mftbTMUQP0mJF+srDT3na0A6jch+fbz +5ruCmQKo34Q832Ry1//mKoD6TUSc9FzP03GBAqjfhJw3KvOtgxYpgPpNRLzi +2WOaYqkCqN+ErOfoS5MNVyqA+k1EtOz2Y+WGNQqgfhMRtzsNvXl9vQKo30TE +3kZxjdHWCqB+E7Ieh7mskWxRAPWbiPifl2l9X1sFUL+JiBfEK85TdiqA+k1I +Pt831cx6jwKo30TECOeO/c/sVwD1m5B6l/Xy5yM7BVC/CelH6/M/hzoogPpN +RJx4aqlK9hEFUL+JiPzOQt2G4wqgfhOSv68NXvTllAKo30TErg3NLqrnFED9 +JiRfX5Tn9rmgAOo3ETHXQ2qofVnBeDgl/rB5eln/ioLxcErcAvHcUFcF4+GU ++OxVv63DbioYD6fEPK0lpUPcFIyHU2K+xYQ1Ou4KxsMp8VDTkVJ1DwXj4ZT4 +Pq9iU9dHCsbDKfHzD92mH08UjIdT4prdv/dLnisYD6fEZDD+XPBSwXg4JS6J +P3ki5rWC8XBKXGF2/5fnWwXj4ZSYajrnxCU/BePhlHhwzFTR1l/BeDgl9smc +bgOBCsbDKTGmrHPGwBAF4+GUqDJpnZEQpmA8nBJHlynOJkYqGA+nRKeqy6l3 +YxSMh1PiqSPVHbbFKRgPp8TuYuj40QkKxsMpMSMmfZEyScF4OCUusqlYF5aq +YDycEs2ueK84lq5gPJwSs5TSKZOyFIyHU2LQxV3dhRwF4+GU+HRaRfKbfAXj +4ZS4flKnvdZFCsbDKVEzwOuLWqmC8XBK9O50YldMuYLxcEoM/jDn464qBePh +lNhj3sfvvWsVjIdTYtlFf43QegXj4ZTYNDNffW2TgvFwSizY3yp+aVEwHk6J +O0eG+LtJFYyHU2LsW+V8I4WC8XBKvD93R1wcr2A8nBJvnMrss0KpYDycEjfV +S6bXfVYwHk6JQyefmn3gm4LxcOR711pof/2hYDycEveZd8o49VvBeDiyXnTO +LfvzR8F4OCW6Dj/sc0aFYzycEmvTXhb+6MgxHk6J2jdych06c4yHU6KRfvQT +SVeO8XBKdFwxYvLGHhzj4ZTYW6v4Wboax3g4Mr9Xr+ab9uEYD0fmp65j3vN+ +HOPhlJhzocvDThoc4+GUKIprRu3W5BgPp0S/shCn1IEc4+GUWNOt8elQHY7x +cEr8e+zNudO6HOPhlLhydsKwfH2O8XBKnOH5zXXYUI7xcEo826lHyGFDjvFw +Sjz/M+5x3AiO8XBKfDSpfE5XI47xcGT/3B38evEYjvFwZHw1lyTdGscxHk6J +ltz4Z9kTOMbDKbHrPhfj7iYc4+GU+L3bwDNmkzjGwykx3M7n3LHJHOPhlJi2 +p32yz1SO8XBkPXNlXiXTOcbDKbFIVzW5wyyO8XBKfG0+x8MIOMbDKfF37hrt +pWYc4+GUWL29++JDFhzj4ch4pQ4Zd3Mux3g4Je5V2MS8nc8xHo6s5893v8Yt +5BgPp8RIw1MVBYs4xsMpUe979Z7GJRzj4ZQo6en8QlzGMR6OzO/EyWd/reAY +D6fEXjaRKh1Wc4yHU6J1UsGoTms5xsMp8dr7xV9U13OMh1Oi53PORmUjx3g4 +JdotPGv/05pjPJwSs12T9ITNHOPhlPjV7ur++q0c4+GUmGh8b02eDcd4ODL+ +0wMqY7ZzjIdTYumwV+1eOznGw5HxDDeNuLqbYzycEs/ITXrs28sxHk6JJ2W2 +X+bv5xgPp0SF2u0jQw9yjIdT4seq89d+2nGMhyP/rtHRJNueYzycEitfVh14 +dphjPJwSX72smLrvCMd4ODIeO1Lv/XeMYzycEo8d2Of08zjHeDglqh668z3m +JMd4ODK+q7u0nzrNMR6O5JPJ965PPssxHk6Js3d18ebPcYyHU6LGzlHzvc5z +jIcj+0GWYr/6Isd4OCVeqPcb0vEyx3g4JXbZ77XmvTPHeDglHq463XvVFY7x +cOR74lWsvl3lGA+nxFHlf7t4uHKMh1Pi7sEWliY3OMbDKdEg8rFK5k2O8XBK +/NC3cPq22xzj4ZR4JSFKqXTjGA9H9tOzwYbn73KMh1Oib0B6Xo97HOPhlHh1 ++u1vd+5zjIcj+Spqxr0BDzjGwykxs+6Zz/2HHOPhyM8/u2yi/phjPBz5Hk00 +vv6EYzycEnu+H/xK5RnHeDiynn4ccD78nGM8HKmPBU7ldS84xsORfLZg+LNF +LznGwylxvve43OBXHOPhSL6w32un+ZpjPBypbwd8Tx7z5hgPp8S7D+KEgjcc +4+GUOGDcqdwxPhzj4ZSo4+2vdcGXYzycEn+Gjk4r8OMYD0f6h2VJVUPec4yH +U2KL98J1+/05xsOR/DfCzTT4A8d4OLJ/z+899jWAYzycEptf+Aw0DeIYD6fE +iMAhOg7BHOPhyPvoe5/xDeEYD0ee59F9Zk0ox3g4kj86DV3fJ5xjPBzpP+4n +5s6M4BgPR9Z3JL7cFckxHo7Uw8F81o0ojvFwJN+EDl0eEM0xHk6J+0NMRufE +cIyHU+I9t88bpLEc4+HIeug8o0YljmM8nBIf96kN00CO8XBKtBHCag3jOcbD +KbHByGPjxASO8XBKvH117fDpiRzj4Uj91s6ZDUkc4+FIPjAu8p6TzDEejtSz +3XM2zE7hGA9H9tcT2bqpqRzj4ZS47cv/mLjvuBr/93HgKERGSDISKSNUihRH +dlKyQiIqe29RdggJSWUV2kk0tOSuqz21997znPtw32Wv7/V5nMvv/Xv/dz2q +c879Gtfwfj5O8AuNDJY8HJ7vWgvtiZkseTgO7g4okJXLYsnDcRBwqm36T4wl +Ho6DDTa295uyWfJw+PpbLOan57Dk4fB8zdk/NeADSx6Og0GDL5s75LLk4ThY +ufJsxpY8ljwcB2pPZpzRzGfJw3Gw9MeZ3b0KWPJwHHBv5tzPxVji4Ti4Y7T4 +u0chSx6Ogy4fW0/LIpY8HJ6X1nC78cUseTgO2Gv592owlng4DiYvDqn1KGHJ +w+F5maq2w7SUJQ+H58tt1LheZSx5OKxPWjYDQzGWeDjsX/n66VvKWfJwHMhM +PHGpdwVLHg7/fu0XKX+MJR6Ogy97TGKXVbLk4bAfebj2aQPGEg+H9WJf66uz +VSx5OA56h3Z2DKpmycNx8Pv+gnVeGEs8HAcznJKap9Ww5OE4mLVj0/MIjCUe +jgPVubUOc2tZ8nAcSNvNvROLscTDcTBOaBg/p44lD4f3248dFoqxxMPh/soN +cVKtZ8nDYb8rdlL1wFji4TgoNVvRINXAkofD9QiezBzGWOLhOFjydlBMMcYS +D4f987Xa/DmNLHk47OedLvR1w1ji4bA/vV24SYyxxMNxIOebkLysiSUPh/dj +ubrRQ4wlHo6Dawt/tLZiLPFwHOyJkX+q1cySh+Ng1wzrPWcwlng4DlLOpBjG +YSzxcLg+uWrzv2Ms8XB4/+OOLJndwpKHw/yg7rj1IMYSD8fB6h0brj/DWOLh +sN72SU7IxVji4TgIHBjb7zvGEg/HwYhSZcsJrSx5OA56xjTHL8VY4uE4mNkt +VN+JscTDcaBUrOpzEWOJh+NA8d5ZFQ+MJR4OP8+WouCXGEs8HAejspXmvcNY +4uE4yCwwzE/FWOLhcB5L0d//AWOJh8P7btHcrwBjiYfj4NRLrcB8jCUeDuup +xtCVORhLPBwHfdIOCZMxlng4nF9ez70TjbHEw3Hw2nn7zACMJR6Og+b9Bdn3 +MZZ4OA4sIs7vssNY4uE40NIz+26JscTDcaCrs+ymAGOJh8P8uXiRnCLGEg/H +wdm9ei4fcT0lHo6DucPG90/BWOLhOJg+vPmkK8YSD4f1PsCudBvGEg+H9/t4 +iboaxhIPh/3+lbYTHbjfEg+H59/UNyQQY4mH4+B59J+yHRhLPBz2rwPYT6Mx +lng4zD+/d3z/gOdN4uE4mBO98eM5jCUejgNhUlzhZIwlHg7vo9LN57l4niUe +Dp9vVqT5MYwlHg774dI534dgLPFwuL9rpK++xPsi8XDYL20Y1bMQY4mHw3zQ +b69JEd4/iYfjwPG78IY1xhIPx0GY9vOgLry/Eg+H9XuyfcgxjCUejoOa97au +PN5/iYfjQNnknsUxjCUeDvPP84ReXZg/JB4O5+Gvfx2tMJZ4OOzn+q4RFmD+ +kXg4vJ8fA2cYYCzxcNj/rulvHIj5S+LhONCce2DJIIwlHg73a0ae/GHMdxIP +x8Hw6bMSszA/Sjwcrk/g/aUqGEs8HAdGzmIvW8yvEg+H50+wIi8d86/Ew3EQ +5/a0eDjGEg+Hr9cpDNmC+Vvi4bA/kdG3eIb5XeLhOFgjuFZei/lf4uE4MDTK +nzwaY4mHw/07OM5wLdYPiYfD/nvHkdlXsb5IPBzWl12Zn8Kx/kg8HNaXKRr2 +NVivJB4OX6/ON78PxhIPh/VunsYnVaxvEg+H/drBoqolWP8kHg7nVxWPu5ZY +HyUejoNe9y4NPIH1U+LhOPhh4256FeurxMNhfsut2+SC9Vfi4TjQv75T7THW +a4mHw/4Jpsd6YT2XeDisN7qLhjzDei/xcNjPTAme/AT7AYmH4+CY2clertgv +SDwcrm+vgCfXsZ+QeDgOnv1c1XMK+w2Jh8P85X5ykDX2IxIPh+sbpNZkiP2K +xMNhv/Pg6Klp2M9IPBw+/8Ltyf2x35F4OA68x/7NbsR+SOLhsB5Msr4Tg/2S +xMPh/F55T+YW9lMSD8fBwlVe8zdhvyXxcNhPjnykpoz9mMTDYX7b7JLWjP2b +xMNhP5npMcYviiUPh+fDh5lkjf2exMPhPBkxomEU9oMSD8fBGbM3q3Owf5R4 +OOz/Dz8/Yh/Gkofj4MHZHwZq2G9KPBwHhy4WJ+RgfyrxcPj5FBeyh7F/lXg4 +DoYdX58l+4olD4f3w3DSen/sfyUejgPt9gzHedgfSzwc7tdc8z052D9LPBz2 +r6EdH82xv5Z4OOyXt7ipNPiy5OE4OFl94vdO7M8lHg7Xd7/XpeYXLHk4/HnR +zKDt2N9LPByuzwUD21KcByQeDj///q6OFZ4sebj/1UfTv1E4T0g8HAfmXx1i +lR+z5OE4GOoeNOI6ziMSD8eB3sHqoW04r0g8HPZ35/RDluA8I/FwOB/vaGx/ +gvOOxMNx4LuFTxLjPCTxcP/79yNnfQOclyQejoN5l/JNb+E8JfFwWP/m1v7O +v82Sh+MgfXS50XCcxyQeDvul8/Uz1+K8JvFw2F+Yjw655ciSh+MgaHFQcgLO +fxIPx8HOM2HHPuJ8KPFwHCiUb4oae4UlD8fB4ebEe0svseThsL9Pkf+7B+dP +iYfjYNFyuz6OOJ9KPBzW16qRj1/g/CrxcBxcfTY8ORrnW4mHw3qw/tH5zNMs +eTicx6wLMkpPsuThcD6ubvGpO86Sh+Ngx5Veg5uPsuThML/Hre7bjPO4xMNx +4PDop1MdzusSD8fB2hodr1Kc5yUejoMkTnV+Js77Eg/HQT7/a2f0bpY8HM4P +gV0KL3ay5OE4cLmpZHbdhiUPx4EVkzZqjxVLHg77/bHyu5ZsY8nD4TyhOW/u +mK0seTjMN3MOuLCbWfJwmP/GlB9hNrHk4TDfX40sdNzAkofD85OuG7tqPUse +DvvVT64Th6xlycNhvUj9MyjHlCUPx8GE+DC7qyYseTgOQmUb9sxdyZKHw/V4 +klrcZsiSh+Ng2eCHcH8ZSx4O9yfJZZreEpY8HJ6XAqFc1UKWPByer6HNp84s +YMnDcRA1OGKD3HyWPBze57HuoX56LHk4zG/Lsq7N1mXJw2F+2+hcDDoseTgO +BlaNe2o4iyUPh/dvfFxVpgZLHu5/85jffcMZLHk4rK+NYwGmseThMP+m7tgx +ewpLHo6Dr1rv7f1UWfJweH+X7usvp8KSh8P8WP+6/xllljwcB3YhBfaV41jy +cBy8HKtgPXcMSx4O3293arTLKJY83P/qqcrFVnmWPBwHj7aejZoznCUPh/fh +wBDLK0NZ8nD4+cZqHM8cxJKH44D3mtgzcCBLHg7rhVinxag/Sx4O168ybJGD +NEsejoPGry0yMb1Z8nC4PlFKgvY/IvJwHOSZvqwc/ktEHg7rbV5Zg/53EXk4 +7H9Gf1lr+UVEHg7Xu9F8mn23iDwczvOhpvsffBKRh8P60jJNLogVkYfjQCdy +oVJsl4g8HN636c33UtpF5OGwP9Pcvze7RUQejoOOC1N8PzSKyMNx8Df01JLs +OhF5OMxv+kHLUqpF5OHw/O8b8zKmQkQeDt//oOrRwFIReTi876smeLgWicjD +YX7t2adkly8iD4f92Iwt0ls/iMjDcfD5+VpjvSwReTjM15UvxXLpIvJwWM9W +pH5qSRaRh8P1WfB3TSSIyMNh//spTvYSIyIPh/3dhc2Tlr8TkYfD/N2u79Ev +WkQejoMsxeSdKREi8nAcFLZsuH0uVEQeDuf5/uaDNENE5OHwPE7VaKsNEpGH +w3moapHiTX8ReTjMT0+/eM/0EZGH42BTRYxD3jMReTjMxyL23YGnIvJwHPya +KLWizyMReTichyJ3THN3E5GH48A5/6z1pPsi8nAcLH4QIQy5IyIPx0H/4Rez +ZzmJyMNxIDAz/hXuKCIPh+fP7MblmVdF5OFwPusfsMnvkog8HL6/heJFhfMi +8nA4r2mu+3L1rIg8HJ73XakJolMi8nAcXC5pK1t7XEQeDvPDeg2DsMMi8nB4 +HsOG9Qw8ICIPx0EwyHyz3iMiD4f9ianzyogdIvJwHIxUV+j4s11EHg7vo9rE +YsOtIvJwWN9HTBvoZC4iD4f3LTPYOdNMRB6Og3cTVbf0WSsiD8fB7j8jTsxd +JSIPh/Oj4Y/ivUYi8nDYv7WbX3qwTEQeDp+35s3JuEUi8nA4/6g6hNUIROTh +cB7O2zjnh56IPByev543vUfMEZGHw/ofP2LklFki8nAceG6TPao7U0QeDu/z +2PFDF08TkYfDvzct7TFUE5GHw35n63tlo4ki8nDYvydtvbdMSUQejoMjg5cu +FYwWkYfDfN76Z57mSBF5OJx/+423VRomIg+H9W2xVk+/wSLycBxEX0uPFMqI +yMPhepediMmRFpGH4+C2eebPgF4i8nDYn6/YeuXCLyF5OA5KeyetWPNNSB4O +82lvl9XjeoTk4fDnjVbuLR+F5OE4YLZnjQ0SCsnDcTDRfE/jnnYheTjsF3IL +Wyc0C8nD4TzSGTi1rE5IHg7n0W/XXzpWCcnDYf0+MnCfdpmQPBzeX2HJzspC +IXk4Du7PdvQ8lyskD4f1YEHisNFZQvJw2M+oTk4JTxWSh/vfPGYZtjxRSB4O +582P/StL3gvJw+F88SNfYBUjJA/HwRsdy+qWCCF5OA5WvtoYufuNkDwcBwl+ +ezIaXwrJw2G+eDRP3sJfSB4O+xHdi09yXgjJw3FQvLveQt9TSB4O+3nDwet9 +HgrJw3Fgph3r0O+BkDwcB82J94W77wrJw+H9T1vlnHhLSB4O6/86r10K14Xk +4bCfj9Y7tfeykDwcB0dvlMa9PSckD4f58PF0vZ+2QvJwWB+ke4QLTgjJw+Hz +T+4sPXdYSB6Ogwbf9M+R+4Tk4bA/2rfSpHOnkDwc9iMvNcsUrYTk4fDzt+l5 +LN0iJA/3v//fonZ7/0YheTgO3ubFRjqtFZKH42Dfj8jhgSZC8nBY39Tq/RIM +heThOLhi/vtA4WIheTjMh2zZrnqBkDwcBxoPZ7t2zBWSh+NgzJYGXqQtJA+H +9/+Bv6NoppA8HAf2X9eatk8Vkofj4IZHmGHtJCF5OJxvqx6cyBsvJA/HwRTn +nIK40ULycFj/CqbZ+MgLycP9b553mHR9qJA8HO7HjZejdg4UkofjIEfddoGg +r5A8HNbDVHCT6/XPw3GgtddKqeFHF3k4DvzvaJYHf+4iD4frNWZQ8rFPXeTh +cD+3FtXOEnaRh8P1kLFRF7d2kYfD/LDiUaB/Qxd5ONy/1xYbN1d3kYfjYPbC +mzr9yrrIw2H9PyGzJLSgizwczuNaiZfNcrrIw3Ewv8LjI5/WRR4O653B6bt3 +ErvIw+H5CV2+bdL7LvJwHHR+6t4aGdVFHg7zybLDTovCusjDYX7e+6IjI7iL +PBzmA/cLtsb+XeThODB1+qKT+byLPBzmG4c/ExY/6SIPx4GhgdOCKLcu8nAc +POntckv1Xhd5OMw3D/v3vXerizwcztfrukJ7rnaRh8N8KqvjtPFiF3k4DsJn +1D0IP9tFHg6fP6jzg8zJLvJwOB/22jhn6+Eu8nAc7DecmBu0t4s8HD6v0jo3 +zqaLPBzOX/EdTnMsu8jD4X3i2LBTm7rIw2G9HLuvX+jaLvJwON/qWt9uMe4i +D8eBbUXDwpHLu8jDcfBK68ukxQu7yMNxEDH4zdx9+l3k4TD/1ow656TTRR6O +g1Uhy8SBM7vIw3HAzVhxP3FKF3k47I8idXaVTOwiD8eBSDBhb/PYLvJw2P8d +UH3CjuwiD4fzqpPFb35oF3k4zL/uVS7dA7rIw+H91Uzd8FGqizwc9u+vZ69s ++91JHg7vj8KqIxVfO8nDYb+rPCM1jeskD8fB+LnfjN8IO8nDYb64WNrHtbWT +PBzmu4Iu4bH6TvJwmI8TrfuZVHaSh8N+87nN+gnFneThOLhoP7rg04dO8nAc +yIyPvsSkd5KHw8+vuNfmamIneTict+K2nV4e10kejoOM0bnv+0R2kofD+U2+ +a/b7153k4ThwXy1sOBLYSR6Og6f6AxKVvDvJw3EgHHaxKONJJ3k47C+brise +duskD4fz0I3troPvdpKHw88XumLRyxud5OHwfFQ5qCy60kkeDvvjHWb6Rfad +5OHwfJz9c83qVCd5OMxHk3P6dhzuJA+H+7G+D3Nwbyd5OA6sk8WBXdad5OEw +P4ypzdq1pZM8HAe1TWNVqsw6ycPh/bz+M8zYtJM8HD6vWZ5ttGEneTg8344d +J5UWdZKH4+DH4hdBl/Q7ycNhP+JuOrJWu5M8HAcpF4zj5szoJA+Hn7eLf3hL +rZM8HAfb4vzeVIzvJA/Hwc0U+KOi2EkeDu9Xgf/NfcM6ycPh/BQQt+rlwE7y +cNiv99ll0irVSR6OgwveA66P+91BHo6DNsHIH6u/dJCHw9d34YPPf+wgD4f1 +YuvQR/4dHeThcH60rk7KauwgD4f95qHMqZ1VHeThsN5vMM6VKukgD8eBz/ey +0NG5HeThsP8zbitQT+8gD8fBsBW81lzoIA/HQUmvMwUGsR3k4XAee8aHLg7v +IA+H/dm21vyFwR3k4TB/PCjU0vftIA/Hgdrb+cUzPTvIw3EAJsExSu4d5OHw ++QcF1Mnc7SAPh+cv/Z3RR8cO8nAcnDt24kvBpQ7ycHj/Jlt1vD7bQR4O59eZ +w5Qdj3eQh+Mg8cvYpxYHOsjD8TBp4shtU3d2kIfjodXebTe/tYM8HA/F0rOj +YjZ0kIfjYWfPvVVnTTvIw/EwItpwxmzDDvJwPKR//LZBaNBBHo6HyVdXZnjN +7SAPx4OsS+1VE60O8nA81Npa3u6Z2kEejoe+1UfrHk3sIA/Hw3r/lAt6YzrI +w/FwpF+/A8XDO8jD8WBq1+67X7aDPBwPL9LGzPgp1UEejocNfTZK3fzVTh6O +h96PTNXlPreTh+Ph+NIE7wdsO3k4HsYo7jwwvK2dPBwPvg4/rjnXtZOH42Gv +yXK+d3k7eTh8vcf93p7IbycPx0MhL5VZl9FOHo4H16V9tQ0T28nD8dBcXcIF +xbaTh+Oh/tRCmf7h7eTheIjUlre1ftlOHo4HvUBVQZR3O3k4Hm6XLNva90k7 +eTge3mqalKx1bScPx8NCX7mgh07t5OF46Lp/rLzSoZ08HA8rK412jjrXTh4O +n7/EbtXak+3k4XgI3N724NrBdvJwPAwvOzkvcmc7eTgeDo0fuLh+azt5OB6S +fB8E9d3QTh6Ohwnbfh+fsqqdPBwP1vm6z5YtaycPx0P1UG2NbYJ28nA86Gc0 +qxyf3U4ejgeN2UsvXJ7RTh6OBxMNY4Pbqu3k4XjInvp5z/1x7eTheLCcs/Sb +q3w7eTge/vTT7b43qJ08HA9FPYWbb0q3k4fj4fMvJfXzv9rIw/FgkzFp38Ge +NvJwPGQFfRyxSdRGHo6HK/4uGoKWNvJweP5PKb5TqmkjD8fD+ytPI38Ut5GH +40Gb11IpzmkjD8dDqj/fJzCljTwcD2Hzfpvbvm8jD8fDSbljKovftpGH4yHZ ++PDO/q/ayMPx4FQyelyWTxt5OB64HV6mjk/ayMPxsO2syncD1zbycDyc21um +3H2rjTwcD+s2dSb7XGkjD8eD4eK7zaZ2beTheDD488Oh51gbeTgeXi3c5+Ox +r408HA+vHw5bOtu6jTwcDzPPzdmTa95GHo6Hv8tGDt6xpo08HA8D9T/r8YZt +5OF4OHZjnPCCQRt5OB7i1pSq9tVtIw/HwyC/Q+03ZraRh8P76qw9u59aG3k4 +Hm4OsB90eVwbeTjMF4qPj38e0UYejgeZmI5de2TbyMPxcK05tb2oTxt5OB5K +fZ1/zvvRSh6Oh7J+Pt7PuFbycDz0m3qg+ndHK3k4Hqq0TYM3N7SSh+NBYU/0 +0NDyVvJwPEwZJT+4d34reTgezkeV+65ObyUPxwOfe7r0YXwreTgeNIfs8a2J +bCUPx8Moo0lDlUJaycPxoHV6+hgL31bycDyMjfub5vKklTwcrucBVfnU+63k +4XiYPXBiv+6breTheNiy8bKX0uVW8nA8GC0sqF56ppU8HA/m37yTdx9pJQ+H +9+PTLbOru1vJw+H5qVV28bRsJQ/HQ37sH7tws1bycDxcz0odnmzcSh6OB2W/ +EZZ5i1vJw/GwStPFvEyvlTwc5ofApn5Vmq3k4XgQ+EQfrJzcSh4O79Pw9zdK +lFrJw/EQbeJjmSPfSh6Oh8y+KqJ42VbycFhPrLvnhvRpJQ/Hw8uOosUe31vI +w/Ew9PFl2fOfWsjDYf1oBI9t7S3k4bB+BZi3zqtrIQ+H+cNk+PcRpS3k4XgY +HRZf3JHTQh4OX193+pl3yS3k4XjoztRsvf6uhTwcD8IqX+XVYS3k4XhoOLJz +6vDAFvJwPBwevbp3kVcLeTjML31XhNxxayEPx0P819nTl99uIQ+H72fW5/K3 +Ky3k4Xg4Ot4rJPBsC3k4PM9SXyPWH20hD8fD3c193b/vbiEPh+s9MWmDp2UL +eTge1shP6Zln1kIeDvOZ19zTpStbyMPxIG75UnlwUQt5OMz/+YdU/+i2kIf7 +3/r7b3Ke2UIejoetX9+cUFBtIQ+H+aDOy95zTAt5OB7c0m4eGz+shTwc3vdb +Tps8+7eQh8PPV5+kofCnmTwcPv/mdT9v9zSTh+Ph4x6rhN9dzeTh8D5YK9gf +bGwmD4f196qfVml5M3k4HmJ/z2+Zl9dMHg7roWj4Q8/UZvJwPCzdtdPkR1wz +eTgeLp48KLUhvJk8HObrKYeTXgb++344PO/339z46fXv++HwPmXbbV7p9u/7 +4bBefhmn+8Dp3/fDYT416VKpvPzv++F4cJDXmzj2zL/vh+MhJ9pax+Lwv++H +w/oflbz1wc5/3w+H/UpMomeWxb/vh8P75JT07eeaf98Px8OlqVon1A3/fT8c +DzXx52Q3Cv59Pxz2Q69GZZzT/vf9cDxYOZ8LfDb13/fD8fBk/qTQ+PH/vh+O +hzPldxsq5P99Pxzm24wlBp8G/vt+OB7qEjKypXr/+344HqLSgm+O+NpEHg73 +U/+CvTLbRB6Oh47iEp8pzU3k4XgoCDWRnlHZRB6OB2/Ty94z8pvIw+H+PBp5 +YVpaE3k4HvYLIx6rvG8iD4f9w0jpH6PCm8jDYf2zfvdiQGATeThcL7cbLl89 +m8jDYf/4a8qHRtcm8nA8nD20eVPmzSbycDxIG1XPenWxiTwcD7rXztg4nWoi +D4f7/eZb++4DTeThMB/yGpkLrJvIw/HgMv6j9PBNTeThMH8HDvduMmkiD8eD +T+iZ56GLm8jD8YDVQMpubhN5OB52r/LIM5jZRB4Oz0fMpL69JzWRh+Ph3ZYn +L0GxiTwcD2oDPyXYD2kiD8fDsL3KRtrSTeTheDjYOsWo7XsjeTge5hUOTvb4 +2EgejofxG6pil7Y2kofD/tP+qSZb1UgeDvdz3q5pDwoaycNh/3pm1cs56Y3k +4XjYLHM8ouR9I3k4Hg4kdK84Ft5IHo6HZ/t/HpIJbCQPx0NC3VtVL89G8nD4 +/L9NT2i4NpKHw34/8u9m5kYjeTgeLgyQrjG80EgeDvPj+Kd/ck80kofD/nTO +wNR1+xrJw2G+jHuqWbStkTwcD4+XPl+2xqyRPBwPXp77+2UZNZKH4yEka8PZ +hQaN5OH+V28TvSJ0GsnD8cDwQ+1VpjWSh8N8b/JG7t74RvJwWL8EA3d/G9FI +Hg776SWP7LcPaCQPh+urV7Qh6U8DeTgevlnM/Tqhp4E8HA+n1qzafaGzgTwc +nl/Npz5ldQ3k4TB/3HwbMaOkgTzc//o39ceXshrIw+H9WvXEIj+hgTwcPu8W +369jIxvIw/GQqC203f2ygTwcD0pyStWvnjWQh8P5ILtzyscHDeThcP2q+9to +3mogD8fD9L06TocuNpCH4+HLIo3AgJMN5OGwX8SUWLuvgTwc9rfJUTnDtjeQ +h+OhM66paolZA3k43M8wZfaYUQN5OPx7I5N+ngsayMPhPPN3pXqqdgN5OB76 +a/fb1jmlgTwcD5eVj/nIKjWQh+OhsufCH/XhDeThsJ8qnH56Rf8G8nA8zPD6 +Kp/8rZ48HA9Sg0TXPjbVk4fD+6W9bsmo3HrycPh8x9Zs1I+pJw/HwwCzsekb +vevJw/HQ7vXZ89DtevJwuP7bpzddOF1PHg77k68jn92yqicPx4OZ1tjKeyvr +ycPx0LTk9VMXnXrycDyc6DIW31aqJw/Hw8QTlyqv9K8nD4f5L6Vp9wmujjwc +9lOnR3tuq64jD4frW1VybWlaHXk4PE/DiqeqhtaRh8PNkat3+fuojjwcnu93 +BWmlDnXk4TAfNDsXBByqIw+H+fVI37gTm+rIw/EQvlb/tv6iOvJwPKT5zzb9 +Oa2OPBwP9glyA2JH1JGHw3yr1ZNx9HcteTge2JbhD1Taa8nD4X2d9uZkQUEt +eTh8v0T5k3ZxteThePgu8nmi5FdLHg7nmxvJ3cydWvJwPPwYKLxhfqaWPBzu +Z4C3NWtdSx4O65+x3Y2LxrXk4XgYnDC8n+zsWvJwWP+Cx7W5KtWSh+Nh42uz +WQr9a8nD8fB7vwPn9qmGPBzmr0dXtIZW1ZCH48FvlN63ayk15OGwX/71ZP2X +kBrycJgffV+v3OlRQx6Oh33JV9pzLtWQh8P1PjtXV2t/DXk47G+2/17osr6G +PBzm0ydyQ0Xza8jD8bBLzLxeqlZDHg7rdY7l5IdDasjD8fDJxuJq29dq8nA4 +P0eq589qrCYPh+sZYC5vl11NHg7nNXnbbczbavJweP9r1KN+elaTh+Phxddp +k+c6VpOHw3x5S/f9kaPV5OFwvuFULvlsriYPh/1UXcH5osXV5OGwX7GaBX/U +q8nD4Xn+umzdFPlq8nDYD78ea7DqdxV5OJz/VEtdDrdVkYfjYXXQiw2386vI +w2H+Whzv5h9bRR4Oz3uOnSXjXUUeDvuRvnNi8p2qyMNhPfTZFlZ/soo8HObr +HSfMRJZV5OEw/07oE/J5eRV5OOxPha/SfmpUkYfDfnyYcsifUVXk4XDeFIw9 ++KdXFXk4HrZfOjbkZ2cleTg8T4f+evcUVZKHw3wx7d0s4ftK8nA8tFx5nlXn +V0kejoe1f1JP59+pJA/HQ0/PqiXxtpXk4XAefXFwfpBVJXk4rJez9hx2Maok +D8fDhw7XltOzKsnDYb1Xs3i9eUwleTicf8/PKtGTqiQPx4Nqvv9uBVEFeTge +cretO8KVVJCH48G2tuFHVnwFeTjs/+8MV3wRUEEejodGk+iik/cqyMNhvgx5 +PXf52QrycDxUDxKZydtUkIfjwT3YdnbjygrycFj/3u1qe6VdQR6Ohztn6m1P +ja0gD8dDsKFK9zzpCvJw2J+B/b6/onLycDxYZBi1JJWWk4fDeh3856RDQjl5 +OFwvzYlqiwPLycPx0DtkRd8/98rJw2F+nThO5d3ZcvJw2J+v3nrrhE05eTj8 +/BklRurG5eTheNgkd/BIg3Y5eTi8729GyLiPLScPh+u1vHySkXQ5eTicD4ML +87+LysjD8RDxd9aY4NIy8nA8QMa8YRYJZeTheJjTe0lKv8Ay8nBYTwThBm/v +lZGH48Eyuq/r9rNl5OFwnj9UkCNjU0YeDvOZXvSP8JVl5OFwvu84OnOLdhl5 +ONyfTdkneo8tIw+H88bVgMpAqTLycLjeId+PmYpKycPxMGtf7gq+pJQ8HNYH +ZuFhj/hS8nB4PvWuftIPKCUPh/dzeH1Dzd1S8nA8zNYPNb10ppQ8HNar07sM +J1qXkofjQe7ytapko1LycDifjgsevWtWKXk43B+d6yP6jiklD4f9A1tV7t+n +lDwcD44frtsaCkvIw/GQkXH6b1txCXk4nM92hzncYErIw2E9KVsrN9W/hDwc +rr/czdjMOyXk4bCeCD1v77ctIQ+H846+6PFAqxLycJgfWju6X60oIQ+H+zlk +XLCpVgl5OB50JqrlflQsIQ+H/eqXd4dde5eQh8P1ZQM853QVk4fjwV82/0Bl +UTF5OB6WyM/ovPC+mDwcD4+C8qZO8ismD4fz1s66eVnOxeTheNjz5bHWsdPF +5OF4SDc0H6u4vZg8HA9jbl8dlGRYTB4O+4N9viMPahaTh8P6I2dmpKBYTB6O +B+3thtHJvYrJw2F/8tno+LHOIvJw+H5WBreVi4rIw+H6qqsoFMQVkYfDetBf +RtXBt4g8HA87OsdmzHEuIg+H/ZiKzzDhqSLycNiPOX4d6bOtiDwczjfX77dt +MSwiD4f3a/Uf95GaReTheMgWt+gWjSoiD4c/P9q3xqVXEXk4HsZ903u0rrOQ +PByeR9Hxi/JFheThML8NZrwr4wrJw/EwylMw0tu3kDwcnvfT2qIDzoXk4fD5 +dL/ozz1dSB4O++9tP4b1215IHg7z9YGcG+WGheTh8Lz+GRr6SrOQPBz2I71u +PXVQLCQPh/UIhtlY9i4kD4fzzZAXI/S7CsjDYf9+eFmGYnEBeTh8/enqLj/f +F5CH42GBw0OHRr8C8nA4LyeyEdl3CsjD8eBx4OWcGNsC8nA4r23ZoRxoVUAe +DufhjpxrT4wKyMPh8wyMsr8/q4A8HA8z7WUUnMcUkIfD+lX+4aCTVAF5OOyH +nq5UGV2ZTx6Oh7vHnumqvconD4fv57x6of6FfPJwPEQuWrl345p88nDYPyvG +VNpNzCcPh/lp2o+kgO488nCYDza9MalJyyMP979/33vpM+ZRHnk4HkLP/uJt +DuSRh8P7/p3bFyHIIw+H52uF3KxBQ/PIw+F5LVE8e7Qxlzwc9guvZQ7WvM0l +D4f3M1dxrpljLnk4PO+7EwaUbM4lD8eD86xXclbTc8nD8aCYlmvX8/sDeTjc +j+p1J90KPpCH4+FN4IvpC30/kIfjwW6KTWHP6Q/k4TD/nDj7IMroA3k47FcG +DfS+MvYDeTicr+adnWYhziEPh+dj7S5LQVIOeTgeTsuE7p/ulkMeDutZ+dkr +k/fmkIfj4alApWTmvBzycDxsG7fKdfHgHPJwPPyUqxDZNGSTh8PfT+6Qv/c2 +mzwc9p8LmuZnOWaTh8P9XXHggdyWbPJweL7j9pnunplNHo6H8or+nhm9ssnD +4XoERYbPLckiD4f5caVyUlRgFnk47KfM5v9efC6LPBwPTuqLHtesziIPh/V3 ++8vM6ypZ5OGwn+wzFxZ8ySQPh/ltkDhMOjuTPBwPWa8Vi6q8MsnD4fkMNzRP +PJ5JHg77/yrT01HLM8nD4fMsNLaJG51JHg77odfP1uazGeThMP/3/ny2JymD +PBzmW2cDOXWPDPJw2O8fj1x17EAGeTjc7/4VuzIMMsjD4f7OO3lPc0QGeTjs +d0SPpF62p5OHw/Xe4v9Nh0knD8dD5gWDgAKXdPJw2A8f27Ds0u508nD4/AUT +ZRfNSycPh/l62gydEUPTycNhPTZb9vlbcxp5ONyPWkcnLjaNPBzeH1vLGb/v +pJGHw/VYbS8/bmcaeTi8r1ebzq/WSyMPx8NU55bnboPTyMPhPPZEKVbclEoe +DufrwXa9tsWmkofDelaqFVl/J5U8HA+9WnOHnNmZSh7uf/9+PnXVJP1U8nD4 +eafuD2oZkkoejoc/Q2Ss37ekkIfjweViXmhgXAp5OB6W5ZSVvHRJIQ/Hg3mN +86DkPSnk4XCe8Xzj9kmQQh4O78P+Va/mjEghD4f90I2su/c7k8nD4fzlWnNB +OjGZPBzuP38v5q5HMnk4HvqZLjmndTiZPBwPU34FyHQtTSYPx8MIh/Tn78ck +k4fjofbvn8v+XBJ5OMxnIdJsYGYSeTjsP42bVFOeJ5GHw/vj833/V9sk8nD4 +fr+k/ixbnUQeDn/uPnxSiFoSeTgeCpTvjZ35O5E8HM6f+gL1zJJE8nDY74rm +uF4ISSQPh+s1hfcwvZZIHg776QWLz+tZJpKHw35/z2pHg9mJ5OF4SNF/8d1q +UCJ5ONzPPdq687KAPBwPb20+WYnsgDwcD/pd4T/L1IE8HA+3Nux3/1GdQB4O +z2d26jvzOwnk4bDfNNP8IjZIIA/Hw736worET/Hk4XjQWO/2Kdcnnjwc3j+X +8bkKG+PJw/Gg61L552n/ePJwPCjt/6SwPY4hD4fz8d51gdsOM+TheHCbELrk +6QSGPBwPD25dOT+q5D15OOyHhvbvLLrxnjwcDzdDlyvlzX9PHg6fV2FQ6IBP +ceThMD+952c7+sWRh8PX/2tpuMwijjwcD6vW2p9bNiSOPBzOI8YrDt9IeUce +jofwurtRg+3ekYfjIcBOKC7XeEceDuvV9MzItpZY8nA8TCq18Z33NJY8HK7H +ukzzknWx5OGwHw2sPxopE0seDvPFPBunaoghD4fn80eNofGZGPJweH6exi3v +rRlDHo6Httx5U3u3R5OH4+Hr8bMuxs+jycPxEFS+f2KdeTR5OB7CvjdeZOSi +ycPxIGbum7ZlRZGHw/Mya4CO5dUo8nCYL8piS8cviCIPx8Pner5G42skeTge ++HOiT87hkeThsP9Qhjj9Q5Hk4Xj4Vbi/Zc6USPJwPEyzK1J0aHpLHg7noxkZ +UsrP3pKHw/nn+t91g7e8JQ+H89pnpXBThbfk4fB+KIfnNxRFkIfj4dqMOdsy +7kWQh8P6qz5yYB/TCPJw2A8eCrlxf2AEeTgerrasuGmbGU4ejoeYu1Mg3DGc +PBwP9wsuNSxdHk4ejodPTfbeM6XDycPh882fF3s0JYw8HM4/P8qrB14NIw/H +w3bzfal/l4SRh8P8Jv9TfY1UGHk43M9m/QfdN0LJw2E/aRgR3WtgKHk4nIce +ndbLcH5DHg77B9bT1UjuDXk4Hg6or1js6PaaPBzOo1KeyVdGvyYPx4OrdL6H +4HkIeTicP56oLIpVCyEPh/3LZOGSLyGvyMPxcGWkq9q32a/Iw+H5/PHoGMQH +k4fj4UOY5xvTFcHk4fDvr24+5Ff4kjwcD6csXuqlWb4kD4f9bruLX3hHEHk4 +7L+79JYePB1EHo6H79u/vOzuE0QejoecnFXHVt0PJA+H9e46p3lmQiB5OKyn +vfKvnQ4LIA/Hg/UkmcErFweQh+Ohr8/Pfd1F/uTheFhotWK+7W5/8nBYn4py +phZ/8yMPh/XlZGiF7B0/8nB4PzV29ExU8SMPh/W5+sWUUbG+5OEwfyeencCu +9iUPhz+XM7nn3+ZDHo4H04sFk5dc8iEPx8OMzHGeqYo+5OF4MNzgE6Dx1ps8 +HOav3Dm9HFZ7k4fDfJc1bHdi1wvycDxsPln1QHjjBXk4Ho4v9DbtO/kFeTge +PhoWvhrv9Jw8HPZ3r9e0KmQ/Iw+H90vLq+KL7DPycNiff7s/NnmNF3k4PA/X ++q087+5JHg7P++bqXtNqn5KHw/qR3asjZ/JT8nCY7wouBu078YQ8HK5303mu +T+Jj8nD4+dtXnnk29DF5OB6M9XZ1G9g8Ig+H9z/gimpH5EPycJgfnz7M8xr4 +kDwcD7ILm+N27vAgD4f9ZDgXs4BxJw+H+X1D0GNNRXfycDzMn2Cqv8DWjTwc +9ouD7p0+UPaAPBy+/rW72u/1HpCHw/mDbbXQ8XIlD4f5Ui+iqkzKlTwc5tN7 +T1++PHSfPBz2133qEkPLXcjD8XBpzfoJ7DIX8nA8qJ9in+Tsu0cerhuSaj8G +zF91lzxcNwz6ctJRe/Yd8nDdcD4geU/sBGfycN0QUx5zuXTYbfJw3eCRUqLo +2t+JPFw3WO5ff+lL71vk4brhsupsOdneN8nDdcO8PVt0KqRvkIfrBoGru+We +wY7k4boh90+sbMKY6+ThuiFQ8c2H9hnXyMN1Q3HwjbmipVfJw3VDs7H7p2Ir +B/Jw3VDSaRsUePkKebhuqL+lNe5YwGXycPj+OufVD/lcIg/XDc6dq4ul+1wk +D9cNb0ZO+/b+4HnycN0wcHPKGd96e/Jw3XDt+17j3G125OG6Yc+EcQ4mbWfI +w3VDnlmWsZadLXm4brBavbfrjsJp8nDdkD1hcpJtwknycN3Qx//Ryt/HTpCH +64aoJfWKszWOk4frhseyAfFbRUfJw3XD5qE7Pvy4f5g8HL6eufO0g6YHycN1 +g+4O3fKi8fvJw3VD3MwlQzb320serhtMIt4bTJTeTR6uGwKkdeQ2Ku4kD9cN +T6eO2jFmqQ15uG7wnzzpkdYAK/Jw3aCYkCoQvdtKHq4bunbap0Y/2kwerhtW +vTWNrPffSB6uG9L0A6VjGteTh+uGS06DVO6sWkserhsW3oLq3bkm5OG6oU15 +8cVu6xXk4bohfPK29D0blpKH6wbRizn2mWsXkofrhknzgqJV3uuRh+uGY643 +FKZ1apOH64Zbsy2CNsROJw/XDRapX9XE6pPIw3WDy9c1TjcsFMnDdYPSxWFy +P3rLkofrhvawMs0thl8NJB6uG6R58cqtsqUGt5x7T/zSh4Ve9N/BVsWKDCkW +5ppF3h85OS3e1EDr3mNpFgTPozfPmp4Wr/lwheHBvizseJidajwzLX7Yp+2/ +Bf1YqHpyNnaOVlp8t5Ft5JD+LPzZ8HAqg3Gp952DDRizSYXnXatl4mN++KlE +yLBwVLfhS8yMz8wTM6by6gAWjk2u0fk5qFBwPqTYZeNAFpTeOSd5uPcw2/sK +V0yRZSFuvZJhrym8YNH2Pn+/Ydzyfcvb3nm94lViRkdnD2JhvVGHTtnUKoG0 +3KzDnoNZKDXePH1C3ltB2z4j1SNDWCjcWfzozp58JjPJqnrhUBY+rb245ER3 +BxM85ozrMDkWJiSq3HdVLmacT95d2YxxH/ljlpZz2wVHP/j3ihr23/qsU4uP +cRzOQsfgnEP1bqxA52LJkc0jWEjodbEiJFUkGFkuVFOXZ+HwaS/13PuVzFdN +qdqfGF9NTrRf5pUtqLw5xi13JAsWhnuOlgQ2CN43zjJ5rsCCo0dDS8PkHsZr +3so+x0excEn/sWtPmZC59MD63RJFFo5Ybh/28EI7YyM6c0x+ND5P/C+bG70T +mWXL701pw/juAX+ZaNdIweRnAXUxY1hwv2A16c7gYkbma7z7rbEsFCk3JO5S +jxF0rSldtXUcfr69jy3OffvEfAgSSc1UYmHT9+sJ7tqfmDe9pd//wTj+zoX1 +ZY4fGZctY08UjMf91pTVf335JXPirfY0H2UWhowwX2dlKWY2DDJuODmBha7p +2reveBcxurttHi6fyMJt+xmHVfoWC0YnnF09SgX3FwyeZYe3Mj8VXPp2Ypzo +7v34wZ8CpvZoIBM3iYWg/FxDhcoOBjITTjqrsvDzdnRqcdMnxntimfp2NRa2 +t7tZht/JEly1Zxs1J7MQddR4XNLlSmZ3sfTj3lNY+B65TsHuqT+zYsa4tcUY +/x3dM3GmW6lg2nWd/v5TWfixfKDm38WNAtk64wTbaSysOrSp2kvNTyDW3XHa +SJ2F5ELnr1DZwhTcs5sxZjoLg2vubvn9vY2J6HBpFmI8fPLeyGyjEsZtcdCT ++BksGD+0sL7CxAlsn8C6ezPx+fWXZyTadjCbu8tkbDRwP6zvpkjnNDHzVolB +W5MF+4Hj7ud+bmPG+fc9I63Fwsar8+1Wvq0U/Pk9TqMM40ypfd/WJ9YwDZtm +twbOYmHSlFFxG7TeMimhJp522rgfOnlBR4c2Mv4yO81MdFgY336vvay2lblh +Yz9QaTaep+vitjd9sgT74+4niTEeOKzyZ+zNcMZkxMuziXNYqDznESYtrmRm +HkrUdNVl4caf9dcHDclkhqaVt+2cy0KYsomWhW+0gFP66DVHjwWD8O2HZFa3 +MMW2/Tb212dB4/DB2IbcMiYqX2lQJcb1S8WP9l7OEDyaOicleB4LUnqN6b+6 +qhj7K6vsz89nYeZowxe5zaECy6qds1YLWMh7nO3y1aSUMdA516G8gIWFoxLu +iISVzARn1+ccxu6FqhMXLK5j+rS+3JRiwMKpmouixb8rmJYFSYPdF7KgNezF +6bdfwgXpHhWpexax8HpAYf9dp8qYoI8fz+ktxtcLFUXe1XrPOBn11xm4hIUM +2QLXA/YNzGHv8V3VGDu9TS0fVR8qWPNjjvfrpSyYfTvwLHVUJTPLzHTzpWUs +rLk947qva7ZgRMiuoeuW4/3/Nmm9cVk+81n6fLqKIQsB/ab/mBZRKCjf9uBC +D8adwXYG0SdLBO+ig2enr8DXW94/9mQII3g6NFn40IgFa/VeDbrmJYIL+yp9 +9q9kYdqDdYOkxdWMVdIni/nGLIwJzsnyK41gFo+RGTbYhIUNT+BBeWO2QPWk +cmYdxgk9bC9fi1im3wfdS2Gr8HzUJSRerMlkOlRX6zqY4n53JU0N+pTHZF/Y +zZqtZkFarahS+91TQUjZeT+1NbheFVU3z48uY+5qum39inHijCEnzLf6CY7d +fDU8ay0LW+qfda39WMGsb0zOerKOhaExo1oLbucys+dVXT60ngUrmfmKhrJl +jMIDbq6BGd6fqZMrW/d6Mt+FMh+HbmDhXf6K9IjGKqZ62YSARoyV7b7YPjCN +F8R7zd32diOuT8Ef5cKQROb5l9Xy1zexcGBDk3TJhCrmypo9OZvMWYjOv7o7 +eOgHZmfQBYepm1kYVj10+X6fImZ5b3f9Hxgb/vhzs00GmClbQj7lWLDgdW5H +467XBYIBb1MCvbZgfZliPdi5PZ0RylZvP7oV79ufS8GnX2QI8nbxIxdbsnBB +xvz1yD7egrD4AbnDt+F65G+aUjUwmXFVmHitBeNRD2cMOq97lTl1VG9+9HYW +ThgvGDPjZoJgU+Ya/oYV1r+Rzw0e6T5i9CbufWlhjb8fPmBZ7ZYEwRj7i9bT +bfB5IkZcuXUynflV5D7qN8ZLXvV8sZaJYOqmv87L28FC2lYtQw/nXCbxWur1 +FzuxHg1/cK1co4jxra0WnNjFQq7jTYsM+VjBdd3u7qW78fXmGak+VY1k9t4b ++GrkHhbs9Pc1XUtOE6zsmLijHeO4zk0+Uc+TmemL9Ue/28uCa9eBApW2LGbw +k7UFTvvw/AyfGrf6kA/zkd97w3I/C3L3DlZzimlMocklA40DLJxsf8gqSMUw +b/08Pv/FeFCi8YmhZeGM++/XIYUHsR4cebbo9rkbgrOb0nb6HmLh0JrmptGJ +BcyW0Joxpw/j3+c8xb6ykBHI9BQaHvmvHo63kb2leBTPn8GyOUPSfZhecSqL +ujD+kxMTwT8pZJqGz/v6/hjuz7Kui5bOCYLUg+ve3DnOgnhTqNeLEC8mIHXf +bqsTLDz9+iHM1CWfual0edysk1jf461cNQSuggO2D4v7nGJhwMj8C72PgsA0 +/41Tyan/3l9zavrigNPYn+xVP+TkVcgMu1L77YwtCw6/pXbFnohhuit7Qlee +YeFKj9c+//osplR70N6xZ1nY56VRCTsSBTG3J41nMdayKbDQ518wj1vmlSbY +sfDhxcPlqfqvBOcWrHd2scfz7bAx6CAUMNs89i/dce6/91/48fIPnfMs9Ky3 +zj1UVsKoGD0K73uBhd85+lpu63MZae/QfeUYa/j+njq3sYhp+56u/PIiC7x9 +2sJhB8uYzPV1ZfaXWHj+5cFi97hiJvjV5zurLrNgu6dg316bZMZZevDy8VdY +uO9k/8h9zXvBkW2qvz5iPPlw7xNl9+IFa6Pnv01yYEF3XvueHodiRnuo2YEH +V1kwUa3aVzojlZHfd2Di7mss/LqcNGJ1/yTma+KVCt3rmK8KD/crsM1gKkc/ +vifjiPnu07DdqYa+zPsTYYZVGA+qGKDwcWuGwCsn4/erGyx8zl8y9vaJIuaS +an3khZss6D2UKh5q9oyxufDl4JpbLAT+1JmdFxXNLC0bPGmiE97/4+Pyl7kH +M2qaalU8xqkdVzceHccw/W8K7qfexvfPsl09dmIh09lgZuThzIL3VdbHxCOC ++aB/8O/eO/j6y9tS86SymTeuDtH6d1mQnWK6xio9l3ERPj4sew/XL9PBdHpi +JnNiWbhqLcYxVYZhJaqxgg1emdVvXPD1v+zap3ejkNH9Uu96+T6en3k2V7TX +JTCKa76uXO/KwvmV3/q0Ls1lfgQO6a36APd77N3tC/rGCGp6TY79jPHQ8bHP +lwQDk2Cx4GiGGwujVW9+2n2lgPGO2DD5sTvWv2enVdfvyWGuyh6qPeDBQlvB +8dyhKvHM7l1X3QQPMX992Ko5/EIBsyL+icmQR5jvlfPFxzfHCKYpRPRpwDhE +VWvL0dBERvZo1rvwxyz45gVm3p+XLGAzGo5dfcLCY8+kJSfnewjyJ3ybsvEp +C5cvHEo3kfJjwu2G1k/2ZGHi52G9Mkw/MA+KJnt8w7g6zkghTT2fsZ1uYJrt +heevePlUlbZUZvO1jdKez1hYNPnwppf3Mpl5tYfeH36O++Fgnbis8h0zTvfa +iYUvcH/3bmo+NsOF+XP36bRh3pifP7ScdT0TL2hoj2howjjSXF3m/sIIJnlR +9sNIHxZa730ZnpIVJvB73Lja0ZeFR4Pe+t1Ynck48t/6bvbD82YZMZnZGybY +ZyIXP82fhfz5eyrsRscITPymnPqJ8Zvpu+aWar1mZv42mJ4bwMKKr/4Gs6cw +zNBNm5qeBeL7N4zslrLIZrg3hx8fC8LnPXTbOGttJlPc//raJS+x/1yx/1uU +QSETZe3ZXz6YhXINqYPnggqYh+/eJrRinHf2wtsfQSECu+E5p2NesaDepZex +4XMes/Vg04xbIdgvOuT2sb7pJ1iQ+r15y2sW5Dc9ilP1i2WUlYY9nfGGhVkD +5j2z/RvA9LGduv4PxtnNQTHzLyQxLXkLBxSE4u9b31TbmpggSJ9inugdhvV9 +9OO/ooWJTNDlI2dOhmP+kfJ5bb0vSuBUeV1jeQTe50DbtLUzo5lD2l6tCm9Z +qJg3pMNLOYdZfTvSs+Ptf/lEqyXHLC4S82k/B+GkmjjB8AXNA52jWJCxu6Ux +9kEa0+P+I2lbNAsfn4ix978uKBcPs9OMwfMYedlgQ3uM4N2KaVq9Y7H+Bfc3 +FmlECJ6+WNRehHFJ/74FrjURzIXv5s/83uH7T9BJUu0XzlitP7rRNg7vl52d +lXrbK8HiV46DjN7/93kmST9LGc2wcP269fk121KZvtui7IUYu05bNt9/pTfT +HvVhVnw8C186b8pn6D1ksoa0dNxNYEEU4ZZe+fSlIGTvz+fWgPnhY03X3I0B +gruJw821E1nIOTDg7I7w14Jjo9WHSCexkFL9qW1Shyez/sTitFKML++4Xq19 +MZ2ZnbP5fGAyzk+NQdN6XqYwCqrHdOxS8D4+eKoJ518x387f6DJOZcG08uL8 +c1wcU1X6zHtcGgsvtCprH9aGMYxG9GYxxikdal05gVHMsxu5QxPTWQiuGnro +pV2Q4EpDS/r9DFwvg6qBA3rnMTv1f13YmYn9xqQ5eyZrxDLLXUfMmZOF59PA +YrTHiBjBFKG6qF82C+Yqu+UXLchkBixb4luB8eVvDacfTgtkhJ4WW4JzWDie ++mx6bckHJvfzsWHnP2B9VQi0yH76igldfTPTNJeFkW7Tpg+ans/cD3x+STkP +81NzgHvXugjByV4xulzef+u/ySKPTc7HeUd++aAD+h6MXkSrn1sB9rN+S0et +evOMGSP7e+ueQuwH29/IHZSOZX7tlB+hV4Tn//b50UkX3Zg6Znr2gGIWdq86 +4mVumMwkjlx6pRpjq7/WzWfHxzA+R7bovS5hYet1hb+rS7wE1zKOf7xYiv2I +Ycww57QQZs+EWwFry7C/uBr4vLtXJmNk92KbSjn264u2SecEYj9SFCPfg7HD +hdyHP/ZgPzI9PyetAvuHhOXL539JZD5ebXN4WIn5NoYdGfU2UlBY81t/fxXO +3yvX5MdFv2bezhnJzavGfr60fW7X0xTG/e6MoEE1LLBTWb1eOjHMmfalVnUY +TzN9tNYtI4+xWLRVIawWny93yDmd0+HM/Mcncq/UYX08/G3Ir6W+jBJ/65pZ +PQsuF7vTPw6MF/Qy8Z6v1sDCq9Rv5k5Vj5km31j+C8buEVZ37R1TmNRf+S8z +G1lgPu33m/XiAxOwsd36SRPmzyuf7AJ7RTI33/wZdagZ62tjuNp27ilzoL9C +/oIWzN9zHwWWGXkLVlnPdBzaivOjs+OKjs8xjMa7ZQsaMW50VNkWr5LOyA23 +7IloY6Hfrte3w/yzGP7AyVfX2rEfGAKP9me7C0pTnHZs6sD1G5Rk9Mw7mokZ +5zN6aifOuzPLJ+7NT2Qen35X8B3jlfFPNERD0phzeQU3crqwvo+p3vTZIkyw +bUqHgZcQ76fx3oFnUsOZhZf/fj4iYmGn083HAS6xgomVCq8XsSykix2Pna9L +ZaS0NXYNF+N6mOdpFjx9x7Q6LR/bgvG7CGiQvewmyGi2LIr6iPVilGXs1qtv +BcGCU7dufML+fGW+C6frwzi7315kwbGwoKKy9csdV8ERsc9XdR7P402PmYmv +I5m1K+Le/MJYo0JDNX5FDqP9onB3XjfOz6q2riKVNwL57x3jXvTg+l0I8uk+ +9UjwZV2vkuOfsT/UVux+VBIiqAgedXvpF5zfTvdjTBc4CuKkNJeM/Ir5qi5V +rUQnUuBpafi9DeMZxgrZ3Ilk5lLUtrDYbyyo6Yz00zZzFdgMOb3X6TvmW0at +j2agp2DpXufxlj/w/ju//Ro2NZpRS/QtnfkT95NZsu2bTzTTf/R7578YRwdE +KNuZhwk6jxctLfyF+WV7/geFMH8mJ7vzh89vFg5mtp2ZI5fEvJ7UO+LUH3z9 +4vQwx/D7zL3zivsN/7JguWdU7WztFOZ4qeYExV5i0PV8Lc9/TGc2aKwo78Q4 +ZXL0TbNnqYzuje133/cWg0ytnlxDUQSj2HB6+Z0+Yoh2sBycMsJV8EPvzq/t +UmLY3/fnxrRXSUzNfb+3WtJiKCiIsZ+R4iFI6Hp/oE9fMQwqlZrz7m4i82Jp +8cQSjCOSPfeetslgHDy7Kvz7ieGBrsPvrYbegl2fe7uc6S/+f/nEcPXoFStl +xDAxam1Z6aRoZlqg1p8xA8Rg8CNfylkzhZHtZRQlwvjglgHFptO9Bexmq0MJ +A//7+/xw20kusmKoN955eR3m1/CBd6tsBokh8JxsrMlYb+bBTv/7OoPFMHBe +Ztk+6UTmNMMY9R0ihgaFUX6t9uEC85Elf8swdgjaONBpUyqjf0QYHTRUDGvV +0gWjNP2ZsRl9jtjLicH5zElXNe9I5rfyGLVVw8Rg87s9yXRHMtNwdlaN0nAx +GJ58qlt3MJFJLjR68BHjp7Xeh9nNToyfurVx0ggxpF7zVF3kHcI4Xj3T+4G8 +GILH529quhUo2FdzN3bXSDEMdzQEhcORjPGcgKO6Cv8934y78ZNlRolBOC37 +BY5UzJD2ktpKjC/5Bz1/ZhAq+LRQ5PZK8b/fL3okterCaIwrjhxNvh0giOLG +SK0ZIwa79zYyC0KjmYfG2nETxophyfBewt2CWIGd78rjPMYBoaZxhgkxzNZf +1lNTx+H7Fc8/9u71LWbBxrP17kpiqHDXb7uUfJtRfnPPY+94MdyuTVLM35TG +9O4faKqvLIbNfT1+dHrEMM1WCdKyE/77PGmxpe9r/r84cBh74s1EMfiG/Nig +pBPHOB2QVr+sIoa+Bb5ajocTmUMpYxvXTRLD10Gzg5euiRasHqfzaJKqGBJf +H9rKfXzLaJ02XvP5f7HJEKubSknM8Dybfhlq/71+z2S7+EeTxXBGXrat78rn +TNkll1MHpojBzdBqQOerWCa2InC6YKoY5BcWuG06Ec48mQVNg6eJIVZp67A3 +vuGC805lj+sxdro6Vlcph2Gsmtm14er/vf5iQV+Zq9PFYKIydYDPwWDBJPdx +sGGGGK6a+LwwdvBk+op1bCfPFMN86Y16WfteMO2GJjO/Ybzx1TWV+25xTNbz +HS1ZGmI4IatZs8/iHfPqm93Tp5piWPZZjsvWucXcWXd//WEtPA9Sqcv4Ha8F +R4ODBiycJYYFb+qj+EMuzDqpxEQ5bTG4x68ZIbwdxcy2LD/ThPFOV7d9Fa7h +jEKUWCNS57/P+21wv7brs8WAA/Y8jz+JTNUeJS/zOXj/s8ccMrX3YRiYvWGa +Ln7e1j3nXZpfMM8UV8n+xFhD1kNap5cvc/n4zuQPc8Wg0CC0v7YqRLAj297u +mZ4Y3ubJO3VveSBYNslV65g+5oexur0/b01kJp9/2b54nhgKo2dmKaxzEQwo +TXw2Yj6el44Cl40mUYxwZsXGVoyXnNXdekLVT5Dr+HFQjEAMfxW+yau0uAtC +6/ul3lyA9+P5utXGU58I7uuNP7fFQAx/FB/1eb/hNnPy/hztGQvFMFMxemn0 +VFdmY9eqzt8Yy87RONS/1E0wd+muF/mL8PMvqO57PiSIGe15ztx7sRjSA+6J +Hox/z/zscR1ycokYWqbuNB65KExQZxqctmypGHzUdvS3cY8WJAYknVdYJoaE +t6Xnru57KPD5W6HTgXH0uPDIde9CmGubP3W9Wy6GN+nHXNZVhDJ7wvv73DYU +Q9FHts+l+kjGaKCyxbYVYtiaNaRosZqzQH2nrpymkRg898z0+DDAVzCIMc3o +tfK//RHL775YhPHuQ59kNzb6CgoOn5/jZ4zr47y3n1JdpOBt+gPRaRMxGG8+ +c6zHzJ9xV37lu2KVGPyWdv4w2XNDcOZs8pbRppjfhw+TvTTxPWNRWDlMiPHO +h66nlvd6IJivzmUyq8XwZcbd4OPmDwVKV2Uu310jhs8DCm5UP/IX/K1Wnmu9 +VgzjP69pa5P3EjTOniuetU4M6qv9uyu8EpmUO6v9pdbjfigNsHIb58b4t+22 +LF3/3+e/ufDCiEAzMUipXFlotu6O4MAjt+yzG/77+Sru1RXjjWIY6zBCKe5t +GKNhnKI3bhOed+VJa6xtnzByvlUfWYyDVi47vcXsPcP/5ALAXAy2Ez73eZ0S +wJRsGLD9/masN/srLziPdxREv54wcqeFGErt3z5hy/yYR/30Pszegvnnq//Q +4X3uCOyt1lztt1UMv9YPjWiJxn4kds+8CozfFw5cb5LlxywcdpF7aSmG0/cu +KPuxr5iJB9yDzm0Tw8uosq02l18KpFJCrEy34/nqM/fJ9+PugtaxqQrKVmKQ +e/alWOvVeybjVHXuJ4wbh98WHbzICF7m8teSrf973tuTBwrcbP6LD1+a2L17 +hxjGFZp+CDgTxKyp0Aueu1MMi4adW3DZIYLRnrXWZsAuMZxcvP7i5tUvGHmn +vYrVGF+zqptq5xLFfGm6mB+yWwzeXX+lV4bfF1TM93D8P6bOOiyqrnvYdit2 +d3e3y8bA4LEeMLATu8VWVFRA6a4JZoZuUXTrskBR7O5unXOOif2t9137/fj9 +ua8Z5pyzz94ruO593VvmWfHAhJfD2r8S4rB/Yt8x82k8p4j1x24hwj+c/txo +gRV7rxrY98+zTNgy9H78Jxr7b7E3+g8KETOiPs3Kdi64v0H5ZWoHLbTi5g/D +5jzYniGajm18ZcEiut8NI3ctvhEuSsb12tN7sRU/BswxFDMcFW+KjO1fbgnF +y/cvmtxdnibOT1nw9QGNG904tme/e4hIzNiamLyU1ntu4uZnrS3Cq3zQnO3L +rFjCOWjKjBxfWDEvqc745bSeX6e2yK59QIzH7KtNVxTcT7eaD/Z+pfGUiq6b +P4xJFjVWfB5wdqUVi42fc/1VZoz4nls2P2QV7Zcj2099epQA9xs3SV602opv +xd6ODxaGiWMbe8/ru8aKuptP3Tq2OSZ018fWs1lrxZvTbk/Y5awXru2crz+m +cQf7ruaoBslijts2j7R1VA/Mr37f+aMQQx8FDdrpQvury4Tl41rsFC17Jn// +dz3VE009Rz2eeViU8clJabHBiisWFN/rVTkR3r95MP87jT91n2KZvNIAFwd9 +qX9+oxUXP9vY7+OIQyIlrNzN8E203sYuVkKcDwu/z032Ld1M9dL8dQ69mvmI +NaP72A7YYsX8evfWTN+3ExzN435W2mrFPaF2JQbO8xO9/jqnPaOx6485AXUK +x0CdidudD2yz4uG8boNfbA2E3ynBDXdvp/VSe8H00tnHxKPSKbcmulrRbmSc +6+BlGXBi1pn9rXdYse2DH68SKwcJ45GHQ37RuHFMX+XFg0ixq+rXXxd2Fsz/ +giXlM6J2WXGCk5tXTqIXjMhpumiFG813tXWNLv6IgrYNoPHg3VbM2//u53T/ +cKjgMv5O1T0UL7+G7DFVF0K9vND7JY2ntex2vV9qkrjaynXYob0Fv5/hGvJn +r7sVq52OmBaRbxKB91IOTPGg+GX6lXW/oR5cup5d3M6T5n/p1tcfb6aKyfse +NflL47HBP4bMjYoXfV9+vXt5nxWHTS8mtr7NhAb9K/ga9lN+KmbT/fXPg6Jw +cDO71V60X2He4uM7dPBMhUJDva2YdfVLpaI3E0W23YSDNXys+B5mHrNP1AmL +YdHSNzTO92hXvtyIdLH3p2uzI74F97toQuh9Tz96/pbRA495pInRial+0/yt +OK5YaOI/CZmiQ4ncER0DaH99qJRw9GKcqDz9ceEigVSf1dh69E8Mis8Hvx26 +RuPlQZ2cajbyFjcr2iw3BVmx2YIhobXd48Qh5+Yt1gUXXC/0ZN+Hw0MKxpvq +/BtQO5T2V4tyB3f/iodpqxePek/jEcWqL3GNPQADLuwoeizMijVn57fMW5EG +jZuHHfYKp/Vy2dqVuiNRbGvaipkRVnSsYVejxdk08epWbssukVSvXlmkW6ZZ +ILfjk0fFoqw4+ciyt4FtE0T83vzAmzSek5/Usv2yDLHvqY19jI72x4D1v5OH +BItlfVoU36CnfGDjcnnR+Qwx1r+fGGmgeq70w5prHqaILh/+XVXPaMUKS9o2 +LT8hFqoNXdJaofEi/8OPks+Fi2+RO58cj7biriEDv/0Ys1/c+RYW7Gui9+kW +OzBR+AkxJv2fOWaaz2aOE1adTBORsedKdLdQ/9ChtMcO70CxrcjToyVjrNhg +woJwo1MYzJryffUdGnedEPHUy84kbDMqto2PteKzVZNtLxvioXn5ls82xVG+ +zkwvWnmdTpSa1z/UPt6KEU93BNzYfES8PeYwtmEC7Z+aU35+mZ4i8mosLfWR +xr3L320XEZYCSct34alEK0ZBo2srh5uET2742oAkqufviGV1vI+IVY0z2s1P +tmJuxt2wwX/8xb8bzz/vmUL5q82cOjcXxoge15+GlUm14tmcxbfWPDZBrXY/ +xt2ncfCNjOpHnqSIn7sqlUlKo3rS2Kj8qAEp4sHDlie2plsRyu7f8LZpAGCP +AS5jM6z4emzYj+ieMULv7dihyQGqXzo+Tr0z+7DY8Wbpy880Ti7xs9jpqRaY +N8gtIifTikvG4bIba7xheFjEhOCDFI+tP2uPWmsSrT9nlF14qGB9lRudd7JP +lhWD8ryjxZEAsJqerS9/2Iq+xXd0OjQ9S1z+86Pjo8MF309zrPw65YgVe+Zs +/tj1jUH4p7SKchVWLLmj7shjbUxibemBDhOOWnH7xBHpxg5hMHHWxPLNjxX8 +fZ8jy05/o/HDKx+Dk26linpVd2/MRSu2ctkZ+j4tDP4ujuwcdtyKIU1muqws +GwBPsg+8WXyC4t3tIXnNT7nDqfoXdP1O0npfuLBpR480MK177ljxlBU/G743 +yvPSi92Xf1Z4euo//VijmPGH04Rzqyo56aepP7x2fXm8MV2MdG29eVc21XsT +ei8xt0qAdvcGdnXMKbi/il0nvWt5xootM47k7f9wVHz0XG74QWPH45GhS9Z7 +iusvdk/KO2tFh+fVG98abRaZ/aIqRuZacdbhmZlvdckiOCjzzLJz1B8GJI+b +/twHNqgXtgw8T+th7dR2a4w64WT3oluVPCvezT3291+LG/Qz/Hr/nMZBVV2j +L9dKFQ1/VonOvEDxd9nE3COr40WRCW2m7Lloxd/Hh0yY2SRMvEgYVHnyJcpH ++Z9topPM4kzxybltLlvRGvi08Pu+aSJ22optvy//n3rk4J4el67QfOpdekx/ +aRJLKuqsuqtWvOgYu3Pk2jTxj/NB08prBd/vdPKik+11yv+enb55ztWLKnVe +Vql+g/qFyT+K2m42iy+rfp97ReOMxzr9kT4p4lZeVdesm7Tf4nLzXlVNg8PN +2vbyuGVFr04Tu048kCDCtwxWnW5TfVf4qf0Jh0yx5dZkS/s7VuzSvONoj1sH +xYyOK6cVukv5dPS+/FU/48SgvXurXaXxpehVH8SxfaLpU12e8Z4VCzfVnRns +tg9K9Dm0Y819K+6rM77mpclx8NrvUu9hD6yYMrb6EJ3/ATj3/qVW86EVF8y1 +bN9eIVUkDPkT85bGOZ0r9XcfGwL7I6vNEI+s6BLxfcTlrBRY8a1tjf2PrXit +66rf822zxPgxthenP7Fi4LoHFaf+1UG32Cm7Oj2l+mhn4wpT3+ihRpFVUPQZ +Xb9Fhj7HaTd8n+z+6TqNg7dWm+k00SLupevjzM8p306YfDo6RSeOlsua6fKC +1u+KYesPb9oJUXMv1xzxkvJfuet1jDNTxfZjry7VeWXF84+jn4VVChWza/x1 ++0Bjc2LHReFvU8TQ5dX74WsrHr31MqlC2SBomdvui/cbis/b8u3n1vYTZRoP +SZj1luqZ2o+KdxsUJd5vcJrd9Z0VB3aq/6t3oyS4eG1V7RLvqf6f0OPMsk0Z +IqWtx5VbNA5Z/uvR60XB4LvLsCf2A623J6/2/2iQKVY/zOq/0VqwHhx6XPk6 +SqF8bIh4bkyPFT29XyfWV63oti3QVL6tB9R583eOqhZ8//fAGnVPalYccupq +hR/dvMSj0PbX/D4WfH7i0xD3uZ+oPp/VqIivv14YR00d2OOzFX/ubDZqxhQD +7DKtzi/1heq1dq9b+xQ+JOb/8Ui+S2Pb9C/2Oxv7CjtH47yEr9S/TG+UdGGT +EG1SDtfb8s2KThvPPuvcNFmUL331+j/5tP86ltjbj/pNdeYbj0bfqV8+k9V9 +av5hcfVwocGfaHzT/HjKUcUMGVVq/jj9w4r/3Dd1qdbqoAhc3CE18CfVn2Wd +EpZ/3gMu2UMXLPhF9X6zXyXX6dLF5PrTGvT+XfA8sG7NzbJ/rDhq5FfdxYw0 +Uf+y574HNG7fbvW/nrtTRaFW0bbJfykebnFXAx3i4On2Iz+3FVJwUtjjb7Mf +RUD23atp4wor+N7BJ+n37X3C0uWtc9MiCno28Bq7p3OKYB5OQff64cVC0i2C +eTgFN1rHb573MVEwD6fg68CFvWwKewPzcArqd9Zv/K8wAvNwCv5zet6+tydM +wDyc8v/vn3m4gjHzcAoeKvnq01OXRMnDKXij7ievZuN9JQ+n4O4+TV3WTrIA +83AKJg/Uhl/4Fi+Yh1Mw1ddYte2KaME8nIKhZy/3tFQ8IJiHU3Dlio9RDXYc +EczDKTjmYKkVH64nSh5OwQWRQ/vXCUmQPJyC1WvF+1coHw7MwxXcL/NwBWPm +4QrGzMMpeEb9/rOn827JwxV8zjycgr/u7P/085BBMA+n4Ophq/TTV6YJ5uHo ++WxsmnmVyZA8nIJ2nX81af9eB8zDFfwe83AK+pp3Pnx7wRuYh1Pw05K3uzst +zBTMwynootQplxafKXk4Bbc6J59OjD4kmIdTcH/tCb/f3MySPJyCRdfOnt9y +djIwD6dgvySPbbOmmYB5OAVb5mmDBtwNAubhCu6HebiCMfNwCl6p/+hW9xGh +koej8a5Gk1wvJQLzcAoeq73mQm9jBDAPp2CPIW08WrskAPNwCuZOyzlf85UO +mIdT8GKp3zdcepglD6fgqv3qx5PNMiQPp6D3tXkLzT3iJA+noIfdiJt+epNg +Hk7BESVHlP1wMFzycAo+atYxPav8IcE8HO2XsmV7Ni6fIXk4BU9MTWpzcnag +5OEUPPXjRGbkiHDJwxU8L/NwCv58fqZHRNU0ycMpqNW4tvuLNQ2Yh1Pwx8rT +H86lH5E8nILqjiOesxbGCebhFCw191eRL8UTgXk4Bde+rnPraeEUYB5OwcvD ++zfo0MEAzMMpuPRM5y7+gYmSh1NwW6OZ3r0vZArm4RQ8tzX83t6mFmAeTsGc +123s7Y5kSh5OwWpQPCzyQ6jk4RRsZRxpd93FIpiHU9B/cFrHktHxkodTMCqj +/8M/q9KAeTgaN4mZp0xNE8zDKeiT1u3Xl/RkycPR82QUPfngl49gHk7BL+1P +v287L1HycAq69m5RfVM1f8nDKditSs1O+1+nSR6uYH6Zh1PQ71DPIpOO6QTz +cAq2jbrijr0OSB6u4PvMwyn4tsTCmwv/NUoeTsEBvdb5OPqaJA+nYM+SD30T +VF/Jwyk4407Zsm2/75Q8HK23VpfHLz6TCszDKXjbN6Bd0+kpkodTcM6e/ZPN +L8MlD6fg2RJjtMIHEgXzcLTeSjv+NtbNEszDKWguk3EudHmM5OEUHB8dn960 +Tbrk4RScerPK3xqNMwXzcArmVRtlu2WoQfJwCo5MM54vlmOSPJyCB13TcvLL +ZwLzcAXPzzxcwZh5OAVfdbjdftnVJME8nIJrZvX++PCBJzAPp2BaXa/QucX1 +wDycgsNLvo2dpWRIHk7BhQk4+Kp9ODAPp+Awj9/aReM+YB5OweCAdad+2VkE +83D0fmbVSU7tkCV5ONoPI9Zd3vQnRfJwdP33h1uNdvaWPJyCkbXOaUlP3YF5 +OAVX1Dxhd9GQIXk4BQOnXXtwMsBLMA+n4IV5od1KPjNLHk7BWbrzDs/2WATz +cHR9bVmZ9JYhgnm4gvlgHq5gzDwc/f5lmNpzj17ycBSP4nrvPtVbL3k4ynep +f0P7grdgHk7BehmFS+zbHgDMwyn4Mk/p3fzl/3g4ypcrVi5vviZV8nAKzo/o +XGJ0bAAwD6dgpXynGWMGJAvm4Qruh3k4iudR0Rkb0wySh6P5OXMxskGRg5KH +o/Va6+iNiUmRkodTcNDGfXYXd0ZJHk7BuCOB147MTJc8HOX/I5UW1NqYKXm4 +gusxD6dgbOsQU3y0XjAPR/mnUtMZG0+GA/NwCtq8WLh803wfycMpmFRuzvTw +Zj7APJyCGdtv/hp+M13ycAqGdHmutDsXL3k4BTe8v+ZzeUiqYB5OwQm9T5rT +Ak3APJyCl9Kbjkg/FCh5OAW7XpmL2ScyBfNwCv57eKRXZap/mYdT0Hbu9vkd +/QzAPFzB8zAPR+sjMsF23C0jMA+n4KL7ux8XH2iQPJyCbtvjXNc7pQjm4ej+ +P6RGfErxBubhKF52UGqMz44UzMNRPO3QJPxrq0DJw9H7a+4RurTyfmAejvZP +8c62u9q4A/Nw9D5c514/XzlJ8nD0PmOHagafcMnD0fOe1Jy2FjUD83AF9888 +HMX3y7WH5VcKljwcxcd26v4T7TMF83AK2o/yCtih9xfMwynYa/XqbNdwEzAP +V/B7zMPR/s/buuDaA5Pk4Qo+Zx6O7n9SETzybJ/k4aj+ynQ7JM57C+bhFHxc +6n2hmWvcJQ+noGXroPDK3dMlD0fr/XSt3nPHH5Q8nILxHScFvbHdB8zD0fv4 +lNS8xBgTMA+nYIOyvSduquMtebiC+2EejuJJr13n6+w4IHk4ev93sjN+rvAB +5uEU/JtT9dmUlhbJwyl4b/DsVVXtMgTzcAq+s3cFr54GycPR8/Xb7+TsliB5 +OMqXl8qMEzODgXm4guszD0f1b8Xw20NzQyQPp2D03YVvdq9NlTycgr9fV/rc +c5kRmIej+LN24/MdVQ9KHk7Bsf3rbN+0PkjycAreL3w97HChGMnDUb1ScvD1 +95HRwDycgiIh5bhzRaNgHo7qk5cho3fGpgrm4RQ8nLi9RdrgA5KHo/queYWN +LTFe8nC0PocEbB1RJ0jycAqW8UhuX7afDpiHU7B1fNmWH75HAfNwdL3Ag6su +xkVIHo72k5gjcsbvA+bhCuaDeTgFaz+YYOc4OVPycPR+VzeJbdD2kGAejuJl +N5eubiWzJA/3n3ixynLuc6zk4Qp+j3k4iu+6wY4z+6UC83BUD9zGrHYNdZKH +o/7kp2/r1id8gHk4BfNTsUKpwwZgHo72V1TzJnd6p0oejuJXznx1dvt4ycPR +/t2e3/B1rzjBPJyCD8zenV6GpUoeTsGOsfXE9+1xgnk4BT/Y503/p7sXMA+n +YCaqHoMiDZKHU9CpxZ0q39oZgHk4qge/7PzsvC9KMA+n4Prbixo02hYiebj/ +U4/8l4ej+t9S2/F4Sx/Jwyn45unYTX2+WyQPp2DJkdMrzf5gBObhFOw7urXv +mnspgnm4gt9jHo7if0ujGD8yXDAPp2CXiZ2CbSrECebh6H23FJfzlxwUzMMp +OCVq9Q0t5KDk4RR89jp4WowhVPJwlC/7lPnHzX2v5OGofvMt+32ATQAwD0f1 +atvm0U7uXpKHo3oOOwe07R4DzMNRPbhiudfcowmSh1Mwq2OZCzcXREsejuJv +ZunYErQ/mYcreB7m4aj+uXrceeOTJGAejurxZjWrbvurlzxcwfeZh6PPqzsX +Wdg+TvJwCv55k1y0U0NvycMpOKTb7YYzJ1gkD0f95rAGRdvlBADzcApmj1mx +8dAAf8nDKdhn+5wfOcYkycMVXI95OHp/O6lM7aIXzMPRemu4avC8AQclD6dg +6Ufd/9mRvhuYh6P5S5pcc101neThFLzp/dGzx6QwYB6u4PeZhysYMw9H/Snu +XXrwiB8wD6dgUNzJ1cuKmYB5OOrH+p4b/OqPAZiHo/g0pU0b+GaRPBzlm38H +ffzado/k4RQ0PitfZk+aOzAPR/Xb5T95lTKzJA9H9cWFS9kRpdME83AKpn+4 +kalW1Ekejp4vaIkYnWiUPFzB/TIPp2DV6iVSm/dwB+bhqF4OHFWt+IYEYB6O ++m9zw5KTn5gkD1fw98zDUb0SlXr98i43ycNRvHHfU+rOtkRgHo7yhfuyr/+U +SwLm4RQM829Q/PF4HTAPR/ll+ajao6zRkoejeLgiqdiMY2GCeTiKH6VWWbq3 +Oih5OAW3dFtZuEmlXcA8HF2/54o+m0YmSh6O5rv0guMNfx6QPBzV40Eeujnf +UiQPp+BnF23LRPQRzMMp6Px0dpMSe2IlD1fwfMzD0fw3iFw4ZOoBycNR/2Hn +GpxZwkfycAq6Dz18d1lAvOThaP1u0Xq9PJQoeTjKX8+tZmGvkzwc1eOj86Oy +DWmCeTiqxz7veHj6Vrrk4RQc2Hne/GHO/pKHUzAmusex5y33SR6O9uOR36NL +nYiTPJyCTZ3LzLHuShDMw1H8cV9cbLKWLpiHK3ge5uGo/vVcLCYMTBXMw1E8 +9t1hc4zyP/NwBd9nHu7/1CP/5eEov5rsIuq4RUseju730NCT7cv6Sh6Onsfw +6FGpU2bJw1G9tK1f6B6ndME8HNVfS6L7XV1hAebh/s//R/7Lwym4Mnxb/0N9 +/8fDKVh/TgW8lR8LzMMp+O1pu6nHTiVJHo72f2tr7ULrzIJ5uILfYx6uYMw8 +HOVLu+lTY8pESB6u4HM+n63isateazaNTRV8PlvFjDjnaQudqT/87/lsFd08 +YvwjP+qBz2er///v+Xy2iubNA2o+yToo+Hx2wed8PlvF9x21QsVPHxR8PltF +1by7sumZCfh8toqtZ9Ya/TXVCHw+W8UB/p+LXemaKvh8toqLRi6q/fdSCvD5 +bBV9qyWWvTsqBPh8topaXJHYhyUtgs9nq3jiyVrb2lqG4PPZKi68UqTrqQo+ +gs9nq/jgprmF/YAAweezVZz/622ZbiV8gc9nq+iwFCxrToQAn88ueB4+n61i +g7ZBeS2mUX747/lsFU9mGS+Vu50u+Hy2ih7rw88P3Zos+Hy2ihUudDw0tXqc +4PPZKo7MGNI1uIMe+Hy2ilt0Iy93vOUv+Hy2isevfY3JGegt+Hx2wfX5fLaK +G4qGNdGEAfh8too3tVaLqx06IPh8toqmw5+q/4nIFHw+W8W8FUkj4yIPCT6f +rWKtsxE39vyMEXw+W8XV4e7NTh7eK/h8toqLJ12whKTqBZ/PVrHmwNzeZy2x +gs9nqzi8y8zX3WPiBJ/PVnHerIEuWfuMgs9nq3i5+snYFacCBZ/PVnHbjEIt +mrdPAj6fTfdb98xFtYW74PPZKs5+vN+aNtlN8PlsFbttntuxcuF44PPZKtq3 +dzw2aIxZ8PlsFZ1/Xhxw0SNW8PlsWp8zRhTdXv+w4PPZBfPF57NVrOPuePZF +OX/g89kq/m4272qvK6GCz2eruLXrt9KO1WIFn88u+Hs+n63i2oSLug7/pAk+ +n63irYjtPSHfJNhXomJW+QVLex3xFOwrKfh79pWoOD6z0Zub8QGCfSUqBlqG +vMlPiQf2lajYeYSTxbjPDOwrUXFf8Mj34S30gn0lKqZ1OKtr9sxLsK+k4PfZ +V6Ji9z7FDZ86eQv2ldB+8526oR/Vj+wrUXFowhDviCERgn0lKkZ9trm4ZUQ4 +sK9ExezsEiO1UcnAvhIVy+9O61u+mxnYV6IiJHz9fa5ypGBfiYpdFoQYIrr5 +C/aVqLjfrbhjmWYRwL4SFYM29sgtaR8o2FdScL/sK1Fx6qa9j9yqpgv2lRR8 +zr4SFR23NJ0z4kaGYF+Jikti5rQZ0coI7CtR8aN/zuutxxMF+0pUjE8cubJz +to9gX4mKLZr8nHxpdrRgX4mKRz73t69E+Y99JSp6JjfR766WLNhXQu+n2NnL +x8cnC/aV0Py5pHQamK4D9pWoePhhK+O6demCfSUq9rB26rzPnC7YV1Jw/+wr +oXizsPvez209BftKVAzPi9js1yxMsK9ExfTWy1qpvjpgXwm9j0adFlb3zhDs +K1Gx8h3b9zv+GgX7SlQs82OpdzktRbCvRMVOe5f/ajQpANhXUnB99pVQvOmV +2ra2Y5BgX4mKPxNfp8Y5RQD7SlQc1ObLn6iWfsC+EhWL7hhu7KAkCfaV0H7+ +0G/Enu86YF+JimM/3D8c/a8HsK+E9ndSRbF8tU6wr0TFVxOdXIPtfIF9JRSf +xoR/f1lIJ9hXUnB/7CtR0b1B1zKfN5mAfSUULx3sQ+9ZQgT7Suj7dkl95g/O +EuwrUTG62O1zLptiBPtKVEx2PDdt0zijYF8Jzc8N11zH0EjBvhK635LrhtW5 +FivYV6JiPcuqE4tGRAL7SlTsqf81+ua5AMG+EhVfrGowac7dRGBfiYrLmtV6 +W75LpGBfScH9s69ExcLHijVo2CZOsK9ERcufryH/ftED+0pUPLr+QcLhHmmC +fSUqNvqlPYyqkSDYV0K/p/6eNuVapGBfScHvs6+Eru8w6M/wlQcE+0pof7t1 +HXo7Olqwr0TF9Qv3OCgOqYJ9JSret47Nj7mpF+wrUfHCuinLyzRPEOwrKfh9 +9pWoeHX3kPU5HdME+0ooH0V169x4uRHYV6Ki0+0anV07mIF9JbReVgYGPS5i +AvaVqKirVcmz/cK9gn0lKvqXHHH28bYswb4SFW/Pd/l8cZtBsK+k4PrsK6H8 +mfxPjQVOhwX7SlQ0JC/3MDU0APtKqD6YM650uWM+wL4SFf16l3FRxqcI9pUU +/B77Sihe1F3aJPl9nGBfCa3ft4tPuipRwL4Sqhc+1KrX1D9dsK9Exdrt9lcY +8C5VsK+E3s+hOj0P2OwR7CtRcVN4gza2OQZgX4mKualq2rh20cC+EhXnlhZR +Q23CBftKCu6HfSUq6ss7139R3h/YV6Jiwo0SWs3ysYJ9JZRPf/jWalknQ7Cv +hOqPD61Cxk4KE+wrUVHE3fLueOOAYF+JimfPjLcGdEoR7CtRccHKUTPCfmYJ +9pWomFipzHWHn0bBvhKq5xpWq9jSLRzYV6Lin+pL5kFeqGBfiYrWWTV3vdN5 +C/aVqLg0otNWtw6hwL4SFa+7rGy4d3kksK9ExZb2DSuWsKQI9pWouNPjSc7F +mkbBvpKC52dfiYpPykxz6XouWbCvRMW+pmrt941KF+wrKfg++0pU7ONw5XfA +hVTBvhJ6XmNQryJ2McC+EhX3BB4fcH6rRbCvRMWIb68rtpwTBOwroXzuudz7 +zAF/wb4SFU9HpI906WgB9pUUXI99JQVj9pWoOLq06UPr736CfSVUL0wLvB8e +cUiwr6Tg++wrKRizr0TF5/Nrru1aMUuwr0TFgT196l+ergf2laj4+UuVqI9V +fYB9JSpi/FdP3wqxwL4S2s+nu/+5MCcE2FeiYlLa9HtznkYB+0po/j4sKnk9 +21ewr4Tqr+N3LpWeaBHsK6H9UUh1PL82U7CvRMWX4PR4XNtMwb4SFR+NX+of +8TRZsK9ExapNLt/zHmQG9pWoGHMWPp8uYhHsK1Fx0qgtYzoYPAT7SlSc6Xd+ +SvyHSMG+EhXLvnu84N+BiYJ9JQXzwb4SqteHBt3JywgB9pUUfM6+EhUH2z2p +1cZ0QLCvpOBz9pWouPtwYEePynrBvhKq93b3mnat4mHBvhIVw4I25b4+aAb2 +lVC8N9t8yZkWD+wroee9/8LUZGIgsK+E1m/F6UMnhyUJ9pXQ/Pqcq/5wbapg +XwmNqxULmbDNCOwrKbgf9pXQeqtd1MNtiZdgX4mKByyf7T97Jgn2lai4PGFo +H+fwdMG+koK/Z1+JihPPd/sYUiNDsK9ExTXbqv5zorE/sK/k/8Sz//pKVDwT +fnjX0HQvYF8J1Tszp8y6V9co2FdS8H32laiY0rubWwtDDLCvRMXS82rAsuJe +gn0lBd9nX4mKV1zetL0+bjewr0TFVrMcH9cakC7YV1LwffaVqNhmsU+r13Y6 +wb4Sym/Dalfb0DJFsK+k4PvsK1Hx+7B+aD8zQLCvhOLFraZX1q01C/aVqNhx +zIDYJx9TBPtKVGySf+Jzq3kpgn0lNJ/7a1bcOSlBsK+E6s1/Dz7ImxwN7Cuh +frHa1quNloYD+0pU3Jhx+2qdqcHAvhLaf796VHDzjgP2lVD/UWtoreDU3YJ9 +JQX3y74Sqg+3h96yP2cS7CtR8W3pNxXeJwUK9pWo2D7FvTn6+wn2lag4btqr +NcXupwr2lVD+LB5Y8XZSlmBfCe3nNzOd/wQFCvaVUH83bXu2XU6cYF+JisVH +B91bcCVZsK9Exbuvpyx9nKcT7Cuh/tPRZu/CYomCfSUF98u+EhVLLpp7tu1u +nWBfCdWzkZdX9A+PBfaVqLgidmutHxVSBftKKB4uXTCp9M1IYF+Jiv8+itw8 +0StRsK/k/8Sz//pKVCw1r3fv5KxQwb6S/9T7a1a3zY4G9pVQ/TR2yuffX70E ++0qo/7l95Mmtm1mCfSUUvzuXnl3tmy+wr4TyT4OlUxtQPcC+EspXoav+nH2u +F+wrKbg++0pU/OFZbJZT8xTBvhKKN11PN/nSPl6wr4R+7/PvQrX8jYJ9JbS/ +XoeJV646wb4S6geCsotsXJ4l2FdC8WDhEY9xpdyAfSUqXrwyrs7QFhbBvhJa +/9kRK55W8AL2lagY0HxKr7qLPQT7SlRcV+7+YPd0H2BfyX/6a+Otykq0YF+J +ii6jLtsUiYgF9pWoOOzit7rHakUB+0qoHt/k3vfVTz2wr4Ti4/4+19u8TwT2 +lVD9XHTu11OjdMC+Etq/HTf2Df4YA+wrofoqMTDMtnEIsK+E+uGF+QlXDpuB +fSVUT/XzcTM0jgb2lVD/1vXqiinN9cC+EupvFth2Dq2cAuwrUbFclYiEPm/0 +wL4SqjfjHFvrfczAvhIVQ2u8bus8QQfsK6H4+O1JtStjkoF9JdSfPmud3Ccr +EthXouL5c5f1G9YagH0lKub/vlwpZkIksK+E+qWyI4bsy08A9pWomGecO/Dc +/UBgX4mKdkOyPTLjdcC+EhXf9E6a27dsNLCvhOL/420OjcfvA/aVUH32aNJA +20g9sK+E7m9STrSjXxKwr4TmJ91lp20PC7CvRMX+83Y0nvAxAthXomKHGy17 +N3W3APtK6PM6xe6H3DcD+0poPUz3nJ7bVg/sK6H4d3W861EvHbCvhPqXndvn +/QiKAvaVqLj5ZzP/J8ujgX0lKu799XHnUlszsK+E6vFzV/usuhAJ7Cuh+cip +diWoRziwr4TWZ8LXedU/7AH2lVD/mNq4nG1fC7CvhPL/0Pmp6VR/sq9ExSor +i/uuemkE9pVQP20Iv7kl0QLsK1HR7fUXx1yPeGBfCa3nnpMW3R1uBvaVqPhs ++e+d4+pGA/tKVGze717U2rHRwL4Sqk//pNVJ2mwE9pWoqE183m/WagOwr4TW +V0WPubOm+gD7SlTsNkG/sqy/H7CvhPrvD/Y+D0yxwL4SFb3mn955L1AH7Cuh +/jf3epTrDj2wr4Ty/Yh6N/PsooB9JdRvt/8673WaDthXQvntZrXw8RTf2FdC +8aN/VO+L9vHAvhIVv5awHO/RLg7YV0LP33vMu21T9gP7Sqi/vWdcNmthMrCv +hN7PjPzezQN0wL4SWs+Vi1753jkV2Fei4uK4HusO2sYD+0qov7o5semq6lHA +vhLazy4xPtbLccC+EhXvvaq0OaVLDLCvhPrf2JfXW/zRAftKqJ7M/HLn+jcL +sK9EReVj8pvOXkZgXwnFY+PRO/EfvIF9JSoOPXeqQd9UC7CvhPoVm6DEWuNi +gX0lVD95O74LfWUG9pVQfzM4/4Rz3xRgXwn1M9smnKl2PxbYV6Liyh+Xdlzc +GALsK6H7rdgF7JYnAvtK6PMZxTauSjAB+0ro/pTlb87e1wH7Sii/vN1Qt8aG +KGBfiYqV3vRNKfrDBOwrUfHEptx3PvlBwL4S6pe2lugVuSgV2FdC9XXlH47j +R4YA+0pUbOj08uaxd3pgX4mKXe+O8n7ZIQXYV0Lx4a7tgD79w4B9JSqmDZgZ +V+KXGdhXQvt/z8BeMdEBwL4SFQ9V+VXG+XgSsK+E1l/rZyOd7kYA+0ro99Vi +HxveSgX2ldD9eS6//KBtHLCvhPrFZeU61ftqAfaVqNjYX22b2t4I7Cuh+X24 +paNDHT2wr4T6v7px/mWD9cC+Epq/yscj6o0PA/aV/Kde//S9XBczsK9ExWYv +O23Q7w8H9pXQ98sMvujokwjsK1Fxl9/LzhuqW4B9JZTfHBPGeK2OBfaVqFjC +MNn5z70AYF+JimPeXm3iUFsH7CuheHag5oyxr1KAfSVUn3zvsenA5URgXwnV +L7pxZodiCcC+EhV3eLyFAbkWYF+JiqvPP9oR0skM7CtR8V37iXNPTAkE9pXQ +eoZCtjeGBAD7Sqj+aFhj0bP34cC+EhV18xPWBbqGA/tK6H21TI3+t7IR2FdC +/YjObVW70UnAvhK6/2dJbvUvxAD7Smj+N9abYPvOCOwrofjo8cRld4VIYF8J +zX8566OnnjHAvhIVMyu5dEo9HgzsK1ExeXWvcpuPRQH7Suj3TvaboB+iB/aV +0PPgMZt522KBfSUUj/Wdst7siwX2lVC9nWDwPf9TB+wrofWjltrS8oMe2FdC +9SPkDS+0SQfsK6H1Xzpzq12FCGBfiYqzdwWnVkyNAfaVqFhsdOa5UlPNwL4S +Wq/DZjt9uZoE7CtRMbwHOOJuC7CvhPrXvs+2O81KBPaVULzbegpb9gsH9pWo +eG2/XyPHzpHAvhIVlyRMWXI3Pw7YV6LiU/udjkfGRgH7Sqi/GLD09+P1UcC+ +EhW7T2tw4X4xE7CvhOqNyuZhwZ1jgX0lKo7wqrGn3OhQYF8JrY/qr5+27pgA +7Cuh+dLlLS5mMAP7SqieuFHf590jA7CvhPqxt64TPKL0wL4Sqm+SnLsuzY0F +9pWoGOj7d51xeSqwr0TFq+uPucx8mgDsK6H9WbZJlQ/jLMC+EtrPXrOuDm4U +BewroXg8M2fyDdsYYF8J1YcXah16MDQa2FdC9S34ti/ROgXYV6JixdYhxU/0 +0QP7SlS8NbvX/FEhMcC+Etq/vnmTvW7EAPtKVDxp7SCiT/kC+0qo/vKZWsXx +tx+wr4T6vdojdkS20gH7SlSc3nDer0X0PthXQvWL3bagCU1igX0lFM+37rwR +hXHAvhLan/N+PHG2NwH7Sqg+6bLSpsXzOGBfiYpLb1+psT09CdhXQvkr4t9e +5WqZgH0lFI9vrRoX+ywB2FdC/eyvu8sPNIwF9pWoCNrZvXtK6oB9JSpe7ubc +21UfDewrofU1W8EffkZgX4mKvXsF7Og3WQfsK6H1dW30js7hMcC+Elqfy9O+ +P1ATgH0lNH9jiyU/+pUI7CtRMbXo3NZeOSnAvhKqJ/Z9ialmpwf2lVA/FD1r +SM4EM7CvhPqZwZGrtqUkAPtKqJ/Ya7YvtDka2FdC+Xf641kXK8QA+0qo3vp5 +46ZnIxOwr4Ty+4Oq0TPWWYB9JSoOP7D2VFV7A7CvhPqpkc6nag7TA/tKqD46 +OvVdZcUX2FdC832lYRebrGhgXwnFh+yW26JOGIF9JVT/LfMzbrkRDewrof2/ +JGpapeUhwL4SFWd18hl++7MB2Feioo1t4NylnRKBfSV0v6uK5K4dbAD2lVD8 +K7PYe+aTZGBfiYp7Mm0mbfBPBvaVUH3sv+awn20csK+E+tkmVz0dIyzAvhLq +hyKnhXXZEAPsK6HnTbf58WBtOLCvhPrjsG+Pz0+IAvaVUHz2HO38TyUdsK+E +4qPhRMqJ3SZgXwn1n38Hfmn4IxbYV0LrtzYUuxFmBPaVqLhq+hq/+icigX0l +tL88Nmw+9DYC2FdC+bpq1vgaDeKAfSUU/+39xlo6moB9JSpmNzo9uPJYP2Bf +CdWD6RFjCi8yA/tKaD9ev3i7in8MsK9ExQWzj/iNOm4E9pXQ+nVuctE8MAbY +V6Lipc/zn7YebwL2ldD+7DW9dtmqOmBfiYqvbY3d4j7FA/tKqN8t9S59f1cT +sK+E8llksSKXX+qAfSX0vCeHhAx3tQD7SlQ0d/TsfviFGdhXQvnh2YujjzZT +PPuvr0TFIgG1zn8s4wPsK6H6cfKRMtvsAoB9JSoGJzr9fusXCewrofgVvuqI +2/ZAYF+JihkBXa5ZlpqAfSUq+rT/Hfd8XgKwr4T6r+D73x4ejwH2lag4Z/XW +sSEPfIB9JSp2erBywceeemBfCa2n4aePr7pgAvaVqDgo7cn0QtvjgX0lKr61 +251ds7YZ2FdC8+XdNvtA11hgX4mKMY1mLd5fPRTYV0L9QIKpavNC7sC+EhVn +HC///lkVHbCvRMXO+5zqj0ozAPtKaP1vuX/gim0ksK+E6v9+JetVWRsL7CtR +8dfXbaX/E6/YV0L1hvhbckppA7CvhPJB0SDz5PpBwL4Sqidfpzd+2T8a2FdC +6zv00MXW05KAfSUqDpnS5wg8iwf2lVD/8NC5r8MlE7CvhOoNh5/VHjnpgH0l +lH9mDihfY0kcsK+E1vtN49FS3fTAvhIV6xeP+1jdMRDYV0L7y1Ly3s+W0cC+ +EhWfvMldeeZgDLCvRMW/Yed3f6X1yL4SFSOO6g/3am4C9pWoOKlkxp2Fv32A +fSXUf+70uXghJwLYV6JijUUzc0aM8Qb2ldB+mlvSL5/yH/tKVHQ9vsa7ZH8D +sK9ExRsp3YrbXbQA+0ro+vVWlexXNBjYV0L5uftRtWivFGBfCa2/Pc9C6vcN +AfaVUH3hcD3CfawZ2FdC/SM4e83aaAD2laho2y23UeqeVGBfiYpHdEd8He0s +wL4SFb+sCToyt2IksK+E3vemeNcGmhHYV6KisV3vxo9+xAD7Smi//0n70LhI +ILCvhOLBTo9H9u2DgH0ltL5F+LmGSwzAvhLKf6e2Vj4+OBjYV0L1TVER0eCx +F7CvhOq95T+KdymtB/aV0PO0quPeZGMcsK+E8nFj91E7Y4KBfSUqri27vVz4 +8RBgXwnVQy+rJVXfnADsK6F+8mNWzbQDXsC+ElrvK73U8AuxwL4SFR3nV6k8 +Yp4F2Fei4vdFcQlTfOOAfSV0P9W7fqrTJRXYV0L107Oqg+8O1AP7SlQc8Kv6 +kpR0E7CvhPJHZPCF155mYF8J7ae9JbQxA83AvhKqf5Z+PevSIQLYV0L7vfn4 +k/XnmYF9JfQ8tt8fT22TAuwrUbF6SbdBeW2SgX0llI/O5s05sDEa2FdC8/t9 +4uxKLjpgX4mKt0vc7Rs3cz+wr4T6h0rZU8uuCQf2lagoCg33cT0fAuwrof56 +IRQJ2e4D7Cuh+NjtSoUxA0KBfSUUb5JrlXG9YAD2lagYtDex8NRlMcC+Esr3 +z3T9rlB9yr4SFft0/PEyMNwI7Cuh9Z16Oi1kayCwr4T217zvu6f21QH7SlRs +ajrhuthbD+wrofrnbJ8K3v5xwL4Sih+Jm9+VXhcL7Cuh/e7dYvzScj7AvhK6 +3xOzfceXjAH2lVD9MKSH2+fuwcC+EuqfjX5VZvXzAvaVUD92/UIX8914YF+J +ih3t+w59eiMY2FdC9W5o+/eT4+OAfSVUH7cY3L7iUj2wr0TFOyOuzfk03QLs +K6HPH553eDzGDOwrUbHXs495kGsE9pVQ/d2r9fyxx/XAvhIVC7f3OVo/xwLs +K1Fx/tcyqxYnUTz7r69ExWOY9yzGzgDsK6H9+Nuh2qCEVGBfCcUv/29Pm2n+ +wL4Syu8zvOuKfAOwr0TFKU4/HzQ6bQD2lVA9kNzj+8pbUcC+EsrH919tCp2o +B/aVqFj3R6uH3X8bgH0lKt49n+w6pacPsK+E+u/KI7zHDkoE9pXQfprUP3ZG +rhnYV0L1S6cds/TVYoB9JSoO9kv5gA5BwL4SFd1H12w5/1AssK9ExWqiaobo +GA7sK1Hx+oy52HF+KLCvhOLDvzmWcYfigH0lKg5LWWSJH5sI7Cuh93+w4cBR +K3yAfSV0vQWhd7/diwf2ldD+r+C0t3fDQGBfCb2vD59L1vdIAvaVqPjmTgX/ +rNoGYF+JiuvtHCv7u/oB+0pUbJW/3MbvfRQwD6fhu1WPu/WvZgTm4TScs2tB +x5G/4oF5OA2fly1ftlqbaMnDaVh64ZJws28gMA+n4QXb2f/mVQ0F5uE0nO5p +W/XoKTMwD6dhnbyP3+3qxgDzcBqmF3Ys/va5WfJwGorS7w72zvWRPJyGzp9G +NZ4wPhKYh9NwbYS/TevQaMnDabgvTFwKNe2XPJyG4bsnzrmfGALMw2l4t9WP +/r09dcA8nIblkk9eHhdkAObhNDR9Tdu2abMemIfT8OPjeuHx0RGSh6PfW5+y +0z1HL3k4DWfvdtu9tEwMMA+n4br4SV83nEoE5uE0TPL5OqaBiAbm4TQ8fK+M +3+VG0cA8nIY+U5/2vNk2DpiH07Be1QO9H/RIlDychr/u6wbYtY0H5uE0VHp9 +2pO7Jg6Yh9Pw6eYBtQ5XMgDzcBoeGzJZbTU+RPJwGr52eOxqP9cEzMNpOPJM +j5wuJ4KBeTgNz9v2P5pVPxqYh9PQa5i3Q1MbT2Aejp53wK4BFZrogXk4DWe8 +6uO6zj0CmIej+SuVfe/dwhhgHk7D4gGBCwcP9Qfm4TSMP+kcd76TDpiH0zAh +d33Fpk/jgHk4DX+3NLtsGWmSPJyGV4Y/XXp9uBGYh9Nwd8b1Fo4Xw4B5OA0/ +zbW51H1tguThNAyp9m7zzJdmYB5OQzynvv0NocA8HH1/wIm/vQ9ZgHk4DfVX +J/5+oU8A5uE07NC4rjU/Wy95OFpPPw5cqLXXCMzDafjyR0W7axsDgXk4DSOD +ExtWG5sMzMNpODe3wqDnuz2BeTgN81NW9M8/mAjMw2lYY3/mxhqz44B5OA3n +7ZuwYsy7aGAeTsNNPqHGbZtMwDychsG73z6LKW2SPJyGef4uTlVLxEoejtZT +j1HD7GvFAfNwGja1+Vlqzt84YB6O5jcv4Ibv9ShgHk7Ds+vrp3asawDm4TS8 +eeucq3tCAjAPp6Hr+jI7cgMMwDychiNelCr0dqNR8nC0HlYfnT2D+inm4TRs +++G1al/cX/JwGv7TYWvOzuxwYB5Ow4dhrT5umRoFzMNpmHarwZYq5WMlD6dh +nwu+1VwrpgLzcBraW7e39XkeA8zDafjt40C7kXaRwDycRvV1UusOX92BeTgN +b7S8Mjv+uwGYh9NwUaG9xsbtYiUPR3+/e32DYWNMwDychhNv7/jxlfov5uE0 +DCh+NbcCxW/m4TS0mg6+XEnvl3k4DS1FDkaEFk8F5uE0LBvzcbfzzHhgHo72 +w7LgPYH3zZKH0/CHeU7/fk8twDychicmZE/ZEmEC5uE0jKs4v36m5gvMw2lY +tOpLl4bBYcA8nIbPnng9+bIoHJiH07DXz3s+M4zxkoej93HL0Gj8KQMwD6dh +A29l2tpLUcA8nIabuyyYMjYrAJiHo/XcesvqrxlhkofT8OjuPe+31YoG5uE0 +3PJuqN+yP3HAPJyGA/NvVdnZN0zycBq+8n9wPGeATvJwtJ72/Dtp5cxAYB5O +w3bxMxosiDdJHk7DFZ+Pfv9WJknycPR7H74P/vzCB5iHo3i643xv/ReD5OE0 +HLd+xy/b+t7APJyGFUKfBvV6HADMw2l46ZV9vYB/dJKH09DtjiHIaDYA83A0 +f5MnPWv0OAKYh9Ow8cSFa26kGoB5OA03Hrfp6LvQCMzD0f66Ur7l2udJwDyc +hqkjVn/q7BICzMNpeGTW1u1Rq//Hw2k4bezXoy9GxQHzcHS9984HvoQFSh5O +w/4965+9288IzMNpuLNpmTHFUAfMw1F8PKWbf6ZJBDAPR/mgVpUiJ9v7Sh6O +8te758cr6cOBeTjaD4UCfl700APzcBqOHte6WqBBD8zDUb6d/M9OOxszMA9H +629hz6wjuyKBeTjaD/m+3V9S/8w8HMVPsPd79jEQmIejePSjX5g+WQ/Mw2n4 +2H912rpwHTAPp2HN+jNvbqiVAMzDafg9vvSugBGJwDychk5lpinX3iRKHo7y +Z+T1Z0tXGSQPR/l2eHCmz5BoycPRfvu99lqpOfHAPJyGd8wfPjffagTm4ej+ +SxYZ/HJ4CjAPp+Gj6tq69+vCgXk42o8DzVu8vVOAeTgNr889vG2GW7jk4Sh+ +J3mYJ4zWSR5Ow882I28PeJAAzMNpuDK4SY/HJeOBeTgNI5qtMb19YQDm4TTM +2PTygctVo+Th6PdXXU8Jco8G5uGo3sFoz09LU4F5OA37ZszVwVEzMA9H+WF+ +xXNVHMySh9MwKqdJw7VFEiQPp2GVT/6WDrODgXk4DX/evGHzcr0FmIfTULVW +L6I7Z5I8HO3HxBjVPCIMmIej/NJqZG/nSRZgHo7ikb7Hmw02scA8HOX77XmX +LtSLBebh6HpHaswN+KYD5uE03P9l075Kg+KAeTgNvW/tqNMx0wjMw2mole3X +qlrfOMnDaRg048jZNiIWmIej+6lwsI++rT8wD0fxKfmfPbO2e0seTsMHYzqv +uXLHJHk4Df/9d2GpmFHJwDychjYZ/9x/HRYAzMPR/W9s/+LylTBgHo7W16T5 +bwxjE4B5OA0PLX0499fBcGAejuLb7M41eljjgXk4mr9tB0vnPdQB83AUH64m +dSj+NkzycFRPNXebmLPADMzDafjhemLEhYkJkofTcED223oD0hOBeTjKJy2b ++n3MMkoeTsNqlbcuvWdD8ey/PBzth0Dn+d9PRAPzcPR+g8+sbbhbD8zDaVir +YVX3HtnBwDychsuz++dUtI8B5uFo/Y6snJ/bxgzMw1F+HJHp0uirEZiH0zDr +aHb25Po6ycNRfHd9N3RnxSTJw2m4rV3ZT17efpKHo8+vH/q0aXGQ5OHoenYz +OsWa4iQPp+Gwd7pbD2x1wDwcxY+kVR2jKoQD83C0/5elX9k1ej8wD0fxZ/3B +1NxjemAejuojY8b5Clf1wDychqX62EYPD/aXPBytr8mX+1buHAvMw1F+cP4+ +3fowBZiHo3y7YM2xYYY4YB6O6oVp90MztWRgHk7DJaYvXzzqxALzcBpee7t1 +2NLIVMnDadgkbHGd4otigXk4ivdxn0sPXRAIzMNRfOhS1rHWP0HAPBzFj1El +X5xMjgfm4ai+nfqkg1+zEGAeTsMuGTZbqzaJkTychudqrKg7cGaM5OHo/X0f +3dRaOUnycLQ/Vjr4tylhAebhNPR7NrDv/Z3xwDychpc9Koybnx8oeTiaX/v8 +Bj0qpgDzcBou+FineaPgSGAeTkNb75xvA1ubgHk4yi/O1RMm60zAPBzlI12v +jZv+NQHzcBS/RXpJ1+oRwDychu8vTkkabLJIHo7y22OfoTt6xQDzcBrOvxo1 +IynfKHk4qk9+LYr45BQLzMPR/KUP6r/DagTm4TQc5dD05SJjmOThNGz/e/Pt +y1XSgHk4iif1Lhfb3SsWmIejej79fX2bjQGSh6N8vTzc25KRAMzDadj5VPH7 +Z9oYgHk46kfyarb6UywZmIfT0Li42dXFsTHAPJyGKUuan7ndPg6Yh6N+aYgl +o9shIzAPR/VTzEQxsFIyMA9H9YWpVfyAg1HAPBzVMzMqb3d/mgLMw9F+/afc +63c/dcA8nIZvkubDlyXhkofTcMOPHT+LWT0kD0fx5edBa2DRGMnDaehS9tTe +L45Bkoej9TGz6KMRPiZgHo7md9+Ow8POhgLzcLT/S+PC9UNMwDwc1dvln+06 +mGYE5uGoP9x+pJlxnwWYh6P3fXSqS2JLMzAPp2GPF4vtB/8TBczDaf85D1Ji +Xj09MA+nYQury4kqVN8zD0f387LGS8838cA8nIbHt7R8XuZTMjAPpyFUW/1z +4c1AYB6O1kfDFWdL1DAB83CUj7dUtJnnGArMw9Hni+r26aKkSB6Onn9Sz98L +/1iAeTgNC5kyts7oliB5OA1vX9zXPtInDZiHo/5qfb1DoyvGAvNwFL8rFhoz +e1o0MA+nYfcQ/d4nP8ySh6P1d+P+6p+booF5OA2HnP+RdWlzEjAPp+HXNstW ++AyIlzychmElg3ou7hkDzMNpWKxq1JEJSxOAeThan9lXVwHVx8zDUTxaPrHu +1r8pwDwc1Zupixp8qxgNzMPR+p7+2amCOQ6Yh9Ow+dxd970cLMA83H/qz/x2 +kTo/YB6O8ofpTYzrXn/Jw9H7P1Vk9PpaycA8HK2fVuNSd3mGSB6O1veiYvqA +HinAPBzVD4MaaH2LGyUPR/lRWfo7MNAEzMPR+9o+yHmo8JI8nIazfpzcqstM +kjwc7fftTV/bhMdJHo7235Gkbs72EZKH07Bjp5drSvhYJA+n4dbxZescvBor +eTgNh2dd61bBLVrycHS9bp7FZosAycNRvVrFs1yZkEDJw1E9Oi7DbvGQ//Fw +FH++L5m4uk6S5OHo78/7uc15HyZ5OKqHmp13nr3AJHk4Wj8+VdvONARJHo7q +0x39+686Gid5OOrHHHctfuIfLXk4DTsNd3jS6kOy5OE01K3zX7xxjFHycJTf +dW9mVDyulzwcxffcPa2SqV9nHk7DkoPTa/x6mix5OMpfAdsGbdvnL3k4Daf3 +HDtBqRkteTgNtz/1aF6m7/94OA0dH+kz9vYNkTychl9W1NfZXouSPBzNx+/j +Dzvc0kkejuLHtpjtaw7FSB5Ow3tTJjk9s4mSPBzVg/65J+pdjJc8HK2nzo3y +BtonSh6O8ueMhg751lTJw1F9/3nC/KwHJsnD0fUXLL1eMilV8nAaHjTd9LJp +kSx5OMofK7sVG3g0RfJw1D8/vzi5XjWd5OEo/25vuV43Mk7ycBpOaHauyOl3 +BsnDaTg+u/nEl2Exkoej+nfyx7HtrHrJw2l4vvDHG20XmiQPR9dfbJ21dlKC +5OE0HBP9sW6DcC/Jw9F8rBl/v56nr+ThNMw+a3fpdz9/ycNpOLfvoEON/HSS +h9PQo1X/tZGFoyQPp2H9AYWdRnU0SR5Ow+QduTPmhOkkD6dh8ZZvs10aBEse +TsOcU77Oq+unSR6O5nvRnFo35pglD6dh20H3zhctFy15OHr+8ova2PiZJQ9H +8eZmizv3J0dJHo7q4fM5xdc2TZE8HM1nzX7TvIamSR5Ow3q/vw9dfTZB8nAa +hhaf6vRhiEHycLQ/YgdOmFwyUfJwtD5rRydObZcoeTjq7z8ml9SmJEgejuL1 +6Ebru4zRSx5OQ//FDoUc9iZLHo7iVfrWMxGNUiQPR+ujuX+vltOTJQ+n4ch5 +A6bFbAqQPBzFb2uP8oYQs+ThKH/AlqEDzImSh6N8eHLAEcftZsnDafi225qw +6VEWycNp+LDM+ICMbKPk4TS8Oqr7hrpVjJKH03DHjZLLI95FSx5Owz23jq3Y +0ClJ8nAaLnuxdmByfozk4ah/cI2589RilDychvfPDGnQI8IkeTiqv+o3/WZf +xiJ5OMqPji1b9FYNkoej9VHL+CAlJ07ycBpW/BiXWrGnSfJwGvYyu6WOPBcj +eTgN2wxz2XfXJkHycBpWTR95odxfi+ThKP7+3bUqulqK5OE0fLGq37PDZRIl +D6fhzGMf+ryfbZY8HNUjy3NzZ472lTwcxdeJ96e3qRkseTgN/0QvchtI/QHz +cBrGnnw6rAO9b+bhKP78OrU5eFqg5OE0nLf7gm3u/HjJw1H+2xZXoVINo+Th +NCx8K/j54mvxkofTsPKAsX6j9KGSh6P8a4nw2qDGSx6O9oPXO8v63ybJw1F9 +Xv9bpdr/4Xv/y8NpeMzoYbv6w/94OFr/Dx4YbtdOlDychtV72D667hMseTiK +F0/GT9WOmiQPR/l9U7M/WfPiJA+n4d8+w/N8VoRJHo7yYfKTLu41kiUPR/u1 +nt323x1iJA9H188MGZHxM0bycBpWaNZ6R88acZKHo/XU8HlyD4rXzMNRPzV0 +bdUwx2jJw1H/+2J2l9axCZKHo/mYtLSUA+V/5uE0rJTl6+rdOkHycBomtrp0 +yDFLL3k4DY+6bb3W7V245OGov9h0cnSDkgbJw9F6Wnzy+RovveThNDTMm15+ +eIVAycNp+Lx4/lqHEp6Sh6N+0btBjkOFdMnD0XwUyn/0dI6X5OE0XLnl0bNK +FF+Zh6P6ItktduuAWMnDUf0fWCpcOR8jeTgNFzvvCF2xJ0nycNTPT49RZzqZ +JQ9Hz6s4BzkUTpY8HMULW99lNSKiJQ9H/c6+wUcyp6RKHk7DhVv6bSjvpJc8 +HO3vnYdC+570lzwc9Zttrsy5mmqQPJyGXcf4exVuoZM8HNVLS5wPfn/lK3k4 +6rdyu9VJXxMteTjt/583YB5Ow0xL6JRfyf/j4TR0mLhs0LtKMZKHo3zx4NDm +dhGhkoejeLy/2L7ei0MlD6fh+vzUyEGnEiQPp2HpyPNbunaIlTwc7efjQb2X ++1kkD0frd7b9iKLfLZKHo/U+qefSA4nJkoejfmx0Yc/iI9MkD0f7td+iSmfv +GyQPR/ly0JQ9cb2jJQ9Hv3fHL6BdTKrk4ah+DUhx3jr0fzwczV//UNtya5Il +D0f5cfXRSU1PmiUPR+v5XrDdI4pfzMNpaD/MJsg52Sx5OHq/t56VPRpuljwc +xZMU+13dPc2Sh9PwRPLeJWep/2QeTsPy08cP6vs0XvJw1A8cfHbtUtlwycNp +OGXj8zV/gv0kD0f1ZsOanr9X6SQPp+Eg7/SV25pZJA+n4YF3vaOcf0VLHk7D +z11LVXOItUgejvLnvH5//hwLljwcXd/+TP9KqT6Sh9MQj0ZPd9sUL3k4Wr+T +O/XyPGCSPBz17+42exb3i5c8HNULP30LXRn5Px5OQ/f1pZeumhMreTjq/8vZ +3Bty1Ch5OKrHkpqlrG9sljychnebp1ZTPhskD6dh3qjYa2/uJ0oeTsMrQ/Oz +i60xSx5Ow21tXyf22GyWPJyGv5Y4Pc/d9T8ejp438fqLOx+jJQ9H821Xpern +YZGSh6P48KPG7jONLZKH0zDgTxfYMiVc8nBUzzZPnOI3Il7ycNQvBRhNf1Sz +5OGoXt6e65l1K1XycBp227v9/rZZkZKHo356s4NxWtlkycNp+KTnhml92kdJ +Ho7W5+duy+sNjpU8nIaBa1P7H+vxPx6O4t+HR9rph3rJw1F98D3lvkfdOMnD +/ef/G1Pdv85JkDwc1SNfSrbqcjtJ8nAaBn96WzlvYIbk4ej+P16IHtQ8SfJw +GjYsnutafVm45OHo/Xisvr8kPULycFTPTJ02a8zzWMnDUbzt//7FyncmycPR +/lve7eiwKL3k4Wg/3d6XHvEoSfJwlB9a1DjY3y1e8nC0ni7NfOjeK13ycFTv +BYz3Xk31DPNw9P12VhvnsBDJw2l4sd3Jg4MXGCUPR+tjied45ZlB8nAaTqyR +O6nqpCDJw2lYYv5VW1s7veThqP9qOHzOF+q/mYejfJdSanifcTGSh9Ow6Afo +GV5CL3k4ul7zqy9XtNRJHo7iQcavr8pug+ThNDSt7Ru68neC5OEo3g1L77/Q +O1bycLSe9mc/zLbVSR5Ow995jRzaRKRIHk7DLQ6Lhrno4yQPp+GMM4WPl98e +I3k46j/07tPSQ2MkD0fx3+Zs8ZX9YiUPR/F3Wq02D/ZZJA9Hz1fdYXV8G6Pk +4ageM/it/hoULXk4Dfs57agwYkGS5OEo/9V53/1hcX/Jw2n4+szV3HfzEyQP +R/1W3PhxXnd0kofT8PHJgJRomxDJw2m4ZvS6SaceGSQPp+Fs/6xWU1NNkofT +cNf5jfFVriRKHo76gTHes0XJcMnDabh58Uw/z/qxkoejerXH+sIhZovk4aif +CFzRcPzLOMnDaWiebM0esFIneThar4/rtEn7mCp5OA1Fxc2V695PlTwc/f7M +U8l25WIlD6fhtFlVNq3PjpM8nIaefcv0vVgsQ/JwtN/r9nnncDJO8nAa1u6b +W67DK5Pk4TRM2lWhvcNZs+ThaH+0G77yxqFoycNRvbHvYP2E7jGSh6N6oPqY +r/W6pUoejvrdgOvpsafjJA9H9zPt85xXyv94OMqvva+e2J5jkDwc1YMdJs04 +9lAneTjaD3uiekTmJ0oejuKdOaP59VCL5OHoej+qJE8wWCQPp2GrYt9bv8mN +ljychr4Xczc0qZUueTian8mlQiofjpc8HMWD1f5LFtUIlzwc9U9OB2Zo39Ml +D0f5RWubMNyolzwc5c8Gpc40oPfNPJyGW3v3ORlC+Zp5ONqPVao93tomVfJw +1C99ctjbZUqU5OFoPS68vvb0HYvk4ag+vlNyuuOMRMnDaRi2r/zrBrl6ycNp +mFuryrLvpyIlD0f55V3tPvb5RsnDaRg91/B3gFOS5OHo/nwrOBTvmyZ5OA3v +2A2bsa5hzP9r6r7DorqaOADbFUusiIjRqKjYscYaG1FANBgL9hLEKLaIsSb2 +BhZQUAGpAtJBeh0YmoBiQcSCGuwFW7JnFRVRvklmfPbzv33ucvfec0+Zs77P +b8XD/Vuv/OQws+tZYA9H87fLzFP6708DezhaP9/vd/CvHwfs4TQYUivv0ft+ +J8TDUX3h3dc/p88x8XC0v0qpf2/w4HRgD0fPN3zBP1Yd4oA9nK5eYg9Hz/dp +4a5lZbHAHk6DFdtv9e+1JgbYw1H9u9z7n9gEd/FwGjzwxtpzbL0g8XC0PlRN +yVUdc4E9nO787OHo+bqYfgmaFy8ejurBnPJZNxJ8xcPp3s8ejurB7n3vbV95 +FtjD/ft8n3g1fpIG7OGo/27qn12QGAPs4XR/zx6O6oG1h7c1+iZCPBzVR81M +3F3cMoA9HO13zLZMNTySAOzhNPhzbM7Lqa/TgD0crU8rF519k+0hHo7qJesW +3vu3xwF7OA3Wm3H3r2HXPIA93L/7vU1uBg3SgD0czfej99aqvJ0oHo7mP/Nf +WlT19AH2cFRPn8HRJnae4uFo/Z0blpDc8TSwh9Og3V7/Nl8axAN7ONoPrPaz +MNKcAfZwVM+/f2VR1fKoeDgNGo8e2uFEQLB4OA1WwtOoK3digT0cXc+TDa0a +PPIQD6fBc7O6Ro/UjwL2cBqs82VejvPcGGAPp8GhoQ8W1r/mCezhqD+4/r34 ++Jsw8XC0/4p+f+L8rmBgD6drf/ZwVP+bLPnJcLyfeDjaX3efPCpxSSCwh9Pg +h4Pb5wx9mA7s4TSY1/Z1l1LfVGAPR/2x1tMDfe1TgT2cBi13tAsZ7Y3AHk73 +eezhaP2tfWzNjrfxwB6O9nutalnFloSKh9O9nz0cja+Of5Q3uOMG7OE0OMek +TWJijyBgD6d7P3s4Da4x9hniMTYL2MPpjrOH02CVxYPJxq0SgT2cBp2z9zvW +mxcP7OFoPjmz+9Xsqz7AHo7qFXur+d5mqcAe7t//n33+04OaE+Lh6Pxvem2N +f+skHk6Dv697qhq/iAb2cDT+N4zZfWR4ArCHo/ntSNat/KHZwB5Od33s4TTY +yGGlZY86gcAeTuGCKM3WtEfxkg+nsMWB4ClPotIlH07pfj/nPw+ncPGjlp77 +zgUCeziFGy7smP+ddYR4OIWDX8Q2nhYUCezhFI7X3HXYmpYh+XC687GHU5hg +Vc+j1DZGPJzCXe/muja0+ZoPp7DIZPzElJJkYA+n0LvoxYkmK2KBPZzufOzh +dK/ZwynUzzxX671NsOTDKQysXXI7/YmT5MMptMuo0+q4czCwh1M4oebW3Tc0 +f7OH052PPZxCwwFOS06NzZZ8OIXTOvqp+Odf8+EU7m/u8+diPU/Jh1OY6mT5 +vsWRQMmH052PPZzCjTs+HPCMTpN8OIWm0x3dAj/HSj6cwqmn+gWfdE4F9nAK +86YeWlrd/auHU7hzVqMjc9dmST6cwgPhOxzcg9MlH05hy6Ipa6Lxaz4cff6T +oKLEAJB8ON31sIdT2NHOwXGknb/kw+mOs4dT2MPPJvPkQDfxcAotG8Wd3W4f +IflwCpt7NLo9zDRR8uF0f88eTuHdosPJp/5IkHw4hQ4v1xvMsHOXfDiFXS0j +lvr5hQB7OIVD9pR/bGV/XPLhqH+96es6b0ua5MMpvLP67OYhBumSD6fwdL3H +DYau8BcPp9Br5KAlcCoW2MMprG9R2MjOO03y4RSWGRnWTPrDU/LhFNbNtI3y +tvIUD6dwuMn8Wlc7+kk+nMLRBzPNjIrSJB9Od3/s4RSa3OpSZ0xOKrCHU3ho +2tKHE8/GSj4cnd/ly8NOXzyAPZzCcptZBg/NQ4A9nMLi5QPj/DBV8uGof1x1 +87vbOV3y4RRWZgUa1tQNAPZw1L8Le5hcsUyWfDjd9bCHU1iRNv/QrfMe4uF0 +x9nDKfz9XOT2QYddgT2cwh9+b7yjweFUYA+ncK2Rdd5CixjxcApTRkY6LvIJ +Ew+nOx97OIUx9fQ7Tw84LflwNL+cyTDaO89VPJzC741TzGKbJkk+nMIGmtj+ +IdYx4uGof8w2CV75PFLy4Wg+CgkqmHTgLLCHU9io/Zpg3zbxkg+ncL1P8zb7 +lxwVD6fwo2Pp+cRID8mHU/gu5YFv85co+XAKXXYHrs8yTpJ8ON31s4dT6BTX +IN46zlM8HH0+PPep3yhGPByNzxs5ZYlWQeLhFF4PjXhX9ns8sIdTODuyqW3j +5cGSD6cwenF15suNXz2cwteTrFLK7iQCeziFWc/Ch3glRko+nMI/N6y9Vbrx +rOTDKZzc0PBJ+NxEyYdTWOXpkPAqP148nMLN74fu+v5Bing4Gg+L1KHKRScl +H053f+zh6PqbXtH4FoSIh6P+bXW3+e1DPpIPp/Dl9xWfhwWHAXs4haifkdQ9 +JAXYwyk0chsRMOXWMcmHU3izQcvd8xvnAHs4hXue1J976H2w5MMpHDex/4zh +sb7AHk5hh43DrPJpf8AeTmGroXYHPyfGiYdTWG/hPYRuyZIPp3B53fyuOV0D +xcMpbLZ3tFNY2wDJh1PoEfUh5I1RtuTDKVzTJzHU8EWs5MMpnHhh4EEPo+PA +Ho763/TLC47ph0s+nK592MPR64N3nRz9z4qHU3imqse09b3cgD0c9f/hW0cV +9E2SfDiaX9PMXBJnZwF7OIV+I6Yca988SPLhqL18551/uSpMPJzCny2mhfXa +myX5cAoziu68m2MVKx5OYfDtAhuL2CzJh1NoMGFLsv2EJPFwuutlD0f9bfSp +axqfCMmHU+j6YXia3Sdv8XC697OH071mD0fjxe6P0p6tQsXDKVwd07d+l7ex +wB5O4d7TVcEdjM4AeziFrbtf+Dhz8knxcNQ/jzT70XyDh+TD0f0em3y4/c4U +yYej+cchyfr8thxgD6fQZ0jo7NC+buLhFNYMuBMxdXcmsIej8fRrn1umK3KA +PZzCHcVjDN0X50o+nEIYMc+0dUoMsIej9dhs5pBJNXHi4RTGWd4/u+c3X2AP +R+tB5YmGW45GSj6cwi1eLsO6DgkSD0ftP/em1nCPv+TD0eeV7m040CAY2MMp +HNPIcsiPL5OAPZzCF3pPXs56ny35cAqfWjft3cspG9jDKYxaei14x7oUYA+n +0GL7zU53PALFwyl0tvHoWdggSfLhqN74YVa1WUyE5MMpPP7k+h/rj8aIh1PY ++fS4p4YdQyQfju53tHOZ0fzTkg+n8A94+zJsSZbkwylceaj0Q/tTUcAejvp7 +wr3P/6zKlnw43fNnD6cwPGGEw9adx8TD6Y6zh1N4NnVBh/BWx8XDKfx119oP +455ESz4czQ/mI9tm7AFgD6cw5PGFdh9+D5J8OIVzP7Rr0CI6XDyc7vzs4RQu +LQyNqD52RvLhFGa/npjRPT4B2MNRfXN+77Ci1ETJh1P49qGa7bjYFdjDKUxy +X9yoZE+C5MPR/IVzN67uGQns4Wh9t9bzCzvmJh6O1rvFy//O3RgC7OF018Me +juqRSwP+bF3vlOTDKbwUalZuXpEJ7OFo/RhUM97xhr94ON3fs4fTvWYPR/PD +9TfuozZnAXs4ha/O6hs/WOEO7OGoHkmIbFvzTziwh6Pxb+V489DtOPFwNJ6S +Pn/uvjpS8uGonvnzu0dbRhyTfDiFhTsLH9et8Jd8OFof39bcXJN9RvLhaD46 +NCtu4ABfyYej9jbXn/rrTAD2cDQ/9vxpYaQCyYej9d57p0HAMH/Jh1MYP7Ha +bkLD45IPp/CB9YjLwUvjJB9OYZdaTey/2e4sHk7hb9P7nv/xfBawh1PYzuDt +R5s5rsAeTuGT9H1GX4LSxMPp2os9nMIBbsfn6y9Nl3w4Wn+tZ3e6EOgvHk7h +1smfO0Q9jBEPR/3bIu7KqFxvYA+n8PxBr7WvkmKAPRzNN3rDy4f1SJV8OIX2 +taKbNn4eKh5O4bOPpXq9Z2QBezjd9bCH071mD0f16GCnc/reseLhFAZ9tBue +2xwlH476l1GzF/XDsoE9nELbFcMHrLIIl3w4eh6dDhtvGpsD7OFofCw6nVr8 +2UU8HI0nm3eDTacHAHs46s+btxcdeRgh+XAKNUZzA7NnxwJ7OGrvBX/MWT09 +B9jDUb3pcXPj0uwkyYdTqNcgdKHRh3RgD6fwynav0S59/YA9HM13s29vMwnP +lnw4hQ2bWj1vssdPPJxCY7sWzYvGx4uHo/q8Z9Kw3cUpkg+ncOiaa6cWzTks +Hk5hWKtDG9x+SZV8OGr/jY/fLloKkg+nsFfSAHc1NVry4Wg+WW7zpO2NJPFw +NP+PbH265H6W5MPR+XtX11l6KxfYw1H9VOzSImxfgHg4et4HBhsMq3AXD0f1 +hXn0mmspYcAejuqbRbGhMxf7Sz6cwk8vHOoOKE8E9nC0H+zkkzCr2F08HPWn +K6c6lznkSD4c1evPns4+cKlQ8uGoPvyxR0J8y1zJh6P98MyL5UstsiQfjuZT +59GmmtNx4uFoPfC54XRg63HJh6P9a/8bxgOCsyUfTuGSWwdOGv/qJR6Onk9e +7s2f68RIPty/+5e109+F5wJ7OGo/P8+7zmbBkg+nsP+7Z91GdYwTD0f1e3Wn +26ZVQeLhqP0MbedutsgB9nA0nu1+v5mbkiAejtpzUXXkgg05wB6O+mPWXwVX +npyVfDhd/2cPp3DU0bFFJ6qSJB9Od5w9HO3Pfr7gfXBEJLCHU5hWL8fBr1uo +5MMp/BxZvmxth0TJh1M4cuIPY5tRvcweTuHAVRfr3EwKkXw4Gv92rTVJeomS +D6f7PPZw1H7mj+5bf8qUfDiFUypntkrKyJB8OIX5v751H7MzC9jD0fi/pmlW +1idB8uFofOT1exT++rR4OIW/dOsbYRKWJ/lwCgPsPdbZ7MsF9nC6z2cPR/NH +evsb09zzgD0ctffGSlPTqBjxcNRePfuFnnuCwB6O6ocKf4+NyxKAPZzCD22C +qqY2TwD2cLS/m/B4S5XNCfFwtB4OOLbQpZG7eDiqR8wiLo32SwP2cAqVzdQq +H98zwB6O6mn7xMoVlUni4Wg9sPHt98OeQGAP93/fj/zn4ah9fJr1jk5PA/Zw +1J5Lch+O6x8nHo7q7UMjArrMjAH2cArXdW5p98imENjDKez4pqOBuyYK2MNR +/3THl5MvJwJ7ON3nsYej591tQbu3JxKBPZzC3ckwvuOEM8AejvY/fSfbxA5M +Eg9H++1VHZd8npgL7OEU5nSYVueUZTawh6Px+6yxr3d3APZw1L86WXiazMkD +9nAK72m+W7Ld5bB4OIVmi01tPRzTxcPR85621eHHJtHAHk5hz9PXIrvaOwN7 +OIVjh//tceTFaWAPR/Viod6uO+PPiIdTmNxqRX6LfvnAHo76/zKP0LhBgcAe +Tnf/7OEUHt19dfUZqv/Zw+mOs4ej9c9dX996bjawh6P+uvVbk5/+igX2cArb +OtSqHtAyFdjD6f6ePZzuNXs4ur6y166r0mLEw1G9MfkF3LSIBfZwCntcqj86 +NroQ2MNR/fjAJMojLg/Yw9F+7ueWl87/Fi4eTnd+9nBUf2RbXs2YHQ/s4RT6 +hj81G0bzIXs4qqde371uuCsO2MMpNCmDa1ZX04E9nMLuiZaX06bEAHs4qu+y +q8sqrWPFwynchsUlgeMR2MPR+YsfnrfomiweTnc97OHoedYZ2kNvIQB7OIWx +rr1qbH9IBvZwCid9Wm/RJN5LPJzCWQ9q/bBGLxfYwymc/l2zFsGzkoA9nO78 +7OFo/xBg8Dnp+wxgD0f7s1HXu4+wChYPp1D7Te2Wl73SxMPRePm9W58p/ifE +wynsF1i/7r71IB5OYUlEwuXMZSeBPRzVBwHmFx+NjRcPpzA1c1KQp2GaeDia +P750Mll5Oko8HI3fak3uWruv+XC662UPR/vvzEt/VtoGAns43XH2cP9+3xXX +dH5SDrCH+7/ve//zcLTfmvPleth7D/FwuuPs4Wh+CjJZ86gkC9jDKaya06P7 +zqoY8XDU/2bYteq0/6uHo3oo1vnG2L/PAHs43fnYw9H6POvzcO3aOGAPR/X7 +z52nnmuZB+zhdO9nD0f1Z1bsp9RG2cAeTmHz/SG9ncakAHs4hdW3Mnd0/lQE +7OF0f88eTuH4id6TrJLTxcPR/PlWv0PzH2KAPZzCyI/GYTfP5gF7ONpv2eTk +LEtPBvZwCk+svLlv/8A48XC0fs6Nf/RtdCawh1OYovmj397BWcAeTqHHjed2 +JVsigD2cwqwNw0NizROBPZzu+tjD0fgZG3c34nG0eDiFTc1rdoVS/2QPR/Op +tt711yPjxMPR/uvzjcMzZ50H9nBUD04p/SHTI1M8HK03E1u3si8AYA+n8NrN +HcGj4pOBPZzCNhu3xkfqXwH2cDTfztqUlFXrArCHo+dXVDbDLSMX2MPR+mLs +c3M51Qvs4aie2OzWOa9BBrCH090Peziab/qvdrNvGwzs4eh8Ga5DJq0rAvZw +Cod9Hl1ivyhYPJzCbxtdWP7BJAfYwyk0NXhThipWPJxCx4KNlWfrxwF7ONo/ +u42bnT/oIrCHo/qj9Uunnt1SgT2cwr51DD4tHhkL7OEU3gjyvLc5+ziwh1P4 +04zzbcuuegN7ONr/du2TdWu7L7CH090Peziq9727r2ra/pB4OGpfj10n6u+J +APZwtD69czNv7hUoHk7himE117aOixcPpzsfezjqPxUP36eMKQb2cLQeBy1S ++fWzgT0cPZ9w21U3V2eIh6P6b5376Ir8fGAPR/P7ucPqaGo8sIf7v+97//Nw +NH8U1Xx52s1VPJzCY3/fi/7YJgnYw9F8UzLGy/x6ArCHo/6p39VlzJvTwB6O +nsedytphc7OAPZzu/OzhFP78bOOsyvGxwB5Od5w9HK1f5V6Ldh2MEg9H9VKX +5U+dp3/Nh1N48oe3eY8HxouHUzjPwbjrbdccYA9H9eAjx18L9l4B9nAKy39d +fuqcVx6wh6P96Z49zxb+dVQ8nMLcl/O6bBqdDezh/u/73v88nO41eziFSZ4R +2/r/FSkejsbbztrBhQtjxMNRf3IKW9usYTSwh9P9PXs4uv9vAy5PwXxgD6fQ +deHQcZPbRAB7ONqfdHl64e60rx5O4alLZnPahKQBeziFs0PS0+wdLgF7OJoP +12cHlLoWA3s4heZ9zVblbYkF9nDU/zs1u1E3KwvYw9H4MOzhHNwmUjwcHff9 +ssQ1Lk48nMLJ962PfD8pF9jDKXw1IHLd3eZxwB6Orl+vYVn8q2zxcAr/qXwx +PbVPmHg4hXsfznkTPSdMPBzdf2jxM/NDqeLhFK4adduzeOhRYA9H9ZPpg29n +DgRgD0fP47BHmnlYMrCHU3jw4MNNv5ZlA3s4hQ26mS5P6X9EPJzCx91+s23d +67h4ONrf9Ow8+7eKJPFwtN7brzxkO6wY2MMp3Of94+C2dH/s4Wh9toBmI6wD +xMMp3Bj9eq+eWYx4ON3zYw+nsE+nDQO3bSgG9nAKO1Xb7KmyTwb2cFQvPO73 +qHfXcGAPR/PVlOp+F5qeA/ZwCid+arLd7WIRsIej9dDcodH5yDRgD6fwTdj1 +no+NI4E9nEL/VPv+L8ZcBfZwCjfVBJUEbvAUD6dwWUmvcFOzLPFwCiO++Vxx +f00RsIej+mZn/vLdkVHAHu7/vu/9z8MpfLRp6u626wqAPZzCzLEv7kxoUQrs +4Wi9vDepJMA2WzwctVf7zC+NU9KAPRzth7ud/LbflCvAHo7mix6/5Jo6ZQB7 +ONof+fU68GXfVWAPp3D+1o/7K8bniIdTuLX+oNlXr6J4OIUL9I4s2LujSDyc +wiG7G59styhHPJzCtfErvo3OKAT2cArdn/a+Muu3r/lwNN+NPtpgh3MysIej +9uzkEXHlSAqwh6P6Ga0HtC7PBfZwdD+XO9qG30gB9nAKLf21r0/ZegB7OBpv +89vOP1onUTycQuMtN65XBF8UD0ftWTnNO94rHdjDKTz8wqWlleFXD0f1QoLT +shp1HtjD0fWexVsmXUqBPZzC20FmXtV0nD0czR/mns3GZN0G9nD0+WNX4l/N +ioA9HNW/tdIyWp7MBvZwCsMM8vZufJAK7OHo9UgXx7012cAeTuHOF79NMr3k +C+zhaL1o71+3Z3KKeDiFBfverhwfHwjs4Wi8/ek8R7MjTzwc7b/0Io2WBl4F +9nC0v5z+uPbufzyBPZzCHWsGpK10zQX2cAq/NAnIMj2eLh6O6iHr714Oul4A +7OEUznwwY+ergljxcDRerw06Gb80Rjwc3e/Mk+22bsoUD0fr/yWXlm4e6cAe +TuGMHvPC69hmAXs4ai+rX5oFrcoQD6dwROiZL02czomHo/119IrfH5ReBvZw +NN/94hw4bl2IeDjdeGAPp1CzqVH5mqO5wB6O6u9JE/q4LssUD0fvn1NvBY5M +FQ9H9Uzc2t52ViXAHk7h8tAFb2f2CAH2cArXLGgdWuh/E9jD6T6PPRyN/7FF +f/xJz5M9HM0/xRudjE1KgT2cwsTr/aO6jMoH9nA03/VcPMe+X6x4OKoXhlzq +nGRaAuzhaP68c2hGaXyMeDiF+huedXM2ugns4ag95nv/1NU+TzwcvX7bd+mn +hGTxcDR/PTW3NF6aJh6O9sOdXA0NNCXi4RRa6MUHf/mQIx6Oxm9KjwP6emni +4RRWGg1ebmSWLR6O6qcFfSqONCsVD6cwaJJLO4PeV4E9HNXXDc4+N2vhLx5O +4aXnZY52heeAPZzCmk92jjD7IrCHo3pj87aKqLdJ4uEUDipp5hg77754OIU/ +9nXtZLa3WDwcPe9h999Y5VwXD6cwvWz7c6eZZcAeTmFt257lqdEXgD0c9e+f +7//ZqX+ZeDiqNwzM/+417o54OIUJA3tNCnOKkXw4hU+XLWqz9NUd8XAKY+x9 +puoXFIqHo/66sLBv2Jx7wB6Ontfh8P16m9PEwyl0vru52cq5xeLhFF5cZK7f +MeAvYA9H/a+51fXut5KBPRztj3cqgws5MeLh6Hl5NbHwPpcl+XAKby6s3djW +M1c8nMLgeov6fp6UL/lw9P4j3bY7fDwu+XAK13vNeXd0+z1gD6fQ7tQbvynG +JcAejuqleo53WkbdEw9H4zOlS/dpNl89HO3v1rw+0ejWQ/FwtB541Phu2FgM +7OEUurVfOmXLhVLxcAqbNB4xxnHZQ/FwChvmeLWb0K9cPBytz1cquvednCYe +ju63wxLHzo3KxMMpbHxqW1EX+zhgD0f7D70DRoGGnpIPR/Oba/upBnoPxcMp +rGjVes+ZdU/Ew9F6UvzO3NK3HNjDKfQtjehy7PZF8XB0vjEPBkUNShUPR/Xy +7DX9Oly5LB5O4ZEh+Xtufzwj+XB0/a/r5H2+4SP5cFTfeKasC1lbLB5OYe8x +O7v8NfUBsIej9/tecVjolCcejvZvIzzsT+67C+zhdPMDeziqZ+ePKnw04brk +w9H+P31q48xz98TDKbzT77sl5+8WiIej+stoXZeyVtni4Wh/rf/axuHpVfFw +Ci8MHvj9zPp/AXs4hef++bnud9tuiIej/fKKfQ59NrwB9nAKVb8F0XqTnwN7 +OOpPRlv0xzuViIej+jBm3T2PWs/Ew1H71gn3nH/3PrCHo/EXlTqpzavr4uGo +nvcx8vVscgvYw+nulz0cPf/lXp+Mhl6WfDjdcfZw1D+dFs7d0uac5MNRvdHx +2br1BZfFwync36tOo29tKoA9HD2PWbbx73q9Fg9H841PGeR+qRAPR/vzb4pX +jZ6eLx6O5teThjFf5pYBeziFXi6Hz3WoviL5cFoMt/ryvpO2QPLhtNh7hGXO +vYCn4uG0eMMj5Ptx5feAPZwWjyWnBfd0fiYeTotux1yS9Pc/kd9L1eKF9lXV ++hvKJR9Oiys6mfgtPnpDPJwWvSvPwAjXbMmH02JkxLFxR5MixMPR9WhSjc48 +0IqH0+LkRXHfu31Q4uG0aBm9xyPA8Z7kw2nxx2u+E8vzz0o+nBbHGq+4b2l3 +X34vVYu/Vk8u6X7qmng4LV7tPy7aNvCueDgtvi4YX1RRnC75cFpc/eCpRVW7 +SmAPp8VHe04OLn/7BNjDadE21nK8b/1P4uG0uL5D7+VGqYckH06Li9o9NNxc ++VA8nBY3Hth2eJzxB/FwWsyf/92ztS3fAns4LTYZd3VmZV2NeDgt+gQ8HFR7 +wEfxcFosNWlYPmnJR/FwWozbWrLn94Ln4uG06Le3YmRkn0fi4bQ43NgoraxI +iYfTYoZPbvPQffUy2MNpsfDTQOu4Bi/Fw2mxtdZ7Y9ZqJb+XqsWqzNXPtsY3 +zGAPp8XOHS8O37u9RH4vVYvPVpnc/9xKI7+XqsXQuuf1c0JeSz4ctd+58idh +f9fJYA+nxfsHY3Z3ia2SfDgt2t+aW3tASIMM9nBa3Pf3jFb3zbTi4bTYPbnU +curFGvFwWmx29Hrt2XZNM9jDabH81aiPi2e/lHw4Lb4M+nGy5/XaGezh6PyP +v0nf9p1hBns4Lda5HD4m01U/gz2cFl22/umUZHJVPJwWe70e3M10c8PR7OG0 +WH2/YNBJV/3R7OG0uHNK4rhbY+plsofTol3X+Mx2VvUyL138999b3f+PeP77 +L3XM19f/A2leaeo= + "], {{{}, {}, + TagBox[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[ + NCache[ + Rational[1, 360], 0.002777777777777778]], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + LineBox[CompressedData[" +1:eJwl2kMUIMqSBcDftm3b9mvbtm3btm3btm3btu2JPrOIU5ta1KaUeRM0aFuh +TYD//e9/aUP973//xoAEIjBBCEowghOCkJj6v9CEISzhCE8EIhKJyEQhKtGI +TgxiEovYxCEu8YhPAhKSiMQkISnJSE4KUpKK1KT5t27SkZ4MZCQTmclCVrKR +nRzkJBe5ycN/5CUf+SlAQQpRmCIUpRjFKUFJSlGaMpSlHOWpQEUqUZkqVKUa +1alBTWpRmzrUpR71aUBDGtGYJjSlGc1pQUta0Zo2tKUd7elARzrRmS50pRvd +6UFPetGbPvSlH/0ZwEAGMZghDGUYwxnBSEYxmjGMZRzjmcBEJjGZKUxlGtOZ +wUxmMZs5zGUe81nAQhaxmCUsZRnLWcFKVrGaNaxlHevZwEY2sZktbGUb29nB +Tnaxmz3sZR/7OcBBDnGYIxzlGMc5wUlOcZoznOUc57nARS5xmStc5RrXucFN +bnGbO9zlHvd5wEMe8ZgnPOUZz3nBS17xmje85R3v+cBHPvGZL3zlG9/5wU9+ +8Zs//OXf5g9AQAIRmCAEJRjBCUFIQhGaMIQlHOGJQEQiEZkoRCUa0YlBTGIR +mzjEJR7xSUBCEpGYJCQlGclJQUpSkZo0pCUd6clARjKRmSxkJRvZyUFOcpGb +PPxHXvKRnwIUpBCFKUJRilGcEpSkFKUpQ1nKUZ4KVKQSlalCVapRnRrUpBa1 +qUNd6lGfBjSkEY1pQlOa0ZwWtKQVrfl3eLelHe3pQEc60ZkudKUb3elBT3rR +mz70pR/9GcBABjGYIQxlGMMZwUhGMZoxjGUc45nARCYxmSlMZRrTmcFMZjGb +OcxlHvNZwEIWsZglLGUZy1nBSlaxmjWsZR3r2cBGNrGZLWxlG9vZwU52sZs9 +7GUf+znAQQ5xmCMc5RjHOcFJTnGaM5zlHOe5wEUucZkrXOUa17nBTW5xmzvc +5R73ecBDHvGYJzzlGc95wUte8Zo3vOUd7/nARz7xmS985Rvf+cFPfvGbP/zl +38UfgIAEIjBBCEowghOCkIQiNGEISzjCE4GIRCIyUYhKNKITg5jEIjZxiEs8 +4pOAhCQiMUlISjKSk4KUpCI1aUhLOtKTgYxkIjNZyEo2spODnOQiN3n4j7zk +Iz8FKEghClOEohSjOCUoSSlKU4aylKM8FahIJSpThapUozo1qEktalOHutSj +Pg1oSCMa04SmNKM5LWhJK1rThra0oz0d6EgnOtOFrnSjOz3oSS9604e+9KM/ +AxjIIAYzhKEMYzgjGMkoRjOGsYxjPBOYyCQmM4WpTGM6M5jJLGYzh7nMYz4L +WMgiFrOEpSxjOStYySpWs4a1rGM9G9jIJjazha1sYzs72MkudrOHvexjPwc4 +yCEOc4SjHOM4JzjJKU5zhrOc4zwXuMglLnOFq1zjOje4yS1uc4e73OM+D3jI +Ix7zhKc84zkveMkrXvOGt7zjPR/4yCc+84WvfOM7P/jJL37zh7/8e/QHICCB +CEwQghKM4IQgJKEITRjCEo7wRCAikYhMFKISjejEICaxiE0c4hKP+CQgIYlI +TBKSkozkpCAlqUhNGtKSjvRkICOZyEwWspKN7OQgJ7nITR7+Iy/5yE8BClKI +whShKMUoTglKUorSlKEs5ShPBSpSicpUoSrVqE4NalKL2tShLvWoTwMa0ojG +NKEpzWhOC1rSita0oS3taE8HOtKJznShK93oTg960ove9KEv/ejPAAYyiMEM +YSjDGM4IRjKK0YxhLOMYzwQmMonJTGEq05jODGYyi9nMYS7zmM8CFrKIxSxh +KctYzgpWsorVrGEt61jPBjayic1sYSvb2M4OdrKL3exhL/vYzwEOcojDHOEo +xzjOCU5yitOc4SznOM8FLnKJy1zhKte4zg1ucovb3OEu97jPAx7yiMc84SnP +eM4LXvKK17zhLe94zwc+8onPfOEr3/jOD37yi9/84S//PvwBCEggAhOEoAQj +OCEISShCE4awhCM8EYhIJCIThahEIzoxiEksYhOHuMQjPglISCISk4SkJCM5 +KUhJKlKThrSkIz0ZyEgmMpOFrGQjOznISS5yk4f/yEs+8lOAghSiMEUoSjGK +U4KSlKI0ZShLOcpTgYpUojJVqEo1qlODmtSiNnWoSz3q04CGNKIxTWhKM5rT +gpa0ojVtaEs72tOBjnSiM13oSje604Oe9KI3fehLP/ozgIEMYjBDGMowhjOC +kYxiNGMYyzjGM4GJTGIyU5jKNKYzg5nMYjZzmMs85rOAhSxiMUtYyjKWs4KV +rGI1a1jLOtazgY1sYjNb2Mo2trODnexiN3vYyz72c4CDHOIwRzjKMY5zgpOc +4jRnOMs5znOBi1ziMle4yjWuc4Ob3OI2d7jLPe7zgIc84jFPeMoznvOCl7zi +NW94yzve84GPfOIzX/jKN77zg5/84jd/+Mu/Yl8AAhKIwAQhKMEITghCEorQ +hCEs4QhPBCISichEISrRiE4MYhKL2MQhLvGITwISkojEJCEpyUhOClKSitSk +IS3pSE8GMpKJzGQhK9nITg5ykovc5OE/8pKP/BSgIIUoTBGKUozilKAkpShN +GcpSjvJUoCKVqEwVqlKN6tSgJrWoTR3qUo/6NKAhjWhME5rSjOa0oCWtaE0b +2tKO9nSgI53oTBe60o3u9KAnvehNH/rSj/4MYCCDGMwQhjKM4YxgJKMYzRjG +Mo7xTGAik5jMFKYyjenMYCazmM0c5jKP+SxgIYtYzBKWsozlrGAlq1jNGtay +jvVsYCOb2MwWtrKN7exgJ7vYzR72so/9HOAghzjMEY5yjOOc4CSnOM0ZznKO +81zgIpe4zBWuco3r3OAmt7jNHe5yj/s84CGPeMwTnvKM57zgJa94zRve8o73 +fOAjn/jMF77yje/84Ce/+M0f/vKv0B+AgAQiMEEISjCCE4KQhCI0YQhLOMIT +gYhEIjJRiEo0ohODmMQiNnGISzzik4CEJCIxSUhKMpKTgpSkIjVpSEs60pOB +jGQiM1nISjayk4Oc5CI3efiPvOQjPwUoSCEKU4SiFKM4JShJKUpThrKUozwV +qEglKlOFqlSjOjWoSS1qU4e61KM+DWhIIxrThKY0ozktaEkrWtOGtrSjPR3o +SCc604WudKM7PehJL3rTh770oz8DGMggBjOEoQxjOCMYyShGM4axjGM8E5jI +JCYzhalMYzozmMksZjOHucxjPgtYyCIWs4SlLGM5K1jJKlazhrWsYz0b2Mgm +NrOFrWxjOzvYyS52s4e97GM/BzjIIQ5zhKMc4zgnOMkpTnOGs5zjPBe4yCUu +c4WrXOM6N7jJLW5zh7vc4z4PeMgjHvOEpzzjOS94ySte84a3vOM9H/jIJz7z +ha984zs/+MkvfvOHv/xr8gUgIIEITBCCEozghCAkoQhNGMISjvBEICKRiEwU +ohKN6MQgJrGITRziEo/4JCAhiUhMEpKSjOSkICWpSE0a0pKO9GQgI5nITBay +ko3s5CAnuchNHv4jL/nITwEKUojCFKEoxShOCUpSitKUoSzlKE8FKlKJylSh +KtWoTg1qUova1KEu9ahPAxrSiMY0oSnNaE4LWtKK1rShLe1oTwc60onOdKEr +3ehOD3rSi970oS/96M8ABjKIwQxhKMMYzghGMorRjGEs4xjPBCYyiclMYSrT +mM4MZjKL2cxhLvOYzwIWsojFLGEpy1jOClayitWsYS3rWM8GNrKJzWxhK9vY +zg52sovd7GEv+9jPAQ5yiMMc4SjHOM4JTnKK05zhLOc4zwUuconLXOEq17jO +DW5yi9vc4S73uM8DHvKIxzzhKc94zgte8orXvOEt73jPBz7yic984Svf+M4P +fvKL3/zhL/8a/AEISCACE4SgBCM4IQhJKEIThrCEIzwRiEgkIhOFqEQjOjGI +SSxiE4e4xCM+CUhIIhKThKQkIzkpSEkqUpOGtKQjPRnISCYyk4WsZCM7OchJ +LnKTh//ISz7yU4CCFKIwRShKMYpTgpKUojRlKEs5ylOBilSiMlWoSjWqU4Oa +1KI2dahLPerTgIY0ojFNaEozmtOClrSiNW1oSzva04GOdKIzXehKN7rTg570 +ojd96Es/+jOAgQxiMEMYyjCGM4KRjGI0YxjLOMYzgYlMYjJTmMo0pjODmcxi +NnOYyzzms4CFLGIxS1jKMpazgpWsYjVrWMs61rOBjWxiM1vYyja2s4Od7GI3 +e9jLPvZzgIMc4jBHOMoxjnOCk5ziNGc4yznOc4GLXOIyV7jKNa5zg5vc4jZ3 +uMs97vOAhzziMU94yjOe84KXvOI1b3jLO97zgY984jNf+Mo3vvODn/ziN3/4 +y79wTwACEojABCEowQhOCEISitCEISzhCE8EIhKJyEQhKtGITgxiEovYxCEu +8YhPAhKSiMQkISnJSE4KUpKK1KQhLelITwYykonMZCEr2chODnKSi9zk4T/y +ko/8FKAghShMEYpSjOKUoCSlKE0ZylKO8lSgIpWoTBWqUo3q1KAmtahNHepS +j/o0oCGNaEwTmtKM5rSgJa1oTRva0o72dKAjnehMF7rSje70oCe96E0f+tKP +/gxgIIMYzBCGMozhjGAkoxjNGMYyjvFMYCKTmMwUpjKN6cxgJrOYzRzmMo/5 +LGAhi1jMEpayjOWsYCWrWM0a1rKO9WxgI5vYzBa2so3t7GAnu9jNHvayj/0c +4CCHOMwRjnKM45zgJKc4zRnOco7zXOAil7jMFa5yjevc4Ca3uM0d7nKP+zzg +IY94zBOe8oznvOAlr3jNG97yjvd84COf+MwXvvKN7/zgJ7/4zR/+8i/YF4CA +BCIwQQhKMIITgpCEIjRhCEs4whOBiEQiMlGISjSiE4OYxCI2cYhLPOKTgIQk +IjFJSEoykpOClKQiNWlISzrSk4GMZCIzWchKNrKTg5zkIjd5+I+85CM/BShI +IQpThKIUozglKEkpSlOGspSjPBWoSCUqU4WqVKM6NahJLWpTh7rUoz4NaEgj +GtOEpjSjOS1oSSta04a2tKM9HehIJzrTha50ozs96EkvetOHvvSjPwMYyCAG +M4ShDGM4IxjJKEYzhrGMYzwTmMgkJjOFqUxjOjOYySxmM4e5zGM+C1jIIhaz +hKUsYzkrWMkqVrOGtaxjPRvYyCY2s4WtbGM7O9jJLnazh73sYz8HOMghDnOE +oxzjOCc4ySlOc4aznOM8F7jIJS5zhatc4zo3uMktbnOHu9zjPg94yCMe84Sn +POM5L3jJK17zhre84z0f+MgnPvOFr3zjOz/4yS9+84e//Av1BiAggQhMEIIS +jOCEICShCE0YwhKO8EQgIpGITBSiEo3oxCAmsYhNHOISj/gkICGJSEwSkpKM +5KQgJalITZpQ/59b/j8pdrn2 + "]]}, Annotation[#, "Charting`Private`Tag#1"]& ], + TagBox[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[ + NCache[ + Rational[1, 360], 0.002777777777777778]], + AbsoluteThickness[2], + GrayLevel[0]], + LineBox[CompressedData[" +1:eJwN00OCIAgCALDaadu2bdu2bdu2bdu2bdu2bXtzyBOSoGG7im3/FxAQkCZU +QEA60pOBjGQiM1nISjayk4Oc5CI3echLPvJTgIIUojBFKEoxilOCkpSiNGUo +SznKU4GKVKIyVahKNapTg5rUojZ1qEs96tOAhjSiMU1oSjOa04KWtKI1bWhL +O9rTgY50ojNd6Eo3utODnvSiN33oSz/6M4CBDGIwQxjKMIYzgpGMYjRjGMs4 +xjOBiUxiMlOYyjSmM4OZzGI2c5jLPOazgIUsYjFLWMoylrOClaxiNWtYyzrW +s4GNbGIzW9jKNrazg53sYjd72Ms+9nOAgxziMEc4yjGOc4KTnOI0ZzjLOc5z +gYtc4jJXuMo1rnODm9ziNne4yz3u84CHPOIxT3jKM57zgpe84jVveMs73vOB +j3ziM1/4yje+84Of/OI3f/jLPwJCBwT8j/8IRGCCEJRgBCcEIQlFaMIQlnCE +JwIRiURkohCVaEQnBjGJRWziEJd4xCcBCUlEYpKQlGQkJwUpSUVq0pCWdKQn +AxnJRGaykJVsZCcHOclFbvKQl3zkpwAFKURhilCUYhSnBCUpRWnKUJZylKcC +FalEZapQlWpUpwY1qUVt6lCXetSnAQ1pRGOa0JRmNKcFLWlFa9rQlna0pwMd +6URnutCVbnSnBz3pRW/60Jd+9GcAAxnEYIYwlGEMZwQjGcVoxjCWcYxnAhOZ +xGSmMJVpTGcGM5nFbOYwl3nMZwELWcRilrCUZSxnBStZxWrWsJZ1rGcDG9nE +ZrawlW1sZwc72cVu9rCXfeznAAc5xGGOcJRjHOcEJznFac5wlnOc5wIXucRl +rnCVa1znBje5xW3ucJd73OcBD3nEY57wlGc85wUvecVr3vCWd7znAx/5xGe+ +8JVvfOcHP/nFb/7wl38EhPGf/whEYIIQlGAEJwQhCUVowhCWcIQnAhGJRGSi +EJVoRCcGMYlFbOIQl3jEJwEJSURikpCUZCQnBSlJRWrSkJZ0pCcDGclEZrKQ +lWxkJwc5yUVu8pCXfOSnAAUpRGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCV +alSnBjWpRW3qUJd61KcBDWlEY5rQlGY0pwUtaUVr2tCWdrSnAx3pRGe60JVu +dKcHPelFb/rQl370ZwADGcRghjCUYQxnBCMZxWjGMJZxjGcCE5nEZKYwlWlM +ZwYzmcVs5jCXecxnAQtZxGKWsJRlLGcFK1nFatawlnWsZwMb2cRmtrCVbWxn +BzvZxW72sJd97OcABznEYY5wlGMc5wQnOcVpznCWc5znAhe5xGWucJVrXOcG +N7nFbe5wl3vc5wEPecRjnvCUZzznBS95xWve8JZ3vOcDH/nEZ77wlW985wc/ ++cVv/vCXfwSE9Z//CERgghCUYAQnBCEJRWjCEJZwhCcCEYlEZKIQlWhEJwYx +iUVs4hCXeMQnAQlJRGKSkJRkJCcFKUlFatKQlnSkJwMZyURmspCVbGQnBznJ +RW7ykJd85KcABSlEYYpQlGIUpwQlKUVpylCWcpSnAhWpRGWqUJVqVKcGNalF +bepQl3rUpwENaURjmtCUZjSnBS1pRWva0JZ2tKcDHelEZ7rQlW50pwc96UVv ++tCXfvRnAAMZxGCGMJRhDGcEIxnFaMYwlnGMZwITmcRkpjCVaUxnBjOZxWzm +MJd5zGcBC1nEYpawlGUsZwUrWcVq1rCWdaxnAxvZxGa2sJVtbGcHO9nFbvaw +l33s5wAHOcRhjnCUYxznBCc5xWnOcJZznOcCF7nEZa5wlWtc5wY3ucVt7nCX +e9znAQ95xGOe8JRnPOcFL3nFa97wlne85wMf+cRnvvCVb3znBz/5xW/+8Jd/ +BITzn/8IRGCCEJRgBCcEIQlFaMIQlnCEJwIRiURkohCVaEQnBjGJRWziEJd4 +xCcBCUlEYpKQlGQkJwUpSUVq0pCWdKQnAxnJRGaykJVsZCcHOclFbvKQl3zk +pwAFKURhilCUYhSnBCUpRWnKUJZylKcCFalEZapQlWpUpwY1qUVt6lCXetSn +AQ1pRGOa0JRmNKcFLWlFa9rQlna0pwMd6URnutCVbnSnBz3pRW/60Jd+9GcA +AxnEYIYwlGEMZwQjGcVoxjCWcYxnAhOZxGSmMJVpTGcGM5nFbOYwl3nMZwEL +WcRilrCUZSxnBStZxWrWsJZ1rGcDG9nEZrawlW1sZwc72cVu9rCXfeznAAc5 +xGGOcJRjHOcEJznFac5wlnOc5wIXucRlrnCVa1znBje5xW3ucJd73OcBD3nE +Y57wlGc85wUvecVr3vCWd7znAx/5xGe+8JVvfOcHP/nFb/7wl38EhPef/whE +YIIQlGAEJwQhCUVowhCWcIQnAhGJRGSiEJVoRCcGMYlFbOIQl3jEJwEJSURi +kpCUZCQnBSlJRWrSkJZ0pCcDGclEZrKQlWxkJwc5yUVu8pCXfOSnAAUpRGGK +UJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBjWpRW3qUJd61KcBDWlEY5rQ +lGY0pwUtaUVr2tCWdrSnAx3pRGe60JVudKcHPelFb/rQl370ZwADGcRghjCU +YQxnBCMZxWjGMJZxjGcCE5nEZKYwlWlMZwYzmcVs5jCXecxnAQtZxGKWsJRl +LGcFK1nFatawlnWsZwMb2cRmtrCVbWxnBzvZxW72sJd97OcABznEYY5wlGMc +5wQnOcVpznCWc5znAhe5xGWucJVrXOcGN7nFbe5wl3vc5wEPecRjnvCUZzzn +BS95xWve8JZ3vOcDH/nEZ77wlW985wc/+cVv/vCXfwRE8J//CERgghCUYAQn +BCEJRWjCEJZwhCcCEYlEZKIQlWhEJwYxiUVs4hCXeMQnAQlJRGKSkJRkJCcF +KUlFatKQlnSkJwMZyURmspCVbGQnBznJRW7ykJd85KcABSlEYYpQlGIUpwQl +KUVpylCWcpSnAhWpRGWqUJVqVKcGNalFbepQl3rUpwENaURjmtCUZjSnBS1p +RWva0JZ2tKcDHelEZ7rQlW50pwc96UVv+tCXfvRnAAMZxGCGMJRhDGcEIxnF +aMYwlnGMZwITmcRkpjCVaUxnBjOZxWzmMJd5zGcBC1nEYpawlGUsZwUrWcVq +1rCWdaxnAxvZxGa2sJVtbGcHO9nFbvawl33s5wAHOcRhjnCUYxznBCc5xWnO +cJZznOcCF7nEZa5wlWtc5wY3ucVt7nCXe9znAQ95xGOe8JRnPOcFL3nFa97w +lne85wMf+cRnvvCVb3znBz/5xW/+8Jd/BET0n/8IRGCCEJRgBCcEIQlFaMIQ +lnCEJwIRiURkohCVaEQnBjGJRWziEJd4xCcBCUlEYpKQlGQkJwUpSUVq0pCW +dKQnAxnJRGaykJVsZCcHOclFbvKQl3zkpwAFKURhilCUYhSnBCUpRWnKUJZy +lKcCFalEZapQlWpUpwY1qUVt6lCXetSnAQ1pRGOa0JRmNKcFLWlFa9rQlna0 +pwMd6URnutCVbnSnBz3pRW/60Jd+9GcAAxnEYIYwlGEMZwQjGcVoxjCWcYxn +AhOZxGSmMJVpTGcGM5nFbOYwl3nMZwELWcRilrCUZSxnBStZxWrWsJZ1rGcD +G9nEZrawlW1sZwc72cVu9rCXfeznAAc5xGGOcJRjHOcEJznFac5wlnOc5wIX +ucRlrnCVa1znBje5xW3ucJd73OcBD3nEY57wlGc85wUvecVr3vCWd7znAx/5 +xGe+8JVvfOcHP/nFb/7wl38ERPKf/whEYIIQlGAEJwQhCUVowhCWcIQnAhGJ +RGSiEJVoRCcGMYlFbOIQl3jEJwEJSURikpCUZCQnBSlJRWrSkJZ0pCcDGclE +ZrKQlWxkJwc5yUVu8pCXfOSnAAUpRGGKUJRiFKcEJSlFacpQlnKUpwIVqURl +qlCValSnBjWpRW3qUJd61KcBDWlEY5rQlGY0pwUtaUVr2tCWdrSnAx3pRGe6 +0JVudKcHPelFb/rQl370ZwADGcRghjCUYQxnBCMZxWjGMJZxjGcCE5nEZKYw +lWlMZwYzmcVs5jCXecxnAQtZxGKWsJRlLGcFK1nFatawlnWsZwMb2cRmtrCV +bWxnBzvZxW72sJd97OcABznEYY5wlGMc5wQnOcVpznCWc5znAhe5xGWucJVr +XOcGN7nFbe5wl3vc5wEPecRjnvCUZzznBS95xWve8JZ3vOcDH/nEZ77wlW98 +5wc/+cVv/vCXfwRE9p//CERgghCUYAQnBCEJRWjCEJZwhCcCEYlEZKIQlWhE +JwYxiUVs4hCXeMQnAQlJRGKSkJRkJCcFKUlFatKQlnSkJwMZyURmspCVbGQn +BznJRW7ykJd85KcABSlEYYpQlGIUpwQlKUVpylCWcpSnAhWpRGWqUJVqVKcG +NalFbepQl3rUpwENaURjmtCUZjSnBS1pRWva0JZ2tKcDHelEZ7rQlW50pwc9 +6UVv+tCXfvRnAAMZxGCGMJRhDGcEIxnFaMYwlnGMZwITmcRkpjCVaUxnBjOZ +xWzmMJd5zGcBC1nEYpawlGUsZwUrWcVq1rCWdaxnAxvZxGa2sJVtbGcHO9nF +bvawl33s5wAHOcRhjnCUYxznBCc5xWnOcJZznOcCF7nEZa5wlWtc5wY3ucVt +7nCXe9znAQ95xGOe8JRnPOcFL3nFa97wlne85wMf+cRnvvCVb3znBz/5xW/+ +8Jd/BETxn/8IRGCCEJRgBCcEIQlFaMIQlnCEJwIRiURkohCVaEQnBjGJRWzi +EJd4xCcBCUlEYpKQlGQkJwUpSUVq0pCWdKQnAxnJRGaykJVsZCcHOclFbvKQ +l3zkpwAFKURhilCUYhSnBCUpRWnKUJZylKcCFalEZapQlWpUpwY1qUVt6lCX +etSnAQ1pRGOa0JRmNKcFLWlFa9rQlna0pwMd6URnutCVbnSnBz3pRW/60Jd+ +9GcAAxnEYIYwlGEMZwQjGcVoxjCWcYxnAhOZxGSmMJVpTGcGM5nFbOYwl3nM +ZwELWcRilrCUZSxnBStZxWrWsJZ1rGcDG9nEZrawlW1sZwc72cVu9rCXfezn +AAc5xGGOcJRjHOcEJznFac5wlnOc5wIXucRlrnCVa1znBje5xW3ucJd73OcB +D3nEY57wlGc85wUvecVr3vCWd7znAx/5xGe+8JVvfOcHP/nFb/7wl38ERPWf +/whEYIIQlGAEJwQhCUVowhCWcIQnAhGJRGSiEJVoRCcGMYlFbOIQl3jEJwEJ +SURikpCUZCQnBSlJRWrSkJZ0pCcDGclEZrKQlWxkJwc5yUVu8pCXfOSnAAUp +RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBjWpxf8B+PQ+yg== + "]]}, Annotation[#, "Charting`Private`Tag#2"]& ], + TagBox[{ + Hue[0.1421359549995791, 0.6, 0.6], + Directive[ + PointSize[ + NCache[ + Rational[1, 360], 0.002777777777777778]], + AbsoluteThickness[2], + GrayLevel[0], + Dashing[{Small, Small}]], + LineBox[{5724, 5725}]}, + Annotation[#, "Charting`Private`Tag#3"]& ]}}], { + "WolframDynamicHighlight", <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>}], + DynamicBox[ + (Charting`HighlightActionBox["DynamicHighlight", {}, + Slot["HighlightElements"], + Slot["LayoutOptions"], + Slot["Meta"], + Charting`HighlightActionFunction["DynamicHighlight", + GraphicsComplex[CompressedData[" +1:eJw0mnk0Vt/bhw1JRQMVSUgZEoW+RSVuc5KQJKWIUEkiGYqiRJIiRGVKyFAy +z8M2z/M8z1Q45zw0h3r3b63z/mVd63mc5+zpvj97rUvY4oaBFQsTE1OBFBPT +//4+9mcW/sFCIJkVU3PX9DfC/7M889L0//ja1JbeGlYCjWe/57l6gRdOKMkE +vFpBoMhJZ8GaRn6QDjuqeY2NQN9nDG4c1tsOXAzT5SMrCTRYp/1K5ssO+Krl +nLWOnUAVZSauilmi0BXz9NooZkNPLSfjtF2Q+yduR8YqAl1wu/DDdUgSXp8q +6vNaTSDBEnuzUAVpcP/QEXh6DYFODzBWGVrJginb7FFxDgJl3NfJMdD4D5RN +Wf79wgzbV0yXKx6AHbl8OfWcBOqa2aTxRlceVmyQvR6xlkAT5soe0y6HYPqK +lojdOgIZHeKE5/kKUFtmNgDrCeQN5XsiNipB8laXIK4NBOLbkXebWU0Z/B2f +HZvAXPnPMfyirwrcaIxnyuYi0Cv3Ed0vfapwUrQ414ebQLny4tav5NXhv3ud +dsYbCbQ1ui/DLkYDNvfMiu7eRKADE9Nsl3mPwk9p1qFFzE9n3kh7vtKCPt+t +IU2bCXROo/Bfrrg2FI7JHo/mweshfFyDs/w4RB4+xuLAS6DUIzXNd66cAI/g +i/mqWwgkPcBuw8yvB+ZzLvab+PD4z+9caLbVB3WNAPFpzMfvrWcTNzgJYlHv +hnO3EigrrLrjkaIBrPpZ/OIxP4F0Q3+qMfaeghm9Lh2TbXg+OVgUzMQMoTFx +jnWPAIFExH9Eduw8DR+ZVxT+xeyVGnJSR9wIAs/x32wVxONZ1j1cK3MGbmbu +k3grhOfrm+YRTWVjMOTUHnXcTqA7y7oKVafPgpyVeZiGMIHYWWa2qjucA74S +V13eHQRquXS8pCzIBBZ5Atm+YJb/ZbRKseA8DN1IKCrYSSAmlpiBrE8XANWW +OPqLEEhV0m7rLn4ziBHu3m0qSqAidLZDe/dF8LpDjEmLEQjt45D9W3cRrDpW +vGIWJ1C7zo/QFFtzOCq1Tb8D88DGKCdjbguQ8P6PPX4XXu+MC4p/CyyAY1i7 +xFmCQDpOV0yjrC8BKWfhpLUbj7emTuvgZktoDbgttVWSQNYyVrYN1ZaQ8Tlw +Yhbzdv0fB8+4WUGISuLrYikCjQ5uEBzYZw3Or9HJgD0Emore/sSIsAbjr92r +zPcSKOTmVao2+TIc1iHRPmn8fAX2AtlrV2BbPJvLChn8+SxxNnDvVfi7vG1v +N2YOty+Kk9+uwqjR/qkEWQJFTUd2SpXYQEXq8Yjb+wgUKvXI/6rfNYhfdenU +8f/w+Tz2u+PVWVt4ZH5njcB+AsnJb+crlrwOVwuel5GYxaf/1bUz2cHxjUmu +pQcIpKV0y7C/1w722JZKB8nh/bJVVqwj8wasr+qZviRPoMAd5kbDWvYwL0BF +HjiI92th1e7j+fbQ4bzyNPshAj2SmKyWlnSA7BYBzj7MSFZz7EmUA7zcdaAi ++TCBhp+Yy5htvAl37uvccVcgkN9omE3S45twvv+SrO4RAv2skdO0YXYEpf/c +PgspEuhhrcvtmDuOsN0/KHoes/ZpzwLd747AMpVkVKFEoOxGc+TgcAsmFcvW +vgACCbjwKa2hbkF1aG+ltTKBTL0D53jtnCCRotwOqhDIfn2E52vSCfy02P9b +o0qgf9zLqc/tneF6jODMAGaOmxdllr86g96fAzEpagS6O2+aO+jqArKnThh7 +qBOoRv7uH+l/LrDxg+X6kxoEutcgmfrDxxW+r3Cv3qFJoEWuGj+ZDbeh50Lw +3W+Yv54IUx16fRvyc5L3Vx/F9Suq9cmS2B0IX18+G6aF9/tiEP+zrDtw90rf +26vHCPTy0My752puYFbGOKugTaAZ5ubxlZ1uoLJ1Fdfa4wTK6xJ+SFi5g4ij +UO0w5i0c2aDx2x1WNsp5pOkQ6HODav3aZ3fhs4iu3IMTBLLbdLNXU+Qe1N+1 +Ik7pEoglo0uQUXgPPnS7x4nqEShNZe7k6tMe8Ew6xOQn5pG928WCKA+w933P +XadPoKXeCfEqDU8wGCuve32SQD6Xg8pcQzxh/+F+T1sDAikn7yuYmPAEnuB5 +eaVT+DzZts2y7b8Pv2dXUesNCdRr6iAz8vA+DKhvfzeGeYybw+JG930ojpS/ +kHkaz8df/3M5ux5A9A/dTd5GeD+4DHzNdHsA9/WsG4zO4P2X28p+peUBXEq8 ++2CXMYHYuo/Yt+/0Ag3mF4f+YJZvnFr51dULxM99YDScJVCAw6P4umYvWJ1Z +kRB5DtcH9w5RI9GHMMsxYHrDhECXPB3MX7o/hGbLhc0q5/Hn1F/ZwM6HkFa8 +uon7AoEKedY7KO7xhiAe4YeTmMO3S/6K9vGGWzcOKuSYEojkan+TO+oNRrV6 +C4/MCGRV76r+UMEHDgpfTjp7kUDxrH5FbGE+sPXOvYuS5gT6oG89rvDVB5ba +X/AuYxYTsAwQ038Ew5Ipzc0WBGr80BJRlfIISh9Wer+5hOvX1tmJLZy+EDs0 +cOSmJYGGjEnF7dd8wVvu61c1KwLtl2Z/OFDvC5cD1rzfbE0gTYWzAdqSj+HY +Z2GLT5gdqeWD1/0fg6TKIb78ywQ6E7TinBr5GNa+1m/1u4J/X+lmQ6O+H1AL +lx+dv0qgNaMq1quz/KDtuIfSXht8fuUtWX/zPoHMuNDv/zB3dSG3cPcn8GI5 +5UPbNQKpC8gmMsaegKtR1aVYW9zvlP3Mfx31h3Opg1udrhPopmqwZ8ZHfziy +6lubph2B4r6tHhbkeQqC5hyPt9wg0NufMQaK954CU8EO5RnM3fkrctd+egrj +3Id/FtoTaK1L20SA/jOovHby41MHAnXIR6ZXFDyDd5VXrMxu4v3UuvArUTQA +fAU8t8k64n49bhAEzwPAxjmsg+UWgRx6/jN6tBwAJ1o++nViblnwXKd8IBCk +d1WrvHMi0AqfgJFNtoHAdX/ol4szgVweO6xRjQ2Er33fUo+5EMi/6oRbTX8g +dO3jvMzviuvptIZgPPdzyH2yU5DAHODuPNKv/RxeTR7uKrlNoLo/P5KveD0H +N0UD/8A7BHqX22erW/QcLoReVbNww/M1J8nl//05AOX55z93Ah3K+u4lLB0E +O7ReprPdJZDK2sPp664GwYqY1Cs9mH90/3h4KjYIpn9XCyXdw/WvXWSWGgqC +WoPh7jseOA+9zW2Z2BIMye+/P9XxJNBcXaSgtGEw+K9YqyF4n0B6KaUVbQHB +YHdBZInCzP58xfuGhmDQz1HILHtAoMxXWqXbVoXAvvWnbIK9CFTeYE1VqofA +pis2wlYPcT/g2C9SeT8Efpbe75XzJhAvv6f2VhQCfXyvAlb5ECgncrNuzVII +FN5M0+zH3LWxYGvD4RcQ2VCz/P4RXu/BPRGit1+Ah8hI1l1fAl07IFXSnfsC +zO/+uKb3GI/30DXb4R8vQK177U5hPwJVjYYHHZELBVFp0f4FzG3MNtvmnUKB +3ffI88on+HzruX9fzA6FL6OntEL9CeRkfGv1hR+h0Hjo2r/LT3E9f0yqbZIP +g49BD3IOPSOQ1O4HgcKuYRA4++o6RwCBHpiW9T/MD4Ob6ukiQ5gHRQ+tU1oM +A8PI2oGPgXj+zjnwnFB8CXI/RoI8nxPoaubccLrHS9ii9/OYQRCeH7TrlG35 +S/iTsI5ZJBj//0ykqTvbKxhkEsv7jrnpNpob03oFJWcVb9SEEOj5k8XPEf6v +ICbDUOzVCwI1bClUSml9BV4ctkM2oQS6/dW8j3vza7Cy9Ao5EobzV7VuXNPZ +13C0+PXxdS8JdJlnwG8o6jVI8GSwjGLmBBNX1cnXwHGjLj/9FYGuOAkaL0mE +A1Ezau/1Gu+varvNHPbh0LL9l/jpcAJJ8jwPt8sJh/Tb60fEIvB6Cld1iS2H +Q3C7WOgvzLfvaKT+px4BzpJKJ+ojcb70P7rxxZMIMH54ekVE1P/y4OKcdkcE +HB6yLbwejfNDpr/4af5I2Cb38Ca8IZBN8/aPmZci4e+zcAmuGFwvk3uvWX+I +hNFPGaPjmMmxluPXv0dCuXJ9WNZbAnmMbztUqxQFca/GdH1icX9ltAq6+EaB +z8IvNuM4Am3a+evT7fYouHJ8Q7FEPO5vV155tG6LhuNx4rcWMTs+yGm9czka +9iwrSTa9w/mBTafaNSMa1hsZjUcl4P52/uTx+uVomP94/ZV9Iq5H5ufjc0Xe +QAe7t75qEs4z8bk9mdpvIPtiBPumZAKturY3o8/+DYTlZ5ZMYWZbt2+3RNgb +uM3d4JT7nkAFBrIH3ha/AZNr41KPP+D6Yf2yVn3yDShW/p44l0Kg36OtfRs4 +YkBIgCtc6iOB+ktVTFfuiwEW510GfzGP2+kdFz0bA5PNsLo1Fef1IpPQ654x +UC1+pjQmDdfH8ar9IwkxkOhp5+KYjve/1wz/nZYY8Ovz3quRQaDXyTtVlH7G +gO2+yCmeTJy36mpfSQq9Bd0nWRGfMZsU7uVXPfoWZCYbThVkESi2PKHg/o23 +wK04scY/m0CfHntdnwl7C99e/Cm7kIPXd+d6CffSt9BDct2WziVQ/aoX43Jf +3kL+UQkZ5jwCefJfCNjKHQvhb5Q/tWMWjPsgIq4QC3d/n4mKy8f93qA67Lxl +LJgZ3DjtXIDXW3FmqOBpLKi89+HUKiRQ6cTpOZXcWNi5IqqCrwjnIQn1vPnR +WGC7kH1nFnPsOUKufk0cfMpulC0u/l8+fHShYX8c1K2b/PyshEDrU9WFv5vG +wYfLi9EXEc6TNkYuRx/HwbNS7jP7SnE/VZkxLM+MA3u+3etWlBFoj6dkqtVw +HBjcVKnqwry5V9VNdnU87G8wdk8ox/3Q3Oij6P544BGx/+92BX7/V48VVc3i +4Zf7oxntSlw/LZcFHvnFQ39XVMy2Kpw317Sqf8uOh6K9OcYk5lVHBBIej8VD +1KOm9aXVBPrI8ffQ0bXv4P7oZPXzGgKd/eq7IHXoHVw6tHT3Ui3u721tVYet +3oFG0MYDB+oIZDbzJdH++TsQn909t7KeQLumRwKbi9/BanXV2F7Mke3opuHM +O5iNOHsuuQHX1x3Baiy8CdD03Z7LvRHnv/cXf/WoJUCqrm/tiSZ8v0uW8umy +T4DnCdEeQs34+ejX2J/IBHBkypWbx8xMVjBpNSSA0dlmorwF/160X0/RrwQ4 +mDEVF9JKoCfRmiZnxRJhK8eyiXUbvn8IUZ4ChomwdGnTxoPt+DxluSqufpAI +w0WS9as7CEQZdXlvS0uE0s1q9wcwa1p80z0znAhv7c4dTOnE8xFa/jSfMwke +1jhQ97oIdIt3t7yaQhJYb3/8Tr8b3x+vcSt/u5oEWrffXNjRQyAl94uRjS+T +QLI9d9M3zG5hP9Qaa5JgrWRLQ1Uv7t/Xs3Z9/ZEElNf0g7A+fH/iclFWEUuG +tsHlQ1f7CcT9e4tnzulkyDywef7wAIH+++swaOCdDC+eSSVyDuLnnz+jzZOd +DC6f1MyGMfumh5cwTSXDWWUTnrQhnJ//rtvLvfk9KLy62XR/GPfnhHtPtTXe +g8DC44enRvD7OmS3JTm9B6bjMQqio3g9vdwo6XfvYTw2b+EH5qQroQOD3e+h +cqklqXYM32856/zS2D/Au9OfLr4ex/ftoo755IMfwPfjX17bCZxXd91ia7z6 +AWzYeVoUJwm0bG5VsDH8A+hc3OOzfgrfRwbN2B40foC9+eqKY5g32/IN8Pz9 +ABu4z3/LmMZ5+qiGTLt0CizYOL5/+AnvV7aQ35nmKdBV4Wdh9JlAstGZkkXB +KZC77S3fri84v9vpFc5UpcArp/zW35g/790QCb9SwK259VHDDB7/YnhVwe6P +cEH8s1LkLP6+QajE+QsfATz/fbebI9BqrjfFOwM/gnAfT4oygeu10xlnroqP +wLpvryU3iddfyFhL9MdHmPLT4J/EvH2dwi4ziVSomTjfnk0R6Njb0DXofCok +H7n1+BGDQBcj/k6oB6aC/4snymfnCXRKYEUSoyIV7Mi3P3cv4H717oBu+c9U +0D9a8HEJ8xH/9ZODm9Ng35s2q+avBIrpj/OelU6DTb8/b3vzjUDf1gmuXKud +Bj9OMnU6fCdQrUSxmYplGvQm8z5R+4Hz2UC5v++9NChglVbd/JNAGQ/tHo2/ +TIOI85q/pzGrHPh7TC8zDTyyL6Tl/cL9MeVjc3NTGpivc7rs9xufv/OF680/ +p4HaZX/B83/w+TY3ZV/Jmg6ipbFdexYJxHjSmloskA7sfIX+/zA7njvG6nsw +Hb44tKu1LRFo3eLfRctT6dBQ/+XP22V8Ho6LhRjapUPKTuaMW39xPupdqDJ6 +nA4B7luuav4jUM9I6JNrceng0CW9fQsTiR7pqI0EoXQw3Hu05wvm0wXihY39 +6SD3yPRZITOJVt66ycf3Ix22jDppPGUhUbKj/jcXrgz4c/DpkikriUItCI0v +Uhkw+DwuU2YFic68clx1XSsDSmYKbVjY8PeFOOVYLTPgjVqHcCdmhw/Dte89 +MuBBxExv/EoSvY/nKrQOzwDL78yBLuwkura9fuX+3AzQ1OU7emwViZpHJd9t +7MgAiQSZv1tXk4g6ezaYjcoADiat7DnMkymOtas5MoEwNrMtWUOi1JTXsF08 +E1rSnXcGcpDoYN/cgpZaJqSvedZvzkkiVbNHg15mmRB8Kf75f2tJZC3g86PV +LROcioq02Nbh8b5hVZB5mQlnNnf+68asvoMvLiYrEw7ZzeYkrifR8tNhSdG2 +TOCvYbG7s4FEJW42jQVEJiwLbRXV4SJRwb52D4s1WTDqKjsowE2i6kQJ5W3i +WVDephVMYT7+wIv9s1oWxO2+qF22kUTK3PMtVRezwMfLhTl4E4lEzz0Izr6b +BVcGn+VZbibRt9WaOrmvs0D7wLsbcjwkEvQ89q0+NwuknhWLreIlkWfdS29G +Zxas+9Q51IfZLEbpj/hCFjBgLuT9FhKdc1DXcVifDe0vWXXu8pHI6mSGU6NU +NmTPb2XV20qiSvYA+0Pa2RCmva9gOz+J/q4alcu7nA23Y485LGDuc0kq1/LO +BpOli7sqt5FIX5RcO/s2GxRPu468ECCRf2Aqd3RpNgh9DAi9LEiikWmi3nI4 +G5jZE04cEiJRLmuizJGlbJgwK1nBsZ1EkfYDiqJbc6Aqr6twEPMuq4dzQgdz +IIGLuPlRmER/qiPlpIxywM9mxW7PHSTKkRDm0b6VA7YV/GMnd+LPm1d63w7K +Ad1t/73cKYL32zuNuwVpOSDjpK33HbPuiY5fnC05wN1svrJGFM/v+rh5eyIH +vondLn4pRiLHqWTzaY5c6PYIvGUjTiJmlz7V67tzIa83QfLILhJ1b9kewHYs +F17LovG1Enj/Nl1XTr2cC+5+3a9GMP/USNO38ckFswlCP303iX6MdOTLxeeC +yhG2VV6SJApLqHDaVJkLO19sQ4ZSJLpjdPUe60QusJH/OYvtIdGXUylNrCx5 +8Enz+J5fmNuynC9sFs6DumiLybq9JCpVeC9+UDkP3v+6HR4uTaJeY2kxW7M8 +eHryucF1GXweJKYN0u/lwY3kxNUgS6KJ2+kJ7FF5cJK1tHTDPhINlTkI3ijO +g/3ne1zGMTPvWpn+aTAPeLLJvVn/kWiDp85Zh6U8+LV25bT3fhIpHhfm4tyW +D/3WApFnDpBod55Ze65CPhSh/YYScvj5b8dCb5nkQ9QWHY5FzD0hHsaqbvng +6XCpvFGeRO2VG7iEw/PBov7O7aiDJPrNc61gQ2E+qO8MkrE/hPf/tku6XAP5 +IOae9EnlMIn+bWqs3rGYD6u7SqM2KpAoY9ZFQIO/AGb39J6ewnzgsLyOq0IB +NPlQnLlHSMR3tE+nyKQAUkdWVvoqkkhipRQfl3sBPD8o6HZOiUSXtywlO0cU +gOPzA/ukgESsjZuW5ooK4PSMzpdlzOe2Ka+8NVQA8mqWb1qUSUSma1Zw/C0A +vgi3MzEqJLIcp6SyBAth8VvQOkdVfJ7SmBWvQyEMn0iuUlcjEX+jMiF3sRBK +35W586jj/RpkL891vxDe/uv97zPmeM5Tm5diCuGhMWMmX4NEMQuJnj/LC8E6 +nf3tE00SSSfKOrJOFoLWGqGzF47i91V6Py3EVgS7L8ltkNYi0SXH9k4dsSLg +LDpRw3QMjz/DQt7vaBGQm6zutWOe5eZZ1XulCFqvux+I0yaR17l4ZTm/Isis +Dp5zOo7r4Z+a/rj3RfBC6H3sUR0ScWzc37qzqQhcXMvP8Z0gUZRd1NZMsgjO +tvVxzWI+5VOQabChGBR2z9cW6ZIoz+PwC5Z9xSDgtcrzmR6JDu9pySs/VQz/ +BoTkL+qTSHjdri3BTsUwtl+elD2Jz7vu1w+OYcVQ8VQ3ntWARDdrhuwt84sh +ftrqfBfml3+DLlgNFIMv3N2YcIpEL8SKbZ2Xi8HmZUi9qyGJGgTZXoYJlYDO +/Pv72qfx/Dty99WqlMBe7YqD24xIZHDhicQayxLYENtPEZi1yzd5mfiUwMLi +/Dt0hkRl+w1GihJLoNNwtelzYzw/X6fk9zaUQE7K9s2XzuLxzNr7pBIl8HLl +wcb950jUmhVUqbwBwR0zPa+VJvh9Qr7Mju1DcCHP+nAvZv673D+CTiMArnvz +Sefx/l+bNHjKFYGwzYtEtwskOhR49JVIOALWig9mJ0xJZPfXR5StBMEUfyWP +kBmuZ0t/73wfRVBza6CJgVmYfFv3h7kUkpoWHpZfJFH5iV+ZDzaWwhOxNUdC +zHG/vHnsNrdoKVz3EP5qZUEiY18znmS5UtDrPZgsf4lE3Fysvse0SmGfrL75 +aksSbZ9arGecLYVNfpe3DGDe8x/XYPS1Uvgxfq/lgxXeL56r84zulkKvQqjP +PWu8PxKSTTYHlEJBSIqi/mUSpfXnVwy8KYUIovKb8BUSvY1ZnEvKKIV7moPv +v2J+1v9fp0dlKVyM/mpRdRX3m3xR5wvdpaD6a83WMBu8n+uDW1Q/l4LIyR1t +V66RKIWAIek/pcCefMj3sC2J7p2bDRfhLIMvLCeB8zqJKqKMVgkLlkGDyZUf +Q5idolSERGXKICXLIyXVjkT9wdeGZFTLIGBtmOX9GyS6vz4Y1A3LwMH6I/8p +exKp/XJSNbMug1Ooql3EAffrJ23j913L4MCWocc/MBMmFgIf/MqA1+Gbcu1N +3A9OTZJDEWXwu47j1ytHEtlkchvyppbB4I6dqddukchnQ+Ix47IyKHE7bK3o +hPPCGdPGNx1l8KbzpMB6ZxKZN5K9jKkyeLDnaucoZhF2blutX2Vg6eP5JMMF +z9dPjwcJa8pBcyRM9aEr3j8T1JZ1AuWw62Dq79O3ScR2eYuUu3Q5rHlenSZ+ +B+eFW6l58yrlMPdl6PJvzNQpm0I7w3JoVv0u2OCGOW5Z5qt1OaSFc3ZHuJPI +9bwAj8ftcgj+tvOp3V0Sra12v8ztXw5OJxTUle+R6LZXs3BKVDmceWewyOWB ++7F/pYpeejkc+nc1YwJzmMyGyl8V5cBvfP9qtieJrm60iE/qLofltJfbH90n +kZGMzbj5l3IYWZ3WY/yARNm9PS7CS+VQZlHzbLcXifZmnbX4vK4CYguHNZYw +55dGRuUIV4D3ph9LTQ9J9MbgpIT//gq4cn1tVrQ3iR5sEv535WgFaFeLXHPw +IZEee/62E+cqQEroyA61RyQ68aDy3sHrFbDO9VTfJl9cv/8jhSU9K4DRahM4 +jTleYJRFNLgC2iUeHM17jOtNhJqg2LsKyHrw6u9jPxLdNSuz35tfAaEDadkm +T/B47Jh/KjZWgOv+Wts9/rjfi71PMRypgHNPR3b+w7x42Or5zYUKUJz+0d/6 +FNfXnK6oMLZKEIJ1QW+fkYjzd0x7xZZKYH4peuxWAM5n+XYSPyUrYYJxhEkz +kESxbKPRslAJVccMc3mfk+iC8qt9jgaVkPD2mt0XzKM7FYcLrSrh8eID0cIg +Ej0tvR7NcbsSrhm+HvQPJpHk3cabFv6VcCIlPdg0hESfef4YlkZXgvTKOm2Z +F//LY6GqopmVwG02yswSis+/j8rB59WV8C33Z14HZtZHr/at6K+E7g3r7ePD +SOSbf2TvPaIS8q6Kibu8JJH7k1rxf0xV8LpccVjrFYnelfbxP9pUBe78p19s +fU0iTUdOdp5dVWB6y1ZnDvOGTUtT7xWqQLnJi7UknET7bNSytfSqYIdYeEFA +BM73we4OcxZVsMIjw8E8EvcHVhXeMOcq+NRTt+u/KBJlekvEavlVQZ3M2MiK +aDxfh5u5mKOq4P3jX6HdmC+8rjYrTa+Cp+PrdRPfkKj+ZtJjn6oquKEgznYn +Bu+fz5z+p/qq4GSIUtHxtzjPu/hcEieq4D/itKNALIk+MpI5WZirYbPm9d0U +5iH3dQ8nNlXDz6iHY6VxJPr0Wr26YVc19P0MfxkUTyKd7aMtBUeqoUg/U8/y +Hc7Hhxwj0/SrISqpfqVcAol2XAmQTLGsBk+W8WL2RLw+PlXOaa7VYGHy+1Yf +ZoPKeLcC/2pQz9og9T4J14sEJNfwphrE1u6acE/G+WPd27jxrGpYZQ2vdd/j +fCs1UMZUVw0zJUYnt3/AefLJLz/RoWpo5LVbtYC5m/PRkv58NXy090YVKTiv +febe6MVWA8/rIpxffCRRcIp4QyFfDTjuyNpzORW/j7K+8OKeGjjt1jB5MI1E +cUM83CqqNSDfOR6+Jh3fv3jZXj81qgG+PX8MBjHH9cRmj9rUwKI315qPGbgf +mbqZH/aogaHhXWUembi/q258/Tq4BpC8suvJLJzvHBZMmBNrICbwjPTObBIF +SUfH2hXVgNcXu+lvmLn4oq+Pt9aAtapPZHUOrudCLjnnp2pAKzzS8GUuzn/+ +7+8M/a6B3d+yOGzycN7+i3Is19UC54nGcoV8vP7X5S8v7KgFMn7i9toCPH6x +EF8f+Vpo/ftHZgTz7eYzvMI6tZBxhvtzWiGeXzPWDeUXayEkTSL6QRGJXu/h +vXHVqRacV6sYGRaT6PEotyyvXy0YWxivFSvB6+3qZNAQVQsKhTcqf2JuOFHc +4p1ZCwKbHrnVIby/116J16ythX+2UfvCS/H9pWihZe1QLYxVZX+xLSORfG7f +8YH5WqgQbHqjVI7zaNrLzakr6yDeZfLMhgoSGWYmSzzmr4NHrYvrxjF/FvJ7 +eFWmDq5KbKzOrCRR08e0HfoadXD8we673lW4Xt4IY1I8Vwd7BlT2n6km0UJN +zlaZG3WwYf/Z2V01JJJy9rku8bAOFvzt3/7BnPPs7vddr+qgc+rR2cZa/H53 +uVP3fqyDHKXoDVF1JFJgKww9XFEHL8Nyam7Uk8hWeS5Rp7cO7jCa7qk04Prw +Zuu4JVEH549NHdjYSKIsIl/Ji6UelN4uzU1iPqalWJjAWw/bFzfG5TSRCMR5 +Ddul6oHFUNLEtxnf14zz2VhV62Hqgyr3uRb8e6wvGg+dqYcatnN1kq14Pd5u +jXe2rYckUwfPZcwvOAue5N+vhye5vvItbTifXBt3Zwmrh+sb3pBv2kkUosbi +dPJDPehdzY2/2UEib7tH9u/K6kG2vPm8eieJXARHrjH31MNG/umNPF0kKhx0 +umQxVw/fHZfrP2He7Jd7qo65AXoaNz3I78Z5wHT9ITneBigQlTr0pAf3+5lP +65OkGiDinhrjfC8+H5vedwqrNsC9nnMJe/twfeAv93pzpgEuytw0Zeon0ZHQ +F3yi1xtA9fHjze2YBW8+DUp90AAi428aYwfw/ejbhll42QArFfK8nAZJ5Ffo +I9Sd0gCfg1sOHx0i0a8CQ6mbFQ1QPzc9v2WYRM9hdO2mvgb4oPE3cQazI/G0 +tJBsgGdRmy8WjZDIobRM+eqKRnD4KcX7bJREvJwjftu2NsIpffVmszHcP920 +wrukG+FAkom37DiJTLkv2oVoNAIvi+MR1gmcn3Y/Yjpr0gi/z/l97cS821VQ +d6dDIwxkxiS/m8T5eYXPqa8+jVDMmW/uOoXz2j6BtXURjRBt1bpFexo/r0jV +JS6jEe6XfGrh/4T7XZbhM+/aRrjE+8+HwBz6ME3XdrgRNO15lNBnfH6pjCzj +b42wq27P98AvuN6uyyvSXtMEa3ZofLCYwfnJkP+S6vYmmLtz/tL+WZyf/OTj +QK4Jmjsct66cI1FSp7W7qk4TpEk9aevB3PCe5bO2RRMEeb/1TSJIVDV+acLY +tQluDeeDG4n7Xdy4je2zJjCSb/uhQ5Go5Gb3He+4JjgY+DlFkIHvAxKh6+MK +moD/yz9LBmb7RRfR2tYmWFbh3VY+j+v1rba8+ekmGHm9tyN4gUQHXX5WbV9u +grKvGn5WX0mUqqx07PTGZojVuaAi/w3fNy1/KwVININ3/K1fq76T6HyrW2wz +NMPlv09S+zEfr+V13GjUDMfOxFp/+EGirTOCyRdsm0EyrUDg3k8SpUuOq6c8 +aIa1q9s79X7h8zeQDiyvmoFh/uWJ8G98n3wzFHo+tRnaC5jUvmLWvp2lWVTV +DFkbt/yp/IPre8nD48KDzRBqK50eukiidcIRMU8WmsG1SvPKlSW8PklHNRZX +tcA5QVOhw8v4POd37LMXaoEjLk7dHH/xenH4W88caAHBVv+nQ5iFMgsHr+q0 +AJNEnHrqP7x/+VOekxYtMH6/cNGTiULma5Lvu95ugar+9gwDZgqJRiwmsge2 +QMJ/M1dFWChkdnSGNeJdC+3DUUhsoPjZgeIW2oej0E2PYo3Ojhbah6OQSLa0 +qOtMC+3DUWiVZEpIIFMr7cNRyEtbJeETRyvtw1Hoyz1nLRXeVtqHo1BigZ1z +5I5W2oejUG7EcemlPa20D0ehV/Z7HS4caqV9OApd2nvwcJl6K+3DUaj8zmNf +cf1W2oej0O93qucCTVppH45CZJpXyqJ1K+3D4fGo2XpevdlK+3AUasnib+u7 +20r7cBQqW50eqfO4lfbhKOS9oD1ZFtJK+3AUOtPIHnvoTSvtw1FIKoatN/N9 +K+3D4fcXt7gvk9tK+3AUulpxODK1vJX24Sh09+EHCdnmVtqHo9AP8U6R7L5W +2oejUAJvs7/CVCvtw1FI3ibHrJLRSvtweL4nEsP1llppH45CDQulSkPsbbQP +R+F8ynf0+sY22oejkMCvpox/gm20D0ehxb2/PEJ2t9E+HIWYPDI+SMq10T4c +hQTzeeSrVNpoH45CqiZKAuYn2mgfjkJGgaoX/hm30T4chbRkjnyPtmyjfTgK +rStVm1C1b6N9OAolOTiIfnZro304CkmLDOUHPGqjfTgK1V+KijoU3Eb7cHg9 +rza2TUW10T4chTy23TsZktxG+3AUClhfz6+R00b7cBRK9qre+6usjfbhKFRo +/dg3pamN9uEoNHpql7hVXxvtw1HIIThvldBUG+3DUWg1v57kAKON9uHwej9l +evpqqY324fB4FMYkz61qp304Cm0VZlspsKmd9uEo5DrjwTcu1E77cBTSpSwt +kyTbaR+OQjsO1EzelG+nfTgKjdnlv1RSa6d9OPx+Syfuceq10z4chabnQoMH +z7XTPhyF9v6J7/5o3U77cBS68dRfw+tmO+3D4ecVmQyfvddO+3AUUtERid7n +1077cBTq/fDDe21oO+3DUej+8njgTEw77cNR6OOa38V1Ke20D0eh67paHO/z +22kfDv8eY8T5WVU77cNRaH1181/Htnbah6OQocHOGJOhdtqHo9CfqlkTjS/t +tA9HIed/B/bIfm+nfTgK/fNbv1GIuYP24Sj07K03x/q1HbQPR6GZPfGbmPk6 +aB+OQh/K70h9F+mgfTgKqcdxn5yV6aB9OPy8ClfPiSMdtA+H16ssL29Iq4P2 +4Sj09PPQzz7DDtqHw+t74KtC78UO2ofD76vP6tVr20H7cBT69HxLQ79rB+3D +4fVcPsI98rCD9uEoJKd5x2gqsIP24SiU39T3gojooH04CrHGWjb/TOygfTgK +XfDa+Zc1u4P24Sj0X7/gTu6yDtqHoxBfmanCjqYO2oejUPu/GfX9fR20D4fP +G2eDotZUB+3DUah/zUoR0/kO2oejUGfT2+9Oyx20D0chtu6EtIDVnbQPh+uN +Af+p95s7aR+OQjHbWQdqhTtpHw6Pp9FS8/OeTtqHw/0hQCN49eFO2oejULZB +MpLS7KR9OArtTwmrO2nQSftwFDo7yZXqatpJ+3C43sxts4ux6aR9OLw+1kUr +Gp07aR+OQhzvvtr/etBJ+3B4/yZWpYkFdNI+HJ5PgQPlRuGdtA9HIXtz9Vjf +hE7ah6OQr8f3k0WZnbQPh99/n3bjPOqkfTjcH8bVNkg0dtI+HH7/hAl+i95O +2oejULjWbiJispP24Sikc3jLgz5GJ+3DUajrTUov73In7cPh8643Q55Z3UX7 +cPh8VjeVv9rcRftwFPp88IzBkHAX7cNRqOKhd/iOvV20D0ehDYfORFw93EX7 +cBS6ElxvkKHZRftwFLL1HCpaMuiifTgK1YY/7dUy66J9OAopy/TGhl7ron04 +CuXIF2ybdumifThcT01kVOQfdtE+HIWGF+TW+wV20T4chSRW1j8cjuiifTgK +pR+fit6f1EX7cBQylXho6p/dRftwFLqYFVMyVdZF+3AUOpJ+sES5uYv24SjU +GqxmEtnfRftwOC94FAUvTnfRPhyFXmhGWpl87aJ9OAo9vzbSXPSvi/bhcD8W +cm8S4uymfTgK1ajbmj3c0k37cHj+bBIfzIh00z4c3k/tuw4YyHbTPhzujzZT +twoVu2kfjkIDHV0gpt1N+3C43p2fDw4y6qZ9OFx/VkjfYLrUTftwFNK87d1y +40Y37cPh/cA3mzHq1k37cBQK+3qa95RvN+3D4fmtKvxTHdJN+3AUOrDEZXIk +ppv24fB85ZyQy0zppn04fF7LLvlJFnTTPhyFMl5rnY6v7qZ9OFyf7Bkh2zu6 +aR8O9x8hvaORI920D4fzxQFjG/65btqHo1Ap4+/i61/dtA+H+wHI/eFn66F9 +OJw3ShgWUVw9tA9HoTfF2/fvEOyhfTgK2R3Ou5awu4f24fD+nUhk3yvfQ/tw +FNrGN8mWo9ZD+3AUuv3lnCXo99A+HIWqN/3dXn++h/bh8PnnKDlkdLWH9uHw +eSP8Pkw49dA+HO4X/oYuNx/00D4chb5dXfOSJaCH9uFwvsgL5wwJ76F9OAq9 +P/uzXSyxh/bhKPRzjONLQVYP7cNRaM2LGh39sh7ah8Prc3nL0nRTD+3D4X70 +b/bbvf4e2oej0Lt06QNbPvXQPhyFTHxaCzK+9tA+HK6PBwq9dJl6aR+OQufE +Bp/OcvbSPhzuB45CPY/5emkfjkJOn+0vSIj10j4chc7/KxSs39dL+3C4307N +brGFXtqHw+fXZfbYep1e2oejUIpGfGqmcS/tw+H6/oxV66xVL+3D4f9XZ2xg +utlL+3AUUlx1elXivV7ah6PQsb38Uief9NI+HO4fhZJ3FsN6aR+OQvxWNxjv +4nppHw739+Bm/1PpvbQPRyFtM9kTzCW9tA9HobYgD+m0+l7ah6PQbNIb2Ys9 +vbQPR6GFNreTXJO9tA+H3/fLT/8KRi/tw+F69mBxzHm5l/bhKNQ3aKsruaaP +9uHw/JKyraM8fbQPRyFxExmrsJ19tA9HoVC3Exx6Mn20D0chF2O7UnbFPtqH +w/vtg8uDsmN9tA9HIYupE3ruRn20D4fHK9wlcvBSH+3DUShLZp7p+40+2oej +0PdQ77EM9z7ah6NQpq9TpcPjPtqHw/ViLjZBNrSP9uEo5H7+q+/C2z7ah8Pj +3aFpnZXaR/twFDJQvKfoUtRH+3D4eSZ3ORXq+mgfDu+XEZG2f119tA9HoYfD +px9XjffRPhyFNgvO7/On+mgfDvdv3p6GU0t9tA9HoXs/P53ctrqf9uEoJBPO +WjG1uZ/24XD+CV4tkLajn/bhcB4R6bvgJt1P+3AU2qh20uvokX7ah8N57qX+ +k03H+mkfjkJPPLMdx0/30z4czpurTA+nW/TTPhyuHwviQ543+mkfDp+/dMbZ +k+79tA9HIcfrLz7ueNxP+3AU+vp3offbi37ah8P96etUd83bftqHo9A+P+13 +4an9tA+H+1XOkrp9UT/tw+F+L9ibplHXT/tw+P7Dn/eJv7uf9uEodKvA5dPC +eD/tw1FIuGA+pZ7qp304Cm15xnQodqmf9uHwep2/5+O+eoD24fDvM2mEGPEM +0D4c3m9sey/K7hygfTgKDaZwf+aUGaB9OHwfIBokvhwZoH04XM9t9+2sPjZA ++3C4PvgItscaDdA+HO5P2lf2P7g0QPtwuD67fzpqbj9A+3D4vhTsuEbl7gDt +w1FoU/7EbWG/AdqHo9BE47oQlrAB2oejkL55g9Fk7ADtw1HoF57D6rQB2ofD ++6n/ZFNS8QDtw+H9fqXG/Wn9AO3D4ftlvWijQ88A7cPh9eRRzTeaHKB9ONxv +t8yrHJkfoH04fN/WZ7fc8XeA9uFwfpw3FljNMUj7cBSqmsy/xuAdpH04CkH1 +sk6vyCDtw1HowaefJaWyg7QPRyFjXTeUpDRI+3AU2pl9Tif4+CDtw+H7vt+V +S3eNB2kfDs/vV2eWK1aDtA9HoeXqM+Knbg7SPhy+jwYPtih5DNI+HB7/q+7f +u/0HaR8O5782nljeV4O0D4fz5fT1uhXvBmkfDq/v4Q82CxmDtA+H32ci5uEo +GqR9OArNJwtubmkcpH04fD/gXuAr6RukfTicF/RmA1OmB2kfDvcznT6XyK+D +tA9HIf8kv8anTEO0D4fXu6jJ/97aIdqHw/s3wD7nxtYh2ofD9XhC+ai5+BDt +w+H13supeGr/EO3D4fwUHv1CQ2WI9uHwenDU6h7UHaJ9OHxf4TGwljQZon04 +CnGuWtMveGWI9uFwPR8vTOB2GqJ9OFwfzf5rWvlgiPbhcH+fVdBafDZE+3B4 +vpLf8DDCh2gfjkK/XaXkphKHaB8O54+ppLj+7CHah6PQY7kJs9byIdqHw/3/ +6lur6pYh2ofD/UomPbNocIj24XD+/NZ7NPPLEO3D4f7XPS6U/GOI9uEolPo+ +7FAM6zDtw1HImqk06OWGYdqHw/WJV0QiUGCY9uEoVDn75M+j3cO0D0chSym0 +wlN+mPbhKFSv7K3hqj5M+3D4+5rxBfYnh2kfjkJL3NPmV02HaR+OQosy6w5Z +XBumfTgKqU1MKJq4DtM+HN7PO/fYGXoP0z4cnt+Y1JoTQcO0D0chq2oZraPR +w7QPRyHmNCdC+cMw7cNRqGm/Wvbh/GHah6PQ0fELkfurhyF/hncDJxMDHVt+ +HLu3Yxh2h8XO3sIs8OBJ1a7RYQhXk64ZwvxURIx5JzEMnIyCt5rMDMTQEzAU ++DMMdyM076ViVhTfX8TLPgKkVvvZLSwMxOu9R5570wiYfb9w4D7mscWGck7h +EWiN+bJhBnN9V9t59r0joKLrNGfAykAjm5hZWRRGIOMPU20hZn5H/syloyOw +M8E/VmQFA7lYjNv8NByBkFNbPJ5i/s3Ju2vBfATYmOLO/cBcZXjn85zdCDh/ +kJYzY2Mgqc7GpE9uI/DJuJCrFnPpUPPVcd8RMGY7SsisZCBTd2WxoRcjUJ/e +XvsKs4bj2GDP2xFQMDWNY2FnoB1KDk/aU0fgw5oZj2uYhblSpZuKRkAg18mk +EzNz39mamroReHaJWV5xFQMJKcjol3ePwL/1T7nfYfZ/wagpmhgB+6It5LrV +DLTln7FULmMExq7E1blgtjkq7pa+PAIGm2XiRzF7u3H2nWYbhYqyQs9jaxio +MMHpUzvHKOy3O3o+A/PL+JRPetyjEL+1Q56fg4E+Nwf1Nm4ZBZ4a040PMa87 +x5p/TGgUHjnOkHOY2c4NPaoWHYVfQs71pzkZ6EbJXzU1qVG42sj8rgRz+CmN +ObRvFPpdn94XX8tAAeXPPI4cGoXjonwXAjGvf563lAejUNQWd/A35nfCbywO +aI7CnnsymyzWMVB65o60dJ1RiNpdRNVjtrwkMLnn1Chs6Dna8N96BuqSuL6c +dHYU7nt1vIvAzDZE/hG9OAoL0mYP2DYw0Bdpj4EY61G4NDhzwQ6zfcafSIHr +o9Dp63yoB/PzNkWVV46joHGAZbMyFwPp9ohUbrozCjljTxmJmCtmnogGeo6C ++DO+Ri5uBpLYoGfJ8WgUXh6OT7iDeWKXtofP01FY/UnGawKz71djJ+aQUbgT +XGSqs5GBPnGc0XB/PQqzoHU4G/OmWSHyx5tROD/XsVlwEwM5fHpm55AwCk0v +zeZ9MOfu96iaTRkFJY3ZRgrz0kTDvFXWKKTOOycab2agsgm9hZGCUdgexfKw +DPPvf1NVZ8tG4bn2M7PdPAzEPX7lWkfNKLD85FMIxvx3Q+aYTvMoOMbG8yxh +VpqPEq/uHIUpPdkFS148P5Urj8DAKBgtFTU1Yc57XymQNzYKNYlaSXJb8HrH +ZjTIfB6Fg6c7H0ZjZvFJVk8iRyGJ+eLFVXwMdGWtzyPh76Ow9eOsggPm3hbB +4FeLo/DknAtvP2akomvNxTIGSytZv6puZSDzbOKf76oxuJ75rPk95slz3aZM +68dg2Gxr8iZ+BrqoPHbfZfMY6HG+876Lefjkp8sk/xiU5smaT2O+drZsvdWO +MZC1Kj6it42BBP8ddR3YNQZvuY5tycMsXHfqzUnpMdhY0vl1uwADFfEX+9Qc +GIOHNhdbHmO+eOj8bsUjY/CdZy55AXOK6mq/DNUxsK5w8TERZKD7chFx4sfG +oOcGq0Ul5r/zc64RemOgtS1AcY8QA72Q6WDjMhqDgtqtfKGYufzFtL3Pj4Gk +07tvfzHHuJeo/7YYgwjhfa2XtzPQqPHNb7ZXx2Btc/H7Vszjp/mMR2+Mwb07 +xx4dEmYghUd+dqecx4AS67J4i3ntSIRctfsYXOy4qMSxA/Ne8dSDXmPQ5jHH +dwtzyE6mnuTHY6Aq5fp9EHPsib8ftgWOQWYva5vGTgaa3/JL+lnoGIh4B3z4 +iHmhtcL0X8QYvJDl9+UVYaDpaPmD9rFjsHL43SVPzKPPJApHk8bAxW8ffMHc +7n1jWj9tDD7LlWw1EGWg0OMjeaU5Y3B24tiPAsy/vXVlZYrHoD6gq22nGANV +5wfpRleMgcIR8xR/zO3a99evqx+DD5/nfL9jZnWnbrq3joHAC1dLU3FcD29k +uMx0j0GAygrlGszrxaK3GQ+NARMZwC+zi4GcPf0uVk2MgcNr/p8vMcsqHVPd +NzMG45oJ7cwSDCT2N7U0ijEGp77u+2iD+ZlScP+an2NQGV3yuAMz04WaZ87L +Y3BAR9vqyG4Guhe6fXCMdRze/epSjsfsxu5YprNmHHjjzbetk2Sg2fdBirkb +xsH3JPHTGfMJs1N6wrzj8HvZtWMEc/qg73c/gXGwSV6RqiWF+0nJSulvO8dh +wCjQLx3zd483f87vHgcd1m3WW/cwkOYdiTNVMuNQnJqg4oU56NMttT3y47D3 +/H8Cc5hvj50uCVEch+hV6JfhXgZyMo+uXlQbhw3Z2p3FmMeVRUwstMfhvnl3 +qpg0A605lHunVn8cFtZaPAnALDuxW2TvmXGwLCCsf2GOzjtpFHxhHLqsb6ua +yzBQGvff9b8ujYPmRjbBeszdu1n0z9uMQy4K/L1PFo9nq8zmUvtx2GW7rSsc +87rBs6Y7Xcbh1ZbEtBX7cL3ROrnb5+44rKn6z/865g7+zy6fvcbBzQFd7sas +QlEa2n7jMCdwXA3+YyBDpcPB7wPH4UJ9t2Ai5seWL005w8ah2dniz4b9uD5H +DryzjRwH2El23cactrLLpjF2HNJabqePYxaLOJ0kmTwOwu5sT48fYKABhb3m +fmnjELTr+ZUszIJx0s8/54wDa9c2dQE5nAccdx/ULB6HW/cThXww80hShrEV +4zC1Z/8iiZl/t+70v7pxMOpH3WfkGehyBu+sSes41PgczyjFrD7Nb5HbPQ6H +/ut5KnGQgYja3drcQ+OQPGJxNQjzS6VNb20nxoHfn1RfxOzDmXil+ss4+B+8 +s93yEANx9mVHCDHGYXmSbakRc5TkWkXXH+Ng9/x5z4HD+DzZ3tVqXRqHEUWB +zCjMadNdxeKsE6A/k/iMXYGBZCqnwu+tnoCy0P029pi7udzHOtdPwD61Uo0+ +zM7Fl5/u5pmAWOq4sOoRBjoV6hLlsW0CNkX0LCVj3nnizsbOHRPgrXWpd6Mi +A4neUpoVl5iAH9/ITHfMuzyCRNykJ+ByzJ2AKcxhFeolTQcmoPfEymu6Sjgv +uO7MFToyAcf+PNfMxdyZs7TWQXUCCt4J7NgO+Lzejior05oAyVNJy76YPcK7 +W7n0JiDi3/6+ecy+gzcPm5+egLUfSrPOKeN+Oai8nGoyAR7GOoEVmL9tW7fl +r/kEMFb0XpNSYaB4lsTHx69MgHn6paMvMEd2DOi/tJuA9gvUjr+Y5fOcbSdv +TYDaGre/1qoM9KdAY0DabQKyclb2t2BOqN0ccef+BIheCso+qMZAammZKZWP +JiB0veDzGMwSO+fWrXs2AexFSbZr1Bloc/aTEqOQCXC9ckDLEbPMoGlh1OsJ ++LKpbOcgZqF52RXTbybgXJnOP3UNXL+l28KkEiag4Xpvfwrm+sxVTjdTJuDI +VsscHk0GyvSIe52bOQEp1dRzD8yfnOzZl/InQNDR7fpnzErnDxZA6QQECLEf +O3mUgcwGatIfVE8AU2OQSAHm+nszc5WNE+DgKsi0Uwv326xrNis7JmBcJHng +CWa+Fh6Jo30TYNh2IPcb5iLmXPFHIxNQdbcs6MIxXE8sea2qpyZAbvcJu2rM +2vMsoyvmJiChu/eYtDY+bz7qYaoLE7DFy1L0JeblyXePPX5NwGNpBhPzcVzf +0r5lF/6dgD8DboNXMVMOv7b+XDEJ13zZ89oxcwS758pyTMLg/uBgBR08Xovj +fte4JuHEmOCNOMwj3NJBcbyTUPI0WXvtCZxf8uebBgUmQfqwnJgzZlfdC0c2 +iUzCm+ky5hHMQ6kKg9q7J4Er+MTQUV0Gaoo0+uApMwkPoC8vDfObIpf32XKT +8HXWMoRPj4G8Qq70fjkyCZYvGTceYH78fEFWQG0SutTdj89iLmQdydE7Ngma +8+zihvq4Xgj9tLqvNwm5kcEsxZg/LbIqZZyeBAltoWHRk/h+ENqsOG4yCa9/ +JOc/w/yyWciSy2ISOGLlXvzE/PZqaSpcmQR3vXL7iwYMdGbDbaHrdpNALJ7Q +qcPMqc+d8erWJJgm9onvO8VAD14bWlfdmYQWQyvWcMxfNVYpMDwnQZl5fpjV +EOeNp9/3bn00Cekp7gW2mG0CJpXVnk7CjnOrQrswN+tH3rgWPAnBK0MclE4z +0MqCT/lBryZhRabQiQTMgS+fCOZHT4KT2ftdG4xwXorSjxiOn4RpDvkVtzHX +XPory/phEs7klY+MYe64dH5YLGMSai11C7XP4P7JtO/NsbxJOMTVH5qJOe+e +pvO1kklILra6uc2YgaQ5zM38KyeB32b+hDfmFTla5z7UT4I/z10JEvNqp0qr +htZJ+Fu+iu3MWbx/d4Z7fumehBs3QkYRZt/7wUkrhyZhlH970a5zDHTrwK2R +HROTcLL2fdhzzKH1rMJKXyah/Ja84x/Mc+mrrhtTk/CfcIXuJRN8P1M9Vu7w +fRLimnR3N2K2yn0i5Lc4CZvv9LMdOI/73X+hXjHMU+AjZj0WidkW7SVy2afg +Z/t80coLDFRylN+kae0UXPG4+/IG5odvhBvHNk5Bn+TqW72Yr3ixw3e+KdDu +DdFTMcX1MTAog337FBQ+3C6ZjPm5jq8on9gUSMl+WLnRDJ8vkY+hElJTEDkk +P+6GmbSpW3Fo3xSs86sonsRcdSba7ujBKfCQ03t14iI+32u+txsqTQFjvP9W +Dua6RwEy5upTYB5grS9kzkBni/7zsdWegg6FBUlfzE533rU760+B+ue77POY +Nd0+bPI0moLskNUTZy0Y6L3pmuO+56dATOVFSTnmY+8f3gqwmIIwYvtryUsM +9Lp5JODFlSlY9fqDUwhmFoHR8Nd2U3Bb8+DJZcwDbcfCom5NwcxChZS1JV6f +9WOeMXemwCRab1UL5kcjV8/Eek5B4/GBCXkrfL72JfLF+UyB4i9r9AbzxVGz +6lj/KfgYt/B6tTUDnU40PP82aAqETt5zvol5QUa7P/rlFAQurzYYwHxk1QqI +iJoC5uQXe9QvM1B+rMbjsLgpuGkkvDoFs+fF9tznyVMwwZIyufkK7gde1+v8 +0qbAMPVg6T3MLw/2Fz3ImYIqk8rwT//jkIHA20VTILdK30X/Kr7PBe9Xv1E+ +BYlZAwb5mP9sSey6VDsFfOaX9+6wYSDj+V9qZ5qnwG/t19VPMPu1fnl6rHMK +FvPvTX3FXGigmHG4fwpsrdeUnb/GQMeXslN3j07BEHdoRBXmoUM83nzTU6CL +hF332uL7w8XtsuxzU4CupZwKw2ycEprxdX4KZLYckma6jvO/psKqkZ9TEFNZ +ueYqZv3DrTJ1y1PA7aA/3Ya51YNXMoN1GrwEBssO2+Hf/9H+/dXqafhWdzky +FnPQ5coAz/XTYOX81ZXzBgMle6X8tNo8Dd07PAydMKtO6Ulp80/D0ZY1MsOY +Q9Zfl9ojPA15bqEcR+1xf7Cr/rFOfBokdu34lIo52VjAj5KahtedKeVbHBjI +keO/qeZ908Bx/1DUfcziqbUrUw5Og/ueqtszmFlORxOPlaaB7NM/feomvt/8 +dHlppT4NZj6DMkWYdd02rlTWnobWfVc4RR1xfknZd4BPfxpURr5+eop5SSNU +ZP70NGQ88aj4gdl96m97jck07DzIEW12Cz+fdw9Emk9DyGTonVrMJ/TGLjtc +nga25zuMZJ1wPSIGjqv/Hw33HZfj+8UB3B5ZIWSTjLKSQkmXQmY2yd57ZEXZ +oUhI9giVVKRBkqKTSlPapb2fed889/1EMvI7v9dzvr//Pi9f9dzXfV3nOsfv +/Xr2SJjjlJBx9zA3NyuT9zgoYVK5WaeWjri/tvhPlRyVsBW3Psp2YS73rJ// ++qSEpVovSszD3OqDe/ez5yTM/FvpoylH8L+XDr+8wF3Cnt/ffuwp5kdVl6L7 +eEpYv1n1y7scxf7+kOO92psSdrn+lPFRzFcXBYx8cV/Cmh536FyF+bBO+e5D +PhK2z/a2fLYT7p9pxavNAySsslHv40vM4oJVv5qCJWzR05DHfZ2xX7s5aHr8 +SwlLWGx+/Bzmt6vkk85FSZjJv492PGatvEOF02MlzP/5ovHLj2G97esyoFWi +hPVcUdYZMHeYnNUxPlXC3FrtUAw/jvPJ0AE+JzMl7GdY/UdPzIOjDYvN8iVs +x5rTPo2YeyRERKqLJay4fccTG0+owPyHs2lwpYTNjby9Ih3z9/rJyzZJJOzd +xiEmJidxv86L1OnNSdjoLqFdvDGPOxx4IEOQsIcx5srWp7AfzMvac7pBwrps +T0rai/nDW76V8V8JO62z2LcQs+fG9xOqW0iZEFd2YuppFYxp+Nv8Wjsp27hn +h30Q5g0ee7ZYdpay3N7fTbqdUcHoIWnrFN2lbHrSae1jmKWB2d9u9Jay1wc6 +cjWY5zRY6VgOlLJhA+8kz3PBepv3Jb1OX8rupA/xe+3y/38PWdLDw1DK2h8N +PTngLN6/aodvRkZS5qw/eaUb5q4b+BV5plKmzEoyVWEuinBd6DhZylafWNzV +/hzOq0t/5fW0krIMg3LuA2b9G63rXttImWXBjhTD83jeXm45tWSelIW6fPe7 +jtm+rND32yIpGzT2zKk/mGvH9J7vbidl10o6rtriiu87RHJqyBopa3HhzoTP +mEMW/50Qs1HKDprod5vohvPsn94HFm2XstrKUP4RZpcTjWMle6Rs2eXJqe0u +4DzjtWKf00EpSzJLfrIf83GZekwHJymbKFl8uhhzB90Le+6flLJAr/JV0y5i +Dso2MDwnZb3ZzonBmDt3vbzxzUUpc1d+79bDXQXxrxx7TrsqZb9vn/l6AnPn +mjnTM25I2Z7pndIkmIdVfFQsuydl5ao7/gsu4f4v8GtX9kjKFnjrn4nC7CF5 +/GCjv5TFzQ5bPdgD938fJ3/JMykb92PyJHfM6t2ywTvCpMzXN7m7GvPHpGfa +itdS1n3Bkm+rLqug7t8xhx0xUnbud3la4uX//75eU6VxUvY9YOfT0VdUsKaP ++clNSVK2demPM7cwn1z+0KA8XcoKm7ms+Yc5fHgzK7tsKZv1opPZ9qvY/3Tt +m/C5QMre2t/Vycbs4vosZHqplBm2Gaoy81TBPPmOFm+rpOz+y7B0X8yhl3Te +j5RKWcd1FgEdrmH/Frql7AEnZSc6pLgcwmx7XndjR1HKvr5ZsrYMc5exstnO +DVK2bnOFmY0Xzgfvr16X/JGyLO1dPUIxzzBOm7KohYxZv/+h6nUd76+Ti2dG +t5WxVztcPp3G3P9sTcjgTjKm37NzoBxzWrdJB926ydjN+LtnF9/Afuab1nVl +Lxlrs2/ouhjMdp96dprfX8aO9A0317+JzzOvZ2WInozJki16XsZs3SpRq/MI +GbM/lCJ8x+x1tPjSrtEyljZoacbaW3heB+psTDaWsckZFYHJmJ3WjfQYPEnG +gp12nTO6jfOSZ3E75yky1n9Yw7q7mP/+KSnIspaxKzkuk1vcwfm2XF4/dJaM +/TvZudcuzEPWx25wspUxh5H3xFzMlx9375e+WMaqCod+triL91WIn17fFTK2 ++Fx4kD/mQe4jDu1YI2MJRlPOd76H/fqw1V0iN8qYSVnK+iOY3237KTbbLmP+ +F5daVGKer/+m/5w9MtZrQmWv2fdVEKi/+Mq1AzJ2oXqXOhyz85Cz0wqPyFjj +lYbPfR5gf5bR0qLvCRnbOfnss7OYC+MvO65xkbESaWdXDnPQjWLB203G5t24 +t2GZN84XyX7BpR4y9n7qsCmxmA1yff17e8nYGD5cd/hDrAeDr5UsvS1jj+5O +qb+KeVXnEbZXHsiYtk1q5k/MfxSDGj/6yNgZcenzDY9U0O6XSfnvpzImPqx0 +TcOctb//77HBMrZp7u6N4x+roOHY9fkbw2Usr6FhygPM100XFnpFytiMJ2d7 +t/bB/RLa8caHGBmLXNjl+x7MS/kzZ7/Gydjwv/eyCjCnSLc/6Z0kY3eChgUz +XxW4ujt/t06XsfbLX7oFYt71et/hnVky5tzCclNXP+xHLZoP8cyXMWVIqqUz +5gdS6Z9XxTK2ZtWyPjWYDx4sbV5QIWOf21Z9n/sE+wfP20Y/amWMRezOjsCc +WpvtpqOQsbD1P4P7+6vgUvvpbcZ9k7HBnc5dcMUcfPnps7n1Mub1tsvmb//P +9eEHNzfKWMut99mKp7g+kQPWH2uSsUPdhvf9gLmftf9ez5ZyVhf78odBgAoi +f/719msnZ8t3WeZ4YX5nXMVFdJKz5F5pL35jPj2l7crEbnI2KXHZxc2BKviy +akRtdi85C3Ko2pyB2Tjpz8WyfnLWp/+eqROC8H58ZjFHOljOLqX+7PsI8+7R +j4d8GyZnfw6fa2j7DM/z9gqd7yPlbI+edq4D5rG7XvZrNJKz8s/3Q4ow77PI +NvttKmcLjg13t36O+/OLeudvczmLG/5qy3PMhsY5IY1MzozzLK10gnGeNdZv +9WO6nPmdTut3AnNCtM8O1Ww50xm9/GcdZqfHLcpl8+XsfFFV7vwXuN/Cm9ZV +LJGzH+f3hL7B3O2V7dfcFXK2zbjRfVAI7vf1AW5Ja+TsS/m5rRcx2+9OMHyz +Uc5mX9K2FjE7nbEv8N8mZ9ETH/RfFaqCrVaDL3rtlrORtcMbEzDvmpFjdWK/ +nD3wfJU3Kgz77QH6/7Y4ylmnKSzsJubDyz7DvGNydlKedqkJc8POCy7jTsvZ +t5vLt20Lx/pT2N5G57ycrbeuts7CXNhRq/33i3KW/XXPALOXKlh8cGpa7hU5 +s77f2OiDOX/35gth1+Xs1czz+Vqv8PctGW196Y6c6ddrhx/EPMR58Y9N3nJ2 +8/EDj1LM1qMu+Zn7yllb2xHbZ0SoYEHIlVldAuTsaOOraSGYYYpObdVzOZP7 +s4G9XuN6d8099DJMzlYuTv91CvOuFS6Np1/LWXrT8gIZ5vcWRQ620XJm8bw6 +fFGkCiorrxT1Ajl7Ybf3cjRm0w0rjSsT5GxAq1/bh7zBP88VnZ6myNnVsPPT +PTDXzeoSujNDzpqt6TroO2bbtK1Zo3LkbH97799rolQwbXVYKVcgZ9WvRxQm +YTb965vzrETOlmyMeDn2Ld5n/t/DtlbKWWLnqVfuYM6fvc5xUJ2cmcak72ge +rYK8gluDv8jl7Ok2uxk7MYebLgm//FXOeunUDMrFrDNnrr6VWs4uxO39MzkG +5zHR1ElskLPG3b8Kn2Be0D4txOePnO3s7fqq0zsV6G2KTZjfXMFKP3a96og5 +LCs1srG1gtke8N5ZgbnHAD9XXy0Fix1gYDPrvQr6dG9uPLuLgo1Njxgcjtnu +4L03fHcFe3xk6t/esXgfNA3t6amrYF31P31xwfxu38p5Rv0VzCXLLkKJefmp +rys+D1Yw9fGaq0sB61HVHfOdwxRss8G+Xe8xr7bRVrUcqWD5+b9shsXh51nV +4ej9sQpm4+KqdxXz0vSp2UYmCvZmTLemBswGFtt+JUxSsBEl3kXrP6hAd7Gp +uHSKgt11M3idinl0yIY3NVYKpmXy2tM4HvvFr76zHGwU7Fjl1N33Md8ODX3y +a46CcR6fZrZKwP7c3TLNZYGCrTFbMWQP5k492kS1W6pgn+tqmvIxX6t7t9tj +hYIxr33Flok471/pLe20RsHCLX+/DsB84nHO0MsbFExP6XpN+yP+/ecPh2tt +VbDrt7vtccIcNtlEeW6ngrWa/nBWNebz5bP3/d2rYIdVBvpzk/D5B9x6efCg +gkkevP73CnPuucxX0iMKZjfbqqRfMvYn94L32x9XsJTvnyLPY24nrVGknFYw +M98VXl8xrxFGDphwXsGeza/dY5eC9Z1N1vK5qGB9f++bHYe5/4qUF+2vKJhH +wG99g1Sct+FiWwcvBfu7xK2ZF+bFf0x75t1SsL3Nupf+wpzkdfaL6X0Fqwh+ ++GZTmgrutjOwvflIwRbaG17/hHlnTekB0U/BPrSO3GuargIWtGyWbaCCGb+0 +mvMQc1Dl7E/+wQrmtzZjaNtPKlBoO/z9HaZgOh3smztg7h1/snjBawVzfVNb ++gXzvBOjNvq8VbCGTQ5RVhkqiP409rrqvYJt1/5z/Rnmqsls95R4BSt657av ++2fsr+y6cm5JCjZnR/e5xzEHhazumpWmYDE9Hg2rw9wpu7C4R6aCjYo3bDE/ +E/uPhWYz7XMVzHtvZFkkZr/4icvuFSpY577Wbwdm4f59d7FlUYmCnUrOuHEB +c1ZB3cIelQqmOmjvIGCe+KKFxYJaBdswqG7uymx8/2W3ElxlCpbzyWF4Auaf +be0lMZyCTXP602JUDs4HWf98v6oULGLohfIbmBPLrH4O+K5gQ3O6R//F7FeU +XzuvUcFunXx0c2uuCs7tP7Dt6F8Fazty5P5MzKuXfz7p01zJjhZGzpuUh/OD +xWODlNZKJj9rPcIH89Wyh1u59kq2yuhzS618FQz4ftqkc2cl+1RqX3EA88rp +f6+N7qZkUy7WRZdgdvRMOzGnp5KFmO6/Nb0A66Hfox+b+yjZwOo/+19gLp8z +/vfxAUrmeeWCbc9CFeSstHD30lOy5pN1DE5hnvl8v6//MCU7IH3USob5eW93 +60hDJau5PrJy4Rfsly5Y7Egco2RLp76Jefv/XMl6ZBkr2UfO+rZeEfaPXebO +KJqgZBPufj5wCbO6XY+fFeZKFjBj5fx6zDeSlo6qtVQyXbHOYE0x3l+zPlTW +WSvZxYf7WydhnnOjq06djZL9mvO3ckyJCka9+ZNYNUfJdjVceHcbs0WimVgy +X8lK/XTuNCvFfij/1L3cxUpmu/DxwR2YrTu6vktZrmSxf0YuyMF81rOlXcxK +JRsb9MZwchk+/7XQ7c/XKpnPsmltnmBePtNKdWejknVrkVnVsVwFd77t485u +VbKzISvfH8Y8OFtctXunktWvlNwpx7zP/MyUxXuVbEvbA4dmVqhguEvOVdMD +Slbw6u+CMMwz2z5c1NNRyWauvziydyU+37j7Z+qdlCyqY4+2Lpi3O5wZkHVC +yQzePq5WYH48pd3woDNKdm/LqNglVSpo2Vd699R5JevQLeruO8yF1/MPL7mo +ZMdjpx0eWo33ncWlt/qXlYzfmbnwCuZvr2CT2lPJ1vZaNaoB8xIfw4NwQ8ky +EyRt19fgfBZ5VnLhjpJNdThQk4J5eJjLuwUPlCy8X1PsuFo8X0sq1N0fK5le +6sV79zD/2b/oUr6fkl0/3MOxZR2+D4nnmRsBStZKz2fRbsz+t5blL3yuZI6f +R43Ox9z1kN15rVAlkzpHtbOU4Odznu/54aWSrRg+vfYpZtOXYv3hSCVLzc2E +LlIVnBr9J3x4tJKZn151/yjm9R2GJBW8V7Lno6SOVZiPOw40OvtByfoVHVg8 +R6aCK/5BX0d9VLLL55tGv8J8tcSpWV6KkjWNc2/fT64CbtuszUc/Kdm+8h51 +5zC/epqh2ydLySrdfeJ4zPHN4vu9zVWyRRNHP1iuUEGjsmzvskIli6+JOgKY +tyqLOn0rVrLxntOXjFCq4NjVvT/PlyvZE4usMdcwT3JcOrpPtZL1kK/S+oV5 +49zpz5/XKZnrTWndRg7715QfDuZyJWuwOvghHbPwWv9EEqdk2782PTDhsf+X +u35eoFKyonvuR70xP5hZsKlArWRzZ/Zc2uarCvompk9e2aBk79Q+Y/dhNtgw +aFnJLyUb/Xh0hy+YRxtdDrFvUrKH895Kpn7Der4o3Sa/Oce6NE6PD8K8+cf1 +fvNbc+y0f5Z3NxXOk2sej0lsxzFh0WqnY5i3FQYdm9iRYxubpEtrMR9J2NEi +sAvHcp8dNLIVcH67dvdDj+4cm273r0Mk5v0J4pvTPTn2uuUl6QBRBUbyMbWy +3hwbFtYzwQ3zfP+2M+b359jt1b4PVZibPZ9QFDaIY+3aj3G2V+M8EHv4kbY+ +x5xev10Wj9kh8uStPcM5ptgwY9zIetwfTh3eJxtybFXn7I43MA9rUdB14BiO +fYpeLfuD2WzVnesHx3FsyjZZwpbvKijw7Wj10YRjId0PPfqMeUV7XldnEscG +xf1znvhDBZ+z1b3XT+bYtd2Xlj/GPGpxiXWQJcda9O5l3L4B6616jdc3K44d +/Ojb6QBmM+MJbcfP4Fjt/jHyYswmDwc8PjiLY8sGRCdO+4nzRkn2qvC5HEtK +m/E4GLPbYy0zbj7HJh7JPtajEfdz9NkJ+os5Fjhkjd1JzNPb/Vxsv4xjvbNk +xlLMm07pXb60gmPuxw91XvhLBZ0NYmuiV3Hs94hmiijM8UNcl0nXcmx3/qWP +g3+rYLyfaZX2Ro6Vnenl4475jMrt/MQtHJs/xu+4GrPjzklWq7ZzDIrHrFj9 +RwVvzrfofnwXx4zcosd/xPz3k0/j3b0c8xlv02XMX+xHq7LVEfs51q0yW3EL +8zjn+c0yDnHsrMeapH+Yv27J7V99hGPfJ8l9tjdh/b7Yb269M8e21h06kY25 +bXWda8uTHCu81sze/B/WaxdlZpczHJtl6WHihznzlly/9zmOPJwAPzc8ODfQ +jSMPJ8BaFsfruXPk4QTw9u26bshljjycAFm9bAsHeXLk4QTInjZ2Wd/rHHk4 +AfbVHirsdosjDydAcFbx6rZ3OfJwAqh/9q/9eZ8jDyfAsm2/d0kfcuThBEhk +RuocH448nAC2cU5HY55w5OEEWGR189fjAI48nABJplOPnn3GkYcTYM/ISaqN +LzjycAJ0STffwMI48nACxHxpnab7iiMPJ0AzEzuDb6858nACGH7hTsRHceTh +BDhVei7JK4YjDyeA86Gy5utjOfJwArRXRYwx/MCRhxMgLSZ1rpDAkYcTYO6G +YrvXSRx5OAGs3PwXOaZy5OEE+CTIJ5p84sjDCRDusrX9t88ceTgBHpgVJz7N +5sjDCbDCpNWOVXkceTgBeob61Xcs5MjDCeDf6ujWmCKOPJwAL0Omvt9aypGH +E0DL5n1D5wqOPJwAX1xe6ERUceThBKi1yO62vJYjDydAzi6Zql7CkYcTYMvw +Vy885Rx5OAHeBQgzDTiOPJwAN2dsjo39ypGHE8DDOb3LIoEjDyfA6iqpeaWa +Iw8ngN4EZ8vdPzjycPi8y6f1/v6TIw8nwE7rVmnOvznycLhf+p5c8PcvRx5O +APehBwKPN+PJwwlQkeyT+7MFTx5OgN4enzP3t+bJwwlgMDD6vrQtTx5OgIOL +hk1YqcWThxOgc69879SOPHk4fL8XLmSbduHJw+H7qWyR9bArTx5OgM9n2txp +pcOThxNApVo2YltPnjycAM++vDqVpMuThxOgvF3NA72+PHk4AZocn5481p8n +DyfAYssPQ7IH8uThBJj8+If7ED2ePJwAJ1ppvTqgz5OHE+B0Y+y92GE8eTgB +7poUTW1rwJOHw/Pj1e/JvJE8eThc3562CVdG8+ThBJjOj/HOGMuThxOg7U5X +o/bGPHk4ARra6R63MuHJwwkQuTfwpOMEnjycAMnb/0wInMSTh8P9zH/xKzDn +ycMJkNe/ZWLzKTx5OAGeWE+9ZcB48nAC/M5c1nu+FU8eToCyTe3n7ZvGk4fD +9UoaNPryDJ48nAA7uA0xATN58nC4n9Ve32Nn8+ThBIjSdy7OmcuThxNgQEPZ +9hpbnjycANIO5x+pFvDk4fD9jptw4tcinjycAJ02RDVrvpQnDyfAqoScEa2W +8+ThBLgYPK++5QqePJwAjx/yG5qt5MnDCbB39gmHxlU8eTgBMtwTBnxbw5OH +E+D73gu7qtbx5OEEiDe6sSxrA08eDtffPLQkZhNPHk6AwiG+f/y28OThcD0j +Td9c2MaThxPguNJYa+cOnjycAE6KjfUzd/Hk4QTgOl49pLeHJw8nwPvS0xcb +9/Lk4fDPdVoYZzjw5OEEKPEp3e19gCcPJ4CvT/GknYd48nC4HpuTbox35MnD +CeC4e+epxiM8eTgBWu671hDjxJOHw/Vd2uaP8zGePBzWkwk3Lk04wZOHE8By +axv/ryd58nAC6GwZMdPvNE8eDs+D4qPDUheePJwAZ6qeDWpxjicPJ0CbXX7L +gs/z5OEEOFB6rPMSN548HD5PXLM5Py7w5OEEGFHU1OaWO08eToBt/aZNN/bg +ycMJMDjqXrP0yzx5OAFCtHPN11/lycMJ4PbhrSB48uTh8Dx599M/7cWThxMg +KDQ1S+sGTx5OgAvmV39cu8mTh8N69XbyjR63efJwAqRXegfevMOTh8P/3vuc +cbd7PHk4fJ6eYHTpPk8eToAOwf18m3nz5OFwP/3cff7AQ548HN6POaeKKh/x +5OGwns0a6j3XhycPJ8BM/9GZL3158nBYLxx27O35hCcPh/fb7iAnR3+ePJwA +Xrdjv+U85cnDCdBjtHPmyECePJwAff1f9DoTxJOHE6AxwjA55xlPHg77hwUJ +pYOCefJwAkj8Z9vtesGTh8P6N8zT9GUITx4Oz+/pHY7fQ3nycALUPQrUNQ3n +ycMJ8CZsUN/9L3nycPh5BvofD3rFk4fDn3ervUV5BE8eDutHK70VXSJ58nDY +f9yMz7R4w5OHw/0dBT5bo3jycHgf9vv6yeMtTx4O602E3sLQaJ48nAC7Xhkb +fo7hycMJcMNTbS9/x5OHw/3QenJ5s1iePJwA97pUvNYBnjycABu+va7Qj+PJ +wwlQbXBr5bgPPHk4Aa5eWD7UPJ4nD4f3d+/PliyBJw+H9cAoz39qIk8eDu+z +bVPtLT/y5OHwfN1X2E1K4snDCbC+/tnjMck8eTjc32X2xoNTePJwAlxpn9VB +O5UnDyfA00OSkb8xazycAEs3OF6rTuPJw+HPX2k/OSmdJw+H+8t0x4inn3jy +cAJ07HTaziWDJw8nwOzZR5NXfubJwwkw9N6oI2MzefJwAkz7dWRLsyyePJwA +QojptQzMGg8nwOVZVo23snnycAIofB0frM7hycPhfqkLdxqQy5OHE4A/l3m1 +FLPGwwkwzCq47FYeTx4O98uIoRtt83nycLi/bvTq16yAJw+H95PRBq1QzBoP +h/2rWDFyZSFPHk6AdoMPnGr+hScPh39/4Y+W/pg1Hk6AH1vnRk0v4snDYT9y +e+H9SswaD4f3xfa650eLefJwAjQPlcs6lvDk4QT4e23KIm/MGg8nwCj3DzUG +pTx5OAHGbVz+6CVmjYcTQH9imcvEMp48nACtnCZejsKs8XAC9FPavDct58nD +4fl+wncNxazxcPh+tTu761fw5OGw3/3qrn8Ls8bDCZC/ZGZly0qePByux7Nh +7/Zg1ng4AaxfdXyTi1nj4bB/PleWaVrFk4fDft79ROsbmDUeDvvTS9nLv2LW +eDgBtP1i46dX8+Th8HzMMJx1G7PGwwlwjv2qq8Os8XACbH2jc9+ohicPJ8Dm +Ueu3HsGs8XACJBxJsInGrPFwuD4ZQyc3YtZ4ODz/0XutTWp58nBYHwxdV+3C +rPFwAszfuPT8Q8waD4f3bYv42AzMGg8nQIBWVJtGzBoPJ0D3/IGrB9Xx5OEE +qO9T834aZo2HE2C0Wmm4CbPGwwnQP1ff9yRmjYcTQPfqUb1bmDUeDj/Pypxn +QZg1Hk6AXmn9zd9i1ng4AVKybDITMWs8HM5jCWY7PmHWeDg87/Y1bbIwazyc +AIeCjAIyMWs8HN6nY7rMTses8XACtPi4WxmPWePhcH55MfFyJGaNhxPghcfa +0U8xazycADU7stKuYdZ4OAHsXx7f7IRZ4+EEMJq0pHE1Zo2HE2DC+OkXLDBr +PBzWT6up2rqYNR5OgKPbJnl+w/XUeDgBJnYd0DYBs8bDCTCyW81BL8waD4f3 +/VOn/DWYNR4Oz/f+PMOhmDUeDvv9M5IDMnzfGg+H+9/WLzgAs8bDCfAosqlg +I2aNh8P+tT2v6o1Z4+Gw/vzd2PgJ95vGwwlgGrns2zHMGg8ngPJDdPYwzBoP +h+ex/4VHGbifNR4On29chJ0DZo2Hw34437SxM2aNh8P3u6DV2SA8LxoPh/3S +0l71DLPGw2E9aLNtbg6eP42HE8C1Uem2HrPGwwkQZvwoUIHnV+Ph8P4e5hzs +gFnj4QQojXH0EvH8azycAAPnXrV3wKzxcFh/HsU2U2D90Hg4nIcb/rmuw6zx +cNjPtV6gzML6o/FweD6/BYyyxKzxcNj/Lmg7JwDrl8bDCTB24k7rjpg1Hg7f +16jPOnuw3mk8nADdRo6LS8X6qPFwuD4B16bpYdZ4OAFmeXz1dsT6qvFwuP8s +Zn5Owvqr8XACRN+4n9sNs8bD4c+TK4NXYv3WeDjsT9qZ2T/E+q7xcAIssDhX +WIb1X+PhBLCZlTmsN2aNh8P3t6ufzUK8PzQeDvvvjXtNzuL9ovFweL9sTlGF +4/2j8XB4vwwf41yK95XGw+HPK/fLbIFZ4+HwvjMfo9LH+03j4bBf25VTbI33 +n8bD4fyqd+vKarwfNR5OgGZXT2kdwPtT4+EE+LXhpu1ZvF81Hg7rW0b5ck+8 +fzUeTgCz85uG3sX7WuPhsH+CkVHeeJ9rPBzeNxOmdn6I973Gw2E/M/zZsHvY +D2g8nAAOSw4288J+QePhcH2bPb13HvsJjYcT4OHvefWHsN/QeDisXzcPdlyP +/YjGw+H6Bg6ttsF+RePhsN+5vu+QAfYzGg+Hz8/WxrfFfkfj4QTw6fsvrQr7 +IY2Hw/tgyPrLb7Bf0ng4nN+Lrra7iP2UxsMJwOZ5T16O/ZbGw2E/2ePO0IHY +j2k8HNa3FZ4fa7B/03g47CdTbvV58ponD4f7w/fdkPXY72k8HM6TL7tX9sJ+ +UOPhBDiyJGR+OvaPGg+H/f+eR3udw3jycAJcP/rLcij2mxoPJ8Duk7mx6dif +ajwcfj5dxu/B/lXj4QToun9xaofnPHk4PB82Qxb7Y/+r8XACGEuTXc2xP9Z4 +OHxfE+22pmP/rPFw2L+Gyr7ZYX+t8XDYL6+8oVfpx5OHE+BgyYG/m7A/13g4 +XN8d3qdqHvPk4fDPc0YHrsX+XuPhcH1OWDrm4zyg8XD4+XcoZDMf8OTh/n8/ +2v57jfOExsMJYNfgEjXwLk8eToAuNwO7n8d5ROPhBJi0q6SLBOcVjYfD/u6Y +WbA1zjMaD4fz8cYq6T2cdzQeTgC/leKHrzgPaTzc///9yMPMEucljYcTwPxU +pu1FnKc0Hg7vv4llfzMv8eThBEjqXTirG85jGg+H/dLxitELcV7TeDjsL+x6 +B1905cnDCRBoFRgfi/OfxsMJsOlImMM3nA81Hk6AnoXLX/c9w5OHE2BPTdzV +aad48nDY3yfo/NuK86fGwwkwdYZTC1ecTzUeDu/X4h53H+P8qvFwApx92C0+ +EudbjYfD+2DxneMph3nycDiPrc9Kzj/Ik4fD+bik1rd8P08eToCNZ5p1qtnH +k4fD+h49v3UNzuMaDyeAy53f7uU4r2s8nAALS8d75+M8r/FwAnwQ9Cen4Lyv +8XACZIp/NkVu4cnD4fwQoOj5eBNPHk4Azwv9l5zfwJOHE2Ddu4+9tq7jycNh +v99XZ7P1Gp48HM4TY80n9lnFk4fDemO605NfwZOHw/rXp3Dvu+U8eTis92cj +sl2X8uThcP8kTYiat5gnD4f9qsprcOeFPHk4vC8Smzqm2/Lk4QQY9D7M6exc +njycAKEdKrdOnM2Th8P1uJeYK7HhycMJML3Tbbg2nScPh+/ng6fBJGuePBzu +lyyldjHjycPh/upSc+jIFJ48nACvO71cqj2ZJw+H57nvzdAnk3jycFjfpqee +M5nAk4fD+rbMIxfG8+ThBNAq7nffZhxPHg7P34Do4pQxPHm4/89jT67ZjOLJ +w+H9WtUXwIAnD4f1N3HjRpPhPHk4ARqMYpyf6PPk4fD8TtveVluPJw+H9bHi +RdsjA3nycAI4BWc5F/XjycMJENS35/qJfXjycPj7tiRGevbiycP9/z7VO1mn +w5OHE+DOqqOvTbvx5OHwPOzsvPpMF548HH6+vmP2p3TkycMJIHoPrtfS4snD +4X3xdXztrLY8eThcv6KwqS6tePJwAlQ11LZ705wnD4fr87q/hbSJIw8nwGfb +oKJufzjycHjffi6oNGvkyMNh/9P7x8LVPzjycLjeVXYGzmqOPBzO86G2O66r +OPJweL/UGmgH8hx5OAHGR7D+UQqOPByet5E1VxOkHHk47M/G7tiWVsuRhxNA +dmK436cqjjycAP9CD1mnlXPk4bC+mQVOTyjhyMPh/t/eJ+jNF448HP7+Xfr7 +AvI58nB43ucNuuWVw5GHw/pav72/UyZHHg77sVErW636xJGHE+D7o4VzJqVy +5OGwXhcFfdVO4sjD4X02M1FVG8+Rh8P1mfJvQQRw5OGw/1VFdzj1jiMPh/3d +iRVDZrzlyMNh/Zaa3WoTyZGHEyBVN35TwkuOPJwA2bVLLx0L5cjD4Tzf1q7j +2GCOPBzuxxFjJGWBHHk4nIeKp+pe8OfIw2F9uv/DZ7QvRx5OgOVf3rh8fsiR +h8N6zPFvd97nyMMJ8Gdwy5kt7nDk4XAeithocPMGRx5OAI/Mo+uHXOPIwwlg +df2lMvgyRx5OgLbdTqaNc+fIwwlgsWTOn3BXjjwc7r8lbqdHn+XIw+F81vbp +8ienOPJw+PvtdU/2PM6Rh8N5beyiH2ePcuThcL9vTozlDnHk4QQ4nScpWLif +Iw+H9WHxGMuwPRx5ONyPYV3rtXZy5OEEeAbtfq7fypGHw/7E1mP2y40ceTgB +ehj2lDWt5cjD4XkcOjjXZhVHHg7v9+4GWu52HHk4PG8pzzxSlnDk4QR4O1h/ +ZYuFHHk4AbY0dT8wcR5HHg7nR5tfudtmceThsH+T2p26Pp0jD4fPWxpyMHoq +Rx4O5x99l7BSC448HM7Dn5eZ/prEkYfD/Vcf0ry7KUceDu//9917DB/HkYcT +4MGaDvsmjObIw+F57jugi5UBRx4O/75tfr3NUI48HPY7q2IGzhrMkYfD/v3D +qqvT+3Pk4QTY22naNIveHHk4rOd1TeZje3Dk4XD+bTPAsX9Xjjwc3m9WRvVt +OnHk4QSIPJcUoWzHkYfD9S448Ca9FUceToBLdim/nzbjyMNhfz5z1ZkTf5Tk +4QTIb/5h5oKfSvJwWE+be87vV68kD4d/XrXuZu03JXk4Ad6tTe0bqFSShxNg +sN3Wqq1SJXk47BcysusG1SjJw+E8Ig8YUVCuJA+H8+jP80GuxUrycHh/79Xa +blygJA+H51eZt6koW0keToBrJq4PjmUoycPhfTAlrmvvVCV5OOxn9IclhCcq +ycP9fx5bHTYjTkkeDufNb22L8mKU5OFwvviVabHujZI8nAAh41eX1L5UkocT +YPbzZRFbQpTk4QSIfbI1uSpISR4O68Udcx17fyV5OOxHJpy8l/5YSR5OgNwt +FfZmD5Tk4bCft+m02Pe2kjycAEuMo1zaXFeShxOgJu6acssVJXk4PP8f53nE +XVSSh8P7f5H35p7nleThsJ+PnHRo22kleTgB9rnlR786piQPh/Xw7shJvx2V +5OHwfmhVr5xyQEkeDp9/mDz/2B4leTgBKv2SvkdsV5KHw/5o++y58k1K8nDY +jwSNLdBdpyQPh59fMunWtJVK8nD///9bhl7asUxJHk6AV5+jItwXKsnDCbD9 +V0S3gLlK8nB4vw2teBJroyQPJ8AZu787s62U5OGwHvIFmysslOThBBhz28RL +NlFJHk6APisrRc5YSR4Oz/91f1dutJI8nADODQttpSOU5OEEcLsVZlM2REke +Dufb4usHPg9QkocTYLhHelZ0byV5OLz/sgw2+OooycP9f553GXK+i5I8HL4P +t6Bem7SU5OEESDd0nGLRWkkeDu/DRLih3ew/DyeA0bZ1/St/KcjDCeB/eWzh +s+8K8nC4Xn06xjuoFOTh8H2uyikbp1SQh8P1aLfB8Gudgjwc1oeZdwL8KxXk +4fD9vbBftqJEQR5OABN2YXybAgV5OLz/D7SzDs1SkIfDedwo7vSSdAV5OAEm +f7n1TfyoIA+H953l4SuX4xTk4XD/hM5YMyRGQR5OALlKvSritYI8HNaT6Xvc +p4YpyMNhfd72WJb8TEEeDuvBzROOc/wV5OEEsHX/MT7lkYI8HNYbl6ZBVvcU +5OEEsLF0n/L6hoI8nAD3mnte1L+qIA+H9eZ229ZXLyrIw+F8vUgRWn9WQR4O +62mH8e7LTirIwwkQPqr8evhRBXk4fP5A+ad2BxXk4XA+bLbMdNUeBXk4AXbY +DM4I3KYgD4fP23/RDWGDgjwczl/vZe6mqxXk4fA8CXzYoeUK8nB4X/bd3iZ0 +oYI8HM63E9Zfqp2jIA8ngOOXStZjhoI8nADPjX4MsWIK8nACvOwUMnG7mYI8 +HNbf0l7H3McryMMJMC94+teA0QrycAIIo2ZeixuuIA+H/VHE+M15gxXk4QTg +LAZtq+mrIA+H/d9O/Xt8DwV5OJxX3e3/il0U5OGw/t4s9lS3V5CHw/M7NnHp +t5YK8nDYv78wmS35KycPh+en57y9Xxrk5OGw3x04KvGjICcPJ8CAiT/nhCjl +5OGwXpzMb+FVJycPh/UuS6F0qJCTh8N6HLe+zdwiOXk47DcfbVg8KFdOHk6A +k869s1Sf5OThBGg3IPLUuyQ5eTj8/LrbNpyNk5OHw3kres3hGdFy8nACJPfO +iGkRIScPh/ObjsIk5oWcPJwAN+crK/cGyMnDCXDfrH1cfx85eTgBlF1P5iTf +k5OHw/6y+rzunhty8nA4D7mt9ep0RU4eDj9f6MypQW5y8nC4P4pd9KaekZOH +w/544xKzHGc5eTjcH0ebzq07JCcPh/VoWHpr2R45eTh8H4tbvNu1TU4eToD1 +8V8DFOvl5OGwPvQpS928Uk4eToCy6r56xUvk5OHwfJ7/HTbHVk4eDp93yWfH +SBs5eTjc366yg/2nysnDCfDL6nHgKTM5eTjsR27a9igzlpOHEyDhxJxo01Fy +8nD4eRXi7YtD5eThBFgT/STkywA5eTgBLiRAk56unDwcnq8s/wvbu8rJw+H8 +9DR6XpCWnDwc9ustNs+tayknDyfACZ/25/v9lZGHE0Bi0ePX/B8y8nD48z3F +Z8e/ycjD4X2xqssdf5mMPBzOj+tLPqRWycjDYb+5O2WEvFhGHg7v+6VzMlrm +ycjDCeDbWBDaO0NGHg77vzmSLMMkGXk4AbrOFI0mgow8nAB5zY5kWUbJyMPh +PPZQDLUKl5GHw/5sTV0meyYjD4f143q2kZmfjDycAENfTc4d/UBGHk4AmPvs +Tf+bMvJw+Pwdn5a3uyIjD4f7L+ntrG+uMvJwAhxzOPAj65SMPByev2HrZC+O +ysjD4fw6uutA1/0y8nACxP3oe99+p4w8nAhDBvdYM2KTjDycCHXON7aIq2Tk +4UTIbWXy+s1SGXk4ETbVX5131FZGHk6E7pE2o0xsZOThREj69nOp0lJGHk6E +YWdnJ3tPlJGHE6GDZ9nZuUYy8nAilDmuvlQ/QkYeToTWJfvK7wyWkYcTYbF/ +wolJfWTk4UTY26bNztxuMvJwItg6Sf12dJCRhxPh8cc+o363lJGHE2Fpi2Ut +L/yRkocTofkdW0Pt71LycCLsnxbrc52XkocToY/upp3dJFLycCL4ufw651Eu +JQ8nwra5M8TmhVLycPjz7rZ5dSBTSh5OhGyxZUp5spQ8nAhe01ob28RJycOJ +UFOSJwRGScnDiVBxiLVrGy4lDydChLGO4/ogKXk4ESYF6Fu89pGShxPhUt70 +Va3vScnDifBq7Ny8hV5S8nAiMD/twNvuUvJwIiiuORQWuUjJw4kwu2jWpl7H +pOTh8PnznOYtPCglDydCwFrJ9XO7pOThROhWcNA8YpOUPJwIuwdoWVWskpKH +E+GD3/XA1kul5OFEGLTm7/7h86Tk4URYnznh4fTpUvJwIpR0MR6zxkJKHk4E +s+Qavf0mUvJwIowxmXbi9CgpeTgR5o6ZY3lJX0oeToS0Ed+3XusnJQ8nwmrT +aT+9dKTk4URoajNBfbWjlDycCDn12SsutJKShxPh+5/+hsf/SMjDibAhecj2 +XfUS8nAipAZ+676ck5CHE+GMv+cYi1oJeTjc/4d03/YvlZCHEyHmzP2IX7kS +8nAiGItGernpEvJwIiT6iy0CEiTk4UQIM/9r5xgjIQ8nwkFtBz2rVxLycCLE +z9mzqe1zCXk4EdzzevdL9ZWQhxNB2Oht63pPQh5OhDVH9RotvSTk4UQ4tq1g +oPqihDycCIuWy+N9z0jIw4lgY3WlxtZJQh5OBMumXy71DhLycCI8Z9t9b22X +kIcT4cXtrtNM1kvIw4kw+pjp1gw7CXk4Ef5N79Fp4wIJeTgRtMy+TxJtJOTh +RHBw66c8YSkhDydC9IJ8/dYTJOThROj4ZLfUbbSEPByeVw9jkzZDJeThRLjQ +3rnj6X4S8nBYL3Tv7v/eXUIeToR2b2Sbt3aQkIcT4VxNojSnhYQ8nAj5fh6/ +zX/VkYcToaCNr89DoY48nAhtRuws+SurIw8nQrGx7bMVlXXk4UTouTWyS2hh +HXk4EYb30unUPLOOPJwIx18X+s1PqiMPJ4KYcTj/9vs68nAijO281a80oo48 +nAi9Zg3p0j+4jjycCEaHR/ax96sjDydC3+h/Hz3v1ZGHw/Xcqa+TeK2OPJwI +JlqD26gv1JGHE2HlstPe/U/XkYcTYRbLKpl2pI48nAh2P33it+ytIw+H50N1 +ccnZLXXk4XD/lA30fLC6jjycCJlRTU7hS+rIw4lwPjWxW/ycOvJwIgx80n31 +Z6s68nAizBvraVcwqY48HNaHgOo2xWPryMOJYOEbuatoWB15ODxP3WLc8vrX +kYcTIXKu7+p0nTrycCKktNbj3neoIw+H98l69cTgFnXk4UQIkuVY3WqsJQ8n +Qpe7pzscV9WSh8P7owpurZHWkofD++upXZ15eS15OKwfc7s1ds+vJQ8nQu+w +97my9FrycPjzJ4w88ja+ljycCOqUsXXn39aShxNBWew3cH5YLXk4ESr3bhrR +LaCWPJwIe3rPb57jXUseDutL65nBl2/UkocT4X2DycgZl2rJw+HvW9Li9M8z +teThRNg3wDs44GgteTjczy0bXi7eV0seToQrK1rfbNxSSx4O13vwh6UPVteS +hxNhgc7wevMlteThsJ55TzycP7uWPJwIX2t/FO2aWkseDut/5m79pgm15OH+ +v/7+yz1G15KHE2FVQ8iBnvq15OGwHpR7Oz/oU0seToQbHy84DOhaSx4Oz/tF +9+UP2taSh8PPV/FhTM+mGvJw+PwrFv2+VF9DHk6Eb1vXxf5V1JCHw/Owvqfz +rqoa8nB4/559YpRfWEMeToSov5NrzT/XkIfD+5DrdvtBYg15OBGmbd4091d0 +DXk4EU4e3NVyaXgNeTis18P3fAgK+O/74XC/Xwtx++393/fD4XlKc1ox+8Z/ +3w+H9+WPfhOuu//3/XBYT+cq9IpO//f9cCK46Ewa3PfIf98PJ0J65Prx9nv+ ++344vP9fx6+6vum/74fDfuVN3INU+/++Hw7Pk/uHn78X/Pf9cCKcGmF0wNDm +v++HE6H0/bEOyyz++3447Iee90o+Zvzf98OJsM7jWMDDEf99P5wI9yYPCX0/ +4L/vhxPhSOGVyi86/30/HNbbZGtLldZ/3w8nQnlsclrL5v99P5wIrz8+u9C9 +oZo8HL5PsxPOA/lq8nAiyHLzfIfXVJOHEyErdG6rUUXV5OFE8LE97TMqs5o8 +HL6fOz1OGHysJg8nwg7ly7t6MdXk4bB/6NHqV6/wavJweP+tf/u4fUA1eThc +rxtung0PqsnDYf/4Z/inKq9q8nAiHN29YnnKhWrycCK0mlUy7vnJavJwIkw4 +d2SD+6Fq8nD4vkN+SrfsrCYPh/VQHJMyZX01eTgRPAd8a9VteTV5OKzfAd18 +qudWk4cTwTf0yKNQq2rycCLgbdDSaWI1eTgRtsy79dlydDV5ONwfb4a0bj6k +mjycCG9X3gsC3WrycCIM1VLFOneuJg8nQtdtA2cZt6omDyfCrrrhsySNVeTh +RDDP7hR/61sVeTgRBiwtjppWV0UeDvtP5/tj+eIq8nD4Ps03G1zPqiIPh/3r +kXlBpklV5OFEWNFu/8u8mCrycCLsjFXPdAivIg8nwsMdv3e3C6giDydCbPkr +fe8HVeTh8Pn/2h4Y41VFHg77/Yh/K965VZGHE+FE+1alNieqyMNhfRxwvynj +QBV5OOxPTbUSF22vIg+H9TL6/ticNVXk4US4O+3R9AVLqsjDieD9YEeb1FlV +5OFECE5depRZVpGH+/99G+f9cnwVeTgR3oldnPUMqsjDYb2fG6J9dUAVeTi8 +vyy0tvzsXkUeDvtp6zvOa9tXkYfD9Z2Us/RDUyV5OBF+2k9sGFRfSR5OhEML +5m05Ia8kD4f7d+x934LySvJwWD8uvHo5Kq+SPNz/+zfDu6dSK8nD4fmad88+ +M7aSPBw+70q/hr4RleThRIgzVjpuCaokDydCf+3+Jc8fVpKHw/kgTT782/VK +8nC4fiVtN4y9WEkeToSR28a77z5ZSR5OhB9TxwQ8PVhJHg77RSyJZdsrycNh +fxv/Or3r2krycCLIo6uLrZdUkofD9xk2kHeYVUkeDv/+rLltHkypJA+H88y/ +2YaJxpXk4URoa9xmjXx4JXk4EU4PdPDt0L+SPJwIRfUnmgy7VZKHw34qe+Th +mW0rycOJMMq7QSf+ZwV5OBFaduTOfauuIA+H58t4kXWvjArycPh8DguWmb2p +IA8nQvslfZOW+VSQhxNB6v39we5LFeThcP3Xjqw+cbiCPBz2Jw09Hl5cV0Ee +ToQlRn2Lrs6uIA8nQrX1i/ue4yvIw4lwQDHn66X+FeThRBh84FTRmbYV5OGw +/iVUbzkglJOHw37qcO8Ha0rKycPh+hbnnZv2sZw8HO6nrrkj9EPLycPhy9Gu +8Px3p5w8HO7vt1kf813KycNhPajxyHq6u5w8HNbXva2jDywvJw8nQvhCs0tm +U8vJw4nw0d/E9rdBOXk4EZxjtdtHdS8nD4f11qg+ed/fMvJwIvC13a7rScvI +w+F5NQg5mJVVRh4Of1+czkGn6DLycCI0cr73+j8pIw+H841bvPrd5TLycCL8 +0lK62R0pIw+H7/Opz3p+fRl5OLz/5ji5nZxTRh5OhE6x3dp0MCkjD4f337N+ +Eq/+ZeThRFj2Ysm4nm3LyMOJ8HeHi3BDVUoeDuvXnTNGXYpLycOJ8KTXpJ/n +EkrJw2G//Ofe4h/BpeThsD76vZi96VYpeTgRtsefkaafKiUPh+t9dOIEox2l +5OGwv1n7l3kuLiUPh/X0nnYXbnIpeTgRNn9992La0FLycHhfp68edrtzKXk4 +EVQb7M9KGkrIw+H8HGGYOa6qhDwcrudTOx2ntBLycDiv6TiuefeqhDwcnv9S +w9e/H5SQhxPhcYPBsImuJeThsF5enBCzd18JeTicbwS9U74rSsjDYT9VnnU8 +x6qEPBz2K+vGQZNhCXk43M8N0xcN1ykhD4f98Iu+lvP+FpOHw/lPP99zj6SY +PJwI8wMfL72UWUweDuuX1fsb/lHF5OFwv6c7rX7nU0weDvuR1qZvMt2LycPh +fei7JqziYDF5OKzXGw8s4VYXk4fD+juoRfD3GcXk4bA/VT7/+HtMMXk47Me7 +Dgxu6lVMHg7nTYu+u5qaFZOHE2HtKYfOv+VF5OFwP+3+51OfU0QeDuuFwdtx +ypgi8nAi1J55lFr+pIg8nAgLmxIPZ14uIg8nQn39POv3jkXk4XAefbxrcuC6 +IvJweF+O27rHc1YReTgRPsm8ag+PKyIPh/f9UPsXK/oUkYfD+ff4uLxJLYvI +w4mgn+m/pSf3hTycCBlrFu0V8r6QhxPBsazyV+r7L+ThsP+/3E338dMv5OFE +qJobmXPw6hfycFgvg19MnHH0C3k4EUo6ckt0NnwhDyfCzWeOJlWzv5CHw/vv +7WbJc+Mv5OFEuHykwvFQ3y/k4UR4ZqOnNm/1hTwc9mfgvP0fV0geTgT75Fm1 +H/ILycPhff2s6aBLbCF5OFyvsYOHWgUUkocToXnwzNZNVwvJw2F9HdxP7+3R +QvJw2J/PX3XxwIZC8nD4+ZPzZhnOKSQPJ8Jy7V17K40LycPheQ/p3u5m30Ly +cLheMwqHzGpVSB4O58Nn2ZmNXAF5OBFe/hvX51l+AXk4ESDZvKt9bAF5OBFM +m1sntAkoIA+H94lFuOWrqwXk4URYHdnaa+3RAvJwOM/vzkpvt6GAPBzWs0mR +v8JnF5CHw/letm/0SuMC8nD4fpanHWjet4A8HM4bZ58WBbQsIA+H6x3c6GDL +5ZOHE2Hc9oyZYl4+eTi8H96xPbfe55OHw/056azK7Gk+eTg8n90qKkuv5JOH +E8HELNT21JF88nB4Xx3ebDN4fT55OBG0T58rjp+VTx4O59N+z3pvHpdPHg7f +z/jz3Vv3yScPh/0DX1zo3yKfPJwIrp/OO9oo88jDiZCcfPifJDePPBzOZ1vC +XNze5ZGHw/ukYKH2CP888nC4/toXolIu55GHw/tE+eDSDsc88nA475hxd7XW +5ZGHw/pQJ1M/n5lHHg7fZ+d+z2yN8sjDiTB+8NCMb7p55OGwX/3xdo9X8zzy +cLi+/NMHpopc8nAi+HfI3FmUk0seTgRrnVHyEzG55OFEuBP4ecSQJ7nk4XDe +2lRunuqRSx5OhK0/7ho5HM4lDydCko1dX921ueThROhz6WzHDza55OGwP9ju +12PX2FzycHj/aC+Z1VM3lzycCMZrbSLjm+WSh8P+5Pus/Q7yHPJw+PvWWV4a +mJNDHg7X11CvZ1Z0Dnk4vA/attN38cshDyfCRnnfZFOPHPJw2I/p+XZVHsoh +D4f9mGtDD981OeThcL45f02y0iaHPByer/lNN3uMzSEPJ0La19oJOb1yyMPh +n+9rXerZLIc8nAj9fk66s0ieTR4O9yO3/6ROTjZ5OKxvnd75FEVnk4cTodcD +ix4+ftnk4XC/Hzbmdnpkk4fD55vww2zi4WzycNh/r/nVtc3abPJwWK93prsV +2mSTh8P92tQl9PnYbPJw2I80u3jfRTebPBzeR9B1w+rm2eThcL7p/Li7mSKL +PBz273umJ+vmZpGHw58/0tDzd0wWeTgRprjcdql6kkUeDuflOP5l2uUs8nAi +3NoZZPrGMYs8HM5rKzcODFiXRR4O52FZ+rl7s7LIw+HzaL12vjYuizycCKOd +2/X06JNFHg7vr8JPu9xbZpGHw37o/my93kWZ5OFEuOLwcMLQ55nk4fD3ecxn +ZicyycOJEDF19rZlCzLJw2H/rPumyGlwJnk4rE8Gvz48VX8mD4f1YHnI3NKP +n8nD/f/f94J8+9z5TB5OhNCjf8QNOz+Th8Pz3ihsf2nxmTwc7q+Z2uM6dvlM +Hg73a57u0X1VGeThsF940W5X6asM8nB4PjN0Jy5xzSAPh/t9S2z7vBUZ5OFE +8Bj3XHvdyAzycCLofsxwqv/7iTwcvo+SRQdvZH0iDydCSMDjkczvE3k4EZyG +b8iuP/yJPBzWnwNHr7+e9Yk8HPYrHbV8zvT9RB4O5yvzowb2X9PJw+H+WLh5 +tcWHdPJwIhxuF7pj5I108nB4nxUePTNsWzp5OBHuW+jljTZPJw8nwpp+87ys +OqWThxPht/YXbkNlGnk4/O/jZTpXX6WRh8P+c0r15FTXNPJw+H5n7ryuvTKN +PBzu7+jttltGp5GHE6HwS9sHyc3SyMPhegRGhE/MSyUPh/Vx9sAPrwNSycNh +P7Vk8l+rY6nk4URwN5x6t3R+Knk4vH/XBqWc10slD4f9ZIuJMOVHCnk4rG8d +v4a1SkshDydC6gvdnGLvFPJwuD/Dbezi9qeQh8P+v9j28OsZKeTh8HnYnA3R +vVPIw2E/9OLhwkw+mTwc1v/m34/Wf0gmD4f11sNS2/BWMnk47Pf3R8xz2JlM +Hg7fd9svm5Mtk8nD4fs1P3h1bPdk8nDY73B3WgZJk8jD4Xqv9P85/l0SeTgR +Uk5YPs3yTCIPh/2ww9Lpp7YkkYfD588a3GGqeRJ5OKzXBqPGd++SRB4O7+Ml +07//rPlIHg7fR5mruxD1kTwcnh/H1aP+Xv5IHg7XY76zTr9NH8nD4Xk9W318 +/qSP5OFEGOFR++hGp4/k4XAeu9c/6mt1Ink4nK87OTVbE5VIHg7vs3yjiIrL +ieThRGhWl9H5yKZE8nD///fzEfOGmCWSh8PPO2JHYG3nRPJwIjR1brc+pjaB +PJwInic/hwZEJ5CHE2F6ekFekGcCeTgR7Eo9OsZvTSAPh/PMg5AbKosE8nB4 +HnbMe27aPYE8HPZDbqlXrsnjycPh/OVVeqJVXDx5OHz/4tU3V27Fk4cToY2t +9TGjPfHk4UQY/udpO8W0ePJwInR3SXoU0yeePJwIZf+aTvsLH8jDYT0LbsUH +pHwgD4f955xq/YRHH8jD4fnxbdzR4PiBPBz+vj8tm6bP/0AeDv/8ZrchwUM/ +kIcTIWvg1b6j/8aRh8P508zCMCUvjjwc9rucqdeJ4DjycLhew8VbtufiyMNh +Pz3F6vik1XHk4bDf3zrf1dIkjjycCAlmjxvXdYwjD4fvc6vxBPNUIA8nwqsN +qnWcE5CHE8FMEf67wBDIw4lwcemOm79KYsnD4f5MS3xrdzmWPBz2m0vG/vhq +GUseToSrFdlf4lTvycOJMGbxDVWG73vycHj+PAdk9Fz2njycCBM8i5rut31P +Hk6E/jtUPddGvyMPh/PxtkUBa/a8Iw8nwo1Bodb3B70jDyfC9YtnjvfKiyEP +h/1Ql7byHLcY8nAiXAid0f/z5BjycPi8PTuGtldFk4fD+hQjmrg+iSYPhz// +32qb6fbR5OFEmLfQ+dj0ztHk4XAemTNzj1vCW/JwIoSXX3ndyekteTgRnjop +vxaOeUseDu+rkSkRktoo8nAiDMnf4Gd+P4o8HK7HohS7vEVR5OGwHw2o2BfR +Loo8HNYL8w3uJfCGPBzuz1+lNnOOvCEPh/vnfvSM5mPfkIcTQZJhPqK5NJI8 +nAgN+496znkUSR5OhMDCHYPL7SLJw4kQ1lh18p12JHk4Eb6+u2YrSX1NHg73 +y7j241effU0eDutFQVT+gCmvycOJ8L1CLB3TEEEeTgTxGKfyCI8gD4f9x0CI +NtsdQR5OhD/ZO2pNh0eQhxPBwClH16X6FXk4nI9GJbcc+PAVeTicf87/W9Rp +5SvycDivfe8fbtvzFXk4PB8DwzMrc16ShxPh3CjTNclXX5KHw/vXsIdWC9uX +5OGwH9wd7HZN6yV5OBHO1s684JgSTh5OhDdXhkO4azh5OBGuZZ2qnDYjnDyc +CKpqZ5/RrcLJw+HzTTaP2pcQRh4O559fhSVaZ8PIw4mw1m574j/rMPJwWN90 +fhsuaBlGHg7fZ43ZdbVbKHk47CdtXkY20wolD4fz0J3Dk5I9QsjDYf/AP/Ca +pR1CHk6EnYYzrVxvvCAPh/NoywfxZ3q/IA8nglerzFsWj4LJw+H8cU9vatTQ +YPJw2L8MU1r/CH5OHk6EMz28hv40eU4eDvfnrzsO8P4ZeTgRPoU9CLGd+Yw8 +HP79syt2P8kOIg8nwiH7oEkfVweRh8N+V+r5JFwWSB4O+2/FpGm7DgeShxOh +ce2PIHWLQPJwIqSnz3OYdy2APBzed+eFsUcGBZCHw/u0Wea5w2FPycOJsH5I +u06zrZ6ShxOhte/v7eocf/JwIrB1Myc7bvEnD4f3U076iNyfT8jD4f1yMPRL +h8tPyMPh+RyzsX6w3hPycHg/lzwe3ivKjzwc1u+4o4P4+X7k4fDPtede9Zf4 +kocTwfZk1jDrU77k4UQYldLvQaKuL3k4EWyW+j4d88qHPBzWrwzTZi7zfcjD +Yb1L7bolTvGYPJwIKw4WX1e6PSYPJ8J+5mPbethj8nAifLPJfj7A/RF5OOzv +Xiyo65n2kDwcni8j7y8/OjwkD4f9+c9rfeMXeJOHw/1wrs3s4zcfkIfD/b6i +pJlB2X3ycHh/pDWTpQ+7Tx4O613WycDtB+6Rh8P1rj4utIi7Sx4OP7909pGH +Xe6ShxNhzqTNassNd8jD4fl/ekZfFnGbPBzWx/u3P3tr3SYPJ0IHVhO9aeMt +8nDYT4YLb6a8u0keDuv70sC7Y3VvkocTYfIgW7MpjjfIw2G/2PHq4Z0F18nD +4c8/d8U4ZtJ18nA4f/B19uO9vcjDYb2c9LK4oKUXeTisp1fvBwXtvkYeDvvr +FuVxoYWe5OFEOLVg8SB+uid5OBEMD/H30rdfJQ+nhg9l355OnneFPJwaOv44 +6Gpscpk8nBqOP43fGjXIgzycGt4Uvjmd3/USeTg13ErI0/Vq604eTg2rdyw+ +9aP5RfJwajitb6LdofkF8nBqMN+6cvyXVm7k4dRg4XVz9dZOruTh1JDRFNUh +ts958nBqCNAN+SQddY48nBpyn7lN5KadJQ+nhpo5N1W561zIw6khT+4YGHD6 +DHk4NVRcNOrn8PQ0eTj8/eOPG+72PUUeTg0e8vm5rVqcJA+nhpAeBj9jdh0n +D6cGrRUJR/wqnMnDqeFc47Y5GWucyMOpYeugfi5zJUfIw6nh85LUOUZOjuTh +1LBu/jbF5Z6HycOpIW3QsA+OsQfJw6mhhf+d2X8dDpCHU8Nr6wpdkzH7ycOp +4W6Hp+9XcfvIw6lhRZeNn35d20MeDn+enYfBLttd5OHUMGHjhMKcATvIw6kh +erR15xVttpGHU8PclzGWg1ttIQ+nhqetxmsv091EHk4N90f02thn2gbycGrw +HzbkjlH7deTh1KAbm2jBvV1FHk4Nik3OiZF3VpCHU8O8V7YRFf7LyMOp4aNZ +QKs3VYvJw6nhlHtHvcvzFpKHUwO7CCVbMuaSh1ODZKDVSfX6meTh1BA+bE3S +1qXTyMOpgXts6pyykJGHU8MQ88BIvZhJ5OHU4ODl1tNAbkweTg0XTewDl0aN +JA+nBvvEhqFfDYeQh1ODZ8MCdzd7XfJwauh/sqv2r+YdyMOpQRpWMHalTYOl +xsOpoZX4dfaqDvmWFz2aD/7Rgodm9L9ddbpfklvyMHFJxP+YOvOwnIL28Vuy +VEgoErKEkGQnY6+oFC2EIllSCZElhBRJpX3f933fl6m7qCitVMqaLYmzREjE +b953nt/3ef+8r+d0zpw5M/fc0/WZ6+MlNa+qVHuDskeQCAMoIn/v0kVVpUsC +tqmfGMHA4YDaSs3FVaWS/MFBNJKBZ8G2hSuVq0q/bb+QO24UA38NAhQwiVuj +7p7oJDFT0Wzn/Xx0acFA7Ozs0QycXtX5o0DxOw7Wxx2OogxYz3ux/PeYZmSX ++sRztxgD04vcKvz9+vDBEZ+3zRdnoFhvuvqQ+V/RpoPD/vWT+P2v/TlDG4aU +zi6Qya8dw4De9u7lbQrPkMj4pSdDxzLQqrl30cyGHNRlvl3+1DgGmo88Cbxr +1ogfVpg83yjBAL/r2paz37px8tSL3pLjGZhZPtvLW+4JdrNx13hH4mGTrI2N +V39Ep+vihuRJCvtHd25pgdMEBrrHPrJ67cug5ddaTu2dyEDZkGvtqZVfkNTT +z3MXTmLg5PmwhfVeHfjnkuEvf5PY8V75ZdWwWtThPNW3XoqBfepmp1sSOlHJ +m6VaEdIMOPl3vu+c14fDVDSGnZnMwPW1Qd59bZ/xdZ9DRVumMHDK+KBkwNWP +2PTLRetJMuR9Sv+Y3h5ajlXVPOZ3kdjdMm50vncumhce/6pgKgN+V03m3B37 +BI/+Wep3R5aBx3Kd5UcXFqCena07jKaR9h0P2neln8d1iV+GL57OwJ5ft8r8 +lvE4fahIyV8Sl969qtfmxGHP/bJnm2aQ771EfG2afRI+m7NsQbQcA+MmGuqa +GLPYYIxmp81MBnoWLXO9EfUYrzpmGqA2iwHXy4onZ494gmTKbHUmzybfFzaE +12Z9wL+lPUd8InG5X1SQz98m/PJ0Ai6ew0BiY726dEc3hodlNm7yDPx2za98 +8pbHUbPaFh6cy8DBj77GWXdrkONl5s2SeQzkndacVmHfgY89EQkaOp+BX7m6 +0pdC4vA2xWm7npD4n0zfrMW+rWjBreWj4hQYGFATW/Jv8xsk/kqz7MICBnZY +7XkeNjcWsasOn9++kIF7zW4/oeM9bvK4pDh1EQNjX7jvH/zVhbO7Pd99JvGE +ecdza7e3YN/NicGligxoBuw7dAMXowvBoOuxmLz/WrUH5Re68d5vbaNNlcj3 +OOR+X+TRW6yyg4VlSxi4LDbNq/57F54WN+KiiDIDux3XXdLI6UB/B6cptZH4 +4XDzfr3yF7hzz4oPCUsZmDN/crGBcg6+n6EVemkZ+R7LGxJPS7zBcaOP6Gst +Z2DGR4+PbS8/4Numl8WmryDj6RbblT6sBlkUe1WwJBaT7Phd6JyFtSYm2Zav +ZKDjin+mCNuBF1uVL/FexcDtv3q3xox7iCWqnnYdWc1AppyW8r6YfNQ7nQtb +uYaBDVkHrUbrvMdPLozcPWotA0onTxR21rfhvMbpYzpI/HorG3jc/gEKVFh5 +P1mFgeFr3lT/6XmGL9/YcdluHQOLZdQj699lIONnR5bqIAYagmo9f2q14g3L +r3TLrWdg4+Syu18+d+CZbt4RvST2a5aftX7zKzzsQ9Ke+xsYOPfi2pfNg+34 +/fqKsX4bGVCWjDyf8yMLVfu3V5ptYiBNtHnU0XNtOJHjrqzZTO6X8SXXXbkE +u2wftVxsCwMPxJu8LS934pNRM3qek9glp/Lp5NcZaOfAyqi0rQzo91uGV07u +wEv1tfdeV2Vgp6virRjvWjQx9aiErhqZ//1z9DTbGvF3Ebvq2eoMxI9cNLAg +uxk9PeBztY/En5Ivbci3aUFF+ckrqreR+6mNKrRJxShE4t7ngO0MHFo4pHOV +YQu6at4RbaHBwAIf3TEi7HNsUsHvW6fJwNTkRzWxrdl489TRkmO1GDAIBp+n +b2qRvI3cw1ckLutjhsTsK8Qj61Zdz9xBxsersvJrLx7ibnmdVQ7a5Hv3VCgk +8g249uoxRl+HAZG5jzuWFYWg1Da72Lk7SX+1P3O2k2nD7kt8jX6SuFxx3FlD +o1hk7ZwyoWYXA/tfh/fs4tqx3pt7NcG6DEgUTP7Q5FqPV6g8s7fSY8Bk9Lop +6uJtWNqnd/UGfTJ/FOZ1fDgein99Hs1JGDBQ1LitOvvNM/xcdWb8GxLLXfpx +wUe7FJWGrT6Qs5v0T9NfuebUchzxQ2fSrT0MWBq8FWmZ+Qzf2Gn2aI8hA/mN +jseSJerwkcSrDgp7GZB8LqFmEf0Yqw31WztAYvWBv85dowHP35/KP9rHQNiV +w2+OpjUh0Zz7CWH7yfoy/9BYt4/V+LP484Onjch8+3s9+XzkA9Rw9KvUZmMG +ro42TJMaFoUyS0XrJxwg/dG4Z/4zsXvYW3rWzfcknhygOMZulSM+d3rNuvyD +DJzVXD9V0bkM7Xm48+ttE7L+SUVsCFwViNfMOp607xC5PktU9eX+MjT18rVD +i0zJ+2RPvHHHphr/eew3eZDEW1L6fhwanY1fLUpraDjMQJWRsrq/Wz0uv1l5 +K/IIWY8m+Nx8qvQYx7x8js4eZaDeyXnfg0mF6Naqb9+2HiP3U9kuHyKfi497 +iKVImTFwaa3525v3qpBG96zDH0lc/GlPdF7EPbxo81qZouMMePdYNs3uqsFj +g3c1uZiT8TNBoVjHKhpzX4/fNrZgYLzHiee9U6pws9b1DUqWDNh8DGCkhxfg +nFj/7/9IPKZc86xEWxb2G0xLbT5B1oNT4Ztcr9xGtnuqjsRYMWC1891bmfIm +vD/jxdTzJ8nfPwohdWUzRqP7mtVPCdfDGabid6acJuNvg+rKcdXReEjx7E09 +JP77qCD7a3AzfjtB5WeJNfk+qj3XjN3KUOUJ3fS7Zxhg92SERaaG4fhK82Mm +ZxkI+VmXqe3ZiJ2n209bakPW91ITbyXkjSwvBDwZdo4BUanGq0NPA9JuTHdp +OSd8/hKF6s3x50l9cnyhlUtYM5a88bL/4gUGHAaHHy08W4C/dfRlaFxk4EZf +mHnc6xrcumzMcVlbBszDlDrgcDkqcJ0zgyGxsmnTvrVfI3HQe5XWsksM1EUG +qFWuTUFX1uu5eV4m49thd+IJaMIH/C22Hr4ifP5Gzn5guR0DfXqH6q3aWvDs +7YFZI64yMPhorbKvXj0Wicowf0pipZhBhdVvHuOuX9VySdcY+Hq5aqPkiTb8 +UO9V2+XrDET88NnsV/wEJ6d8v7vDnoELZk3mx03vYTeRsWozbjDg5XI50G9n +CTp1QP4PR+J5J4eebfMoRbvy1+VUODCwSuWjWZ/DE7xMQt/Sx5EBLfln5q2K +lXiSueWsYzcZ+GNfMVFnVAX+WX6jfdUtkq+aT45suvAAd8gEeYx2IvmOlzxW +qR6DS85mqj8j8Zh2UWnO6AEKe/RgMOU2A98bt8i6nn2Mr8u/zr3qzMCagOFP +JPTDsenVHyd23mEg4ffyFQ15+Xhr29g5s1zI/D8zrVHVLxnPXTL32VcSV3Y7 +7j49DeNRzsir0pU8v+aCjuysZvypU3+7vxsDUY5MtJZ/Nq5be+Lf8bvk/mpd +lQ3Da3G6t0P+WncGxOdr7zSprseen4NOinuQ/nvooL2o/CE+q5ol/5LEBc/U +M1vkC5FB2MPn6Z7k/j+Omq+53YxX/Xjtbe9Fxo+K6Y1lumV4ys6fGnreDNhp +9A/7sLUeDySMGyrvQ763rPvB9SMK0Ish8wq/k1hiRmHElmTAZfvWn37gy4CM +vDN/7EYTjso2mBfkR9a/8PPyemaPsKO41UtLfwa6ms7US8wuxceOOvqiAJK/ +6oyWTLjahLeVBmuNCyT5Xq6RPbO3AC2Qzh7WSeJUeeX9pzPKsfjpmqKsIAZi +GhIeeqncQ8yDTmvHYAaCQiu22KzzR40z++fvDmHA/qpVtdbwWJx1SeL1vFAG +Zn2XHPJAuw77PJ7n30/i58XbpasWNuILizZo14aR8fdETWF2VyXee3O3SGg4 +A5vmndyT5PEQq7y0KjkZQb6Hw6Fy1Y4iPG3VzbMbI8n3Pb7nnbWiJ/7rHrJA +Mork57r3tt4XS1Hnx+zOtyTONVw42mtjNr63qTYgN5qBDx4/JtyvyUSxQW90 +nGIYCByTE3tb5yF2+to/Ym8sGW/G2fPw8UxkrjW+dEEcA43rzNovyRQgrdj5 +536TOH3R0dWtyml48eCGRfXxDGz7GbdhxXyMJfbseRueQJ7fKfVt+L5a3Jt+ +Msg6kbyvlatmza6H+MmoW7u2JJH6c5tFf96GZpx3KHTUpGQGnioNP3ElsQkH +FOWUfSBxg+3VnIHEVHRpwqPzBSkMLOxZ88DgewM2OvFW8U4qqRcd6ocdco5F +6yt/vdufxsCkPYHF8rGFWG66ZIhiOgNLRVXCL/yLx8MuKOj9JXHtu8SCdVcr +8PuGjaJNGeT6Q85zjcrLUPV8w/KoTLK+ywT9+7KxHCfan7pok0Xyz/DotEPm +ecil45aSWjaZzwkXqnYtzsdWy8I+SOcw0K4yrjtM7hHWcc0N7c4R5hPl94/0 +i3NJPh3p8HnOi2I0Yf07Mbc8BkZfuqMk61OF+/wGKg7kM8AFs6T2v4WespKX +lhSQ8Zhrv8HgYwEq2rZAeWghWf+SR2l+UcpGIZGbPj4mccuoEU3eL7Lx1V+G +4bFF5Pkzl1fIj8zCJnqnd18oJvPr0iWThV0paHOK05jtJcL2zBEJvy+DGbh1 +65DdzgOVeMSBvMufSey9QHVdnEYU/phXt7S0lIEfn5wnPVgTgGvGve92L2Pg +S7ZvdUdIEko9/jviEJD8wL3oWb07HrmXTzBcVs7AI0tR28NZachaZuE4kQoG +7j/nu+Z0h2K9s5urWklsf/jW82XXqvGKR3vtEu6R/dObxAV9SfextLz18kv3 +yXz0CVkCdim43+52j2YlA9od19Zd6S3Gz1rDo6ZVMRCp3PEy4GUmxkr5e1kS +3++e2/MoIQ+H366XKK9mIPmZhFXSpUR0o/N9tdcD0l8bnomJDm3AR9b+uXrk +Iak35qw0m6dUiNW8J65cWUPG54Z9Mv4TC9D8zwu/jKxlwHD2sUmb1j/Eoqpb +YtpJbN/feT5gQQL+HLpvf/IjBs5Uhi962VKH679bS9rVkfVVOmFfbUgKztBx +fqhdz4CU74JFYxY1Yq+EiOtyDSQ/vYv369HNRjZDClb1Ngj7f8++BuZeI9nv +TFIbY7nWH6/J/hDr20Tq2ditk3ekh+Op4oNGZs2kHvyYPv6ESCH+c2TSxDWP +yfh3tZOpuOaLX+FFtaJPGDi241SYofo9XC619cZzEpv8O/TOdkYBjj61f01a +CwNGt6T/6bSEoZsPznDXWkk9ol4g6VaVis1m3onf1UbqC8eEiG9DHuLtlyIP +zH5K6vVNB0QeJZB65HHBpD4SO1ytDxgwI/XIosZHVe2kfihTU1v3oxxzjl0O +AR0k3xYwUnk5uaj5xeBai2dk/62xs7E4Pw3nrJTqVXlO6vnWj6t7Qu5jP3fF +xDEvGGAUmDVDlhfgix+3mrwi8QLtwF2+Dxrwvk1G0pkvyfvVj7uy/HwWXhd0 +tv7GK7I+nuwf92drDJ7+9c5N/dcMeF77Vs2JlaIhWlHr5nYykFLZb+jyLAi/ +jSn8+oPEftkm7ped7uPKP41JD98wgHmL2KWRdTh+98dDwW9J/rzBX0oYkoud +0/9OtnpH1tc3WXMP9oZgy1HSjevfk/y9OjChbXsU2nFosZPEB7J/dHPa1v29 +ACsVqa5/Q+I3TrMPlM6uxuMnGPdldzEw8miaa2ZcDf5qaZNy8yOpB8ZBoEWt +H2q973J4TzfpvzEV28Oj8nHBtGgZhU9kv7v46azjjeU46HxR0y8Sa5QGK30Z +V4WvNDTdftRD1vepz/d835eJDszv3hD2mcxPzeNiFyuz8Eb7f99PfWHgiItz +ULxnIZrVIZ22iWGgmnWytntViYcvUzo6gSX9YdiwpCmkCH9wUZN9T+KibOgU +t/dFD94ZP87jyHox2bjQyDEHJaNzd27zpD7XaPTsXRWN3fxcN+3rZWB9e8eH +H3e90Sk2+ufCr2Q8OvsvLk/Lxbu2Faf/IbFSu5J86bZHeFlk87GGb2T/LH/B ++8vsdDTpV/e0yD7Sf1cTo7+dC0Q/dIe0nPlO6sNlU74FtqSi9uTJrlt/kP3b ++ZFYe70TKh6+ZIvUT5KvXlXObVmei0KN1X91kVhRU7q29+w9fD3vQGZhPwNz +l0vFLtP3Rqbjzh93+UXyLZ47bElCKNp63G2G8QCZ/245PzMV8vHc8pjWxb/J +98RbDvRH5+NRMiVu/0icH58td8kwE30683hr8x+SXw421klnxuFHtZ8GogcZ +OPGw6+LK8RU4bc7Q7HN/yf2fVGc6ZXlhD7spFur/GDA2m/xyxbL7+EzrkplT +hrCwKjRt0leuGhsobXv6icT35+U764dX4lW3D7qXDGVh9Ms14zsfZ+MpnefV +7g5jId/BeOz9id5oYM3dPweHs2Ax4vfuqpQK/MIrNkdZhIWmpoLLivf9UVlP +ieWwESyMaR2+ssi9HEdufTKrhcTZ90KPnzd9gB1Ce9rjRrLgs8ph0Eg9Ch39 +PtTz4ij2//KJuo7MNo3RLMzK29XWOicfL0hQ/jtVlIUNA43D3Zbcx+JDtud9 +IfGJ/aJPtBdFIWaviVWZmPDvG7MuzPEUZ+G15hF7XZJfs8Tcn5mOYSHhinih +lmwU9jkS57V8LAtiKg/bzEXK8XmMt48Yx0Kn9OTYD5ezkKFUy782Ejsk7hZz +2VOJ1576nJ8owcKuudVo8pI4LPtg2KnL41lwu2jjPTcqFw/KTZ27Q5IF08GP +FdqH7+FO26Uvpk9gQd0mZNWrE+X4XvN2H47EIS+jTjJ7XXDswkOaFRNZqLwZ +Kr8pKhU7OV4c6jOJheQZjXve3klA5i/cC49KsTDBSR2kT+ZizZXxp1dJC99P +0b103ujJLHxeUBtJtlR43MeWlx0kvh6XGBG+IQPxG7/4pkwRXv84cPiOqzIk +bj91+p5rPMrrnTp851QWLpWYjl6fkY8DNJcVz5RlYcuEIZ+PoUJ0KUbjzFcS +x2doF6uXFWCjP4cUKqeR5z1ZZ12Udgev32372m86C+1+a7uu33PFcuke/sdn +sOD6smJK454qPHRUgvZaORb2jvAf+ORfgN+ZlImIzxS2p6qwteTF/8QJkszZ +9FksxKQOGExfXoxdLEUW2s9mYURTjLLTyXJsdV/2je4cFn6OWZG8dWc+0pm2 +PHCOPAvlaVZGvVwOVj6vufP7f2KtcSbO0yvwhAbTkQ/mCu/fN+9SaeA8Fi5O +Eu8aoRGB2657nrOcz4Kvuonop5RCXNiesAgpsDBpY5PvnrNZOHgpvB27gIXC +6UaS6TFZyM6lLeg1iV0cZVdNf4SxyTtmV9ZC4f03oxGjHRexoDVbQTT6RDKa +4zcNDBRZcNSKjtR0CMUj2OUX5i1mYZ3I7jU15pH4o7rW4n4S7065OdvLtxjX +RBx+X6PEwlnxJS/M9xXhlP5LISFLWFD9Pr63dvkdfFfXS++kMhkPwytVvx5O +Q6eTE0U3LmVhffrrvK9Wnlh3eHn5+GUs+JXunPjZNQ+vMH568S2Jj3j7mrd7 +Z2HpPFYpd7mwvf1jR3bdWsEC2WCr+P8tx8/MpocZriTzv3aqlfblaIxhhcGC +VaS9H8zsPN9F4vApO8R/k1hJ3F9k+ZAYbH/myL261SxId36+fHNHKjpce/lS ++BoWchomuXzb74NU53grW68l+UF21dDvRuV4nl3Sx80qLDTnL66R1vVEoq3l +4RPXkfHS3eS5WysPf17cvvsDibfYrjI6Kx+L6p24MQWIhX/S/ZNmv/dDGa9H +VjqvJ/MjQldHUyEYea2ZcWX/Bhb+TgkcVmLgim28Vi5T3MjC4in5W/MVvPHu +nh2fBkksvlLJalSrL1q99Whk4ybS/vXPR9ilJmKZ0CuGUZtZqI73+OIzowT/ +7vMeZ7OFhfcKRzSlNmWiV9rJVapbWYiee3iUqV8+Ko+vsJNWZaEsp/WKo3kA +iv7XvrybxPnTsnJ1i1Lxzb18T5EaC+nV1p667RnYLGtUtKs6C485Ztj117l4 +u5jcvgPbWDCqGfd481w3tPDIqvFLtrMQarbYv040Bo3B2g+GaAi/Dzvp2LXH +JD5mxYvvfhODmk7arYzVJP3jdnzk9Fe5KKfa58t5LRY091607tOPw35yKTHb +drAQu/XTgJbZbXTR9t5+GW2S3ydIil+fVYL3NXdIfibxkQDvc2pDfNC6hb0P +sQ4LPxTdk88YBqDpjqPt3Xey8F206fbzwDj077nc6kO7WJjxfWdX16Qw9GbF +anapLgsLdeK+tYeV4/t3deKG65HvMV3UxHeaL47rOmbcqidsv/PGqxMT9FkY +PvvGRn3du8gy0LfW1kD4+47elBuau1mQdZg4vTgnEytp3l8zbQ8Z73Jzdh66 +EIzHxzzjGBInaqie369fgr/+7o0HQxYuzPw+LO1+PG4xED3otZesNxYdV91m +OKH8tJlSR/ax0Ho5J5hpi8WBI9fUrdhP8s/POIkJw+6iyyY7HUcasfBHTyL7 +fT6pRwrNVNpJXNIspqdVE4s3Sl7rTTJm4bzHVblYJgXPsvRLvHKAhaS8NiNT ++yQ0/H6qifZBMr6GrQ7+dcYPfZCtlJYzYWF8+I8nyikl+MG55/U8id9McP1y +4hpGSfVfb947JHxf13liyNdUGJ+8PuvbscMsTGvWrou/mIh3tq9JXn2EhU2S +V9bbO2TjZUt3mYoeZcFms961vTqReJLL8SnPSXzT5JXCJc88/OPttcbUYyxE +9fwT0cjyQu3r/J2umbGQZ9C1TekjxsW+aet3HSfx0WHswG2MQ5nKvlnmLKjY +bF7/910+uqb+IuUbiX2v6cT4bgnChyK+Ha6yELZvS7/Y1ABLFq4y246+vJGL +5XVnN5ufIO29rHXLqjUUj0pe66xixcJXv6PRItGl+NMw3Y1jTpJ8+eXDnGfW +2fiRkfmPlySe1Vrm7O4ShNNyr6dlnCLjvSbt6ruFCdhjbMDRG6dZGGkRYHSo +2hudMUuX1bcm47k7a37V1DysD1WP5c8I27Nyyss7P0hsNN7hKrMrA08+07fp +4VkWRPSPtnzMT8S/asT7g2zIfCm5cf/b61T0YvacjBPnWOjBd5RfWobgsisq +ZuvPsxDZ9tZJeVEZjmzRnS5xgYW2g+0GtyyisMNii5ZOEi/RWREfIZeBjzrZ +u2ZfJPXAcekXFl8xVn8dsOWmLZlfyw2s9ebfxAprMn7tvkTqCXm3HZ2mxVjM +qzpz/mUWzpiPuOMxIQ19+fTy+C8Sf1tllLD/bDRq2PJ9xqMrLFi9u7Lhq2Yh +zgwZ0xZqR8abrhUXZFGMffrm3D11ldRLxy/uWTvXC5/XXqe66RoL/dOfnze5 +exMZxuv9lrzOgnOwxsjNZj547T+L7Hckdhg46ic7NBHJ7r1hkWfPQnHdyq0f +rvujwczAmbdvkPEy1dxEtKoMvxbNfLrXgQUNrWSHradzUcXhB+4LHVlQfDnw +MW1CAI4peaX2h8SzE9dzH16G41uTfvypvynsf/OTY3MjbrFgYOzkUZ3mgTSr +5U+ccSL9LXVxVsNABFKUQ7O33mahzv3zbxPfUDTOVr9jkjPJlz+CnOOkMeab +LD27SHxQYWXLhqx0/HiBw7bCO8L75zoE/b3jwoJUZdjBsP447P88M8/IleSv +uD9FL2ZGIdsVD60Wu5H+P3W9+2tbFt5/9/WcfyTWDRxQOxaRgtd3/XjWdJeF +bSYi+HpPPpLbOM472p2sTyISq7p/F+ChgXM1znmQ+YrMrModI9E7Hg1R92Sh +6PF3yeFtabhKw6BgshcLX5BpmU5aJE6IPnHqE4n7XRePHaOZg+/8dphb4i1s +7wmD4BduPuT9FWI3l7lmY+20LJ+DvizoiQSn7UzNx0tG1mgq+5H5xUimljYk +4wkmnUOH+ZP6bPL10r+JgPsKfhY+IbF1wFLjKbM8cdt4Ceu4ABbmmqsFT3VJ +xoUW8+ZfDBQ+L/je+lfbg4Sxnexuv6nBZH7NH1Nw+08KOnjOascXEmuKSJ90 +SMpDm+odh5eFsDDlSL9C3ZlsNHteSLFHKBkvTewKsjvCItezz5iGsWA4WWPy +/IfZ+OPTGoXl4aRebT4Rebo3AdUov3ktEsHC/pLTPf6KqTjlTr9/G4mP9qcr +KJ3OxXffSugkRpL5senSYIZaID69bv6Iy1FkPZCwbTrxKBfr+m7AWtGknhN9 +NeX8q0y8nNltMz2GhXEnFeXHGiQhKfWTCzkSn/Atfp1RG4p/ht98Ux7Lwi21 +zT8Hdrnjjp8hgd5x5Hs6JW1Owz4Y78rZeTSe9OdcQwObe9k4PKl25KoEsn9Y +Iurq6OmP7Ye9LR2VyIKcgXlojHEIOmz061wHiVcYhL310IjDqrnjFVOSWHhn +s1+1KToFzRur8M4umazX+TnDJ1yMxKPNNgbrpLAQ9tbRr/VqCe4p26M7M5XM +nylGv7+bZOK6yadGfyWxythni8NCMlG69S24n8ZCBJr15Oz2OOxVE3rBL53U +8x34tKxnCbaZnbv4eAYLNbnPQrb+9cW7rzx6vyaTrF+Ljsq2WSbi1S1vQ8Sy +WHhYbfX0fGccklk8oPeCxIGtudIlbzLx71uSYunZpJ6MmTV2x6ZM/PKVQsX1 +HBaQuPvlHnk/BKs32ermstCtGzIQuyYRR3kaLpmTR+oX5c6sjiPF2PHTqa4+ +EmeM/C1SeSABmW1xCqvOZ+GkHpxuPe+JtoeEGQQWkHzM/p6640IcXtiXK25Z +KBxfY7Tr7q0rYiGgzjMWl/ghNu7dpbHFLHiPcFxaaFKEm/4OKL8uFl6fbTih +O7OEhTXVV7+u+BSNfTMXRDhgFkY5TtMqWxSHL4hu3mNQysKNvZo5MUtC0N7D +e8fOKxP+/bqS05U/Sfyq+Wtg+tMsPH3S7Ss1wMIC25vBX7JD0D+r8GUh5SwE +zTG1PSvuh95U5X2yqiD5rl2tbt59F3R/Rn3khntkvFtayiu7ZqO4i+8Nx99n +oS/616w6jyh8u+n3uLf3/7Mfm5WoX5yNLRZMrM6pJPvDJy3WKTE5WMth4dVb +VaTeM1A5Gb8gFS1+vnmFYbWwfeNX7Pus8IAFhdySOnemFH91s44eILFheXjw +yUtuuOXD7X11D1nY81569lPteJy/IWJ8eA0Lh4tN83siM3BgQP6D07Vkf+iX +oWfy3gtd5uuvbX5ExsOFA4vPx0RiY40PKyfWsfCspuzf7gQntCH6z5f3JA6Y +5BDbJJOFZ/6eGJtfT/Lv6b01JedS8DCDRUbODSwMlqsZmM4JwR9St0zY30jW +o/4+idj0ePxgxP6aRU0ssP5vh35Zn42TDp6xH2z6n3qkwHl1YzPpzyjb1SZd +cfjk+Eg28jELDYZJN7UuZOOdFgVxZ58Ir196r8FYtYWs/25Lf7odi8ITZbsm +SreS/cL+geGqV+Pxd5vB2o8kzu2MjCpZl4mf1k1yKGoj8y25pu7jpGxUPFdx +retTFjyW7l2xNy8Vh17byhu3k/pu6Fudij35+NrT/QlKHSwsn6es7fq0AB9S +PntwyDOynmrf7bf5nYy33Lkj9ZjEjbE2DC67i+XfRtbFPGdhqHzkg61Od9HI +dYWO51+wcFdWf0rj/mTU7dOosu0lC5m60mqRvnmo9ktX75RXLJgfS7hxY1wW +TlX7m9hD4uplkhtddIOQe7jUIfyaBduwX5pNRZnozE/Fye6dLDxZYTN4XLUI +6+9SbTB5w4L/xZfjD/yLRCuTjG4tfUvqo5uzxx34FIUmD7NBw9+R58/Pjao2 +vo1+7Xf51kLiwOtSpsZ7E/DznKjk+PdkvTXYXxmbGYlLxxSZ2n4g4/fMtkvF +djdRxLGmKZpdZP0b0yIbY5qFb5R9bJT9yMKjzth3IZLB+Mjkf04MiePTlE+E +9mRidWvpDdDNQunTrvRx4gFIoWbxd89PJD/b9+scm+qDxWarpR7uIfXM1Ncj +Vm6JwF8uGx9Z8ZmFzUtn/FGZlY4anthMHfmF1P8Gqx+ctsvFmYquzU9JHGT9 +53X3iUDkfSvaOYkh4+3NR/cBuXx87lXRxiuscDzsWd38YwdH1uPosPcxOUl4 +jWd32gyeBSd7/7ixiq5I9tO/ozwvvH5w8+Rp93pZULv/eNzASg/8Oljpic9X +4e8V39Rcjn0j9fnhWcO8faNwzI4Dm1f3sfD75twdh4yi0a24c/2jv5N6bXH3 +Qq+hhfj4X9eMZyRWzfmuc3O2N9YwjDFL/UH2Lyaz0uvtMF6UWTz92k8WjK88 +fLdMPgOPFX3csrOfzD/lkXc2kP0mb/rJddYvsl9+ULTqQH8xflw8ZOs3ErfF +dxqVcvEod+KUgcoBFna+iFsutaAA+1styfL/TepPceNU6z5nZFulbm7+h9T7 +c/+MuhiZg/fPOCinMih8H3TxfJv4XxZ2aP2IbMjNxjOa3O6+JLHS4nO73W5n +4SELYlUz/pF8eM2F99+TjN7eKPltP4SDfSGdP4+8DkNVzx5n6w3l4Mser/TB +9rs4YXmPhfwwDtzkPHSdl2ViysNx4DIjVCQoJwFTHo6DK6z+VbOvaZjycBx0 ++1uulRjqiSgPx0HUzRmzd+MYRHk4DnZWmt3tqYhDlIfj/q/9lIcTxpSH46Bw +1Mdvb23TBDwcB63TvnnM1fcW8HAc3F4nb3thXwKiPBwHGZt7t9f/TMGUh+Mg +yztmkuKZWEx5OA6CHzatSRifhykPx8HZM18j5BxLMOXhONhVMPoM05Im4OE4 +MA9X3ygblCrg4TiQlknxHTc2FFEeTtheysMJY8rDCWPKw3HwgP/1e43FbQEP +J/yd8nAc/Olw//a7MBpTHo6Dc9tsokzOZmPKw5H3k5CY6yGWK+DhONBY9meO +0pdIRHk44f0oD8eBd/zNVz31nojycBx8O9lze6llPqY8HAe2nOyY7JR8AQ/H +wXWLjMq02EJMeTgO3KcaDH5qKxLwcBwMv3DkuMKRDER5OA42pLvaHz4YhygP +x4FCXe+WTc8CEOXhhO2hPJwwpjwcB80zXj9dpRks4OFIfGvWPofGNER5OA7K +pp6vV4kJQ5SH42C12iLXhbapiPJwHNQcrH405WMkojwcBw2jB1ttV8cLeDgO +bNz5r/fm5gp4OA48n5hZxq9OFvBwHLhqaLb5RMVhysNxoDlKU5wpCBXwcBy8 +nqucUzS2EFMejswXcfE1s8fmCng4DioOpC+6d8RfwMNxcH+gIj9cM1TAwwnf +l/JwHPx+/2B12KRsAQ/HQe/kJ7e/s9mI8nAcDJytZGpzSgQ8HAe8Y4nbYctk +THk4DkYf+zPs+4g0RHk4Di50yz59OzQTUR6Og6btG+WWLIlGlIfj4NSDZct9 +/dMEPBwH9rNMPVXq8zHl4TiovR76/I58AqI8HAfV3Yt0NEryBTwcB1JoREg4 +Eyzg4ThYEKOl0WKbgCkPx4Hv1mzlUbEpAh6Og4jcja/+2mQjysOReE6iGXcg +G1MejgOv7JV/vudkCHg48j65w++9/OOFKQ/HwXelyi+KZmkCHo4DB5X50nZS +vgIejoOVE6csde/OFvBwwv6lPBwHPoVrhu0ri8SUh+NAMaLZBdbmCXg44fWU +h+OgZ6Rlm+XuGAEPx8GmtRe9DL3jBDwcB2tGvfJO5b0FPBwHhzrExRV/3RTw +cGS8LWjSt3qQhSgPx0G7t99ieZNMAQ/HwVFn9/3xXaECHo6DhyN39Q7NS8OU +hyPjTdRwMGZaEaY8HAfxYrm1wdaJAh6OA/3YlBz5RTkCHo6DA20T/02enY8p +D8dBndQO1Wvq0QIejgOt7JhHItVxAh6OgwKH7Or+sfmI8nDC96c8nDCmPBwH +H5e0K51+nI4pD8fB+cMqX1+9dEOUh+Mge5pH8LERUYjycBxsH9WTdJjLFfBw +HFimwtbHOqGI8nAcbHMd7G2IuYsoD8dBoN/F+380EjDl4cj3OSybkbWkSMDD +kfmgebHJ7m+mgIcjz/9SvEDbwlPAw3EQLlPbm/7WBVEejoMzUyo0GqJzBTwc +B/4Hn7y85+eBKQ/HQb1Z8MpR7+IFPBwHhyMf7XnnnIApD0ee33taLEchCFMe +TtgflIcTxpSHI/dvQgfWOEcJeDiSj5JVbt9XiRLwcGS9y/oXvB55YsrDcTA9 +d+jIuzf8EOXhOOiq41Tmdf1/Ho6sl2fOWs87nyXg4Tg4HrZspHaSH6I8HAeS +/caHdm3KwJSHE7aH8nAkn0fE5l7JjhbwcKR/HjSEyw0rEPBwZLzKlLbuTQ8X +8HAcbLlyV6PhZoSAh+MgucT/SYlpjoCHI+t/iaS5zJV8AQ8nfB7l4ThIWhgU +lxIbhSkPR9YfSflDV+6FIsrDcSDxwdLa7riXgIfjIH3MUZPQuV6I8nAc5N5o ++7O9LUfAw3EQtPw9t7g2RcDDcXD5yxOvJrUsTHk4DgxU7sVn+8chysNx0Jgj +r5lT6C/g4ThY0XwMqiryMeXhONhdrOUxgdS/lIfjQPXYjePKPtGI8nDC96E8 +HBkf4amqek9jEOXhODjx4nbniM3RAh6OA6cbyQ6XjDMx5eFI+5mssG+Znojy +cCRfLuEm61eFY8rDkXy6ZE7ojwX+Ah6OfL95rsGnJrgjysOR+TNimeqtRS6I +8nDkezgca3k0IV3Aw5HvmaTeG+0VKuDhyPve6zW+PjweUR5O2H7Kw5H83jR1 +W79koICHI/lxMe9eoZSPKQ/Hgc4ODz/HKF9MeTgO1p47V+UQGocoDye8H+Xh +yPyvu27+5GWcgIcT/k55ONL+fcOg5N1dAQ9H6q98p0L8yBNTHo6DztFfhpie +dxHwcBwkXN8SOmFVjoCHI+O9UkblmH6BgIfjIEV5X8An1buI8nDke3xLnzdy +VxyiPBwHcuIqe+1kPQU8nLA9lIcj+WTtrUeyjnkCHo58/46q3N9nvBDl4Tj4 +Vz3pnZFCgoCH4+D51iM2kzRyMeXhOPis44A81kQLeDjyfhvcjS2cUgU8HFkv +G8X0sGkgojyc8PmUhyP17/jQdvWaIAEPx0HsM8tPty9kCXg4Dga7JfvWnI5B +lIcj+efClfeOkwoEPBwHuhtlb9hdChDwcBy8GNoSUjwkUcDDkXpl1NaWL+Gx +iPJwHODUzHKL8TGY8nCkPukK0r6ZlIUpD8dBcdqN+dlb8wQ8HKnv5o27ogAp +Ah6OjE81v+uasgECHo4DMdcMJfENkYjycBwsTBFXYH5FIMrDkef5F9g0JIcJ +eDgyn/BRXK1/F1EeTtgflIfjYOpLAw3D/fkCHo5833NzkuQUCzHl4Ui+XGm7 +wmlUkYCH+0++sEmo7UsS8HDC+1EejuT3yK2GphuyEOXhSD3QDkWLZ0YKeDiy +P/ntvXBhhReiPBwH/VkwbnRxNKI8HJlfEfPmdKhkCXg4kr+qj/NHlFIEPByZ +vzf6Z3avTcaUh+PgZbzn0q6QLAEPx4Fy0nT860YypjwcB4xOncnOVR6I8nAc +5APvuiU8WsDDcWA8v2Piz8XRiPJwpB78frPP4m4EpjwcB5faT8jNsg8S8HD/ +U4/8l4cj9X/CVMNyBS8BD8fBp7e6dut+JQh4OA5GaZlIHmFiEOXhOFivvdD7 +/PNMTHk44f0oD0fyv0IM1tcKxZSH42D53qWBEuOSMeXhyPdWwE39Jwsw5eE4 +MIo419obVCDg4Th41x14MDE6WMDDkfVyndhOJ5c7Ah6O1G/e4r82SfghysOR +elVxXqyxi4eAhyP1HCzzU1yViCgPR+rBM9Yex0pTBTwcB0XKYvVt5rECHo7k +33zRpJFkflIeTvg+lIcj9c/jcosrb9IR5eFIPT53yiT7f1ECHk54PeXhyO/S +FsMslZIFPBwHfz9lDF8601PAw3GgtrJ9pqlBgoCHI/vNbXLDF1f7IcrDcVC1 +68yVwk2+Ah6Og3U3jg5Ux6QLeDjh8ygPR77fTVKmLo/ClIcj422mzVazTQUC +Ho4D0derdjrm3EaUhyP9l75/ykWpSAEPx0Gb51e31ftCEOXhhPenPJwwpjwc +2Z/CnVMFJT6I8nAcBCTfO3daJA5RHo7sx9bXbv34NxpRHo7kJ6NFi9DPBAEP +R9ab3Vu+/lB0FvBwHMS8GyvmnO2CKA9H6remv3WS+UUCHo7UF/WNVWGi2Zjy +cBzkMK35/PhIAQ9H3i/gJNZOixHwcML2Uh6Og0nSI7PmrXZBlIcj9bL/DqkR +l1MR5eHI/jt+5qj9b+IEPJzw7ykPR+qViKyWpltOAh6O5BsX59Ed9mmI8nBk +vXA5/WPnmHREeTgOQnzlRnTqRyLKw5H1xXrH1B1srICHI/nwTLrIobIQTHk4 +kj9G2ySsWlAg4OE4uLby7NA5krcQ5eHI89ecWWenlSbg4Uh/i5qXz/ydJ+Dh +SD0e4Bp59GemgIfjoM+299pe8MKUh+PA4u2ROSOdkwQ8nPD9KA9H+l8u3FLt +QJ6AhyP7Dw2HwPyRXgIejgMX9eJnp/1SBDwcGb/Xetd2FaYJeDiyfr1n47FO +pICHI/W4dn9EVXQ2pjwcqcf6HF9VPs0R8HAcbF5mdnybha+Ah+MgMXZ12XuF +uwIejszHkkHt0RXJAh6OA3kLsaPsrVRMeTiSf1ysRPb35mDKwwnfh/JwpP51 +s8IGm7Mw5eFIPvZ2lCgj6z/l4YTXUx7uf+qR//JwZH2N0wiTdYoV8HCkvYXq +95TEvQU8HHmf6NevR9+PF/BwpF6y3xDsbJyDKQ9H6q+TsRsen0lAlIf7n/+P +/JeH4+BsqP3GwvX/n4fjYMbRcfC0PwlRHo6Dn28XHyi7ny7g4cj8X8hOHXIx +HlMeTng/ysMJY8rDkfVSw+RAoliYgIcT/k7PZ/NQ9tjjvJ1uFqbns3nITbY4 +aGlB9of/PZ/Ng5Nrom/41yhEz2fz//f39Hw2D/FXN015U1SA6fls4e/0fDYP +X5R7h4yoLMD0fDYPfPztCXHv4hA9n83DQlMZ7R9ZMYiez+Zhk2+fSPOKLEzP +Z/NwQuvE1H+NmYiez+bBWypN/NmOIETPZ/PQmzws6dWoBEzPZ/NQ8eaC6tTe +XEzPZ/Ng2Txsxf1xXpiez+bhZVv8fJ1Nfpiez+bh+J8esZUjvRE9n83DnlMo +4XxFEKLns4XvQ89n8yCnGFA3/yBZH/57PpuHe0UxjWPaczA9n82D66XQR+rX +MzA9n83DuHrlwgPSyZiez+ZBK1dtReCSKETPZ/NwLVKrSfmpL6bns3kof/Ij +sXqzJ6bns4XPp+ezebg8PGROL45G9Hw2D229C6ykCvMwPZ/NQ1zxN+m/YfmY +ns/moe5MulZyeCGm57N5kHkY1ur8OxHT89k8nAt1mXuv+A6m57N5sNpXnxCU +FYXp+WwepmyuUXmYkITp+Wweti837V6VmIzp+WwezA5vti26G4Pp+WwemqTv +JZ2574/p+Wwe7A8NmT9PKR3R89mkvdMeNPDzXTA9n83DkU53Nnu/E6bns3lY +efWY8oShKYiez+ZBR8mwbMuueEzPZ/Ng8bthU4NrEqbns8n4PKQ5/MaMYkzP +Zwv7i57P5kHWxfDhhzG+iJ7P5mFwrtnjtc3BmJ7P5uH6ip+ihlJJmJ7PFv49 +PZ/Nw4XUhsglO7MxPZ/Nw9OwG2tQfxymvhIeisaan1pb4oapr0T499RXwoN+ +/qxPbSl+mPpKePBPUPvUn5mCqK+Eh2Waxgkxd+MR9ZXwcDdQ60vo/ChMfSU8 +ZC95GDn3nQemvhLh/amvhIdV60ZEf1vqiamvhMw37wOXN5D6kfpKeFBPVfMM +UwvD1FfCQ0SfRMM1zVBEfSU8VFWN1OrdkYGor4SHsbez149dGY+or4QHlPpj +sHZCOKa+Eh6WmwdFh630xdRXwoO70whDsblhiPpKeAi4srpmlI4/pr4SYXup +r4SHA3Z3XjtNysHUVyL8nfpKeDC8Jn9UszUXU18JDycTjy7SXBCDqK+Eh6++ +1d3Xy9Mw9ZXwkJKmdXZZlRemvhIe5s/5vb/xSCymvhIeSvo26kiS9Y/6Snhw +y5gTdVsqA1NfCfk+Ig+byvUzMPWVkP6zzVy6OScSUV8JD8WvFsRcvJiDqa+E +h9Xs0mV343Mw9ZUI2099JSTfWK6606fohqmvhIfQurCrPnNDMPWV8JCz8PQC +3jsSUV8J+R6zllpKe+Zi6ivhYUKH6hfHfzGY+kp4EBs45TmmNxNTXwkPS+9Y +/5m1zw9RX4nw+dRXQvLN2izFqYYBmPpKePid1p2VbByGqK+Ehy2Lvv+NUPBB +1FfCw3DH7TFLuHRMfSVkPjMbNJ1/RSLqK+FBl3lRHLvbFVFfCZnf6eOx9blI +TH0lPHzca+wQqOGNqK+E5Kddob+6hkRi6isRto/6SnhwkVsh1mcXh6ivhOTL +PTrBzxOCMPWVkOs10tcd31qEqa+Eh1iR9lpbu0RMfSU8ZBjWHrTTi8HUV0L6 +p9WhxjA4HFNfCWnvqIvbZJ8kYeor4WF6gk3FCc1wRH0lPKyJ+qPdVuuHqa+E +hw82cvuOPktD1FfCw+m5Mj1jl4dj6isRtp/6SngYWiYiN3NRMqa+Eh4S/v4I +2v09ClFfCQ+ll16mFq/OxtRXwsOsP72vIianYuorIffjBw8aPQnH1FcivD/1 +lZDn79nyd/vZPEx9JWR+O61Qb4+NxdRXwsMlS+c93J4sTH0lPLxgdfsT26Iw +9ZXwUH/RyFpsXiqmvhLh/amvhIfHt9UuVStnY+orIetRxMpls61jEPWV8GDc +PnmZw5J4RH0lZLyc9Q/oHBaHqK+Eh0gZSTclyzuY+kp48B2l+bDTvghTXwkP +7cdt+xrsozH1lQifT30lZP3M2DnZ3LgYU18JD9EZ1q5xM6MR9ZWQ+uConuiY +Mi9EfSU8+KiI2XL6mZj6SoT3o74Ski+mnZqT8SUZU18JGb89VvccuAhEfSWk +XmBkpsv75mDqK+Fh6mL3cZs+Z2HqKyHfp1B2TZ6EM6a+Eh7sQuUWqVZHI+or +4aEmi8/WWxyLqK+Eh2OiOEJdIhRTX4mwPdRXwkPUWIsZH8b6Iuor4SG1dWTv +lLFJmPpKyHo64C2jIJuLqa+E1B/MgiDdfSGY+kp4wMlPPZVb8zD1lfDw8IE+ +67c0E1NfCQ/mZ3ccCvldhKmvhIc0SbGWPb9jMPWVkHpuptR4BadQRH0lPPyV +PmmG6oIx9ZXwwB6ecutzpCemvhIeToUtve60JBhRXwkPLbZnZ96xDkfUV8KD +gs7M8SMTMjH1lfBw0/VNdcOUGEx9JcL3p74SHt6IHbRdUZuBqa+Eh/VxUkp3 +d+Rg6isRXk99JTys29M86FefhamvhLxvTMDaYRqJiPpKeHD2L9/06HoCpr4S +HsJ+do9XOBqAqK+ErOdu1p4P8nwx9ZXwUBmWo2WrnICor0T4POorEcbUV8KD +tmgcs/CXD6a+ElIvHPR/ERpWiKmvRHg99ZUIY+or4eH98SkXVowvwtRXwsPm +NV4zmkyiEPWV8ND3fWLE10leiPpKeICUH27e45IQ9ZWQ+Vy56m/90SBEfSU8 +pGebPD/6NgJRXwnpP+bEqJYqb0x9JaT+Ku9oFN2bgKmvhMyPIbzhowv5mPpK +eOhCxp16ivmY+kp4eK1/yjfsbQamvhIeJs1peu65JR5RXwkPiQ9RX+WwBEx9 +JTzs23Ft15JoV0x9JTyY+jwySmHCMfWV8CD+udN89+Y0TH0lwv6gvhJSr6sH +dNTlBiHqKxH+Tn0lPGzVeCOzKC4PU1+J8HfqK+HhdrG/suuEKEx9JaTeu732 +4JPxxZj6SngICbCr6S6IR9RXQvJ9vMT36oMpiPpKyPu++BA3Z68/or4SMn7H +m6jvD0nH1FdC+terVvrVhSxMfSUklhIJMrCPQdRXImwP9ZWQ8TZ1uKvTSQ9M +fSU85CX06fS5pWPqK+HBOlV9nUVoDqa+EuHfU18JD3sfrfwaNDkXU18JD+ft +J+2smO2LqK/kf/LZf30lPDwILb6lnuOBqK+E1DumRoefT4vB1FcivJ76SnjI +VFnpND86EVFfCQ+iZpPR6REemPpKhNdTXwkPzbafFFv0biPqK+FhwWHDTplN +OZj6SoTXU18JD4usvBZ0a0Ri6ish69u2qVKXFTIx9ZUIr6e+Eh5+bdsAOqZ+ +mPpKSL54Kt988UI8pr4SHpR3bUp68zUTU18JD3P6K/oWmGVi6ish/ek+ZfzN +famY+kpIvbm74GXd/lhEfSVkvyh1/fGsU6GI+kp4uJLb/lj2QCCivhIy//6s +HufkmYyor4TsP2TUZQKzbmPqKxG2l/pKSH14I/ipTm0cpr4SHnpEP437ku6P +qa+EB6VMl3ng64Opr4QHvYMfz4u8yMLUV0LWzxH+49vTizD1lZD5/MnU4m+A +P6a+ErK/O3ijSqM6GVNfCQ8jtAOemzdnYOor4eFZt9GpzrpITH0lZP9pKHHH +UiQNU1+JsL3UV8LDqBPHHirejsTUV0Lq2fCmMxtDkxD1lfBwJum6zMC4LEx9 +JSQfnjLfJ9oWjqivhIfdr8Ov7vVIw9RX8j/57L++Eh5Gm6moZBQFY+or+U+9 +f/6cYlUsor4SUj/pGvUN/vDA1FdC9j/tJW+ethVh6ish+XuZ6BGpn96I+krI ++iN36oAcqQeor4SsV8E2fx++j8LUVyJ8PvWV8DDgJnLYeF4mpr4Skm9WVM75 +rpSCqa+E3K9vcIiMbwymvhIyv7pD8EeHSEx9JWQ/EFA17Ip1Eaa+EpIPLEtc +9UY7Ieor4aGhWU9WfX4Cpr4SMv6rws68HeeBqK+EB795RmunWbli6ivh4eKY +F1tdcrwQ9ZX8Z38d83QCF4upr4QH2x1NEsPCkhD1lfCwreHntDKZCER9JaQe +t3NZ//F3FKK+EpIf3de1LPqShqivhNTPw4/9uL8jElFfCZm/ylfWB35NRNRX +QuqrNP8Q1dlBiPpKyH7Ysj+1uTgeUV8Jqac2eDlFz45F1FdC9m8rHp8xmheF +qK+E7G/MVZcFT8hE1FfCw5iJYanrPkUh6ish9Way4cIor3hEfSU8BE/uVrQw +iETUV0Ly4883Us27MhD1lZD96buFGeuKwhH1lfDwqLYp6vKFaER9JTz0DzZJ +JhqEI+orIfslcU21u/2piPpKeKiLOba59oU/or4SHjTUqlzzUyIR9ZXw8Ekl +/dh68VhEfSUk/3fa75mtfxdRXwmpz17v26waHoWor4S0b191rKFPOqK+EtI/ +ObY3VVcnIOor4WGjmeNsg69hiPpKeFjSqqAi75KAqK+E/C4r8iLoRTyivhIy +HkzcTGoUoxD1lZD891jfodQjElFfCdm/3LxhNhAQgaivhIerv+f6vrGORdRX +wsOdP19vnlKNR9RXQurx2sfrbOrDEfWVkP6olmoOWB2KqK+EjM/UH2bSjDOi +vhKyf8yaPUZ1fQKivhKy/qsfz8oh9Sf1lfAw8ewIb5uuGER9JWQ/HR3adi0t +AVFfCQ9O3d8Na1xTEPWVkPG8Zt+JZ9vjEfWV8PDOevCm3rRYRH0lPMzb8Dzi +gm4sor4SUp/+zZZNvxqDqK+Eh9697zccPheNqK+EjK/xrscOH/BC1FfCw0qD +qLPivj6I+krI/pvR8XoZl4Sor4QHj+OVN5/7RyLqKyH735qWCAfHKER9JWS9 +15zeVqcRgaivhOy3lX6YdWdHIuorIetbm1SoPslv1FdC8sfGCJUGnRREfSU8 +/BiZUL56cTKivhLy/iq7PtsbuSPqKyH72+cxpw9bZiDqKyHf51C/yjy/SER9 +JWQ8Txje/GtZFqK+Eh6skldfLFBNQdRXQvZXbXvlbaQjEPWVkPlsm+jFNiUj +6ivh4flHyauZyxMR9ZWQ/W9SV8v8v5GI+kpIPZn/vaPlZwKivhIeuK8Zn5Z5 +xCDqKyH5OKa0I4XxRNRXwoN67X259VkJiPpKyH5FIiBNRi8JUV8JqZ88DT8H +f4xH1FdC9jdb+yss1mci6ish+xl7gwdSL5IQ9ZXwcHag0bHhShCivhLS3vHL +kYZ1GqK+EvL7IZErNqlxiPpKSPs4608PX0Qi6ish60vP5WmTL0cg6ivhQfLT ++szhA3GI+kp4qLCr+ezVH4Cor4Tsl66PXBt+IgtRXwmprycMGOprBSHqK+Fh +pnFXW9nnKER9JTyseLbDs2tJJqK+EpIfnqluWrcxBFFfCQ/Zm0yTR/6JR9RX +Qua/8+a1ibF+iPpKeCic+EfMojwdUV8JGX8L32kZPwtD1FdC7s+LfJ35NAtR +Xwlpn5t100vFZER9JWS/eHrM0uk/EhD1lfAw25dXzFKKQdRXQvr31TXlPbJR +iPpKyP5vWrKveGAUor4S0n8TysOm64cg6iv5T73+7deY5fGI+kp4mNu19HKU +eyiivhJyvdjWBkOvNER9JTzc8uladlk6AVFfCVnfDFN3eZxLQtRXwsPI6P0W +f5/7Ieor4WFXz+M5e6ZGIuorIfksb8oh3Y+ZiPpKSH3ya7VdXlMaor4SUr9E +6sXvEUlF1FfCg6NrD9pUk4Cor4SHc49eOwYtjUfUV8LDZ6W9xyqM/BH1lZDx +jIaotqr5IeorIfXHzMkn3n0JRdRXwkPk8dSL/g6hiPpKyPdSyIrdPSEGUV8J +2Y9EOtks1k5H1FdC2v8u3WlGfSKivhLS/1emG6h+jkHUV0Lyo+sb29vjwhH1 +lZD+H8O+fuuWiKivhId8SdulWeWBiPpKeMg4t3bM1bIIRH0l5H73NhhEqUUh +6ish7wNlEmb2SYj6Skg+jlpa9OluEqK+ElJvp0Z7P/odiaivhIwffvQ1BSYK +UV8JqR9R3fYhdpGI+krI+BfNv64xLgxRXwkPR24FZo3PSkTUV8KDiHZ+7egD +8Yj6Ssh43XbE+PvjdER9JTyErkaGcDsBUV8J2b+uf3fD+HAaor4Sku+u3weF +DaGI+kp4eOLuM8twWTiivhIeTqYanXzWn4yor4SHtzo3DUt0IxD1lZD9xaZT +g52XIhD1lfCw6qBc/QuROER9JaTemBC/LXBZEqK+Eh40PSY7j9EORtRXQsaH +dPfbhcqpiPpKSH9F1lmJRMcj6ish9UTrDK/Pr6MR9ZWQ/ViPg4FrRBSivhJS +36RbrDhVk4Sor4QHf+9/F2OssxD1lfDw+FKZrenbVER9JWR+is+ZyOglIOor +IfPZ4/DjrbMiEPWVkHxsWr2/VTURUV8JqQ/rZQpfqsci6ish9S3yVhq5MBNR +XwkP4xcGjahYF4Wor4SHp0fWHt8RlIior4TMX++6/R6tiYj6Sni4xy7Bsfe9 +EfWVkPrL68BEw0EfRH0lZL83VdMxfEEkor4SHkxmmv05Qb4H9ZWQ+kXDPsBg +ThKivhKSz6/fbI2AZER9JWR+mg28sdCJQ9RXQuqT5Wcl5r9PRtRXwsOp9ubJ +N3LSEfWVkPUrbPfaMTJxiPpKSD5+aqOX9C4VUV8J2c/+eWadNzMJUV8JD6j3 +4R3nUZGI+kp4aFppoeIQFYuor4SMryMcDPjEIOor4UFlrZ/jhv2RiPpKyPh6 +ou24LDQRUV8JGZ/W2b9e8qmI+kpI/+mKZLz+k4aor4SHrOHHFnpUZyLqKyH1 +xN3viVIaUYj6Ssh+KPawWrVBPKK+ErKf2RpuY5+ZiqivhOwn7sTrDLkai6iv +hKy/Jp2HG8YlIuorIfXW79Y2t1lxiPpKyPr+clLsoYsJiPpKeNied+H+JJ1o +RH0lZD+lZXF/yrYoRH0lpD4qPfB5AueNqK+E9HfzzOUSRbGI+kpIfqhSsI+o +iEHUV0Lqv9M+MddaYxH1lZD5fzLioKR1EKK+Eh4OL/Xa3t4XjaivhAcJVf9j +p5amIeorIe21GVZzYWs0or4Skv/ErDxN32Qg6ivhwTlfYt9l3wxEfSWkPvY9 +X+yjmoyor4TsZ+c8djMMS0DUV0L2Q+EHQ5ZfTkTUV0LeN0di4OWFUER9JWR/ +HPKz85FBBKK+EpKf3bQtdkpGIuorIfkxuiKz4nYcor4Ssv/8t/n7zIEkRH0l +ZPxORSKtITGI+kp4sDE57zOjIhxRXwmZX66Xrxb2hCHqKyHr9aQi/clyyYj6 +Skj+1/HRTVCOQ9RXwkPVrMqtE3R9EPWVkHowJ2zX0BPxiPpKyHxsaWif6JuI +qK+EB/MjJT47ymMQ9ZWQ8WsxpyF+cyKivhIeGvuOv12oH4eor4TMz7UmU8Un +RSLqK+GhWzVmZfK3FER9JWS/O/pzjvuKOER9JWQ9CxcZ1tQViaivhLzvPbWg +7Q4JiPpKeIhXdltV/CEeUV8JWR/efSh9fZXks//6SngY5ifz6KuYF6K+ElI/ +7i8Rs9fwQ9RXwkNgmvFgj084or4Skr9CbUqcbvgj6ivhIddv+ZOEU3GI+kp4 +8FIaTH5v9v+Yuu+4nt73ceBGyc5KEllJCdnz5FRCS7JSZqnQEKLIKrIi2nvv +vZfq1NXQkpkZSYqMvOicSEb8rvfnvv36vv+7H696vc7rnPu+7uvO831dKQzp +V4Lnr4DG702lCQzpV9IB5kedNgS+9GRIv5IOmPfSbr+wNJIh/UpwPmndLD1y +J5Yh/Uo6QCPr9e4+Z5MZ0q+kAz5qX6ocNz6OIf1K8H55zKrMXZjIkH4lHZAw +ZY/N9bFBDOlXgueBlNgxCn2uMKRfSQeYlA771Do6giH9Sjpg/rUdcnpZUQzp +V4Lz/0xj7gPNMIb0K8H8f6XExNEOiQzpV9IBv7ucB/0Xr0i/Esw3uL8S2wdF +MaRfCe4H/f3jtsn5M6RfCeaT77OntrExDOlXgvM76MbdmbvSGNKvpANWb19R +xLQmM6RfCZ4fmixVDe/FMqRfCeYbhr+kXu2IYEi/Etx/TNWGSR9IYki/Epzv +T6KLBy6KZEi/kg6QE08Sxm71Y0i/Elxf8RIvfinGMKRfSQe8/lBrV52fwJB+ +JR3wN7juUhfOR9KvpANCiyMLlynEMqRfSQcYS+Q0WPV4MqRfCZ4/z3vevVMV +ypB+JR0gbW1apWPgwZB+JbieLCS8u3H/I/1KOuBcqb2HBBvFkH4lHfA4Y5G4 +9t14hvQrwc+feERiZf8AhvQrwf15cXFH/2UZDOlXgvPvcmugnGogQ/qVYH5h ++Cj0yoY4hvQrwfMjY+m+52QUQ/qVdIDmotopmZczGdKvpAOKIoq8tmrHM6Rf +SQd8s/cvshgRxpB+Jfi8TyWfm8RHM6RfSQdEz14+9dXPBIb0K8H1/idLNLWf +H0P6lWA8OH/1lf4cf4b0K8H5zYXcmnwgiiH9SnD/q3AaVboqgCH9SjC/6c+F +Tmp2Z0i/Esz3Dv0UXzAokiH9SvD7KMlemXYyiSH9SnA/nnpF73xCAEP6lXSA +w5CzQ0NKAxnSrwTzoTaptLGnUxjSrwTPk0LBuKxcd4b0K8H5bufeEXInkSH9 +Sjpg677Ro3T2xjOkX0kH/LBOStnulcSQfiV4PWMXdsouyGRIvxLMn1rHrHqu +HsmQfiUdoPZ77IGM7FiG9CvB/SMs4M57tziG9CvB9eQ6gDdQj2NIvxLMf2y7 +ao6rhDKkXwmud4VN5XJ74xjSrwS/j+aP5p3KGQzpV9IBYyUuatxWTmdIvxLc +j2pum+eejGFIvxK8vz+MzEYej2BIv5IOeDbguWqS6XWG9CvB88PIyp1D7EMY +0q+kA7g+Wp7n6gIZ0q8Ez9dWTL/As54M6VeC8XHRg+EGakEM6VeC8SZdZvC5 +O1EM6VfSAf6uqX13HkxgSL8S3O9bI1Y+wPyU9CvpgBVzf7b5hUQzpF8Jzu/M +m1mBTn4M6VeC62vvj0s7VSMY0q+kA+Rjy87ZeEQypF8J5j81K4Z7+CQxpF8J +xo/U0+2DjiUypF8JrnePGZtsh3oypF8JXm+ZmdcmiQSG9CvB/GH1kotfFwcw +pF8Jnp+jvUfvWenOkH4leB57dGdB3PNkhvQr6YC5+qprWh4HMKRfCea7QXM+ +bUtOYki/EsyPZ6yaM8I2kiH9SjqgQeeheefueIb0K8HXm+oMmw3iGNKvpAOW +tQq3mdpohvQrwfx72cx9G0ojGdKvpAP6zvEslquKZ0i/kg7Y1zX4iE0axrP/ +9SvpgBK43ZqgHcWQfiW4HnsMpTRSMhnSrwTjl8/3lum8D0P6leD+buIxgeuO +Yki/kg7YvuPXyyk3oxjSrwTzgfQlP+yehjOkXwnux43vTgUZRTKkX0kHTPip +1LS4J4oh/Uo64Hld+rntSz0Z0q8Ez9+jdDw2aKQypF8JridjNtGkNo4h/Uow +f5nnsidSKoEh/Uo6YJV3hggM/RnSr6QDrqwbp7jvRiJD+pV0gBQ3JoebG8KQ +fiUd8MjEAubuC2JIvxKMD1uq4jfeSGJIv5IOWJthHZ+8IZUh/Urw+edPVtc7 +7MmQfiX4efuDnn9/kcyQfiW4/ofvcF0+2Y8h/UrweYm+SshdTWNIv5IO+NAw +3KdgfBRD+pV0gKP21lE+57wZ0q+kA5S6D0l6fwpniIfjof1I8yJWKpohHo4H +8wv75+r+TmaIh+PhzZBhQ6SUY6iH42GQ1YGQOC8/hng4Hu5omm25PSaIIR6O +h91ummOKK+IY4uF4kL0t/NCekMAQD8dDdt+t4h/fxFEPxwM3qD1/ea0n9XA8 +WHbqTd28KYwhHo4Hh1AfyZlBMdTD8XAtmLsXFHudejgeQi4ZmTemBjLEw/Hw +XOknu9wtgiEejoeh6eX3N/pHMcTD8RDbleV86nQkQzwcD0LzxJDkmFDq4fD9 +HDPOX6mKpB6OB7NLFy/ZDk5giIfj4ViycdeJilSGeDge0jy7DCZxMQzxcDwU +vhjsfX9KDEM8HA+eO1uWPpmVxBAPx8PEMbnLXy5JpR6Oh9+NEWras5IZ4uF4 ++LKs83KtfRJDPBwPLafVZApHRjHEw/FQsnpbh9KmQOrheHhv2HxO3yKWIR6O +B93qJVULygIY4uF4qNNkiwvkYhji4XhwX+thKC/pxhAPh99X7YLa8GmRDPFw +PJi8W3Hu2JVQhng4vH8DK1+0WyUwxMPxIO7rZ7VqjQ9DPBwPyeWWSXXzIhji +4XhIqXUcId+SxBAPx0OPYtzxM7qx1MPx8ECrxfaRVjRDPBwPl3Iezdh6N5gh +Ho6HTgvJe4sdUqiH4yFQqv20aVscQzwcD3Cr42MPE8QQD4c/r1b2d/mNeIZ4 +OB4i64163kamMMTD8aAydcLn7spI6uFwPv3MvSPjGs0QD8dD288R2g9P+jHE +w/EQFpA6WWpDOkM8HA8WtcM13lxyY4iH46E74zDbnZ/KEA/Hg/T1vJPSZkkM +8XA87L22+bBBewxDPBwPpzyDop1PxTLEw/EQcOlja8KgWOrheLjtc3zHmAGJ +1MPhfFqit1ZfJokhHo4HeclfA83/JjHEw+H9ve372OtROEM8HA81jnKZcydE +McTD8fDk6a1zV1JSGOLheDjnONil1jeKIR6OB523A/t8PBlNPRzOh6PFZiZ4 +niIejodZovcd+uI+1MPxsF7Fqep8ZQhDPBwPTcFKwpmd4QzxcDxkPZ10ZvSw +ROrheFhxx0vq3IhMhng4HvQ/n53l+SaBIR6Oh++CuraudhhDPByP+XXaTJWu +KwzxcDw8VnxglvwjiiEejgfrPq7RU2cnUg+Hv3/JcdJag1iGeDgejJ65/OzC +8xfxcDz4itfXDsf4TTwcD59j89vs8PkSD8dDfL/80CDxTIZ4OB6GJAiXLE2T +GeLhcD0cDLjs1xhHPRwPP+PM2ZUt8QzxcDyUba7cfiY0liEejoekEfvk8ngv +hng4HvqPaTs+OSCYIR6Oh9bX7q+/WYcwxMPxsOzXC0+T6GTq4fB5PI2asqki +iiEejodJHl92OdwLZ4iH4+H0gv3bNxT4MsTD4XyeeeZoV04w9XA8FF+6/MlZ +JoYhHo6HM+1rvA/+SWKIh+NBvfvp6POqwdTD8fDO52VplVoE9XA4ny5vMbYz +9WOIh+NhdrLJpP3JsdTD8XD4a/GP74PTqIfD9xP9WPX1rSdDPBzGU5e65ZHf +oqiH42Gjo8tvTTkPhng4HoYHtfgva/ZliIfj4d47/Ym+6yOoh+PhYkOUf3Rc +FEM8HN6/bcatU5pDGeLheJhqZGX/ODOKIR6Oh5OlknO9rKIZ4uFwfT0Ypujw +Jo0hHo6HTJ2jnfOPBzLEw/FQtMfpbPjRfx6Oh10buorf6iUxxMPh532yzP0W +7Ec9HA/sUrma5yujGeLheDgvP9hADCIY4uEwPlZE7KueFsoQD4f7gczofuVz +vKiHw/2r/U3pyMgQhng4XA99fH/dvRrJEA/Hw7qNM6X8oiIZ4uFwv922/ry2 +ZBxDPBzOP6ulBUUXwhji4XA9dHstbsPzM/FwGD8Zfe9WwY8hHg7j0c+VwZHp +kQzxcDw0+xzNOhYSwRAPx8M4OdMnJ2RSGOLhePiRPOiCr04qQzwcDzsG7/ry +8EMq9XC4f4Y9arU9EkU9HO63WgF5nqtjqIfD9dbj8HCgeTJDPBwPDXGirwpO +0QzxcHj9Ev1WtWllMMTD8fBqLH/s07EQhng4XI/qcWc8PDIY4uF4eGRR6Gxy +MYR6OIzfaVfjNq+LoB6Oh6+Sus/UXqYwxMPxYBcwbUmzRDJDPBwPodPtYz++ +jWKIh+Mh51Tby+P10dTD4fsfeZThfyWGIR4O8x2Iceu0zWSIh+NBNccigimO +Y4iHw/1h34hbow3jqIfjIbxq2mSHfinUw/EwutMnXsUsgCEejodfTx5LtjnG +M8TD8dDxeWy/iFux1MPhekxN6IjTCWaIh8P9RUl3uaVxPEM8HMajyCUfTkgm +MsTD4X5/9va9OxMTGeLh8POKpC18v0cwxMPxcP3bqWsjNZIY4uF48HjqIjs3 +L5ohHo4HfshKJSnVJOrhePA3KapR5hIZ4uHweobnr4ic5cMQD4fxKX395T1n +PaiH4+GlwXz7Bw2x1MPxsGWL1cAEvXSGeDgeJHPWN74P9mWIh8PrPznn7f0H +wQzxcDi/jPd9iNqQwhAPx8MN2yaL3/khDPFwGN/M5ksv+ZzMEA+H9885f9Dt +pgiGeDiMD/VpKuIfg6mHw3xK4aJR1f44hng4HkSPUkPvGKVQD8eDWuXHiWrZ +qQzxcLifKMp7CwXR1MPxIDXKyfaFJMaz/3k4XA9+lvt+lMUwxMPh8w2odph8 +KZIhHo4HmcljriypDGCIh+PhUCVbNUI/gSEeDuev7qjuWuU4hng43B918o5P +6YpmiIfjoaC4snKbXAT1cBjfz7WvOT8ijXo4HpxnD+l09/CmHg5ff3Sj85SN +P/Vw+HnaJvMSY5Ooh+NhbXvE05eaEQzxcBg/0o7MDR8ewhAPh+v/YPaDC+uu +M8TDYfxxzM+sLYlkiIfD/Cg6p254fSRDPBwPA1doxmgF+FAPh/Nr233VUfMT +GeLhcH+w/LH7c1MGQzwc7rf77UvWRiUxxMNhvrCrMSiPT2eIh+PhQOy3b1dl +Exni4Xh4+NFprW1YJvVwPEwLtpEVt05kiIfDeJ/0ddCa/X4M8XAYHxYM2Sqz +3p8hHg7jh57E2/L0ZIZ4OMxvd75W8Z4eyBAPx8OCHEmnMdMSqIfj4Zb04Qnq +pgnUw+Hz+7FO/vOoNOrhcH3YGfooD4hniIfjwbtVXbXxfDJDPBwP968O37iv +2496OLy/+t2TlozIYIiH42G/IKswJSCMIR6OB02Pqu/qM2MZ4uFwf7Ecm7It +IpYhHg73o4hlJ09tiWWIh8P4zWVLnBsbyhAPx8Onu9vTVsXGUw+H+1uz5xqX +ZQkM8XA87KsPN0nrjqYeDvOT39ahnTsSGeLh8P5la7Aun6MZ4uF40DOUb7OO +DqYejoc5Paef3R+dxRAPh/Fk4n2xS8sSGeLhMJ/P/iQnedKXejjcrw+FeMTn +pDDEw/Ewv0K8sVo5iiEeDs8jt8cp/RFLZ4iH4yHaZnq9TWICQzwcDxkHFKqf +zUliiIfD89Lq+JxFN6IZ4uEwf0ow4tRHpjPEw2F+EauUrJYfzhAPh/mMyaiz +V1oyGOLhcL2uH/q+/VcEQzwcDx/S9jHfDoRQD8fDiZ8uv8Q+X6UeDuPLr/zP +fv0TqIfj4fiQCtdvW/2ph8P5Ydr/lY5nLEM8HN7fay6Fa2uCGOLhcP0PAivH +1bEM8XCYbw9rvZCfFc0QD4fnw7NF06OvxTPEw+HzLt55PFUxjiEejoclb230 +V60PZ4iH4//7/0EG7J0YyRAPx8OMz8fLRmN+TzwcXk+bdJvbh2SGeDgeSs8o +vhncmc4QD8cDI3X0l9UTP4Z4OJwfkw/XDJCOZYiHw/34zAjJvVuDGOLh8HXr +CSsWfMmgHg6/v/HSHqs/8QzxcDz0ic1xMlmUQj0cD8/uXpsT5pnFEA+H5yvH +iTfWjUhkiIfD+D2ij4HZrhiGeDgeFgdGur7+GUc9HM6/x41Hf52KYYiH42F1 +3c+Ce6fTGOLheOhSPnjYUy2ZejgegiX8l9osTWCIh+NBbEx40WbbFIZ4OJyf +lfVHGMyPiYfDeHTIaILT3wyGeDjMNzOtJ30fEcMQD4fze/fXHcPjkhji4XhQ +sLjQ6G4YzxAP91/+2T07LMKbIR4O94/YDwnnXH2oh8PnX9FvnaNMOkM8HM4f +pY2ZF9wCqYfD+W0tFum7JIMhHg7zB41JvKp4NPVwuD9+se3x84tliIfD53VW +w3IN5049HA97fpY7ReSlUQ+H6/2s/HvJkCTq4XD9FaUtstQPpR6Oh7nz2uwH +eMZTD8eD06Yhsvn1idTD8aBV8HDR8Isx1MPh5y1yEzPjfKmHw3x1tNvQwYF+ +1MNhProxR9tm9T8Ph/HnxwGjo7Jp1MPh79d5XzT/FEw9HOZD0+sszfbHUg+H +88dzzCzTKH/q4TA/dWHZI8VJ1MPheWzrBZvXPjHUw/EwT8vwtZIonXo4HiKO ++dicNIimHg7394gPJiNKI6mHw/hee1kpHc/rxMPxILEqW/p3Szr1cLh/+Tpr +OF/zoR6Oh91LN2z+Mi6GejgezrZcVRis+s/D8bD1VWSOq2og9XA8fDssF6H5 +MJx6OLwfPaVNKk8jqIfD+OGccNb+RgL1cDy82G68o1UynHo4zAd9assm3k2m +Hg7n0/wpt9X1U6mHw/3TZLJh9+dM6uEwv/+6eV/By1jq4fDz99s+kkjLpB6O +h/zYJ+6SM9Kph8P9w26RmHpxBvVweH5+c3fbRKkI6uFw/z2r6Bihm0Q9HA+b +p9/qd7M9ino4HjZVKhi1BSdQD4f57zZhw+zPkdTD8VDXV3g8yyqWejj8fJvP +exyMU6iH48EgRpgwKcSdeji8H/abGie6eVEPx0Nljfa9npU+1MPxYKGqcWOK +dwT1cDxcVWIdwvqGUw/Hg5xa3x16c2Oph+Mh3aXWxDw4gno4HsQVP1YenxRA +PRwPVRVelkflsqiHw/ttbS7z2DyOejgeZmm8qOs/NIZ6OPz+w6yVJb3jqIfD +ePNkRkPjtnDq4TAfrqsSd5DPoB4O7+e4lbvc12RRD8fDxJ4fa47WpFAPx0OQ ++M4dotVR1MPh+khU37xNIpV6OJyf42NSd85OpR4Oz/dCugS/PYV6OIzX66Y4 +LjCIpB6OBx8bwz6GrunUw2G8ynaqDp2SQT0czg8Fn2WKu9Oph+NBd6/aroRT +vtTDYfz+vGRYVGAc9XC4fzBn1qjFpVIPh/thuVrR1rNx1MPx8HGRffDu8Hjq +4XhoGrzJN6cymno4Hur1Fp+YMDqaejgeXB5LHAptj6EejofLT0sOn5iXRj0c +DwffOqindydQD4fnh3MJDS3x0dTD8dBYvXrSktBY6uEw/5KT/64/OJ56ONwf +tyrOWN4RRT0czg+Z6JcZVUnUw/EwQkjKHLE0lno4HpbFXczUvZVAPRwPymuP +X3sumUI9HA9jsnXvDP0bTz0cxt+/F47ESGVQD8fD2yMrWwsHp1IPx4NpiWjF +J7M46uEwHzlUW2u6zot6OIyvRo27lccFUA/Hw58Y64vqeD4gHo6HxPKWtSr4 +vImHw/jzu+J0wC4/6uF42HvpjmbtvmTq4XD/c04aPlI6mno4Hvo+DXhj8zCZ +ejgeRqlt8NaLDKIeDvff+FD3Ex3J1MPhenBvj3fsiaUeDvNzue8jx//ne//n +4Xgoib6qeVT0z8Ph/H/5MurZ+FTq4XgYu0Tz1SPPAOrhMF683rSTL46lHg73 +91PT/xTsTaIejoe/K7Ruex4Oph4O98P01wuuSKdTD4frdaL22R6VBOrh8PPz +AnVyfiVQD8fD8OkzXZZKJ1EPh/Np8pv0JRiviYfD89QahzHBW2Ooh8Pz71uz +BTMTU6iHw/thbDvQEPd/4uF4GFngdc5jZgr1cDykKt27sbUgkno4HoovOj1c +1B5CPRyeL06Vr5skEUU9HM4nm/I39u6R1MPxELV39zCt4X7Uw/HwRrzbwXCA +G/VweF70mFRlODybeji8H326X7WYu1MPx4PdmVetIzG+Eg+H+UX6xUQntUTq +4TD/9xsY8qUugXo4HmwsXYIOX06jHg7P87sTOkx3xFEPh9/3i6W/Yd906uEw +Xmh6HZQOjaEeDs8711YV5W3PpB6OB6szK08M2xFJPRyu7/M3glTLfaiHw/Om +8gPz+swo6uF4WGjg4953RgT1cJgvHbDM//HOi3o4PG/VLpLNto+hHo7///+/ +AfFwPOTFB23/nf7Pw/FgaHRQo31kAvVwuF+8vHF6dmgQ9XAYj6+LXVtuE0Q9 +HA+O3ZlhGhUp1MPxMCis7sxClUTq4XA9l/ovP+QdTz0czl8zfZ3+P+Kph8P5 +brzUNjc1nXo4PI+t6+smrptFPRyu15XWI2sao6iHw/1SY/vlpOUx1MPh+zV4 ++85OyKQeDvNX3wxLpzX/PBzePzZIc6h9OvVwuD8eLTaWL4+jHg7n84sA7VcY +v4iH40F/raS/ZXoc9XD4fJ+2DikOiaMeDuNJhv6FxW5x1MPxUJbueqAGz5/E +w/EwbPcmDdWWZOrh8DyQ3/rw3pAQ6uF42H7yjf2fAG/q4TDfnDzOredIBPVw +PGh4ZNs5T4+nHo6H3Pbl4Za/Y6iH4+HrwoFShonx1MPh/rl35Z8/JQHUw+Hn +61ezIzM9qYfjAYpjdl88lUw9HM7fbfOWueXGUg+H5/crkpdtViZTD4f5wi+v +Pg90/3k4Hq44DrI9Yp5IPRye/4dKvlhdHE09HOZjadMzHKfGUQ/Hw3OFTKkv +X6Ooh+Phtl7iww+NqdTD8fBgTXelmH0c9XA8OM96n7rkdBz1cDz8PrDjTe2F +fx4Ov2/qo7cNQgz1cHi/tUeP+bo2jHo4jA8/pS9VT42nHo4H3z8LmDPbQ6iH +w3xWIXW7t04y9XB4XvKNjv3TEUc9HObLZ2vdCp5mUg/HwyLXs43Oe8Koh8Pz +9GnD6F1D0qmH4+H10hO7VswJpx4O5+fXRYcmrkqkHo4HP4dMtmTJPw+H8U/0 +ir/ZFEk9HOYHPzIar05Ioh7uv79v7LzSZZ5CPRzmI98klBY8S6MejoeAzo+j +bqvnUA+H1y/cidFQSKMejofJ4rXnxh4MoR4On8/Vo40HskOph8N8ZueuPQZv +EqmHw3jLfnpr1x5LPRyuv0OLiteGR1IPh+vp2bXs0Fdp1MPh/jBDOp+9mEw9 +HM6ne6ZNV5ZlUw+H+Z7vJo+jmM8QD4c/P/uzpGVwIPVwPNydXZ6/an809XA4 +Pw64bfrSGkU9HA9G0rXGY4z9qYfjYcC+ek1N7Ujq4fD8NVnL/Buev4mHw/0u +Y6DWio0J1MPx0F/ELA0ZEEk9HH6eQn3bYcUI6uEwHuT87vpyKYp6OB5iHVSD +7HpSqIfDeLc2m7XySKQeDufT9cqmSs0I6uF46Lk9xVA5NIN6OB7OGFqvPR6Z +RD0cDybVfUuHnU2gHg7PH5FXdmUHJVAPh/FfskbcbmUi9XAYf3fJKL+8Fk89 +HH6/sYZHk5WjqYfDfCzK+2iXfwz1cDys3OEyXGd/GvVwuP/JflrcJO5DPRwP +76vra9v3pVAPh+etpE0b3RsiqIfjobncNyNGMpB6OB7s1x0zrngVRT0cD2Y+ +BUo7M2Oph+PhQt3J5NEPUqmHw/OAgYcZJxFCPRwPp21Mvd3kEqmHw3x1iWPf +wLh46uHwPOF3ePKmtiTq4XiI2/a5Us0ugno4nK/NsspZQib1cDxwI06PmtCY +ST0cvr9pRbr20ETq4XjYtWf0KcfKJOrheHBTHax6VyyHejhc7xNWtBuWJ1EP +x8N41dqhKu9iqYfjIe3C8DmGNXHUw+H6mK1l9/hGDPVwmG9cy5dLWZxAPRzm +A2MNuiYuyqQeDs+7vo+yE28mUQ+H17Prq/m7L/88HO6vy+vLzlZFUQ+H+aCK +sUlJUwT1cLgeLocvCetOpR4O411cjsKjoHjq4fDzfo5O3xwVTz0cD0piP2Z+ +qI2hHo4Hr7u1J6bJZFMPh/dn28DAUYXJ1MNhPDjqc8BaOoR6ODw/7cg14X9k +Uw+H+ws/K0UrOpJ6ONw/Jw2snoTPm3g4HpyWrygPxP2aeDhcj6Olmp2UM6mH +w/NSp6Hrgu3h1MPhfLR65HCzIZ56OMyPGyR2bzVJpR6Oh+Brw95Pqo2kHo6H +WpnRB39UhFEPh/tL+/gV+t3R1MPxEGMR9VdtRxr1cHh9XsMNxVWzqIfjoUF7 +rcmxyQnUw/2Xr6y32zItnSMeDuO3+5Ygqe+RHPFwuH9+v2gXIZ7FEQ/HQ3yf +m63f5/hSD4f5RcjsiPJZntTD4fnqhvirhQuLOOLh8Pkm7ezQm5DFEQ/Xmy8R +D4fPt63m7N6GTI54OB4+nHmmMtM2gyMeDvPf/SEdmTn+1MPxcOmzQaCaWAz1 +cLg//FxXIchVcMTD9b4/8XD4fN3n/onZnk09HOaD5U2GT3LCqIfr/Xni4TAf +VJj96ox1Okc83H/P923w4LeFHPFwOH+PqZRV52ZwxMP1/j7xcJgPHHQ7PXB4 +MvVwmB8NU/R39y7miIfD846mo77MtRyOeDgeNmaWt+uLCjni4XB/st6d/rks +gHo4zJcMRoRcPJPFEQ/Hg9jmxpdLHwZwxMP9d9475i09oJAjHg7jver5Pl3P +c6mHw/intWfET6VQjng4zKdjQVXRIpB6ONx/tyXm5MtFcsTD8WBxPmLMnwHZ +HPFweB44EK4ty8dyxMNhPv/9k/bPkR7Uw/Egr7p4gm9UHPVwPHRxban3XmRy +xMPh9by1HzWgNYB6OB4qDaelrZBK5YiH46Hfn+3l17dlcMTD8bA44fUu8YeB +HPFwOB+8vpj4fE6kHg7PX2nffWvPxnHEw/Xef+LhMP9XNF0voxFOPRyerxV0 +mVzTaI54OB66r5wxXtxSxBEPx8PNsaKpj8IKOOLhcD72abs026qAIx6OBx2n +cfGqIcARD9f7ecTD4f7b19PW6Ws2RzwcnvdG9dHLrE+gHq7354mHw/Uld7Jp +wAtvjng4HowVx+TmzojhiIfr/Xni4XiwlQ9dFKBWyhEP1/s68XA8/NR+rSs/ +KpcjHo6H62UXL4ttz+aIh8N4Envuk9GDUI54OMxXrPR2hGgWcMTD/ffvs+/X +v/7rSz0cvv/nmSeyv7pSD8fD0cNtwuCPaRzxcLj+7dlz15blcMTDYXy7Vvqs +anEZRzxc7/URD8fDQDtrnRn9ojni4QTYmcqfKGzNpvXhBBhxKW7d29QiWh9O +6O2f8z8PJ4BJ68jAC5XRHPFwAtjfctox2SCZejgBFn7MHLwhJoUjHk4ADb7R +7kRhMa0P1/t+xMMJkKMnFvDILIN6OAHOftvmJbH1X304AeoUNdbcqM/niIcT +IKTuo+8Qy0yOeLje9yMerndMPJwAUiWVfb5vjaP14QSI7lv/vOitK60PJ4BF +cb9RPtfjOOLhBFj191njZ4zfxMP1vh/xcALIzHM1DVIro/XhBNggFy5kv/9X +H06Ai5Khp0wGBdL6cAIUuOp8H3EtmtaH630/4uEEcHDqvhSYVkjrwwkwd9Nl +7+ieTFofTgD9oDlxftcLOOLhBLipf9X8t8I/DyeAs+HAa9sOltL6cAJcSnKy +848rovXhBBhZt842Df7Vh8PPfxtTlxvF0fpwvddDPJwAchZ2l1dYRND6cL2v +Ew8nwIzwrSV+872phxNAZ2BW+hmrZFofTgDJgIHPl87NpfXhen+feDgBGuvc +8oNO5tD6cALYtR+R3mzhT+vDCTBNJ9k8PDyeIx5OgEUuTT9GWfnQ+nA4vz7P +9truWEjrwwnw4kD68UXSRbQ+nACRYm8GLLaMoB5OgOAVC0y5oEyOeDgBxLVr +BlqEFNL6cAI0yMr8XXsykNaHE6B/iVlqiF4g9XACLFPc0eeBXDitDyeA6pUS +Tdm6Qlofrvf7EQ8ngOKzqf3Y8gKOeDgBrm4wb1mTnknrw+H7u/9pmfQngCMe +ToCmrYbSLVrxHPFwAtzfPz8rHApofTicHw+8wxunFNH6cAJ0lUbL/O0fxREP +h/O7ZobiPZ18Wh+u93qIhxPgQ+GOq89qA6iH632deDgBjlamnFng5sURDyfA +yqODnQa4FXDEwwlwUNbg5i7tDOrhBLixIuXy7tBE6uF63494OAEyxKSmbIqK +pPXhML7EFsue3+5FPZwAS+RvaGYOzaP14QQYwGeqxBtkUA+H88NIMc76fQqt +D4fxKD6meu2ldI54OAEGjreNCxuTTevDCXAkVHLMRVMP6uEE+HH5UW1uSgCt +DyfAtxuvwyTbgdaHE8D9XPSRUvk8Wh+u9/qJhxPANWtAtkFWIPVw+Pnc+1Dx +gRnUw+H6fFLekKsXQz2cAI8Tkr81HM3miIcTwChlqNng/XG0PpwAaSa/S9od +/nk4AURr9W40vMjliIcToPRd0qLg3BRaH06AU/YHnz1ySKf14QTQlZB5m7Qt +l9aHE+BnoF3Op6ps6uEEOP598dklr29QD4frYbdwtWu3H60P1/v9iIfD6x96 +jw+rjqceDue3XqPk86uhtD6cAO1LPvQsjUvkiIcTAKSK8xTib3DEwwkg6708 +at0zT1ofToCnA0ae2zG4nCMeTgCXt+Lbrn6Po/XhBFBfo7J5WWYYRzycABMc +lupV4fmAeDgBRi22uNKTm0U9nABiu14BNz2f1ocTYH//qmnl06KphxNg2HlV +18SxUbQ+nAABqd3xn2XLaH04AWxn5SbIfMyk9eEEWHNr/pUAWR+OeDicf5vu +7vSUSqL14XrvD/FwOL7S6Ho5Ip16OAFif87YcGSmN0c8HM7/ZSeY6tl5tD4c +xtdCTfdco1KOeDgBwpev8xwvGUPrw+H9Ctte226TSD2cABu1NyTOPF9K68MJ +UFz34puxXib1cALEPa/eqp1ZSuvDCSC9yjHfalUe9XC910s8HM431aCHfGgy +rQ8ngFf3skKLXyHUw/X+PPFwvWPi4XC9WJx8pDQqgXo4AQ5kzBaf+jWTIx5O +gPORP+MmyMZyxMMJMFrh1o8tun7Uw+H8vDZstZZ9AK0Ph9/XU9dtvPMNWh8O +449dnkHt6XKOeDgBQhclGCXM9qYeToC/814k658r4YiHw/W0b9azuZblHPFw +AjjdZ2X8TSpofTgBuOXb546+kcERD4f7seaWRWv/ZlEPJ0CWTnO6y6Ewjng4 +3A+6fCUcPVJofTgBHIPdl05bFEM9HN7/bU87ZVwiaH04/LxH5yXmS8dxxMMJ +wA7UWbS6PY8jHk6Aj4Petht+L6P14QRoMxiqPNO1jCMeToBU84dxTodvcMTD +CaB95umkFwHR1MMJcH1rgFLNgDxaHw7zjZWGvzUzkml9OAF83j4+ecQjg3o4 +AaZEqrfJyMXT+nD4fVWvN8juiKT14QQ4yX1tTzQtpfXhBLC++qh7fFAqRzwc +zvecVz0dNmW0Plzv8yceToCknOV2J5w9qYfrfZ14OAHSC3ZOSBrlQz2cAPvO +HuxWf5tG68NhfNBaMbbYheOIhxMg/s2tcd1HY2h9OAG2dY8bMCItiXq43vcn +Hk4A85qE5N+esbQ+nABlojXFCtk5HPFwmN/Unl9aV5BL68MJ8LVFMLps4sUR +DydAnr/JwHqXHFofDuMXbHM4oJTCEQ+H+7vBoPBET2/q4XC/M9n/pcIhniMe +rvd6iIfDfOTOvFOjxYJofTgB7iRoNml9KOGIh8P9Y8FfjctPIqiH6/194uF6 +x8TDYXx4/NmfOV7KEQ8nwKd0KfnXlv4c8XCYj+SkjP3bkcQRD4frX+/y06vP +s6iHw/WU19OjcCCF1ofDfObU5FbH5Z60PpwANc41b/p/iKD14XB//Pr3qW1Z +LK0Ph/HoqmHW/HlhtD4c3m8tKf19WziOeDiMj0rrd6UIHK0Ph/t9iLN01NII +Wh9OgOw1vy1WSfjQ+nACvDZYfjfOPIvWhxNgap8hVsPPXKceToBDm2bXrq4t +5YiHE2Cc9NcfW429OOLhBHhbdEH2T0wh9XC994t4OAHmefvskDIvovXhcP81 +MJp0KzqCejgBTuj2TEhtyaAeDue3dtY9piKEIx5OgNorwQc/5WVwxMNhvBm0 +rGnpjAJaH04Aqz5pQwe/T6AeToB3Px4NUt5cyhEP13s9xMP1jomHw3x0oWul +VEgm9XACxPywWFYhCbQ+HM4v2WEfxRPLOOLhBDCzXDbPRjuJ1ofD5zHJTf6Y +WjlHPByuj92RBfd73KmHw/W09dvCuZuiOOLhcD4fP1N3rSWZ1ocTgJfdFl1m +lMkRD4f3e+dJ4wObyjni4TDfDHjqYF6WR+vDCTBoQMIu2e4ijng4Ae6dCVZ1 +nx3OEQ+H8c7o+WnFpDJaH04AiaF674e4hFMPJ4C8xQjJOo1s6uEwP1fKW3ru +/g1aH06AxbYPg3Ybu1EPJ0DiqKv23nsKaH04vP8Ob77uNudofTgBZubN8xf0 +02h9OIwn+7e+Hfskj3o4jP8rRkfWN5fS+nD4/sq/+5k/q+CIh8P86b77iMQL +UdTD4fO+tFB66Qd/6uEwv9BKs314I5EjHg7zm92ZCVtMImh9OAF+fbTrP68p +lyMeDs+Dk0JzDO/7Uw+H8+le0JQGu3JaHw7z9XdtRpfu1ND6cJgfrp6Rkz2y +gtaHw/PwlttN5tqltD4cxtPrqnP5yCzq4XA/CH3ieumED60Ph+dXlSfy8+LK +aH04AUyfXfKT3xdMPRw+n5sVTzf2y6D14f47vxzc9C2pgiMeDu9feGDjdc04 +Wh9OAJVv76YzclnUw2H+/nvS87k/Y6iHw/snY7btuHY5RzwcrmeLo08rbuRQ +D4f3c/fvlJ325RzxcDgfS19W33ubTuvD9c5/4uEEYDzU6nx/5tH6cL2vEw+H +57ONt0KuLE/hiIcToFCs3C58egKtDydAT0rT3oMTcml9OAFWrFmpNgzzZeLh +BJhvc7vf07x4Wh8O17/FaD5vUC6tD9f7ecTD4f3Tam02+FVC68MJsK5ry6i8 +4mJaH06Aqn1f/VnnUo54OFz/D/lhDbNyaH04XB8357QmiSKphxNgz/TZyYqJ +N2l9OAGirAIOb71QwREP1/v5xMNh/Cga/2SD/02OeDi83w5dc+emZlAPh/dL +aU5C5VvgiIfD/OFDRIDD3hyOeDgBusfE/NSXzOGIh8Pz3ao3jj+3+lIPh/vh +PM9d7gP9qYfDfEQz+Y5qeCFHPJwAwlb9n6FhsRzxcJhPW+V2WXblUQ+H+8HW +sDkrXaI54uH+z99H/ufh8P6EDlNOKyrkiIfD+2la0aKukkU9HObbV5dHTd2S +wREPJ8DhKSMtWrfWcMTDCSD3WU7an0/liIfD+ekP7bp3czni4Xo/j3g4fN7T +d4776pvLEQ8nwLl8TkNuVSxHPByef2brbs2cn0c9HJ63beRMe9ZUcMTDCVA+ +YUO/IJ0yjng4XL/vBoeFKHAc8XA4vyZpByoa3+SIhxPgFT/Z9Iy7G/VwAmia +zDULuFxEPRw+7w0n7FYPSeOIhxNAKfJhyjSr6xzxcAKoLfsScO1jJEc8HOaL +NYPOvtCIpR5OgPxRllUj5lRxxMPh/N8bkJC1IJojHq73+xMPJ4DHuQcHYjH/ +Jx6u93Xi4XD/85eSMthWxhEPh/P1xETF9S8zOeLhBBhr1+f3vJEFHPFwvb9P +PFzvmHg4vL4GkZdNYQb1cJhv6H7knmpncsTDCTDjjrhqZloNRzwc5o+vFVMD +sm5yxMPheW7jyDu1h5Koh+t9f+LhMP8o03lQbJTNEQ8nQFhSm+ZSjIfEw2E+ +JWp8LHM2iyMeTgDFBu6h3oMijng4ARRyde4WrsvgiIfD/K7sd0OXQSb1cAKc +hvv10RrAEQ+H73+/pVZ7Wj71cL3XQzwcPs9+i2cM2sVxxMMJkOk186/ZynyO +eDgB1v46oj0kO5h6OAEMX/dZaTuogiMeToBNk4eNiDPM44iH631/4uHw/BAl +3ZO3pJgjHg7PZ8xjheV6cdTDCdA5vO/Iu8GF1MPhejk6fda6CF/q4QSYEy3e +/8IRjno4AeqTc+6W7PXjiIfD/CBK63arWjb1cAIUlKyNCZQppB4O48efSYrW +kanUw+H6/c1XHLT4Vx+u93qJh8Pzd8mdU11m0RzxcL2vEw/339+7sobuyCvn +iIf7P3/v/Z+Hw/OW8Z/Hid8DqIfrfZ14OIxPMYq2rfWlHPFwAvw0nqHg/DOD +ejicf5stRk26+M/DYT6Uef2J2pdYjni43vcjHg73Z8OeZZ0Hszji4TB/3zhF +v3LkTY54uN6fJx4O88/SzF8FA8s44uEEkLwYr+zK3uCIhxPg97MSpym/6jji +4Xp/n3g4ATTWhKzVyy+iHg7j51epCZIrMzji4QRI+SGf+DT9Jkc8HJ63tpaX +7y3K54iHE8DX+umFi/OzqIfD/XNbduvEtBKOeDgBbvAn55xfWMoRDydAwJP3 +FvWOyRzxcAKU2i+Lz9TK5YiH670+4uFw/ahlNSa/SaMeToChWn/PJuD8JB4O +42mn2GPRiizq4fD81fPEbYthLUc8HOaD6x6tLAkooR4O95s1o0dZVXMc8XAC +PHzqFMdk53PEwwkwxuFEdorUPY54OIy3hsfySvvc4oiHw+dX17DZu7iCIx4O +9xf50Kf7MV8gHg7ziePeU24OKOaIh+v9PsTDYbxROeBtNTaOIx4O36/Ya9Ha +w3Uc8XACLO1RrbfaHUc9nAATB97a361YzhEPJ8Bc6c8NIGRSDyfA5WqHrnTx +LI54ODw/e6sbVS24zREPh/nH6HZXpekFHPFwAszuJ/3LZEUmRzycAE9iAl8d +L/PhiIcTYP3m2rEND0I44uHw/DttVumzM2Ec8XC934d4OMz3QxRsho6/Sj0c +3t+As77iLskc8XC4P33z1pIMjqYeTgDLpX8fnlDPph6u9/2Ih8P586Hl+w32 +Pkc8HO7HMbuFKvEyjng4fD5JZjZPDxRTD4f532F/1Q9VVRzxcBjfK90Ej4Js +jni4//P33v95OIwfdX//tE33oh5OAM8vr9J+jMnjiIfDeFPPBms9zuGIh8P5 +KTXNnf0cyREPh8/jRVffxG2lHPFwve9PPJwAG985GHZpZHLEw/W+Tjwc7l9N +wbvPXkmlHg7zpan7265v+lcfTgC/lV9vvpmfTT2cANvt5Kc99yrniIfDfLD1 +8r7q8/c44uEEaNq3P6gy+CZHPByeT11c3u166UE9nAAV7dunHlMt44iH+z9/ +7/2fh+sdEw8nQF5g8mmVlynUw+F6c+4bV7Mrg3o4nE+uiQeHSaRxxMP1/j7x +cPj9J0bdXQdVHPFwAnjtWqyuOyaZIx4OzydT2241bvjn4QQIuqNpPCa+kCMe +TgCj+KJCK7s7HPFwGA+PlEU98rrPEQ8ngNZsTZubjpkc8XA4/ycNe9K/tJQj +Hg7Xh8yM63FjUqiHw9fD/ph6ZWVRDyeAbrPBtSVrKzji4QT4NC/lcKNkFkc8 +HF7/IImG7E9l1MMJ0NH1cVPBrETq4QQ432L8Oc04kXo4/P4J999pXS2gHk4A +G+Z54P3FHhzxcJg/zX09cct8jiMeDp+HW0ChVmI+RzycAFeutBzb11DGEQ8n +wIDpc/ffULlGPZwAb6YfMhs904d6ODzfKE0xOvQhj3o43O+trK+aLb3PEQ8n +wIWQ1QvH4vcjHg73Z21u2HKDKOrhBHBIE50fpJlBPVzv8yMeToBZk+znn7a/ +zxEPJ8Ck31tdflrlc8TDYb7wZk6r8rQkjng4jFfrfs+5NbSSIx5OgDW/hpzx +vl3HEQ+H+6GW3cDalEKOeDgBPic+Vnojn8IRDydARIGVykf2AUc8nADH/sbU +R9sHUg8nwN76mUlzNUuphxMgeXjPh2bbOo54OMxvnKv2n0tJ5YiH+z9/7/2f +hxOg9Zj+ubGHqzni4QQoUfv4YtWIRxzxcLhfvlpbH2VWRj0c3q/xJX8G3yjk +iIfD8/B0v4lz1t3jiIfDeDFjT8Vc12KOeDg8H4XPvPTnwgOOeDgBdpz4cfGD +Rjn1cAKcEF9g9OABUA8nwM5B13aed6qjHk6ARecG+43bXU49nAAHsy0nphXX +cMTDCeDfpnzP8NC/+nAY71Q9Bjhdz+eIh8P7OSkg+d61GxzxcJg/g8G80U0V +HPFw+H3uypklPbnBEQ8ngE5EpyjILIAjHg7X246xOzz65VIPJ4C845PHH+Ju +Uw+H97NrQ0h2cBFHPJwAbh/dR+rJ/PNwmC/kuO79K9RyxMPh9abDM8Wpjzji +4QR4HqMZ/BtfJx4O44dW4DC29DlHPBx+vpo1vBxWxxEPh/lvn8LikX5lHPFw +AiRK3zzv8LqAIx4OxyvcL5//W8YRDyeA88dDa+feCeOIh8P9YnxEf6X8G9TD +CVB94au1RnY0RzwcrrdT1415p5vUw+H5a1CKrHn0A454ODxfbnrT91xHIEc8 +nABOtvMKrb0qOOLhBPgzJKp0rk8R9XCYDxlMbl/wuJojHk6ALa83O3+qzqQe +DtfrwwV+2eYZ1MPh993iN+7EsRLq4XD/v+M+0jugiCMeToDNM7Yn9TMr5YiH +w/ult2dYjE0x9XACLE+I/TPEtZJ6ODxfp1keff3oLkc8HMa7Pdej1Q/HUw/X +ux6IhxOAPzawydajgiMeDvPvtatmee0toR4Of95YzBJWFFAPh/lM1kFlC716 +jng4AfYn7Py6ZUY8RzycALY7RyfURDzliIfr/Tzi4XD9q9WdPIXPk3g4jD/3 +HVzlFR9xxMMJkPtYJXUqU8URD4fxTsnE2GpOJvVwmC8sujMlb249Rzwcxs8X +Vzc/ys6gHk4AKft306/LPuWIh8P7sSNk/TSrm9TD4fjrbPNfOfnUw2H8atPS +kTcvpB4Oz8OTvGSk+Xrq4QTQHpQd96e7nHo4XL83ZlySGlRIPZwAXbIL98tq +llEPh/nTzlkfrg17RD2cADFr3cdJKz/giIfD/HpA+nvNERHUwwlw533DZYua +So54OAH+/rK4zBnd5oiHw3zj+OkPqV/zqIcTYEH9sMuZ25uphxNg9WyvSZrn +71MPh897afNnvfLH1MMJUNRw5r3rlgaOeDgB+popNRWk3eKIh8P5vbH51CSV +BurhMN+Q1voyU/0F9XAC5MyfuTbRNYPWhxOgbe/uMeafXlAPJ0CGVai+VHUN +9XA4X3fVzE40fsURD4fPyy3p4qDjhdTDCXC98fgw6233qYcT4PZuLSm5qJcc +8XA4/yT1His8y+eIh8PzsbMgfas8g3o4fF7BQ7RDKktpfTgBnu7qO9gssIJ6 +OAHixHbP7llbRevD4c9fm37G7ocPrQ8nwJFg428eZ15xxMMJYBH0OXydfD1H +PBzmS2KXX4xMfUU9HK7PG1MVNmz95+HwfGcr8h34rIV6ONwPAv6G2Tvc54iH +E8B7vPk6x1uPqIcTYMjg5ezlvS3UwwkgUR48btWcJurhcH++90Fhtm4h9XD4 +fSeYXp4ysIF6OAEGB52um2qVxREPh+ePQZdko2UCaX04jG9e4/WlB7VQDyfA +h1GjXWIPv6UeDveT+9+0dMKaOOLhBAh7lDzV8/lt6uHw/djXC1IXFFAPh/my +ke2cCffuUg8nwLVFVS7Pf8TS+nB4/aJ+N3uehNL6cJjfBN44HH/wPvVwAiiz +zlNf6r/miIfDnw+7Z7fL9Sb1cHh+Wx5g5XehkSMerjc+EA+H+ewOpqZ11WNa +Hw7P/0X6g0sqX1EPJ8CLOZNNaxurqYfD/Ev28NSGUWXUw+H5Wkq01a7tAfVw +AtxaOH/JFvGXHPFwAlR2bOw/+fQT6uHwvGx5wW6W/WeOeDgBhDk70wbpvueI +h8P5JOsopeFaTz0c5ocZh18F9HlHPRze335JgTsamzni4XD9pRasHfPpMfVw +mM+HyoYFDnnGEQ/X+32Jh8Pnvz/4l+ziu7Q+XO/rxMPh/HTdtc1xTCWtD4f5 +hty7w0eq71IPJ8DFmf0GTtz6gSMeDp+HoVn2t5ki6uEw3oQ2cBV/PlAPh+fz +4fdtVDdVUQ+H8dVPJuPPtgaOeDgBgt3dKif8vkfrw3VCkt6f75M6q2l9uE5Q +Xq5T/iqqjXq4TngSEL9EvekVRzxcJ3jmF8YpXX9HPVwneHu650ldfEv7pXbC +rfE/f0vZN9H6cJ1gOUkx3MTjCfVwnRDSFcst9yqj9eE6ISXZU90jL5l6OLwe +vkA29nUn9XCdoLs7a4l3t0A9XCfopLkERF1+RevDdcLqh2FrmqrSaX24TlCT +t2zWsWim/VI7Yd9v3XqFoIfUw3XCAxX1NLPoRurhOkFUrVH34X4RrQ/XCQde +t2n/HNfFEQ/XCa0ufgubvr7liIfrBLNMHY0w8V/Uw3XCkQnK+2ULrtL6cJ2w +e1yLzPGuFurhOsHh0mk3dflu6uE6oWrH5HcHR37liIfrhCHqD7Z09eeph+uE +0KiWBX3n/aAerhMeKUo0rTX9QT1cJ2SdqHc5Wv2eerhOCD//YUXKrFbq4Tph +mbxsYUOdQD1cJxSHVkgmXBArJh6uE2p+zTfIGtBOPVwnjO4McSg9INB+qZ3w +s+TAuxPZEsXEw3XCFLnby86fqaf9UjvhnY1ic88onvZL7YSE/rVS5fEiWh8O +719l09vEL/2KiYfrhOYrGeemZv6k9eE6werZtr7z4gcUEw/XCRe+bB7VrNlJ +PVwnKOQ/0tG//Zd6uE4Y5vG4r5HF0GLi4Tqh6RPzw8SondaH64T2mNW6gY/7 +FhMPh+//ZnjR6ckyxcTDdUK/u0lsiZdUMfFwneB+4pRrnuID6uE6YaZo4fS5 +xyVUiYfrhN/N1Qv8vKRUiYfrBOd1uerPWLES4uE6wWJadsk4PbGSO7f/++9r +77+PBP73XwH7//Mht75TuvqJYK7Y20/WBqPZf+MlfX+3/Te2fjvuWXV/EbTk +Jo+13CnNrls51z1QTAShbxzkqm/Lsir+a9dYi4vg28eNB5evn8yO7NjVwwwQ +QWOtTuDcD1PZTi2HnOESIqgo235cNWc6+zjymnUzjjc7a9kbZSiy+T9jpmYN +FMHOkzu7jr9UZoM2cQ0ug0QgV3Jot98KFfZUykOPLYNFsOVFx8DNFvPYXeLt +a2cMEUHWWb28jasXsGq7+v3txjE7WaytXHUROzVfJu/WUBE8/jhmdYT+ElZs +xLwDIcNE0Gqq5tR2bBnbtl9L3na4CAyXDWU9C1awNWW7X7CSIrjAls8OGb2S +TRp/zGvkCBHITL3h2HeVGut25Lp2K45v/j0SbHJZnT14O7ZP7kgRBJ56pf+h +QYPdML04/+IoEeQvmbE3cIkmu+DMI1uj0SIYH96QZRu5mpV62j595hgRLGpt +E98nvZb9rtL/5S8cX/sYoeIcqMU2XB7vc0dKBNtWF/3Nn6HDFr2epxs+Fp/H +FN3VQ8t12dDl2v0OS4sgnam+e2L/OtbJ26RAY5wIVF5IWPWVXc+afjp2aIwM +fv8d04S7Ngas5mr3GW041j0jKT5j4wZWISyuKX+8CHL8qx5eUt3IDvxe7Osq +KwJ9v++rOuZsYj+uf6y3fQLezyH9VuxW2MzeTvjUf/ZEEcjP6Ap9OG0Lm9ZX +rOgPjl3SfTbozTBkPbbJ2t2Xw+/To7+8Zu5W1i57vlLUJLxfX9cwa9SM2M1D +dZqPTBbBiR79FZVbjNnFFqb+q6eIQKLfx/Gah7exMiXH9aWniuCemW5Jmdd2 +9tdYD/EPOF7SbThQtXAH+/JgPFc4TQR9+kW+yHm3k4WakiNu8iLQULYdryi7 +m42c8mTmruki4MD4oc5ME9blhOi1ioIIYP6QeX9qTViLh2KBfWeIoF6vyy/V +xpRdO2uCwUMcvxgdZm80ag+rdGGBRKwiPu+snap/CvewQ5p0ShyURKBnv39X +2F4z9vPiPfZaM/H7VtdqLZUyZ++7O84aryyCvXMtbOqqzNms9x6t7TiebNC1 +dOtJC9ZHPSGoeJYImhtHyL2Yv5d1CIIN7rNF8DZ88lVD0V7WqPPJQNM5IvCx +s/xSk7SPXa73Gear4PuvkCicZ72fnRArfkxsLr7eLjL2mGPJ/umZMOcJjoec +/KD65qsl22y48G38PBGEtYU+mlVixVak64Y4zheB36xLbpZXrNnYgWabdBfg ++tT+8TDQ2Ia9ZHpi8MSFIli8BIOL8gHWstCz7DOOZ7T9ra3vY8vqjk48XrpI +BForj25+/syWnW1TquK1GOfL+HkKD7MPspKVT9vMlojAY6qpYZPWIZaf+CV0 +0VKcr0WVM3ULDrEPHQZskVgmgktKb6pUlA+zufcmDm3AMcxb8/pq2GE2QHFR +RdJyETRdNZ27e7Qde+Ks3olTK0RwpdnfKtHVjt3x3GyePiOC79WL11j1PcKu +XHDy/SRVEZyvOeYYeeIIO9nNK5zHsc4W50L9b0fYfm8TDStWiiD3tikcPnyU +faNaNsyXFcHEYzIrB385ylb5Pbu5V00Euy54fJK2tWcTvnw5uVRdBIckQ5yD +PtuzV7QkFgzWEMHfUT3pnocc2AORch9f4HiIncncnk4Hdv3PRZGpq0Rwmt+V +33j8GDtv0zojJ00RVC85/VPl7zF2dIq55IbVIjhTp5zedfE4+03sVNXUNSL4 +NbL6ytwRjuzTnd6nv+K4c52/xssgR7YgL2lh1VqMX2H3r/5WOMEGS5a3+2vh +fP/lJXs95wR7en9DlKW2CAKWfYzzXHWS3V3WYbxCRwQf+95tGfDoJKs+fuDI +YboiuPF4ynmRxSlW/sikmiYcjxuSy67+cYodcHuxU4aeCN7Xadwadv00+15e +f/G5dSKwHWP3bI38GfbWaQvRJn0R9Mt6LNdRdIZNeXIqZvp6EWSof9owaIsT +e13FZ/t3HL+aM1nB64sTe+hy8qhaAxH8ftY6o3K1M7vxdXlt0AYRXNznVXbc +x5lduPy5s81GEaglzS9sbXVmx3rzS1ZuwvVk86BdfOFZ9kf7wC+Sm0XwbNfh +ua/On2VfaE6Oe43j16OG7Dn45CxbHLpkZ/YWvB9/3LblKZ5jw7v0x1wwxPlw +7EVn9slz7Nn1e+sMt+L8y78vsf/eOdYs4fQ5RSMRiD9hDtVPc2FX9/Vd9hPH +S26/HdB53IWdsS2lo85YBO6HL8XW3nVhB2VXxIduw/hw6uF0w+nn2fYhL3Yd +3C4CM+fDpgGnzrN3zQUp9R34+pc/8zwenWczigfdGbVTBEVjJQ+rzr7Aeo2d +cv4NjoMnK3eHX7zAHj24dEXeLhF8Hlkfkd98gTWsWS9c2i0Ci1vHNc+vuMgu +nbIv0dhEBLH9r3Di/hfZ8SfOmCibiiDFYG/Lis6L7O96X+keHCtMNHdXMLjE +Nimn3r27RwS3U+6FVKZeYkvP37wQYYbxa3x767ihl9noly8YO3MRvDT6rDrZ ++jJ7YXFn5yoLESxUkTj/4tZldp/74GSpvSJYs8LYXUfZldV+P2XPOxwf+dKz +9ICbK6usvkymYJ8ItnqJbVv12ZUdFmRw/8p+/PyVdnW3Da6wX4R9l3ZYimBw +s/reQTlX2Ae6TivnWOH6XWLe/4f0VTY7xu/bXxw/fgwng09dZX17UlMeWItA +c+K8hI7XV9njhpVm0Ta436ldMe1e68ZuS28cb39ABHYa3s5ZaW4sM/DrgzW2 +Ioj5OqhJbuw1Vs50iOu4gyKI+h65UfXMNbZP4VS1jzh+UiCWP+zdNbZl1PLv +RYdEMOzYg1Z3g+vsTesNadcOi+DhktDMisLrbNzN/Ra77XA+3Re6E6a7s5cn +Ok+YdwT365aNXqynO2vl4P+w31ERHH66wPBSjzu77l7alUc4vic4D1db5MGq +KFapx9mLQOyi+6sxNh7syLMvu485iOCY6+HBGtEebGfD13TtYyJwq1x3svq5 +B/t4/tB9sscxnratlosd5cnmX50mJ8Kx+ymHV891PNnAN8sflziKoPZnV9J+ +F0/2pOpGN48TIojLb7DR5zzZnX6Wq/acxPv1SXmk2zdPlv3i/HPBKREsy/nm +MkXFi52qFZApfloE6sOWZw639GLFItP3P8Vx15Ou85uivdi2H1WTEs9g/KuX +b//y0out2dj05IQT5kNR+fdax3mzScnfruk5i+BTbaicymZv1k1s2Gq5syJY +n1pa8cDdm7XdKf/7C44lPMWS6+q8WYO8Fdll50SQHahVOmGgDztfcpOVt4sI +yuv2frmp6cOO2W81xeI87gdDFsrfPOvDfi89+2zxBRFIyzrrjAcftkEm0H3g +RRHkhUrpV//2YYvsMtY8x/Hj0YXj65b7sqF11T3Jl/B5N84Ome7oyzrJv8o5 +fVkE1otmlTzJ92VNT3dZr3fF77vM2qapy5dd9WTYtClXRFDZHOzFLPZjp6tM +fy7g+EFfqwm8vR8rcZnxvHkV1/f6U99+5fqxH5o3afm5icDe6OignV1+7O1l +1n/3XcN47vp51Zgl/mya17m8ZddFMGvmOY8px/1Zj/bAA0PcRXBuV9nz8wX+ +rJ1mpvxLHDdOXzZ85S9/dnNozYs0D7x/2w6PXacawC7ueuXl7CkCy+xPTZlO +Aey49d+1N3rh/QHFTTblAezP+OF95b3x9z+G7jolHsg29lG48Q3Hdxzh02ut +QLbEWPVgtY8IPK/+eh/iFshGZm1WCPQVQd24opWp9wNZlyE2L638RODYadow +SiqItTB38WH8Mf+q0o+5YxzEri0O0h0eIIJ9Y19ceRkWxCqNzerXjOOh7Pbj +Gm+C2CEHawsyA0Ww317O6LdSMCuqbj7kEoTzq8pWasihYPbe5O4ZW4JFoDzW +M9g2L5jNdJR8pRCCz3NK5WOFnmDWu17BrxvHjidWpy/QDGEdlFeuuxWK+aXb +2tG+V0NYo/NbxELC/ssHf33SeRjCLn9pU3QgHPOHbLcZW2RD2QmLz9uxESKw +ujs5LdsslP1zPVhpZCTGy6Rn1ntTQtnmd1nNLTj+/Pqe7oFvoWy52i3/nCgR +OLVMWFazMoyNCXytfzEa99eO+3LHLoexF4VucaMYEYyZ1v3OsT6M3a87olgp +Fve3/YFO9yeEs7oxM47+wvGRc3n3T+wLZ2f3rFS+E4f5g7he1fGscFbS0LAl +LB73tx0bdG/1hLN82oHAQwkYj0x3xObLR7APJS4YaCRiPhOb/zRbJ4LNNQmR +GJMkgoHWc7IaDkWw/gXZJW9xLD58/kwl/wjWcVSdfX6yCAo3zlsUVRzBbrdu +meWagvFjb0CN5psIVvXmj9ZtqSL40Xy/YcSQSHbSxJHBs9JE8LxUfdeA+ZFs +PwfFjX9w3GK7Xne6cST75i476H465uvcdr8DzpFs1YytpZEZGB9bKhe+io9k +E5xtjx3JxPnv8lH2xL1I9krDhTmrs0QQlDRNfeX3SNZmfujbsdmYb9XWBCpP +imL1r+aEvMfx9qI5shpro9i5b+o2FeaIILo8vvDswSh2lGrrYLdcEbxzdTnw +0T+K/er7s2xnHj7faZJKp0qj2KefRzqq5Ivg1kDflsUfotiCtUpz+94QgbPs +Tvfxo6LZ4Ai1d/U4lotJkZ+xIpo9/WNrWEwB7vcbq/x3mEezuzce3OJQiM9b +9ePLwmvRrHryxaFaRSIobd3yST0/mp0mFlYhw2E+pKR5g2+OZsV35p5ox3H0 +NtHiW4Nj2He5t+cVF/+XH17aWbcwhq0d/ub99RIRSKZrTvm2K4ZN2fcr3AQw +n7QyPLbWNYa9Xjpq6/xS3E/VP24uz45hD8nMHC5WJoLZzsrpFk0x7EY79crH +OJZ6pnFy3qBYduH/Y+mso7J6mgCMYouFCCICohiYyE9sHQkLuwML7EIQu7BA +xUJRbEURBRTpRoZGurvz5Y0bL7aifvud3T/nCJd7N2ZmPc+eJ3P9mbeJpB7a +rP0wfJI3aBra/3cyibz/o2uzzLd6w48zV2RWySR/7vije8XVGyqKn78cnEL6 +zR55ll/CvCF2fPh6nsTdZuq+vVbvDc+vZPeJT+XwQ8+/0+b3egMX6ppS76Rx +uOHz1bax097A9mntZ7d/IvU9Pz9l+s43MPduf1PTdA63yqQ+9nfewEj5aEWX +DA5HtdS65Xx8A90tzb3KSPysAA+vlr0B+dMNG/0ySX4d6m7RUestZH+173cm +i/R/77b9KLV4CwFLr35akk3Od35jXYrt38Kdty+c9HPI8/FH/a9nb8FRJWKy +ksQd+CSVBZlvYe2GHC4xl/y9F66lsT/ewtTg5tf38ji8/mKe9YYRPjCo5x/r +Xfnk/KEvnNdd7QPt2zX6Ty0g+yn0xKzuF32gJnZMRvdCDoW1xc6DA30gfoDF +hUoSz7P9snRdjQ+8sts41b+IjIdH4s0oNV+4nOYgnCvm8IjW6CkWM3xh15Br +b5aXkPPjfvU5X/b6woKTnpuHlnI4+8y2Z1kPfWFMQYTGFxKffvDNIivNF3qN +yc1MKSP1+2DoqM/ffEG41HLxQTk5P/U7PsdshB/kV/2ZtreCQ/WfA8+Hr/GD +ENMByumVHP7316FqpbMf3L811ketijx/0zorzTA/OC6x2FpD4qtBT+JUmv1g +wxxrzcBq0j//7T1efcA7mPHocPaFGlKf3567aTX3Hei2Xbu8qpa8r0NYvu/R +d6Cy6OWM4XVkPi+dFia8eQcNXpFt30jsu8ejsqrkHSS35/p+qifnW7V018Cu +7+HNGsm2xw3kvB1bqPSb+h6ufvirdaCR9KujjnTO2vse9nXVzJ3VxOEfm53R +/Z+8h8Xbxrn0aSbnkaqtnS9mvYfxUZaz6kk84IB2pebf99BXfdOX4BbST8+f +a1wwwR/a9jm+uywh67XzvZ8hNv5QnORqu7aVw4kvQsbEuvtDxOBX2qOkpH+3 +WxYjS/GHR0ej8n6SuHV832fwwx9O5+RdyZSR7//9JCV69AfYPLJ19jM5+fmV +HkabNn8AOP/vq52Cw+79PD8Oc/sABuWa/nM4kq+PrjvWL+kDqJqM36HOk/nX +X79g+LcP0Ow6V6eJxEN6zxi11SgA0ho3FYQJHC585dEDNwWA38wj166IHG57 ++rfR0i0Abty/PmeDksNVup18xaQAsONffR/dRurVG9Olid8DYPn86A/tJJ55 +o09T1YBAMPHM35nzmcOXFa+d5RMCQeNn62DPLxx+6a3XpZdVIHxboVLk8JXD +T0Yft5rtCIQyP63rFt9If1aZeOPquUCIVp1gPuA7h8GX7a40PAyEp5vm/Wwh +sZnp34XLQgLBKWxzYOQPUh/9P+TkZAeCTe+ju11/kv23KaaPTWsgWOy+obfp +F9nfNlu6dlENguHxXsXjfnMoXs8L+KgbBF21Y278I7HjxoWqV6cGgdShwCK/ +ncPev//+3rEqCDIzpL9e/SH7YdGIe6vtgsB/WIfgI39Jf1TWlrL2WhDcPjNw +77x/HJbWelzf/zoIHIonDBmowuOVxRa1dzEIVo+fXyol8ZrokTFZFUEw+cqW +WzEdeOxy5LC29rcgGFh3dO7Njjz6OS7/crxfMPyaerN9iyqPHrbcXOnYYKi6 +8zrEuBOP6x45dju4IBjiZDH7OnYmP6+vNll1RzB4WhQaFJHY4X3Np3dOwXDx +qazMuwuP77z7xex6Egw7vnZwO96Vx/1DMrpMigiGeUu15y/sxmNO3Zg3/QuD +weit8d9B3XkUNmxw7ywEQ0+VBWEKEjf5O37q3jMEuPVbD8T14DHA/zEMGRkC +uUHHhrn15HFquaJtgUUIBPW4VWGjxqP51itVl7aGgPt27zv/9eJxl67Lt7zT +IXA0NnZB597kez1VZxg/DIF1A4r+lZDYcqj265ehITDNTh7u04fHPzdrxgzP +DwGdtI52p/ryGHd6X1Y0FwJ/9AcNX9yPx2iTAifbHqFQd2Jila46j6k+RnMG +jwyFxPwF7gKJF1281LXVIhRej95mldCfxznqytyUbaHgcul4B3cNHodvvOge +djYU9lTditwxgMcv3ectjngcClambw5N1uRR7/zCLxkRoTD21scR3bR4PJ/+ +0FksCoXekqLqchJvfTn718i2UBBBce/dQB43OlgudugTBgUPVRef1eZx54rg +o1ljwyBMOUh12SAek7vetp9mFQYPrEyih+jw+Ldb3eTI3WFw0muhQxuJy4/7 +Ji5wDgPr9m2jkgfzuHw430v+KgxmrTlRe1+XxxtuAeov4sNA/8Ntj916PNa2 +cBk7asKgQ9e3S6bp8xih6mM8sz0MGrfGdeo5hMdn9pWzhg8Kh5TI4pgqEo/a +eVmhPzUc3vbjDn8w4PFX6rPJY9eGg+u+TqPPD+Ux3MhA0+pIOBxI0qlfMYz8 +e04X55N3w2Hp4P8eDjMk6+3N3LPRgeFgfNRq2VcSL11S+EMtNxzUc2y6pA0n +49vntdKeC4cvI05+fDiCR8dmP5uWnhFQ4uR2ZN9IHjscLzc/ODoCIsvejpk5 +iseSgUNud14YAY8nYkMvI7J+sw/OCdgdAWdcSx7Vkvj73MDl+1wiYGsjtzxo +NI/fagujJntHgNnMzt0ujeHxwdukoxrJETDs/mBcPZbHU2v3nlNtjIDO/H/H +RozjUbrKP1u1YyRI5i0a94PE+aHHNg8wiIT0F7ZN6eN5jJ/xbuTUOZHw7sfJ +J08m8Fi2fsKIA1sj4eaKOysPGpP9YNSyMuhcJBzy8+kOE3lsPBn0tuvzSFih +Gh/f14TH6gQHvUMfI2HSptLjDSTuMKpLkKQqEjTD+PGh//HY9/ziDQ7tkfCj +V5cW50k8zlpk0E9tcBRU7NJ9ts6Ux9GRWwsiZkRBLE5abTSZPP9VvccR6yh4 +PnBxz98kLr3ntN78dBScd9iemDWFx4Lkvv0MnkSBbcapk8+n8vhTc39035go +sBx219h+Gln/g7cv7VcZBSPO+ErMpvP4TyMrdejvKOheHP+8/wweg+XHdefq +RIN8XNmaZhKbTp+y+MSMaMh2EdQiZvKoPb98cax1NATUdkm+OotHoy5jtfud +iYY7U/VOb5zN4+6B7X7HnkaD4x1Tk7HAo2qWRrsiNhrWyBZL/5B44+A5XY5U +R8MUix2euXN45IPmJfX8Gw3aT0+ve2nG444GYWyoXgz8/nK3t6M52U+BHWYd +hBioWeKXYmnBo07WHG7ythiIf5NwRtOSrNe79lP6XYiBV//K/mslsbfaqgHt +L2Pg8npRFjWXx5dtPue/J8bArqCur67P43GCz0RH1aYYWNBDf8Pm+eR9Z79r +0e8cC6O3T+47YQGP2x0LihaPiAW12CVpKgvJ9wfbTnGdHwu8xs5zBSSWq2t2 +K9sTC3kHz5i+tuLx0kbvOZNdYyEk1V1xdBHJh7/SKl6/i4X7+u+85i/msWf/ +SXnDsmPh+InEjdpLeHxu93xQCB8LG/LL+8lJvMolOmRl348wY7TyU+xSHiOd +pt/vaPIRdC91O39rGY/Tx+VGJq76CP8q9adsW86jQe9RA92PfoT6SVP4iSvI +fl/6+b3jg4+QdHOpt+pKHg+nVdvviPoI3i07NxWT+OHfu5t3Vn6Eq3C2/9tV +PN4f8fHAsT8fYd/DexknVvOYqdf54QP9OFisfHfBag0Zf0f18k9mcTDeKmnq +4LU8rtx83ajHjjjo61UhcCS2StS4ZO0SB22/lW9wHY8Jk1bWxvrEQdHq7lvu +rCfj87l5yvjMOAj3HzJg+wbyPXJ7lwAuDh52mZo1aSOPeaF3k+f0RTi1ddml +Ltbkfe5J5fUmCJsjd00vI7HOWfVvd9cgQL9zSt9NZP338q1adQLBYN99n9Ob +eZzmNv+R4RME1aT3W5ds4dHur8vwznEIzTrJmvpbST5r/3vqax1C2pHKbJHE +Bvyr9F8d4sE3u+1y4jYeE5f8CLnYPx6uj+gx854NqZeHF55UHx4PB50MPu+0 +5XH91a2afpPjYVnZVL8p23lU76d6deGCeDCZuNym+w4ehzT/zhA3xIOG6+6B +lSQe91+/qhf74+Fbw7nc9zvJejnfPXLt2Xgom+Hhcm4XWR9v/awH3I6H6Hv+ +s5bv5jGwIiqp0jMennLJXwz28Pjq5W+Fb3A8nJtX9e4ziW9V/FfklBwP2158 +tk3ZS+pN1PBjm0viwfxHj0EP9pH1nOGea94aD4Yrhubv2c+jPwfVE37FQ1e/ +aVenH+Dx3Eb5E0O1BJB2XAFqB3lMer62m4FeAmRa7/lWTeKjz830hxsngH+o +k3+AHY8V7vurjc0T4HavBzsuHOLxQh93sFydAA67PuissufR4sdR8627EmAV +phQYOpB6fT2/4cKJBDAdWH3tG4k5a1vd964JoOXwZc6nw6QerGriq58mwM/0 +nj8eOfK4L0R9tVZAAlQNHRaw/wiPLn19Fq5PSIC409N3zTpK+oV1W7I8CxPA +s2iFbp9jPNpk8WVicwJcHLe3qI7Ehl3VDyz4kQA7XM5fDz5Oxuu708W3PRJh +Xu0D88snyPppFAb21k2EUVMDfq45yWPn3QPHnpmQCD3upAaOPEX6hSMBkUqz +RFBIq3f/JLGwal+M3epEyDH/qpd5msSv/xh/3pUIgU/USp6e4fHEJl1Np5OJ +4P5l2E27szz2Sj2zW/1GIhxdMsNyzjkeT17KMfB/ngjr3qz83c+J1OMbyWbL +ghJh2r+9wY0kfmDcN/lHUiLorL+wN+w8j3v723r7liTCn8CHQ65c4HGt8b4G +G2ki1HYPLF1/kcewstLjBu2JkGCbdmv0JR7Hh26wbe2dBF4xNXPbSRwV/+x5 +uEESOGt8a8++zKPnyhVGNyYlwZ6DvUJfOPN4UcPg3575SWCVarjfwYXHZV2j +Bi/ZmARj9WcOtbjC45KLyeemHkyC3idWlWtcJfn7P95gzPkkEPP2ubWQ2Fu3 +ruNw9yQoMLo4P/IayTdPLfRGvEmC0IuP/l5z5fHs1gT78VFJ4FEZGGZ9nXyP +XYfvs7KS4MSkTwfG3SD1fsQ7/9W1SbDxZu2wfyT+PX3nncNtSTCr5VtF3k2S +X8OLnz/onAz60Pvuq1s8qv18WZA0MBk6PBy+8Mht0p9F2Rl9H5MMjeJMlXlu +PHp1rnsxEZIhZeHqCK07PG6e88jEcWUyvH21305K4rphs2pidibDtd8Xh8fc +5fFm/MEXPU8mw/7Vj6tuuPM45mzWYdsbybDEP8h9yz0eWzV/rY5/kQwTuqRb +Gd//fz/mYT48JBnUt9Z16OhB9r+L2dQ7qcnwJeJ7ZCGJVa88MulUkQwlffvY +ez/g8WrUzPHnuGSI3Dti5PGHPJ65/mnkP5UUeJw4q2bBIx7fxJfrXNFIgTM6 +a+4PeszjPEe1rpqjUmDLkQOLFSTuq9He/G5GCszJvqQa94RHk30WYQuWpcDQ +EU+ibz8l/b37GQeFbQp0cgp2sHlG6oOqmdaDYykgKU0f9d9zHkOcjbwWuKZA +unF9bacXZLym5/Tr8DwF3l374VFC4s2PU7fGB6XAzYY+S308ecw47HvNJSUF +Ds0Y2fnUS7J+WtVurCpPgRX3ZscuekX6+eMu20dyKfAft8ZR14vHD6KfWscO +qTBg3sHRAomrz/S+3KiRCt+fX66Pf82j5LFlauaoVCj//uThXW8eFw+py42e +mQqxy0OW7XhD+uNpjs8Cl6fCc9+MLpPf8jh0z+0x/jtS4XzHho9dfcj8uKQc +CzyRCrbWP4+Uk3hlsvfp6BupYBnad+w7X5Iv3uLkTM9UGNFrVOMZP9J/9H71 +uiE0FbrtgsdL35H+dmxlgkp6Ksji1q4Y8p70k9d/uA6vToUsLbtubSQuUbvS +vlyZCh/snTHJn/Rrrer9L3VOgzvpT4/d/8Cju//IzBjtNHAcGjpudwB5nznL +DX6PS4M1pzObpgby+LpaU93MPA2mFDU86RFEzl9anR/fXJsG2uN+rawi8etS +r7C6fWnw27lfjw/BpB5tOW0z3SkNqmtGJTiFkPpu3v/xY/c0wClzTqwIJf2d +Q5t1B580eOm2bsKwMB7vTnjhZRebBpekdi1fSNxP+8XBhrw02GXu8iw1nORz +/ePhm5rTYMGTZ6sfRpD+78a7U9U/02D0l9Ce+yJJv/0Xw3f0/gRqS7ISZ0SR ++T84ZXfb0E/Aezee7BVNvn/EvasuUz5B3t9fxrUkPpmzTstg8ScIXqfeGhhD +xnerat/EbZ/gXqDRi4uxPD4ep3Vo79FPcKy72drVH3m8Vqc+Ucv1E6y3Xd9r +RByZ7xNHV2Y+/wQzYg4lfydx5pKPuc4hn0BX48rpdCTru9ce73mfPsG/A89N +nsST80tsW26v6k9QnxImPZDA45SI8kWVyk+QpJftOTuR9KOBDwcEdEkH7+NN +6/om8bg6xM/omk46XMn73buBxK36rpf3GqfDXqP+qSHJPGZ/CBy6fG46LLo4 ++qxzCsmXhx6ozNqYDuMqzSatS+WxLS18kPGhdOg7aYN8VBqPY4+5HDS6nA5t +N+xf/SJx+K2zX0c9Soei5isbsj6R9zurHjD+QzqEz37R93k6jzM6x3hMT0qH +hw/C0w5l8HhgjsJncVk6nBKzz5llkvzgOahhB5cOmxY2m/bP4jGUi5p9qWMG +zH7Vrmgi8cIFs2LeamXAkN/9X4dn8wgjtVYXjM2AjqvHWF/NIee19VGdVc0z +oPm9ufrGXPL3VO9nTVuXAWmdN6aPySPz8WqQ97EDGeC7xeH8HxLfV4u+HnUh +A65HXJ2Sm0/6k/0NZzo+yICDfT15zwIe71l0PLrifQYs2xvhfbiQR2e7K/Zv +EjJgYmLOJssiHo/r1e7vUJoB/XVa+msW8xhTdXS7rSIDvjr+yZCQeIBrxKr0 +DplQmqVxMaqE9ANb+kybrJUJ0cPHTrteSuq9TNLHd2wmPD1nIW4qI/tD412R +gXkmnCvd+HZ8OckPOomXPNdlwjbjw1tUKnic6XFfe/jBTDC/dm1AAYn1Dt+8 +G3AxEwwbPLO8Ksn56EtfOTzMhC4zIi8dreLRNcZFv8Q/E1rdc6fPr+bxR/Tq +sYeTMiFD0aIcWMPjHajrpVGeCe/n/vWRkdiRuxkfw2fCrecDtsXW8ugQnzBn +b6cscPg+VutWHY9aarWugwdlwarlljlb60n9PL3gSfGELDD1tXae2MDjFvVt +dvfmZoFWR8eZqo2kfxp9RWWDdRb83Oj6uYjEo0/oLR3mkAWVIS/93jSR/rmT +y6rPLlnwUS3K5kQz6ddMdHulP82CFzvzBlq1kOfFmh9/HZwFF+IkuToSUu9C +V99y/pQF27X+uXAk9rgcuPRATRbMs9ecja1k/wrBoeu/ZMGo9HFf3aQk3/aO +jLXqkQ09hs59bysj/dNqne3mQ7JBcWrT9kly0j+5TnkNk7Mhp9BxUBcFj75F +u86YL86GwLHX80tJnPmuY6uVbTbcdX511ZfjMaVhe+P6E9lwpCYKTvOk3r1u +2HfgVjasnZL/bbHAY9zhklPOr7Nhqlurv55IzgNGHn1eR2eDjvTfDpHE9r+P +D/+Ulw1/zLQGJypJvj6SH6lsyYbax+ML3dt4nHr8e8qQP9mQ8Hmu687PPAbM +mb1wTf8c8Fq82WzKF3Le3PFz9m2jHHD2PvKj21ceN+Wd9sqBHNj993pABYkX +fdJy7L82Bxau89r1/huPg2R6fpsP5MCYwGjdc995DBrTYOl/MQd6dS8oWvaD +7L/KIOj4KAdEG+l1g5/kPOlZ7bEpIAcKolUsPpPY6mTovNiUHAjtP/BX8i+S +3+MuLzKoygGPAxOCPH7z2Nvg6cvrbTlwImXenj3tZH5858/93S0XNupt0Z/+ +h+znqEITe/1cmHn8aEnPv2S+et7YJTPNBb28GzerSawfElO1d3EuqBi9tgz4 +R9avjv8d3jYXGi7E/D6vIqBND78LJ07mQkpFQfDKDgIOf/rbp6tbLrz9T7bX +sKOAW+fLVJ++yWU8nIAjKj/eMv2Yy3g4AQ87fZxbVJjLeDgBDcMmDD8hy2U8 +nIDdxvjfc1PJYzycgJeszN5KeuYxHk5A6bljC8y08hgPJ6BPtN2xZ0PzGA8n +YMTTRRPax+UxHk7AR/bjHTZPy2M8nIDbx0+dnmCZx3g4ARNPXbs6cnke4+EE +/PnGfKObdR7j4QTkAy/5/96Vx3g48j0WB87vPZzHeDgBc0N18svP5jEeTsCE +7kHPFl/LYzycgM5tVk0J9/IYDyfguqyuXtM88xgPJ+DYl53LQt7lMR6OvP9I +2wvGEXmMhxNwb9L0ZwGJeYyHE/Ds5fdGE3PyGA8n4LeRRYZh5XmMhxPwrVbO +jRnNeYyHE3DKvvCtyWIe4+HIeDf6PFnWnsd4OAEz2+JnV3fNZzycQPpT7fkH +++czHk5A3R/Zwf/08hkPJ+Dv8T+c7o3OZzycgCpOwe/HTM5nPJyAelGaU1LM +8hkPJ6C59WxdmyX5jIcTcK2b+eZ/6/MZDyfgAuOZX1/syGc8nIC94y0aze3z +GQ8noK+Dw/DW0/mMhxNwgmF11O0r+YyHEzBj+/Pn09zzGQ9H5nNvVn7z83zG +wwnoNPjcint++YyHE/B2nwydueH5jIcT0O9S6vgfCfmMhxMwZte1q/7Z+YyH +E7Bu1aiRO8vzGQ8noIN7ZDf95nzGwwnYXWfZmEoxn/FwZL5vqtx81J7PeDjy +PTPqx2zsVsB4OAEHGXTuoqtRwHg4AU/InLQb9AsYDyfgUmHHDt8xBYyHE3Co +aVrT4SkFjIcTsN4u6uFsiwLGw5H3a19yTm1ZAePhBGxReLhXbSxgPJyA4395 +l3zYVcB4OAEP3bwx99LhAsbDkefFWtdsOFfAeDgBzRYbvjBxLWA8nIBl7785 +9/IoYDycgBf+NLjJXhYwHk7ADz1+fkz3L2A8nIAHly7o+S6qgPFw5O+Jtcdu +pRQwHk7APqk5fx3zCxgPJ+DqlcNeWlcXMB5OwF8pcuu50gLGwwl47J/puIlf +CxgPJ+A/1z799TsUMh5OwFuvnHv26VXIeDgBZeO8NTpoFzIeTsD3iafGfjUs +ZDycgJav1VfIjQsZD0eel3TifOPMQsbDkflKiIysXlDIeDgBb7ZWfy9fXch4 +ODK/pp9nlG0rZDwced/lqpfKDhQyHk5AyZ2BmRUnChkPR+bzz0z12suFjIcT +cPK8U2ub3QoZDydgVHb5fe5pIePhBFT12pHz3aeQ8XACbr407K9qWCHj4QT8 +r0JvmHpCIePhBNRO2DJjaHYh4+EELPgns5xUXsh4OLLf1DJnLWguZDycgBU9 +uhhuURYyHk7AouxXX4/+KWQ8nICdS94G3u5exHg4km9W6qx6N6CI8XACvhyi +WvnJoIjxcOR7snbMax1XxHg4Uh9uz3XvPr2I8XAChq30w7HzihgPJ+Ak/wfp +K1YWMR5OwA1N/QJObCliPBzJN4rBdi/3FTEejszPrthOWceKGA8nYM83n+1/ +XCxiPBxZvz4pgSNuFzEejoynrmni2idFjIcT0N7G0uvq2yLGwwl41enritiQ +IsbDkfc3scpSYhHj4Uh9aLDoa5RVxHg48v5vG3Vsy4oYDyfgkwWjuadNRYyH +E3Dx9IEXy8UixsMJWOzpX6b1p4jxcGS/L5Px67oXMx6O7M/U7MRHA4oZDydg +69R1K6sNihkPJ2DSZecnQ8cXMx5OwL7T1j3dO72Y8XAC7nHPWBk8r5jxcAIe +OF8d276ymPFwAn56crNswdZixsMJOMe4zMtjfzHj4QQMnxI9uOV4MePhSD61 +NjabcrmY8XAC1rRN7uPqVsx4OAGNumRcrnlazHg4AYMWNb+Y5FvMeDgBtxhd +3nIjrJjxcAJuC30Z15xQzHg4AWcGTY2bk1PMeDgB89wtrJ9VFDMejvQLTrHu +v1uKGQ8n4P15z3Zafy5mPJyAd/bX5sT+K2Y8HKnH+mey9dVKGA8nYJrlga2X +B5YwHo6M3z6fizLDEsbDkfVUMMp05cQSxsOR+riv+UjMrBLGwwlYWVgMI6xK +GA9H8t0mpfvdtSWMhyP5p9OEQyrbSxgPJ+C8k865hw6VMB6OrAdteXDd6RLG +wwn44PMarVVXSxgPR8Y3JeZX6r0SxsMJaNrez3rmyxLGw5HxCl8yOcS/hPFw +ZL8mbHcdE13CeDgBgx8vWOOdWsJ4OJKf7MV7QwpLGA9H6o/+svnPaksYD0f6 +C9P1+3QUJYyHEzBe/Pv78Y8SxsORegCTf+l0LmU8HOk34kTb5/1KGQ8noOfH +IZOG6pUyHk5Au+mR+9+OLmU8HFm/jT5dx08pZTycgIO1mzqHW5QyHk7Ak9KN +O2B5KePhBEzV+DskY1Mp4+HI/u8ZN23t3lLGw5H9xrm+bzxayng4Ui9urD5+ ++GIp4+EE/LK3x8OOt0sZD0f6i8gnaveelDIeTsB3G74XjPApZTycgN/re0qj +Q0sZDydgj/tpi5cnlDIejszP7oHtLdmljIcj9eif/Mu5ilLGwwn4JmiC6UBJ +KePhBLR2yYsO/lzKeDiSH01jLi1VKWM8nIAbR1TdlKuVMR6O1ANH/dJr2mWM +hxPwaKv9ZqMRZYyHE3DTvxi9DJMyxsORetssH3gAyhgPR/bvcfnCPovLGA8n +oP9c74CQ9WWMhyP5/Zbqgg07yxgPR37fUuyrcriM8XACzuq2ppvPuTLGwwm4 +cLzO2BXXyxgPR+pHzJhTvx+UMR5OQJ2dh8Q3r8sYD0fqu3vOjVVBZYyHE9Bq +68QlHeLKGA8nYP5dpwmBGWWMhxNQ7us5cVtpGePhBGzLP72iX1MZ4+HI+0q/ +30gSyxgPR/LZxd/1x/6UMR5OwPKqA0vH9ChnPBwZX35iXp1mOePhBBxpbbzz +wbByxsMJ6HF6Sc9lxuWMhxPw+Hq7+K6zyhkPR9bb++MXExaWMx5OQNvmJcvO +rC1nPBz5XoNiw6nbyxkPJ2CosVLl66FyxsMJ+NXDuT74TDnj4QQMuXo02eFa +OePhSL5QeL2d6FHOeDgBz2z6fLXtVTnj4cj3Dp23KzSgnPFwAq6cdW7W8dhy +xsOR51mfVZuRXs54OLJeag3z/xWXMx5OwMs1a66lNJQzHk7AAXpKkxtCOePh +SP3WKs1c1V7OeDgBz32XrBjcvYLxcAIaP1FNah5QwXg40v+4d9cNHFrBeDjS +jxiWbz49oYLxcAL2t1hxaf7MCsbDkX7u4fLrGgsrGA8n4PXzYY4NayoYD0f6 +zW5bpgfZVjAejuSPtpHV5w9VMB6O7L8gccOKMxWMhxPQ8eD9D0OvVTAeTsDP +f9vKvtyvYDwcqU+fm0vSXlUwHk5AE1erN08CKhgPR+pVeLulfWwF4+FIvdcr +C5ybXsF4OHL+0YmU6JRUMB5OwCPRxyVtDRWMhxPQIFrpnyFUMB5OwIG3VKZ5 +tVcwHo7M16ZzLme6VzIejvx9lbn31mpWMh6OrLfO47dNHFbJeDgBq/zVW9WM +KxkPR84DXKaRdGYl4+FIPj9gMix1YSXj4Uh+cNEr8FpbyXg4Up+s9ky6uL2S +8XAkP5+RzLexr2Q8HDkvuTv2MDtbyXg4ATWiGk8auFYyHk7Axqze9zo+qGQ8 +nIDLbTLXNnlVMh5OwB9kDFMDKxkPR9ZTxYps34+VjIcj631P2pmbGZWMhyPn +y4zhWQ6llYyHI/OpaR61tqmS8XCk3g5Ums1UVjIejpy3l3fdMfRvJePhSP+o +XK/bvWcV4+EETGmK2i9qVTEeTkBI/bO4zLCK8XACXpR8j4ufWMV4OAHXLz2N +vrOrGA8n4LCwjYvdF1UxHo6c9133bD+7vorxcGR8Px/ruGdnFePhBPyTum7k +qsNVjIcj51H3qtzZTlWMhyPf/6jk5+gbVYyHI/1fvqaX1qMqxsOR/rLlYHqn +N1WMhyPzO/39vrbgKsbDkfdpfHm5DqsYDyeg0k9vQG5WFePhyPlAvU07rryK +8XCkX1gmd/NvqWI8HKlni8uPP/tcxXg4AW/4umbdVKlmPByZ79jsG+d6VTMe +jqzf2/bhhwZVMx6O5OPGOfNtRlYzHo7M93i1WasmVTMejvRPT17cn2tWzXg4 +Mh89Py2durSa8XDkvKK5ctcY62rGwwmo1q1Hhd6easbDkXzeEPNW/Wg14+FI +ftz6X3aXi9WMhyP1XT5jwe9b1YyHI+Pl56kpPqlmPJyAP0+MndzsU814ONJ/ +NPu+rgirZjycgNcmN27NS6xmPByp/3tf7UzNrWY8HKlXxkEhsVXVjIcj/eeX +svkh0mrGw5H6V9Kg7/etmvFwAga8ezDtpWoN4+EE3KUSf/dh3xrGw5H8pGVo +5KZbw3g4AZPl139dGV3DeDgBd4zFTuen1DAeTsCMOc5zT1jWMB6O/Pw872j7 +FTWMhxOwXb3FZu+WGsbDCfjbuPc02/01jIcT0KKxcZb1iRrGw5H1PGyc3Wrn +GsbDkfF9GZC25G4N4+EE3JlqvGD+ixrGwwnYIfAoN+d9DePhBMyeZBE2PaqG +8XACzm/Y/GxSag3Q+9wiLvxzzWt8YQ3Q+9wi6l68njKqrgbofW4RbxqO6DCM +qwF6n1tEcZnuat1fNUDvc4s4a+SkWK2utUDvc4uo5TxuirpGLdD73CLW/85M +VDOoBXqfW8SM4vxNXcfXAr3PLWKtRgfVjjNqgd7nFlHHUSekfX4t0PvcIh63 +bdj3fXUt0PvcIv5U0xrVZlML9D63iCmrT7Uq7GqB3ucWcWxRlq/kdC3Q+9wi +xlfn7G24Wgv0PreIW87MGVF9vxbofW4R5zrWV5W+qgV6n1vEobMdrhcE1AK9 +zy2iQb+ACdmxtUDvc4vYoXxDWlp6LdD73CLqzzBenlhSC/Q+t4g37otpsY21 +QO9zizjw3/qxEWIt0PvcIu6bP/J00J9aoPe5RXQ+rVa+pnMd0PvcIsa8PSop +6FkH9D63iA+9/SXL1OuA3ucWsTXnblnWwDqg97lF7L1RNWqhfh3Q+9widt5Y +fSV1eB3Q+9wiHor7a2Extg7ofW4Rn6yaq0CTOqD3uUW8nXjLaea0OqD3uUXs +cyeyPRLqgN7nFvGNgaet6bw6oPe5RQwKGRoYtLgO6H1uEXds120at6oO6H1u +EYuNDv7x3VAH9D43eZ9q/tfwbXVA73OLKJ3gVPlyVx3Q+9wi2gf/eqZ7sA7o +fW4R7+TPMnvkWAf0PreIS0sNkzVO1QG9zy1ikuz6cLfzdUDvc4to1HfZjp5X +6oDe5xaxcZSVk8vNOqD3uUW8+nn90Q736oDe5xZR0nPd3DOP64De5xZRQ67P +f/OsA3qfW0QHyS07h7d1QO9zixgxySlF7l8H9D63iO2NmcqdoXVA/SYiJjQu +a6uNrgPqNyHr819zyoaEOqB+ExHVG/bsL0yrA+o3EfFv35D6xTl1QP0mIs5W +Ph+ZWlQH1G9Cxie5y0yorAPqNxEx8l2ybmR9HVC/CZlvr+BM49Y6oH4TETu6 ++Fn68nVA/SYi7unlcsXgax1Qv4mIZbl67o9+1wH1m4iIZkt39etYD9RvIqJN +GPfvard6oH4TEZs2lmxR6VMP1G8i4rY59ReOD6gH6jcRsWaFZDevUw/UbyLi +/g0JfXYOrQfqNxFR79/8E5Wj6oH6Tcj+SV/luWJCPVC/iYixOh9d0kzrgfpN +yPOnbRo9a2Y9UL+JiP7m3V2DzeuB+k1EvDD56euRC+uB+k3I+CkVJ54uqwfq +NxHxvnFh535r64H6TUTsd2OElfOmeqB+ExFfnomz/GlbD9RvImLd+sNfDuyt +B+o3EbFhjfb6ukP1QP0mIs644mq36lg9UL+JiL1qn05OPVMP1G9C4vEjA6Ze +qgfqNxHx3jCVUr9r9UD9JiJ6Lfn7frBbPVC/iYjKgT8m3PKoB+o3EbEtL2nL +v6f1QP0mIra8mDLV3qseqN+EvN8to5g633qgfhMRC5wPtSwPrAfqNxHRY1Ft +ZHx4PVC/CVlvzksnGn+sB+o3ETE16u7SF0n1QP0m5PetLvTpnVEP1G8iouoZ +4fCZvHqgfhOSDw8FH5eV1AP1m5D9P+LF4PXV9UD9JiIeO++6LaWxHqjfRMSJ +sxeam8jqgfpNRBzxNyD+uVgP1G8i4q3Z7hU9vtcD9ZuIqLI57daxP/VA/SYi +nvMYUlWv2gDUbyLi6a6OCYt7NAD1m4gof3d3VkTfBqB+ExGXbF21zECrAajf +hOSfqqtfXXUbgPpNSD2J6zLhy7AGoH4TEb86ef7aNLoBqN9ExHmnjNalGDcA +9ZuIeFdyxGLclAagfhMRT9avibs3qwGo30TEozYvUn9bNAD1m5D1McfQ2taq +AajfRMQe0yJOfVreANRvQsajcbTh+HUNQP0mIr6IXLHWfXMDUL+JiIHqf/v8 +2N4A1G8iYsnojss37WsA6jch3zPIeEC8fQNQvwnZ31Ubtgw73gDUb0LyzYIV +o13ONgD1m4hYqNN6vPVSA1C/iYhmgjDXyrUBqN9ExNWzp7u/c2sA6jcR8dqO +h1vUHjQA9ZuQ/Pys8s2BZw1A/Sbk/boU78vyagDqNyHz+XSN7xi/BqB+ExEr +Z4y3cQ1sAOo3Ifv79YQ7reENQP0mpB9wHD113scGoH4TETXHCKu9khqA+k1I +PR+9tOVfegNQv4mIu4O15NZ5DUD9JiJatujYRpQ0APWbiMh9Gm2lXt0A1G9C +6t9sjVcHGhuA+k1EdFHz2ZMqbQDqNxFRrTzsqb7YANRvIuLzMb1mnfjWANRv +QvbTgbML8tobgPpNyPe2FH8cqdoI1G8ionFy85Nz3RuB+k3I/PQ7U1/UpxGo +34Ss/4+7b47WbATqNxFxlcfx506DG4H6TUQctuRU/6KhjUD9JiIOPzJbPtKo +EajfRMRRTncNT09oBOo3EfFBkmVctmkjUL8J6RdODIvQn9kI1G8iYlF4ey8H +80agfhOyX08+T0hY0AjUbyKi05OSvH7LGoH6TUh9qzo83WZNI1C/CamXVXP+ +BFg3AvWbiPhlcO+Bf20agfpNRPTu6HNt0Z5GoH4TEZ8VVi5/aNcI1G8i4pTI +YweajjQC9ZuI+Ct6buWE041A/SYivv004OmpC41A/SYiWgSG+CdfaQTqNyH1 +eJiid+9bjUD9JiIOCLset/ZeI1C/CRnvqi0xzx83AvWbkP5JObFTi2cjUL8J +yd8T8h+MfdsI1G9C9ndIt6OH/RuB+k1EDHF6/TgipBGo34TU86P2XdujGoH6 +TUg93TQ1GuIbgfpNRNxamRZ0MbURqN+EPO+cTJGc1QjUb0Lqbej+fV0KG4H6 +TUTUztU0ml/eCNRvQupVh4iRV2obgfpNSD7ZobUztbkRqN9ERCtlx7pOikag +fhOy31wsH5i3NQL1m4j4p+nNNacfjUD9JiS/BX4Ji/nbCNRvIqLg8GPQ905N +QP0mIvZ0PxMxsWcTUL8J+V7bRa77+zUB9ZuQfll9wt3XWk1A/Sakf4lSZlfp +NgH1m4h4YunmmRqGTUD9JiJWB8yoshrdBNRvImL2s7Xvzxs3AfWbiOgZe/xd +2OQmoH4TES/d21MmndkE1G9CvudO20RdiyagfhPSn6rWhi9b2ATUb0Lyhf73 +nReWNQH1m5D5+K06O3hNE1C/CTkfeOTMarBuAuo3Ifs5R39HP9smoH4TEV/t +jQ+APU1A/SYirut7Uv+gXRNQvwnZ38vVgx8daQLqNxHx4uPVu1JONQH1m4j4 +eW63GeL5JqB+E9Jv3Pw6ftCVJqB+E9Jv326aY3GzCajfRMSc5c8O7XdvAuo3 +EbFLtCTq7qMmoH4TEd0eXteLetEE1G9C+qXny5/WeDcB9ZuImLb970TV901A +/SYkH2/fVDMiuAmo34TUTxUTz4WRTUD9JqR/Ozfv2P64JqB+ExEn9LTZeiO5 +CajfRMRO4Qs2vs9oAuo3EbH70eSdmXlNQP0mZP0Oe3JeWtIE1G9C9vsFd98u +1U1A/SYiHjE9Uju0sQmo34T0BxmqBrOlTUD9JiIqgrodXC80AfWbkPOZ+cJE +h69NQP0mIu6MuK7v+rsJqN+E1Lv/PC697NAM1G8i4gEcz0V0bQbqNxExbr6O +dXavZqB+ExEvexpk1fdvBuo3If3npa7wVbsZqN+E5Ee3u8FdhzQD9ZuQ/n7x +1eHaI5qB+k3I/jL84GE0thmo30REfl96p2kmzUD9JuR8t+6F3fypzUD9JmR/ +9/hasHp2M1C/iYjpV24b21g2A/WbiLgh9j+XA1bNQP0mpJ6felNwbHkzUL8J +2b+n32ucX9sM1G8i4rstPRZd3dQM1G9CzsPvLh+5bdsM1G8i4uOc2tv39zQD +9ZuQflu37slju2agfhNSH/MXPnh+pBmo34TMT5/68y9PNQP1m4h4pXbvOq/z +zUD9JmR/mfhov3ZpBuo3If1v3dZUrxvNQP0mIq7xWb3p1d1moH4T0i8aW1W8 +eNgM1G8i4sxuneDp82agfhMRo7zmXnvwuhmo30TE89sKIu74NQP1m5B6cOlg +umtgM1C/Cdl/UytiL4Y3A/WbkPhepdvJ2GagfhNynnOfZHkosRmo34Tk/4E+ +xds/NQP1m4i4XvnDYl1OM1C/iYiuedKbC4uagfpNSH5YOSt4ekUzUL+JiIva +wwJG1zUD9ZuQfDRN01m7pRmo34ScH7YNmdhV0QzUb0Ke7+8R/FnZDNRvQvr/ +eTO61X5vBuo3EXH59Dzj9D/NQP0mIuY5aY0JVm0B6jchf/9bwddH3VuA+k1I +v7c7+fb5Pi1A/SYi+l3y/75zQAtQv4mI5s3LxlrptAD1m5B+vs/BseMMWoD6 +TUh9sEv91ntkC1C/Cfn99bquwtgWoH4TER17/tecY9IC1G8i4siAT138p7YA +9ZuQ9bLmBXdtdgtQvwk533w//nCnZQtQvwmZn9P9u8yxagHqNyH9i7+Jqfby +FqB+E9L/zfUwVK5pAeo3EfFM89+CNOsWoH4T8nytcfDMpgWo34T0y8vqdzvs +bgHqNyH5iKtcZHmwBajfRMQO06qlAxxbgPpNyPra6T2n5UQLUL8JOd+5fVka +dq4FqN+E5KcE1/6XLrcA9ZuQn5eMvLnMtQWo34T0u/XXowe5tQD1m5D+/six +x033W4D6TUS8veLtGP8nLUD9JmQ/atQcOPKyBajfhKwfi4pN09+2APWbkOcv +s/71930LUL8J6dfuD7FMDG4B6jch691aOvVyZAtQvwnpx4uOlFrGtQD1m5B8 +q3NRr1NyC1C/CamnM/LUEtNbgPpNyPlkuN7Lc7ktQP0mpF5Ej66YVtwC1G9C ++pWk0PDPFS1A/SYiTv92yvR9XQtQvwk5X3yZsWZ7SwtQvwlZr4vDNbQVLUD9 +JuQ8cNTncLayBajfhPSDRXkHz39vAeo3ETEhiutk8qcFqN+E1Bvbj5MbOkqA ++k1EHP/9T4c73SRA/SbkfH7j4M7ZvSVA/SYijhuWsVXWXwLUb0LqrU++cE9b +AtRvQvqR72Yas/UlQP0mJN8WlWU2G0qA+k3+//8hqwbcGC0B6jch9fezvWBs +LAHqNyH9lw23vshUAtRvImJ5qMvyYzMkQP0m5Ly6+leRppkEqN9ERMN7nZvD +5kmA+k3Ifgve6bRqsQSo34Tk4+rSV8IKCVC/iYhN47WXuq6TAPWbkPn+0OI0 +bLMEqN9ExA8r/0yOsZUA9ZuQ82y79uEVeyRA/Sak/p/9OaHloASo34ScZ+6u +P3TSUQLUb0L2R+vn8T1PSoD6Tcj8D7x68Mk5CVC/CYl9841GX5YA9ZuQ81a/ +m7YR1yRA/SYiJoYc07S4LQHqNyH/3mhlmX1PAtRvQvqd2hTZmscSoH4Tsv5L +vLpVv5AA9ZuQfr7F86mttwSo34Ss/0EnvVv8JED9JqRfOdBqsDdQAtRvQupb +ql9fWZgEqN9ExOZ/p+33xkiA+k3+//e05kjiJUD9JiJuHjT93PZUCVC/Cdlv +a58b1WRKgPpNyHlypIrZunwJUL8J6X/66STllEiA+k3I+Ln4fbCskgD1m4i4 +WLq3Y1S9BKjfRMSA6xofx0gkQP0mpH8L2Fn9VCEB6jch+cV5oK1amwSo34Tk +lwmtC099lwD1m5Dzwcfb7i3tEqB+ExHnmmTMWtGxFajfhNSvcyvnR3dtBeo3 +EVH3UuMHg16tQP0mpD9Xn+p4Rb0VqN+E9DNCD3e5VitQvwnpD7M0ey3VbQXq +NyHfs1iz7sPQVqB+E5LPOyX36D2qFajfhKzHExXX949rBeo3IftVX8M2zaQV +qN+EnP+3jrlhMLUVqN+EnJfcKrqdmtUK1G9C+vf2ypI881agfhNyvq2Rfhm+ +oBWo34T0N9vibE4uaQXqNxHxpmf/wZkrW4H6TUi9+uA1VGd9K1C/iYhDXEcd +2bu5FajfhPTrIzb1CbdtBeo3If3Q7h9tKntagfpNSH0wjNC1OtgK1G8ioo/h +ylt3DrcC9ZuIeGrYJYvS461A/SakP8tWnalzthWo30TE0sSbxzZfbAXqNxHR +916F8tmVVqB+E3K+SPN6X3WjFajfhJzfCl95a99tBeo3IfnA4E7l6getQP0m +Ilr3HrXk1tNWoH4TUp9kQ36mvGwF6jcRsduvSTW/37QC9ZuQ+uyg+3vC+1ag +fhMRv592X2ob1ArUbyKiu+ny0rvhrUD9JmS9BKjdS4hpBeo3EXE1d+ESH98K +1G8i4ifJntfaqa1A/SYiurie+mqe2QrUb0L6ibBDR/fltQL1m5B+dGaHYW7F +rUD9JiI+lUjaQypagfpNSP12rOpQUtsK1G9C+ge3B8bfmlqB+k1If9mUf0VD +1grUbyLi9e6WXSYKrUD9JiK+v/nGb9GXVqB+ExJ/CXLc8bMVqN+EjE+43rbT +f1uB+k1EHGzubeemKgXqNxEx/MefZ17dpED9JmT+TeoVob2kQP0mpJ+b1XVj +sroUqN9ExDLrUU35WlKgfhMRTVLbr1UPlgL1m5D66DfTSmIgBeo3If37OM9h +wggpUL8J2c97ajW+jpEC9ZuQ88j+4ME/jaVA/SYiHpqZP+23qRSo34Ssz7LP ++35PlwL1m4g42qTgw0+QAvWbkPOsiWGnb5ZSoH4TEZOiX+4VF0qB+k3I/vLs +WNO6VArUb0LWW9DfrbWrpED9JiKqhyzhC9dLgfpNyHrf9vZK6mYpUL8JqR8H +kkZH2EqB+k3I8y5sKPHeLQXqNxFxl5nBtbsHpED9JmT+5xaYnXWQAvWbkH5b +z/DfzmNSoH4T0n+sycHFp6VA/SZkPe67enHieSlQvwnJP6Xd52k4S4H6Tcj+ +UevR/es1KVC/iYgrHedkFN6SAvWbkPpzYMfVQHcpUL8J+XurxplffygF6jch ++eHUym/bn0mB+k1Ifhp73Wv6KylQv4mIyz7cWtDnrRSo34ScN2dpNNW/kwL1 +m5Dx7ld4JDhQCtRvQr5v/cWf58OkQP0mIn6cWW6/JFoK1G8iYl3drXItlAL1 +m4hoarPRpC5JCtRvQv69sO3km09SoH4TUm8W9AnYly0F6jch+T1jV97YAilQ +v4mIFpsCqxQlUqB+E/K8P68K/CqlQP0mpJ55fw3cVScF6jch47Fw67EhzVKg +fhMRi0o8DMqkUqB+E1KfTFcF3eSlQP0mImpYLTI0+ywF6jch57E205Nt36VA +/SZkfLpnfHjZLgXqNxFx6Pa4pKUdZED9JqQ/zEsP/9lZBtRvQvo3PS+XVz1k +QP0mIg7q38FkYR8ZUL8JqR+OjyO4/jKgfhNSD/4O13QbKAPqNyH779DGxca6 +MqB+ExHXOvHrcwxkQP0mJB/VP5y+b4QMqN9ExE3z+oqqY2RA/Sbkfax7nngy +QQbUb0J+PnNOvvEkGVC/CcmvM3f/SpoqA+o3EXHgStO21bNkQP0mpL/7YBPR +aCYD6jch/SL/aoH9PBlQv4mIDwICXv+ykgH1m5D+3HV2xsVlMqB+ExF7DegS +2W21DKjfhPR/zbEHbqyXAfWbkPP+LW1Jr80yoH4TEc96Fgy/aSMD6jchv//u ++cgeu2RA/Sbke2ZMkl/eJwPqNxHRuWbhoT92MqB+E/L9eh7Bjo4yoH4TEQsv +54ZIjsuA+k1If/L4vcOGMzKgfhNSHySNsk/nZUD9JqSfUY7Rm+wsA+o3Ifkd +ZvR4eU0G1G9C+oP1n/y735IB9ZuQ8zZe62p/VwbUb0L2Y7upZpGHDKjfhPSL +dy+VmT6RAfWbiPiom9GS+y9kQP0mpB9qrDrc5iUD6jcREXzXLFjiIwPqNyH1 +sW5hlvd7GVC/iYiyvvZ/fgfKgPpNRNROPFexLEwG1G9C+qezY21fRsmA+k1E +jM6a4C5+lAH1m4hYPwMOzEqUAfWbkP5qXT/FlVQZUL8J+XsfNvXLy5AB9ZuQ +8c8vrRiQKwPqNyH9x/Jp8zcUyoD6TUT0Spyy5nGpDKjfhKzf2Guq5ZUyoH4T +Um9LmpcPqJMB9ZuIOMW/48xlTTKgfhMy/9UeSS6tMqB+ExF/dN3QEqOQAfWb +kPNB3r9XvCgD6jcRMbna7IfeVxlQvwn5++XFTYt/yoD6TUS87HB494k/MqB+ +E7Ie1uace9lBDtRvQs4PMz2NPnWWA/WbkH64+vkuRXc5UL+JiHpfz0/q3VsO +1G8i4kbLP3fGqcuB+k1EPOaWcdZKUw7Ub0LyodeLbzsGyYH6Tch51eq/32f0 +5ED9JiIWbJzpeneoHKjfRMT57xxeeY+QA/WbkPyi7WoePloO1G9C+qWrM/cm +j5cD9ZuQuA4G5JnIgfpNSP/YZ9Hc8slyoH4Tku+6DfhRO10O1G8i4r3U1WOb +ZsuB+k1I/VqQUNdsLgfqNyHnsXv9NJrnyYH6TUQcG9GeXG8lB+o3If1w8rS2 +yqVyoH4T0g8VOz0uXCkH6jch9ULNJfbTWjlQvwmpL26q62I2yoH6Tcj33wnY +826LHKjfhOSr+WbiQ1s5UL+JiA+FQ4pLu+RA/SbkvJvfZn1gnxyo34TU++kX +Zq20kwP1m4g48mLBbdPDcqB+EzJ+XZ+v0DwmB+o3Id838cmFLyflQP0mpL+y +v6CXd1YO1G8iouesbiN9L8iB+k1EVNWRPHJylgP1m5B66l58dNU1OVC/Cal3 +M69HGd6UA/WbiCiE4PbPbnKgfhMRV70c7Yj35ED9JuR8Fn6p5epDOVC/CXnf +wIuxy57KgfpNyP5aVfu5v6ccqN+E9F8OK64Xe8mB+k3IfLS4Xbj3Vg7UbyKi +t8ea4uXv5ED9JqTfPbLOuUeAHKjfhLzfqaVuCcFyoH4TUv+C274cDZcD9ZuI +6DSuPWhktByo30TEbT2HpZZ8lAP1m5Dz6DF940sJcqB+ExFvefvyY1PkQP0m +ZD9UnlQp+iQH6jcRUbF7wY4TWXKgfhPSL73JHjgoTw7Ub0K+XyVxcFShHKjf +RMSf8mq7NaVyoH4T0g/Jy3sJFXKgfhMRT9+2++FcIwfqNxFx6rHV4wY1yIH6 +TUS0XWT57l2zHKjfhPSvn77ZT5fKgfpNRFSGGZ5NVciB+k1I/y91yVkmyoH6 +TUg/Pb9ke8lnOVC/iYg6yZkzNn6XA/WbkHpnM2RN5S85UL8JqW/GNz9s+CsH +6jch+XxF5rziDgqgfhMRd3xzH7y0swKo34ScJzd7jk/upgDqNxFxd6nv6Slq +CqB+ExGPJ+3t6NNHAdRvQs5vdx4lDOivAOo3EdEhqS3ivKYCqN9ERGPp+KZW +bQVQvwlZz95d5y7VVQD1m4io8m5yeeAQBVC/CTkPxB190ddQAdRvIqJ9+DmP +gyMVQP0mZH2c7PkxbbQCqN+EnNc7lvTTH68A6jcRcZr1Q3fHiQqgfhMRS16p +maVMUgD1m5D83Z0bqDFVAdRvImJO/mftbTMUQP0mJF+srDT3na0A6jch+fbz +5ruCmQKo34Q832Ry1//mKoD6TUSc9FzP03GBAqjfhJw3KvOtgxYpgPpNRLzi +2WOaYqkCqN+ErOfoS5MNVyqA+k1EtOz2Y+WGNQqgfhMRtzsNvXl9vQKo30TE +3kZxjdHWCqB+E7Ieh7mskWxRAPWbiPifl2l9X1sFUL+JiBfEK85TdiqA+k1I +Pt831cx6jwKo30TECOeO/c/sVwD1m5B6l/Xy5yM7BVC/CelH6/M/hzoogPpN +RJx4aqlK9hEFUL+JiPzOQt2G4wqgfhOSv68NXvTllAKo30TErg3NLqrnFED9 +JiRfX5Tn9rmgAOo3ETHXQ2qofVnBeDgl/rB5eln/ioLxcErcAvHcUFcF4+GU ++OxVv63DbioYD6fEPK0lpUPcFIyHU2K+xYQ1Ou4KxsMp8VDTkVJ1DwXj4ZT4 +Pq9iU9dHCsbDKfHzD92mH08UjIdT4prdv/dLnisYD6fEZDD+XPBSwXg4JS6J +P3ki5rWC8XBKXGF2/5fnWwXj4ZSYajrnxCU/BePhlHhwzFTR1l/BeDgl9smc +bgOBCsbDKTGmrHPGwBAF4+GUqDJpnZEQpmA8nBJHlynOJkYqGA+nRKeqy6l3 +YxSMh1PiqSPVHbbFKRgPp8TuYuj40QkKxsMpMSMmfZEyScF4OCUusqlYF5aq +YDycEs2ueK84lq5gPJwSs5TSKZOyFIyHU2LQxV3dhRwF4+GU+HRaRfKbfAXj +4ZS4flKnvdZFCsbDKVEzwOuLWqmC8XBK9O50YldMuYLxcEoM/jDn464qBePh +lNhj3sfvvWsVjIdTYtlFf43QegXj4ZTYNDNffW2TgvFwSizY3yp+aVEwHk6J +O0eG+LtJFYyHU2LsW+V8I4WC8XBKvD93R1wcr2A8nBJvnMrss0KpYDycEjfV +S6bXfVYwHk6JQyefmn3gm4LxcOR711pof/2hYDycEveZd8o49VvBeDiyXnTO +LfvzR8F4OCW6Dj/sc0aFYzycEmvTXhb+6MgxHk6J2jdych06c4yHU6KRfvQT +SVeO8XBKdFwxYvLGHhzj4ZTYW6v4Wboax3g4Mr9Xr+ab9uEYD0fmp65j3vN+ +HOPhlJhzocvDThoc4+GUKIprRu3W5BgPp0S/shCn1IEc4+GUWNOt8elQHY7x +cEr8e+zNudO6HOPhlLhydsKwfH2O8XBKnOH5zXXYUI7xcEo826lHyGFDjvFw +Sjz/M+5x3AiO8XBKfDSpfE5XI47xcGT/3B38evEYjvFwZHw1lyTdGscxHk6J +ltz4Z9kTOMbDKbHrPhfj7iYc4+GU+L3bwDNmkzjGwykx3M7n3LHJHOPhlJi2 +p32yz1SO8XBkPXNlXiXTOcbDKbFIVzW5wyyO8XBKfG0+x8MIOMbDKfF37hrt +pWYc4+GUWL29++JDFhzj4ch4pQ4Zd3Mux3g4Je5V2MS8nc8xHo6s5893v8Yt +5BgPp8RIw1MVBYs4xsMpUe979Z7GJRzj4ZQo6en8QlzGMR6OzO/EyWd/reAY +D6fEXjaRKh1Wc4yHU6J1UsGoTms5xsMp8dr7xV9U13OMh1Oi53PORmUjx3g4 +JdotPGv/05pjPJwSs12T9ITNHOPhlPjV7ur++q0c4+GUmGh8b02eDcd4ODL+ +0wMqY7ZzjIdTYumwV+1eOznGw5HxDDeNuLqbYzycEs/ITXrs28sxHk6JJ2W2 +X+bv5xgPp0SF2u0jQw9yjIdT4seq89d+2nGMhyP/rtHRJNueYzycEitfVh14 +dphjPJwSX72smLrvCMd4ODIeO1Lv/XeMYzycEo8d2Of08zjHeDglqh668z3m +JMd4ODK+q7u0nzrNMR6O5JPJ965PPssxHk6Js3d18ebPcYyHU6LGzlHzvc5z +jIcj+0GWYr/6Isd4OCVeqPcb0vEyx3g4JXbZ77XmvTPHeDglHq463XvVFY7x +cOR74lWsvl3lGA+nxFHlf7t4uHKMh1Pi7sEWliY3OMbDKdEg8rFK5k2O8XBK +/NC3cPq22xzj4ZR4JSFKqXTjGA9H9tOzwYbn73KMh1Oib0B6Xo97HOPhlHh1 ++u1vd+5zjIcj+Spqxr0BDzjGwykxs+6Zz/2HHOPhyM8/u2yi/phjPBz5Hk00 +vv6EYzycEnu+H/xK5RnHeDiynn4ccD78nGM8HKmPBU7ldS84xsORfLZg+LNF +LznGwylxvve43OBXHOPhSL6w32un+ZpjPBypbwd8Tx7z5hgPp8S7D+KEgjcc +4+GUOGDcqdwxPhzj4ZSo4+2vdcGXYzycEn+Gjk4r8OMYD0f6h2VJVUPec4yH +U2KL98J1+/05xsOR/DfCzTT4A8d4OLJ/z+899jWAYzycEptf+Aw0DeIYD6fE +iMAhOg7BHOPhyPvoe5/xDeEYD0ee59F9Zk0ox3g4kj86DV3fJ5xjPBzpP+4n +5s6M4BgPR9Z3JL7cFckxHo7Uw8F81o0ojvFwJN+EDl0eEM0xHk6J+0NMRufE +cIyHU+I9t88bpLEc4+HIeug8o0YljmM8nBIf96kN00CO8XBKtBHCag3jOcbD +KbHByGPjxASO8XBKvH117fDpiRzj4Uj91s6ZDUkc4+FIPjAu8p6TzDEejtSz +3XM2zE7hGA9H9tcT2bqpqRzj4ZS47cv/mLjvuBr/93HgKERGSDISKSNUihRH +dlKyQiIqe29RdggJSWUV2kk0tOSuqz21997znPtw32Wv7/V5nMvv/Xv/dz2q +c879Gtfwfj5O8AuNDJY8HJ7vWgvtiZkseTgO7g4okJXLYsnDcRBwqm36T4wl +Ho6DDTa295uyWfJw+PpbLOan57Dk4fB8zdk/NeADSx6Og0GDL5s75LLk4ThY +ufJsxpY8ljwcB2pPZpzRzGfJw3Gw9MeZ3b0KWPJwHHBv5tzPxVji4Ti4Y7T4 +u0chSx6Ogy4fW0/LIpY8HJ6X1nC78cUseTgO2Gv592owlng4DiYvDqn1KGHJ +w+F5maq2w7SUJQ+H58tt1LheZSx5OKxPWjYDQzGWeDjsX/n66VvKWfJwHMhM +PHGpdwVLHg7/fu0XKX+MJR6Ogy97TGKXVbLk4bAfebj2aQPGEg+H9WJf66uz +VSx5OA56h3Z2DKpmycNx8Pv+gnVeGEs8HAcznJKap9Ww5OE4mLVj0/MIjCUe +jgPVubUOc2tZ8nAcSNvNvROLscTDcTBOaBg/p44lD4f3248dFoqxxMPh/soN +cVKtZ8nDYb8rdlL1wFji4TgoNVvRINXAkofD9QiezBzGWOLhOFjydlBMMcYS +D4f987Xa/DmNLHk47OedLvR1w1ji4bA/vV24SYyxxMNxIOebkLysiSUPh/dj +ubrRQ4wlHo6Dawt/tLZiLPFwHOyJkX+q1cySh+Ng1wzrPWcwlng4DlLOpBjG +YSzxcLg+uWrzv2Ms8XB4/+OOLJndwpKHw/yg7rj1IMYSD8fB6h0brj/DWOLh +sN72SU7IxVji4TgIHBjb7zvGEg/HwYhSZcsJrSx5OA56xjTHL8VY4uE4mNkt +VN+JscTDcaBUrOpzEWOJh+NA8d5ZFQ+MJR4OP8+WouCXGEs8HAejspXmvcNY +4uE4yCwwzE/FWOLhcB5L0d//AWOJh8P7btHcrwBjiYfj4NRLrcB8jCUeDuup +xtCVORhLPBwHfdIOCZMxlng4nF9ez70TjbHEw3Hw2nn7zACMJR6Og+b9Bdn3 +MZZ4OA4sIs7vssNY4uE40NIz+26JscTDcaCrs+ymAGOJh8P8uXiRnCLGEg/H +wdm9ei4fcT0lHo6DucPG90/BWOLhOJg+vPmkK8YSD4f1PsCudBvGEg+H9/t4 +iboaxhIPh/3+lbYTHbjfEg+H59/UNyQQY4mH4+B59J+yHRhLPBz2rwPYT6Mx +lng4zD+/d3z/gOdN4uE4mBO98eM5jCUejgNhUlzhZIwlHg7vo9LN57l4niUe +Dp9vVqT5MYwlHg774dI534dgLPFwuL9rpK++xPsi8XDYL20Y1bMQY4mHw3zQ +b69JEd4/iYfjwPG78IY1xhIPx0GY9vOgLry/Eg+H9XuyfcgxjCUejoOa97au +PN5/iYfjQNnknsUxjCUeDvPP84ReXZg/JB4O5+Gvfx2tMJZ4OOzn+q4RFmD+ +kXg4vJ8fA2cYYCzxcNj/rulvHIj5S+LhONCce2DJIIwlHg73a0ae/GHMdxIP +x8Hw6bMSszA/Sjwcrk/g/aUqGEs8HAdGzmIvW8yvEg+H50+wIi8d86/Ew3EQ +5/a0eDjGEg+Hr9cpDNmC+Vvi4bA/kdG3eIb5XeLhOFgjuFZei/lf4uE4MDTK +nzwaY4mHw/07OM5wLdYPiYfD/nvHkdlXsb5IPBzWl12Zn8Kx/kg8HNaXKRr2 +NVivJB4OX6/ON78PxhIPh/VunsYnVaxvEg+H/drBoqolWP8kHg7nVxWPu5ZY +HyUejoNe9y4NPIH1U+LhOPhh4256FeurxMNhfsut2+SC9Vfi4TjQv75T7THW +a4mHw/4Jpsd6YT2XeDisN7qLhjzDei/xcNjPTAme/AT7AYmH4+CY2clertgv +SDwcrm+vgCfXsZ+QeDgOnv1c1XMK+w2Jh8P85X5ykDX2IxIPh+sbpNZkiP2K +xMNhv/Pg6Klp2M9IPBw+/8Ltyf2x35F4OA68x/7NbsR+SOLhsB5Msr4Tg/2S +xMPh/F55T+YW9lMSD8fBwlVe8zdhvyXxcNhPjnykpoz9mMTDYX7b7JLWjP2b +xMNhP5npMcYviiUPh+fDh5lkjf2exMPhPBkxomEU9oMSD8fBGbM3q3Owf5R4 +OOz/Dz8/Yh/Gkofj4MHZHwZq2G9KPBwHhy4WJ+RgfyrxcPj5FBeyh7F/lXg4 +DoYdX58l+4olD4f3w3DSen/sfyUejgPt9gzHedgfSzwc7tdc8z052D9LPBz2 +r6EdH82xv5Z4OOyXt7ipNPiy5OE4OFl94vdO7M8lHg7Xd7/XpeYXLHk4/HnR +zKDt2N9LPByuzwUD21KcByQeDj///q6OFZ4sebj/1UfTv1E4T0g8HAfmXx1i +lR+z5OE4GOoeNOI6ziMSD8eB3sHqoW04r0g8HPZ35/RDluA8I/FwOB/vaGx/ +gvOOxMNx4LuFTxLjPCTxcP/79yNnfQOclyQejoN5l/JNb+E8JfFwWP/m1v7O +v82Sh+MgfXS50XCcxyQeDvul8/Uz1+K8JvFw2F+Yjw655ciSh+MgaHFQcgLO +fxIPx8HOM2HHPuJ8KPFwHCiUb4oae4UlD8fB4ebEe0svseThsL9Pkf+7B+dP +iYfjYNFyuz6OOJ9KPBzW16qRj1/g/CrxcBxcfTY8ORrnW4mHw3qw/tH5zNMs +eTicx6wLMkpPsuThcD6ubvGpO86Sh+Ngx5Veg5uPsuThML/Hre7bjPO4xMNx +4PDop1MdzusSD8fB2hodr1Kc5yUejoMkTnV+Js77Eg/HQT7/a2f0bpY8HM4P +gV0KL3ay5OE4cLmpZHbdhiUPx4EVkzZqjxVLHg77/bHyu5ZsY8nD4TyhOW/u +mK0seTjMN3MOuLCbWfJwmP/GlB9hNrHk4TDfX40sdNzAkofD85OuG7tqPUse +DvvVT64Th6xlycNhvUj9MyjHlCUPx8GE+DC7qyYseTgOQmUb9sxdyZKHw/V4 +klrcZsiSh+Ng2eCHcH8ZSx4O9yfJZZreEpY8HJ6XAqFc1UKWPByer6HNp84s +YMnDcRA1OGKD3HyWPBze57HuoX56LHk4zG/Lsq7N1mXJw2F+2+hcDDoseTgO +BlaNe2o4iyUPh/dvfFxVpgZLHu5/85jffcMZLHk4rK+NYwGmseThMP+m7tgx +ewpLHo6Dr1rv7f1UWfJweH+X7usvp8KSh8P8WP+6/xllljwcB3YhBfaV41jy +cBy8HKtgPXcMSx4O3293arTLKJY83P/qqcrFVnmWPBwHj7aejZoznCUPh/fh +wBDLK0NZ8nD4+cZqHM8cxJKH44D3mtgzcCBLHg7rhVinxag/Sx4O168ybJGD +NEsejoPGry0yMb1Z8nC4PlFKgvY/IvJwHOSZvqwc/ktEHg7rbV5Zg/53EXk4 +7H9Gf1lr+UVEHg7Xu9F8mn23iDwczvOhpvsffBKRh8P60jJNLogVkYfjQCdy +oVJsl4g8HN636c33UtpF5OGwP9Pcvze7RUQejoOOC1N8PzSKyMNx8Df01JLs +OhF5OMxv+kHLUqpF5OHw/O8b8zKmQkQeDt//oOrRwFIReTi876smeLgWicjD +YX7t2adkly8iD4f92Iwt0ls/iMjDcfD5+VpjvSwReTjM15UvxXLpIvJwWM9W +pH5qSRaRh8P1WfB3TSSIyMNh//spTvYSIyIPh/3dhc2Tlr8TkYfD/N2u79Ev +WkQejoMsxeSdKREi8nAcFLZsuH0uVEQeDuf5/uaDNENE5OHwPE7VaKsNEpGH +w3moapHiTX8ReTjMT0+/eM/0EZGH42BTRYxD3jMReTjMxyL23YGnIvJwHPya +KLWizyMReTichyJ3THN3E5GH48A5/6z1pPsi8nAcLH4QIQy5IyIPx0H/4Rez +ZzmJyMNxIDAz/hXuKCIPh+fP7MblmVdF5OFwPusfsMnvkog8HL6/heJFhfMi +8nA4r2mu+3L1rIg8HJ73XakJolMi8nAcXC5pK1t7XEQeDvPDeg2DsMMi8nB4 +HsOG9Qw8ICIPx0EwyHyz3iMiD4f9ianzyogdIvJwHIxUV+j4s11EHg7vo9rE +YsOtIvJwWN9HTBvoZC4iD4f3LTPYOdNMRB6Og3cTVbf0WSsiD8fB7j8jTsxd +JSIPh/Oj4Y/ivUYi8nDYv7WbX3qwTEQeDp+35s3JuEUi8nA4/6g6hNUIROTh +cB7O2zjnh56IPByev543vUfMEZGHw/ofP2LklFki8nAceG6TPao7U0QeDu/z +2PFDF08TkYfDvzct7TFUE5GHw35n63tlo4ki8nDYvydtvbdMSUQejoMjg5cu +FYwWkYfDfN76Z57mSBF5OJx/+423VRomIg+H9W2xVk+/wSLycBxEX0uPFMqI +yMPhepediMmRFpGH4+C2eebPgF4i8nDYn6/YeuXCLyF5OA5KeyetWPNNSB4O +82lvl9XjeoTk4fDnjVbuLR+F5OE4YLZnjQ0SCsnDcTDRfE/jnnYheTjsF3IL +Wyc0C8nD4TzSGTi1rE5IHg7n0W/XXzpWCcnDYf0+MnCfdpmQPBzeX2HJzspC +IXk4Du7PdvQ8lyskD4f1YEHisNFZQvJw2M+oTk4JTxWSh/vfPGYZtjxRSB4O +582P/StL3gvJw+F88SNfYBUjJA/HwRsdy+qWCCF5OA5WvtoYufuNkDwcBwl+ +ezIaXwrJw2G+eDRP3sJfSB4O+xHdi09yXgjJw3FQvLveQt9TSB4O+3nDwet9 +HgrJw3Fgph3r0O+BkDwcB82J94W77wrJw+H9T1vlnHhLSB4O6/86r10K14Xk +4bCfj9Y7tfeykDwcB0dvlMa9PSckD4f58PF0vZ+2QvJwWB+ke4QLTgjJw+Hz +T+4sPXdYSB6Ogwbf9M+R+4Tk4bA/2rfSpHOnkDwc9iMvNcsUrYTk4fDzt+l5 +LN0iJA/3v//fonZ7/0YheTgO3ubFRjqtFZKH42Dfj8jhgSZC8nBY39Tq/RIM +heThOLhi/vtA4WIheTjMh2zZrnqBkDwcBxoPZ7t2zBWSh+NgzJYGXqQtJA+H +9/+Bv6NoppA8HAf2X9eatk8Vkofj4IZHmGHtJCF5OJxvqx6cyBsvJA/HwRTn +nIK40ULycFj/CqbZ+MgLycP9b553mHR9qJA8HO7HjZejdg4UkofjIEfddoGg +r5A8HNbDVHCT6/XPw3GgtddKqeFHF3k4DvzvaJYHf+4iD4frNWZQ8rFPXeTh +cD+3FtXOEnaRh8P1kLFRF7d2kYfD/LDiUaB/Qxd5ONy/1xYbN1d3kYfjYPbC +mzr9yrrIw2H9PyGzJLSgizwczuNaiZfNcrrIw3Ewv8LjI5/WRR4O653B6bt3 +ErvIw+H5CV2+bdL7LvJwHHR+6t4aGdVFHg7zybLDTovCusjDYX7e+6IjI7iL +PBzmA/cLtsb+XeThODB1+qKT+byLPBzmG4c/ExY/6SIPx4GhgdOCKLcu8nAc +POntckv1Xhd5OMw3D/v3vXerizwcztfrukJ7rnaRh8N8KqvjtPFiF3k4DsJn +1D0IP9tFHg6fP6jzg8zJLvJwOB/22jhn6+Eu8nAc7DecmBu0t4s8HD6v0jo3 +zqaLPBzOX/EdTnMsu8jD4X3i2LBTm7rIw2G9HLuvX+jaLvJwON/qWt9uMe4i +D8eBbUXDwpHLu8jDcfBK68ukxQu7yMNxEDH4zdx9+l3k4TD/1ow656TTRR6O +g1Uhy8SBM7vIw3HAzVhxP3FKF3k47I8idXaVTOwiD8eBSDBhb/PYLvJw2P8d +UH3CjuwiD4fzqpPFb35oF3k4zL/uVS7dA7rIw+H91Uzd8FGqizwc9u+vZ69s ++91JHg7vj8KqIxVfO8nDYb+rPCM1jeskD8fB+LnfjN8IO8nDYb64WNrHtbWT +PBzmu4Iu4bH6TvJwmI8TrfuZVHaSh8N+87nN+gnFneThOLhoP7rg04dO8nAc +yIyPvsSkd5KHw8+vuNfmamIneTict+K2nV4e10kejoOM0bnv+0R2kofD+U2+ +a/b7153k4ThwXy1sOBLYSR6Og6f6AxKVvDvJw3EgHHaxKONJJ3k47C+brise +duskD4fz0I3troPvdpKHw88XumLRyxud5OHwfFQ5qCy60kkeDvvjHWb6Rfad +5OHwfJz9c83qVCd5OMxHk3P6dhzuJA+H+7G+D3Nwbyd5OA6sk8WBXdad5OEw +P4ypzdq1pZM8HAe1TWNVqsw6ycPh/bz+M8zYtJM8HD6vWZ5ttGEneTg8344d +J5UWdZKH4+DH4hdBl/Q7ycNhP+JuOrJWu5M8HAcpF4zj5szoJA+Hn7eLf3hL +rZM8HAfb4vzeVIzvJA/Hwc0U+KOi2EkeDu9Xgf/NfcM6ycPh/BQQt+rlwE7y +cNiv99ll0irVSR6OgwveA66P+91BHo6DNsHIH6u/dJCHw9d34YPPf+wgD4f1 +YuvQR/4dHeThcH60rk7KauwgD4f95qHMqZ1VHeThsN5vMM6VKukgD8eBz/ey +0NG5HeThsP8zbitQT+8gD8fBsBW81lzoIA/HQUmvMwUGsR3k4XAee8aHLg7v +IA+H/dm21vyFwR3k4TB/PCjU0vftIA/Hgdrb+cUzPTvIw3EAJsExSu4d5OHw ++QcF1Mnc7SAPh+cv/Z3RR8cO8nAcnDt24kvBpQ7ycHj/Jlt1vD7bQR4O59eZ +w5Qdj3eQh+Mg8cvYpxYHOsjD8TBp4shtU3d2kIfjodXebTe/tYM8HA/F0rOj +YjZ0kIfjYWfPvVVnTTvIw/EwItpwxmzDDvJwPKR//LZBaNBBHo6HyVdXZnjN +7SAPx4OsS+1VE60O8nA81Npa3u6Z2kEejoe+1UfrHk3sIA/Hw3r/lAt6YzrI +w/FwpF+/A8XDO8jD8WBq1+67X7aDPBwPL9LGzPgp1UEejocNfTZK3fzVTh6O +h96PTNXlPreTh+Ph+NIE7wdsO3k4HsYo7jwwvK2dPBwPvg4/rjnXtZOH42Gv +yXK+d3k7eTh8vcf93p7IbycPx0MhL5VZl9FOHo4H16V9tQ0T28nD8dBcXcIF +xbaTh+Oh/tRCmf7h7eTheIjUlre1ftlOHo4HvUBVQZR3O3k4Hm6XLNva90k7 +eTge3mqalKx1bScPx8NCX7mgh07t5OF46Lp/rLzSoZ08HA8rK412jjrXTh4O +n7/EbtXak+3k4XgI3N724NrBdvJwPAwvOzkvcmc7eTgeDo0fuLh+azt5OB6S +fB8E9d3QTh6Ohwnbfh+fsqqdPBwP1vm6z5YtaycPx0P1UG2NbYJ28nA86Gc0 +qxyf3U4ejgeN2UsvXJ7RTh6OBxMNY4Pbqu3k4XjInvp5z/1x7eTheLCcs/Sb +q3w7eTge/vTT7b43qJ08HA9FPYWbb0q3k4fj4fMvJfXzv9rIw/FgkzFp38Ge +NvJwPGQFfRyxSdRGHo6HK/4uGoKWNvJweP5PKb5TqmkjD8fD+ytPI38Ut5GH +40Gb11IpzmkjD8dDqj/fJzCljTwcD2Hzfpvbvm8jD8fDSbljKovftpGH4yHZ ++PDO/q/ayMPx4FQyelyWTxt5OB64HV6mjk/ayMPxsO2syncD1zbycDyc21um +3H2rjTwcD+s2dSb7XGkjD8eD4eK7zaZ2beTheDD488Oh51gbeTgeXi3c5+Ox +r408HA+vHw5bOtu6jTwcDzPPzdmTa95GHo6Hv8tGDt6xpo08HA8D9T/r8YZt +5OF4OHZjnPCCQRt5OB7i1pSq9tVtIw/HwyC/Q+03ZraRh8P76qw9u59aG3k4 +Hm4OsB90eVwbeTjMF4qPj38e0UYejgeZmI5de2TbyMPxcK05tb2oTxt5OB5K +fZ1/zvvRSh6Oh7J+Pt7PuFbycDz0m3qg+ndHK3k4Hqq0TYM3N7SSh+NBYU/0 +0NDyVvJwPEwZJT+4d34reTgezkeV+65ObyUPxwOfe7r0YXwreTgeNIfs8a2J +bCUPx8Moo0lDlUJaycPxoHV6+hgL31bycDyMjfub5vKklTwcrucBVfnU+63k +4XiYPXBiv+6breTheNiy8bKX0uVW8nA8GC0sqF56ppU8HA/m37yTdx9pJQ+H +9+PTLbOru1vJw+H5qVV28bRsJQ/HQ37sH7tws1bycDxcz0odnmzcSh6OB2W/ +EZZ5i1vJw/GwStPFvEyvlTwc5ofApn5Vmq3k4XgQ+EQfrJzcSh4O79Pw9zdK +lFrJw/EQbeJjmSPfSh6Oh8y+KqJ42VbycFhPrLvnhvRpJQ/Hw8uOosUe31vI +w/Ew9PFl2fOfWsjDYf1oBI9t7S3k4bB+BZi3zqtrIQ+H+cNk+PcRpS3k4XgY +HRZf3JHTQh4OX193+pl3yS3k4XjoztRsvf6uhTwcD8IqX+XVYS3k4XhoOLJz +6vDAFvJwPBwevbp3kVcLeTjML31XhNxxayEPx0P819nTl99uIQ+H72fW5/K3 +Ky3k4Xg4Ot4rJPBsC3k4PM9SXyPWH20hD8fD3c193b/vbiEPh+s9MWmDp2UL +eTge1shP6Zln1kIeDvOZ19zTpStbyMPxIG75UnlwUQt5OMz/+YdU/+i2kIf7 +3/r7b3Ke2UIejoetX9+cUFBtIQ+H+aDOy95zTAt5OB7c0m4eGz+shTwc3vdb +Tps8+7eQh8PPV5+kofCnmTwcPv/mdT9v9zSTh+Ph4x6rhN9dzeTh8D5YK9gf +bGwmD4f196qfVml5M3k4HmJ/z2+Zl9dMHg7roWj4Q8/UZvJwPCzdtdPkR1wz +eTgeLp48KLUhvJk8HObrKYeTXgb++344PO/339z46fXv++HwPmXbbV7p9u/7 +4bBefhmn+8Dp3/fDYT416VKpvPzv++F4cJDXmzj2zL/vh+MhJ9pax+Lwv++H +w/oflbz1wc5/3w+H/UpMomeWxb/vh8P75JT07eeaf98Px8OlqVon1A3/fT8c +DzXx52Q3Cv59Pxz2Q69GZZzT/vf9cDxYOZ8LfDb13/fD8fBk/qTQ+PH/vh+O +hzPldxsq5P99Pxzm24wlBp8G/vt+OB7qEjKypXr/+344HqLSgm+O+NpEHg73 +U/+CvTLbRB6Oh47iEp8pzU3k4XgoCDWRnlHZRB6OB2/Ty94z8pvIw+H+PBp5 +YVpaE3k4HvYLIx6rvG8iD4f9w0jpH6PCm8jDYf2zfvdiQGATeThcL7cbLl89 +m8jDYf/4a8qHRtcm8nA8nD20eVPmzSbycDxIG1XPenWxiTwcD7rXztg4nWoi +D4f7/eZb++4DTeThMB/yGpkLrJvIw/HgMv6j9PBNTeThMH8HDvduMmkiD8eD +T+iZ56GLm8jD8YDVQMpubhN5OB52r/LIM5jZRB4Oz0fMpL69JzWRh+Ph3ZYn +L0GxiTwcD2oDPyXYD2kiD8fDsL3KRtrSTeTheDjYOsWo7XsjeTge5hUOTvb4 +2EgejofxG6pil7Y2kofD/tP+qSZb1UgeDvdz3q5pDwoaycNh/3pm1cs56Y3k +4XjYLHM8ouR9I3k4Hg4kdK84Ft5IHo6HZ/t/HpIJbCQPx0NC3VtVL89G8nD4 +/L9NT2i4NpKHw34/8u9m5kYjeTgeLgyQrjG80EgeDvPj+Kd/ck80kofD/nTO +wNR1+xrJw2G+jHuqWbStkTwcD4+XPl+2xqyRPBwPXp77+2UZNZKH4yEka8PZ +hQaN5OH+V28TvSJ0GsnD8cDwQ+1VpjWSh8N8b/JG7t74RvJwWL8EA3d/G9FI +Hg776SWP7LcPaCQPh+urV7Qh6U8DeTgevlnM/Tqhp4E8HA+n1qzafaGzgTwc +nl/Npz5ldQ3k4TB/3HwbMaOkgTzc//o39ceXshrIw+H9WvXEIj+hgTwcPu8W +369jIxvIw/GQqC203f2ygTwcD0pyStWvnjWQh8P5ILtzyscHDeThcP2q+9to +3mogD8fD9L06TocuNpCH4+HLIo3AgJMN5OGwX8SUWLuvgTwc9rfJUTnDtjeQ +h+OhM66paolZA3k43M8wZfaYUQN5OPx7I5N+ngsayMPhPPN3pXqqdgN5OB76 +a/fb1jmlgTwcD5eVj/nIKjWQh+OhsufCH/XhDeThsJ8qnH56Rf8G8nA8zPD6 +Kp/8rZ48HA9Sg0TXPjbVk4fD+6W9bsmo3HrycPh8x9Zs1I+pJw/HwwCzsekb +vevJw/HQ7vXZ89DtevJwuP7bpzddOF1PHg77k68jn92yqicPx4OZ1tjKeyvr +ycPx0LTk9VMXnXrycDyc6DIW31aqJw/Hw8QTlyqv9K8nD4f5L6Vp9wmujjwc +9lOnR3tuq64jD4frW1VybWlaHXk4PE/DiqeqhtaRh8PNkat3+fuojjwcnu93 +BWmlDnXk4TAfNDsXBByqIw+H+fVI37gTm+rIw/EQvlb/tv6iOvJwPKT5zzb9 +Oa2OPBwP9glyA2JH1JGHw3yr1ZNx9HcteTge2JbhD1Taa8nD4X2d9uZkQUEt +eTh8v0T5k3ZxteThePgu8nmi5FdLHg7nmxvJ3cydWvJwPPwYKLxhfqaWPBzu +Z4C3NWtdSx4O65+x3Y2LxrXk4XgYnDC8n+zsWvJwWP+Cx7W5KtWSh+Nh42uz +WQr9a8nD8fB7vwPn9qmGPBzmr0dXtIZW1ZCH48FvlN63ayk15OGwX/71ZP2X +kBrycJgffV+v3OlRQx6Oh33JV9pzLtWQh8P1PjtXV2t/DXk47G+2/17osr6G +PBzm0ydyQ0Xza8jD8bBLzLxeqlZDHg7rdY7l5IdDasjD8fDJxuJq29dq8nA4 +P0eq589qrCYPh+sZYC5vl11NHg7nNXnbbczbavJweP9r1KN+elaTh+Phxddp +k+c6VpOHw3x5S/f9kaPV5OFwvuFULvlsriYPh/1UXcH5osXV5OGwX7GaBX/U +q8nD4Xn+umzdFPlq8nDYD78ea7DqdxV5OJz/VEtdDrdVkYfjYXXQiw2386vI +w2H+Whzv5h9bRR4Oz3uOnSXjXUUeDvuRvnNi8p2qyMNhPfTZFlZ/soo8HObr +HSfMRJZV5OEw/07oE/J5eRV5OOxPha/SfmpUkYfDfnyYcsifUVXk4XDeFIw9 ++KdXFXk4HrZfOjbkZ2cleTg8T4f+evcUVZKHw3wx7d0s4ftK8nA8tFx5nlXn +V0kejoe1f1JP59+pJA/HQ0/PqiXxtpXk4XAefXFwfpBVJXk4rJez9hx2Maok +D8fDhw7XltOzKsnDYb1Xs3i9eUwleTicf8/PKtGTqiQPx4Nqvv9uBVEFeTge +cretO8KVVJCH48G2tuFHVnwFeTjs/+8MV3wRUEEejodGk+iik/cqyMNhvgx5 +PXf52QrycDxUDxKZydtUkIfjwT3YdnbjygrycFj/3u1qe6VdQR6Ohztn6m1P +ja0gD8dDsKFK9zzpCvJw2J+B/b6/onLycDxYZBi1JJWWk4fDeh3856RDQjl5 +OFwvzYlqiwPLycPx0DtkRd8/98rJw2F+nThO5d3ZcvJw2J+v3nrrhE05eTj8 +/BklRurG5eTheNgkd/BIg3Y5eTi8729GyLiPLScPh+u1vHySkXQ5eTicD4ML +87+LysjD8RDxd9aY4NIy8nA8QMa8YRYJZeTheJjTe0lKv8Ay8nBYTwThBm/v +lZGH48Eyuq/r9rNl5OFwnj9UkCNjU0YeDvOZXvSP8JVl5OFwvu84OnOLdhl5 +ONyfTdkneo8tIw+H88bVgMpAqTLycLjeId+PmYpKycPxMGtf7gq+pJQ8HNYH +ZuFhj/hS8nB4PvWuftIPKCUPh/dzeH1Dzd1S8nA8zNYPNb10ppQ8HNar07sM +J1qXkofjQe7ytapko1LycDifjgsevWtWKXk43B+d6yP6jiklD4f9A1tV7t+n +lDwcD44frtsaCkvIw/GQkXH6b1txCXk4nM92hzncYErIw2E9KVsrN9W/hDwc +rr/czdjMOyXk4bCeCD1v77ctIQ+H846+6PFAqxLycJgfWju6X60oIQ+H+zlk +XLCpVgl5OB50JqrlflQsIQ+H/eqXd4dde5eQh8P1ZQM853QVk4fjwV82/0Bl +UTF5OB6WyM/ovPC+mDwcD4+C8qZO8ismD4fz1s66eVnOxeTheNjz5bHWsdPF +5OF4SDc0H6u4vZg8HA9jbl8dlGRYTB4O+4N9viMPahaTh8P6I2dmpKBYTB6O +B+3thtHJvYrJw2F/8tno+LHOIvJw+H5WBreVi4rIw+H6qqsoFMQVkYfDetBf +RtXBt4g8HA87OsdmzHEuIg+H/ZiKzzDhqSLycNiPOX4d6bOtiDwczjfX77dt +MSwiD4f3a/Uf95GaReTheMgWt+gWjSoiD4c/P9q3xqVXEXk4HsZ903u0rrOQ +PByeR9Hxi/JFheThML8NZrwr4wrJw/EwylMw0tu3kDwcnvfT2qIDzoXk4fD5 +dL/ozz1dSB4O++9tP4b1215IHg7z9YGcG+WGheTh8Lz+GRr6SrOQPBz2I71u +PXVQLCQPh/UIhtlY9i4kD4fzzZAXI/S7CsjDYf9+eFmGYnEBeTh8/enqLj/f +F5CH42GBw0OHRr8C8nA4LyeyEdl3CsjD8eBx4OWcGNsC8nA4r23ZoRxoVUAe +DufhjpxrT4wKyMPh8wyMsr8/q4A8HA8z7WUUnMcUkIfD+lX+4aCTVAF5OOyH +nq5UGV2ZTx6Oh7vHnumqvconD4fv57x6of6FfPJwPEQuWrl345p88nDYPyvG +VNpNzCcPh/lp2o+kgO488nCYDza9MalJyyMP979/33vpM+ZRHnk4HkLP/uJt +DuSRh8P7/p3bFyHIIw+H52uF3KxBQ/PIw+F5LVE8e7Qxlzwc9guvZQ7WvM0l +D4f3M1dxrpljLnk4PO+7EwaUbM4lD8eD86xXclbTc8nD8aCYlmvX8/sDeTjc +j+p1J90KPpCH4+FN4IvpC30/kIfjwW6KTWHP6Q/k4TD/nDj7IMroA3k47FcG +DfS+MvYDeTicr+adnWYhziEPh+dj7S5LQVIOeTgeTsuE7p/ulkMeDutZ+dkr +k/fmkIfj4alApWTmvBzycDxsG7fKdfHgHPJwPPyUqxDZNGSTh8PfT+6Qv/c2 +mzwc9p8LmuZnOWaTh8P9XXHggdyWbPJweL7j9pnunplNHo6H8or+nhm9ssnD +4XoERYbPLckiD4f5caVyUlRgFnk47KfM5v9efC6LPBwPTuqLHtesziIPh/V3 ++8vM6ypZ5OGwn+wzFxZ8ySQPh/ltkDhMOjuTPBwPWa8Vi6q8MsnD4fkMNzRP +PJ5JHg77/yrT01HLM8nD4fMsNLaJG51JHg77odfP1uazGeThMP/3/ny2JymD +PBzmW2cDOXWPDPJw2O8fj1x17EAGeTjc7/4VuzIMMsjD4f7OO3lPc0QGeTjs +d0SPpF62p5OHw/Xe4v9Nh0knD8dD5gWDgAKXdPJw2A8f27Ds0u508nD4/AUT +ZRfNSycPh/l62gydEUPTycNhPTZb9vlbcxp5ONyPWkcnLjaNPBzeH1vLGb/v +pJGHw/VYbS8/bmcaeTi8r1ebzq/WSyMPx8NU55bnboPTyMPhPPZEKVbclEoe +DufrwXa9tsWmkofDelaqFVl/J5U8HA+9WnOHnNmZSh7uf/9+PnXVJP1U8nD4 +eafuD2oZkkoejoc/Q2Ss37ekkIfjweViXmhgXAp5OB6W5ZSVvHRJIQ/Hg3mN +86DkPSnk4XCe8Xzj9kmQQh4O78P+Va/mjEghD4f90I2su/c7k8nD4fzlWnNB +OjGZPBzuP38v5q5HMnk4HvqZLjmndTiZPBwPU34FyHQtTSYPx8MIh/Tn78ck +k4fjofbvn8v+XBJ5OMxnIdJsYGYSeTjsP42bVFOeJ5GHw/vj833/V9sk8nD4 +fr+k/ixbnUQeDn/uPnxSiFoSeTgeCpTvjZ35O5E8HM6f+gL1zJJE8nDY74rm +uF4ISSQPh+s1hfcwvZZIHg776QWLz+tZJpKHw35/z2pHg9mJ5OF4SNF/8d1q +UCJ5ONzPPdq687KAPBwPb20+WYnsgDwcD/pd4T/L1IE8HA+3Nux3/1GdQB4O +z2d26jvzOwnk4bDfNNP8IjZIIA/Hw736worET/Hk4XjQWO/2Kdcnnjwc3j+X +8bkKG+PJw/Gg61L552n/ePJwPCjt/6SwPY4hD4fz8d51gdsOM+TheHCbELrk +6QSGPBwPD25dOT+q5D15OOyHhvbvLLrxnjwcDzdDlyvlzX9PHg6fV2FQ6IBP +ceThMD+952c7+sWRh8PX/2tpuMwijjwcD6vW2p9bNiSOPBzOI8YrDt9IeUce +jofwurtRg+3ekYfjIcBOKC7XeEceDuvV9MzItpZY8nA8TCq18Z33NJY8HK7H +ukzzknWx5OGwHw2sPxopE0seDvPFPBunaoghD4fn80eNofGZGPJweH6exi3v +rRlDHo6Httx5U3u3R5OH4+Hr8bMuxs+jycPxEFS+f2KdeTR5OB7CvjdeZOSi +ycPxIGbum7ZlRZGHw/Mya4CO5dUo8nCYL8piS8cviCIPx8Pner5G42skeTge ++HOiT87hkeThsP9Qhjj9Q5Hk4Xj4Vbi/Zc6USPJwPEyzK1J0aHpLHg7noxkZ +UsrP3pKHw/nn+t91g7e8JQ+H89pnpXBThbfk4fB+KIfnNxRFkIfj4dqMOdsy +7kWQh8P6qz5yYB/TCPJw2A8eCrlxf2AEeTgerrasuGmbGU4ejoeYu1Mg3DGc +PBwP9wsuNSxdHk4ejodPTfbeM6XDycPh882fF3s0JYw8HM4/P8qrB14NIw/H +w3bzfal/l4SRh8P8Jv9TfY1UGHk43M9m/QfdN0LJw2E/aRgR3WtgKHk4nIce +ndbLcH5DHg77B9bT1UjuDXk4Hg6or1js6PaaPBzOo1KeyVdGvyYPx4OrdL6H +4HkIeTicP56oLIpVCyEPh/3LZOGSLyGvyMPxcGWkq9q32a/Iw+H5/PHoGMQH +k4fj4UOY5xvTFcHk4fDvr24+5Ff4kjwcD6csXuqlWb4kD4f9bruLX3hHEHk4 +7L+79JYePB1EHo6H79u/vOzuE0QejoecnFXHVt0PJA+H9e46p3lmQiB5OKyn +vfKvnQ4LIA/Hg/UkmcErFweQh+Ohr8/Pfd1F/uTheFhotWK+7W5/8nBYn4py +phZ/8yMPh/XlZGiF7B0/8nB4PzV29ExU8SMPh/W5+sWUUbG+5OEwfyeencCu +9iUPhz+XM7nn3+ZDHo4H04sFk5dc8iEPx8OMzHGeqYo+5OF4MNzgE6Dx1ps8 +HOav3Dm9HFZ7k4fDfJc1bHdi1wvycDxsPln1QHjjBXk4Ho4v9DbtO/kFeTge +PhoWvhrv9Jw8HPZ3r9e0KmQ/Iw+H90vLq+KL7DPycNiff7s/NnmNF3k4PA/X ++q087+5JHg7P++bqXtNqn5KHw/qR3asjZ/JT8nCY7wouBu078YQ8HK5303mu +T+Jj8nD4+dtXnnk29DF5OB6M9XZ1G9g8Ig+H9z/gimpH5EPycJgfnz7M8xr4 +kDwcD7ILm+N27vAgD4f9ZDgXs4BxJw+H+X1D0GNNRXfycDzMn2Cqv8DWjTwc +9ouD7p0+UPaAPBy+/rW72u/1HpCHw/mDbbXQ8XIlD4f5Ui+iqkzKlTwc5tN7 +T1++PHSfPBz2133qEkPLXcjD8XBpzfoJ7DIX8nA8qJ9in+Tsu0cerhuSaj8G +zF91lzxcNwz6ctJRe/Yd8nDdcD4geU/sBGfycN0QUx5zuXTYbfJw3eCRUqLo +2t+JPFw3WO5ff+lL71vk4brhsupsOdneN8nDdcO8PVt0KqRvkIfrBoGru+We +wY7k4boh90+sbMKY6+ThuiFQ8c2H9hnXyMN1Q3HwjbmipVfJw3VDs7H7p2Ir +B/Jw3VDSaRsUePkKebhuqL+lNe5YwGXycPj+OufVD/lcIg/XDc6dq4ul+1wk +D9cNb0ZO+/b+4HnycN0wcHPKGd96e/Jw3XDt+17j3G125OG6Yc+EcQ4mbWfI +w3VDnlmWsZadLXm4brBavbfrjsJp8nDdkD1hcpJtwknycN3Qx//Ryt/HTpCH +64aoJfWKszWOk4frhseyAfFbRUfJw3XD5qE7Pvy4f5g8HL6eufO0g6YHycN1 +g+4O3fKi8fvJw3VD3MwlQzb320serhtMIt4bTJTeTR6uGwKkdeQ2Ku4kD9cN +T6eO2jFmqQ15uG7wnzzpkdYAK/Jw3aCYkCoQvdtKHq4bunbap0Y/2kwerhtW +vTWNrPffSB6uG9L0A6VjGteTh+uGS06DVO6sWkserhsW3oLq3bkm5OG6oU15 +8cVu6xXk4bohfPK29D0blpKH6wbRizn2mWsXkofrhknzgqJV3uuRh+uGY643 +FKZ1apOH64Zbsy2CNsROJw/XDRapX9XE6pPIw3WDy9c1TjcsFMnDdYPSxWFy +P3rLkofrhvawMs0thl8NJB6uG6R58cqtsqUGt5x7T/zSh4Ve9N/BVsWKDCkW +5ppF3h85OS3e1EDr3mNpFgTPozfPmp4Wr/lwheHBvizseJidajwzLX7Yp+2/ +Bf1YqHpyNnaOVlp8t5Ft5JD+LPzZ8HAqg3Gp952DDRizSYXnXatl4mN++KlE +yLBwVLfhS8yMz8wTM6by6gAWjk2u0fk5qFBwPqTYZeNAFpTeOSd5uPcw2/sK +V0yRZSFuvZJhrym8YNH2Pn+/Ydzyfcvb3nm94lViRkdnD2JhvVGHTtnUKoG0 +3KzDnoNZKDXePH1C3ltB2z4j1SNDWCjcWfzozp58JjPJqnrhUBY+rb245ER3 +BxM85ozrMDkWJiSq3HdVLmacT95d2YxxH/ljlpZz2wVHP/j3ihr23/qsU4uP +cRzOQsfgnEP1bqxA52LJkc0jWEjodbEiJFUkGFkuVFOXZ+HwaS/13PuVzFdN +qdqfGF9NTrRf5pUtqLw5xi13JAsWhnuOlgQ2CN43zjJ5rsCCo0dDS8PkHsZr +3so+x0excEn/sWtPmZC59MD63RJFFo5Ybh/28EI7YyM6c0x+ND5P/C+bG70T +mWXL701pw/juAX+ZaNdIweRnAXUxY1hwv2A16c7gYkbma7z7rbEsFCk3JO5S +jxF0rSldtXUcfr69jy3OffvEfAgSSc1UYmHT9+sJ7tqfmDe9pd//wTj+zoX1 +ZY4fGZctY08UjMf91pTVf335JXPirfY0H2UWhowwX2dlKWY2DDJuODmBha7p +2reveBcxurttHi6fyMJt+xmHVfoWC0YnnF09SgX3FwyeZYe3Mj8VXPp2Ypzo +7v34wZ8CpvZoIBM3iYWg/FxDhcoOBjITTjqrsvDzdnRqcdMnxntimfp2NRa2 +t7tZht/JEly1Zxs1J7MQddR4XNLlSmZ3sfTj3lNY+B65TsHuqT+zYsa4tcUY +/x3dM3GmW6lg2nWd/v5TWfixfKDm38WNAtk64wTbaSysOrSp2kvNTyDW3XHa +SJ2F5ELnr1DZwhTcs5sxZjoLg2vubvn9vY2J6HBpFmI8fPLeyGyjEsZtcdCT ++BksGD+0sL7CxAlsn8C6ezPx+fWXZyTadjCbu8tkbDRwP6zvpkjnNDHzVolB +W5MF+4Hj7ud+bmPG+fc9I63Fwsar8+1Wvq0U/Pk9TqMM40ypfd/WJ9YwDZtm +twbOYmHSlFFxG7TeMimhJp522rgfOnlBR4c2Mv4yO81MdFgY336vvay2lblh +Yz9QaTaep+vitjd9sgT74+4niTEeOKzyZ+zNcMZkxMuziXNYqDznESYtrmRm +HkrUdNVl4caf9dcHDclkhqaVt+2cy0KYsomWhW+0gFP66DVHjwWD8O2HZFa3 +MMW2/Tb212dB4/DB2IbcMiYqX2lQJcb1S8WP9l7OEDyaOicleB4LUnqN6b+6 +qhj7K6vsz89nYeZowxe5zaECy6qds1YLWMh7nO3y1aSUMdA516G8gIWFoxLu +iISVzARn1+ccxu6FqhMXLK5j+rS+3JRiwMKpmouixb8rmJYFSYPdF7KgNezF +6bdfwgXpHhWpexax8HpAYf9dp8qYoI8fz+ktxtcLFUXe1XrPOBn11xm4hIUM +2QLXA/YNzGHv8V3VGDu9TS0fVR8qWPNjjvfrpSyYfTvwLHVUJTPLzHTzpWUs +rLk947qva7ZgRMiuoeuW4/3/Nmm9cVk+81n6fLqKIQsB/ab/mBZRKCjf9uBC +D8adwXYG0SdLBO+ig2enr8DXW94/9mQII3g6NFn40IgFa/VeDbrmJYIL+yp9 +9q9kYdqDdYOkxdWMVdIni/nGLIwJzsnyK41gFo+RGTbYhIUNT+BBeWO2QPWk +cmYdxgk9bC9fi1im3wfdS2Gr8HzUJSRerMlkOlRX6zqY4n53JU0N+pTHZF/Y +zZqtZkFarahS+91TQUjZeT+1NbheFVU3z48uY+5qum39inHijCEnzLf6CY7d +fDU8ay0LW+qfda39WMGsb0zOerKOhaExo1oLbucys+dVXT60ngUrmfmKhrJl +jMIDbq6BGd6fqZMrW/d6Mt+FMh+HbmDhXf6K9IjGKqZ62YSARoyV7b7YPjCN +F8R7zd32diOuT8Ef5cKQROb5l9Xy1zexcGBDk3TJhCrmypo9OZvMWYjOv7o7 +eOgHZmfQBYepm1kYVj10+X6fImZ5b3f9Hxgb/vhzs00GmClbQj7lWLDgdW5H +467XBYIBb1MCvbZgfZliPdi5PZ0RylZvP7oV79ufS8GnX2QI8nbxIxdbsnBB +xvz1yD7egrD4AbnDt+F65G+aUjUwmXFVmHitBeNRD2cMOq97lTl1VG9+9HYW +ThgvGDPjZoJgU+Ya/oYV1r+Rzw0e6T5i9CbufWlhjb8fPmBZ7ZYEwRj7i9bT +bfB5IkZcuXUynflV5D7qN8ZLXvV8sZaJYOqmv87L28FC2lYtQw/nXCbxWur1 +FzuxHg1/cK1co4jxra0WnNjFQq7jTYsM+VjBdd3u7qW78fXmGak+VY1k9t4b ++GrkHhbs9Pc1XUtOE6zsmLijHeO4zk0+Uc+TmemL9Ue/28uCa9eBApW2LGbw +k7UFTvvw/AyfGrf6kA/zkd97w3I/C3L3DlZzimlMocklA40DLJxsf8gqSMUw +b/08Pv/FeFCi8YmhZeGM++/XIYUHsR4cebbo9rkbgrOb0nb6HmLh0JrmptGJ +BcyW0Joxpw/j3+c8xb6ykBHI9BQaHvmvHo63kb2leBTPn8GyOUPSfZhecSqL +ujD+kxMTwT8pZJqGz/v6/hjuz7Kui5bOCYLUg+ve3DnOgnhTqNeLEC8mIHXf +bqsTLDz9+iHM1CWfual0edysk1jf461cNQSuggO2D4v7nGJhwMj8C72PgsA0 +/41Tyan/3l9zavrigNPYn+xVP+TkVcgMu1L77YwtCw6/pXbFnohhuit7Qlee +YeFKj9c+//osplR70N6xZ1nY56VRCTsSBTG3J41nMdayKbDQ518wj1vmlSbY +sfDhxcPlqfqvBOcWrHd2scfz7bAx6CAUMNs89i/dce6/91/48fIPnfMs9Ky3 +zj1UVsKoGD0K73uBhd85+lpu63MZae/QfeUYa/j+njq3sYhp+56u/PIiC7x9 +2sJhB8uYzPV1ZfaXWHj+5cFi97hiJvjV5zurLrNgu6dg316bZMZZevDy8VdY +uO9k/8h9zXvBkW2qvz5iPPlw7xNl9+IFa6Pnv01yYEF3XvueHodiRnuo2YEH +V1kwUa3aVzojlZHfd2Di7mss/LqcNGJ1/yTma+KVCt3rmK8KD/crsM1gKkc/ +vifjiPnu07DdqYa+zPsTYYZVGA+qGKDwcWuGwCsn4/erGyx8zl8y9vaJIuaS +an3khZss6D2UKh5q9oyxufDl4JpbLAT+1JmdFxXNLC0bPGmiE97/4+Pyl7kH +M2qaalU8xqkdVzceHccw/W8K7qfexvfPsl09dmIh09lgZuThzIL3VdbHxCOC ++aB/8O/eO/j6y9tS86SymTeuDtH6d1mQnWK6xio9l3ERPj4sew/XL9PBdHpi +JnNiWbhqLcYxVYZhJaqxgg1emdVvXPD1v+zap3ejkNH9Uu96+T6en3k2V7TX +JTCKa76uXO/KwvmV3/q0Ls1lfgQO6a36APd77N3tC/rGCGp6TY79jPHQ8bHP +lwQDk2Cx4GiGGwujVW9+2n2lgPGO2DD5sTvWv2enVdfvyWGuyh6qPeDBQlvB +8dyhKvHM7l1X3QQPMX992Ko5/EIBsyL+icmQR5jvlfPFxzfHCKYpRPRpwDhE +VWvL0dBERvZo1rvwxyz45gVm3p+XLGAzGo5dfcLCY8+kJSfnewjyJ3ybsvEp +C5cvHEo3kfJjwu2G1k/2ZGHi52G9Mkw/MA+KJnt8w7g6zkghTT2fsZ1uYJrt +heevePlUlbZUZvO1jdKez1hYNPnwppf3Mpl5tYfeH36O++Fgnbis8h0zTvfa +iYUvcH/3bmo+NsOF+XP36bRh3pifP7ScdT0TL2hoj2howjjSXF3m/sIIJnlR +9sNIHxZa730ZnpIVJvB73Lja0ZeFR4Pe+t1Ynck48t/6bvbD82YZMZnZGybY +ZyIXP82fhfz5eyrsRscITPymnPqJ8Zvpu+aWar1mZv42mJ4bwMKKr/4Gs6cw +zNBNm5qeBeL7N4zslrLIZrg3hx8fC8LnPXTbOGttJlPc//raJS+x/1yx/1uU +QSETZe3ZXz6YhXINqYPnggqYh+/eJrRinHf2wtsfQSECu+E5p2NesaDepZex +4XMes/Vg04xbIdgvOuT2sb7pJ1iQ+r15y2sW5Dc9ilP1i2WUlYY9nfGGhVkD +5j2z/RvA9LGduv4PxtnNQTHzLyQxLXkLBxSE4u9b31TbmpggSJ9inugdhvV9 +9OO/ooWJTNDlI2dOhmP+kfJ5bb0vSuBUeV1jeQTe50DbtLUzo5lD2l6tCm9Z +qJg3pMNLOYdZfTvSs+Ptf/lEqyXHLC4S82k/B+GkmjjB8AXNA52jWJCxu6Ux +9kEa0+P+I2lbNAsfn4ix978uKBcPs9OMwfMYedlgQ3uM4N2KaVq9Y7H+Bfc3 +FmlECJ6+WNRehHFJ/74FrjURzIXv5s/83uH7T9BJUu0XzlitP7rRNg7vl52d +lXrbK8HiV46DjN7/93kmST9LGc2wcP269fk121KZvtui7IUYu05bNt9/pTfT +HvVhVnw8C186b8pn6D1ksoa0dNxNYEEU4ZZe+fSlIGTvz+fWgPnhY03X3I0B +gruJw821E1nIOTDg7I7w14Jjo9WHSCexkFL9qW1Shyez/sTitFKML++4Xq19 +MZ2ZnbP5fGAyzk+NQdN6XqYwCqrHdOxS8D4+eKoJ518x387f6DJOZcG08uL8 +c1wcU1X6zHtcGgsvtCprH9aGMYxG9GYxxikdal05gVHMsxu5QxPTWQiuGnro +pV2Q4EpDS/r9DFwvg6qBA3rnMTv1f13YmYn9xqQ5eyZrxDLLXUfMmZOF59PA +YrTHiBjBFKG6qF82C+Yqu+UXLchkBixb4luB8eVvDacfTgtkhJ4WW4JzWDie ++mx6bckHJvfzsWHnP2B9VQi0yH76igldfTPTNJeFkW7Tpg+ans/cD3x+STkP +81NzgHvXugjByV4xulzef+u/ySKPTc7HeUd++aAD+h6MXkSrn1sB9rN+S0et +evOMGSP7e+ueQuwH29/IHZSOZX7tlB+hV4Tn//b50UkX3Zg6Znr2gGIWdq86 +4mVumMwkjlx6pRpjq7/WzWfHxzA+R7bovS5hYet1hb+rS7wE1zKOf7xYiv2I +Ycww57QQZs+EWwFry7C/uBr4vLtXJmNk92KbSjn264u2SecEYj9SFCPfg7HD +hdyHP/ZgPzI9PyetAvuHhOXL539JZD5ebXN4WIn5NoYdGfU2UlBY81t/fxXO +3yvX5MdFv2bezhnJzavGfr60fW7X0xTG/e6MoEE1LLBTWb1eOjHMmfalVnUY +TzN9tNYtI4+xWLRVIawWny93yDmd0+HM/Mcncq/UYX08/G3Ir6W+jBJ/65pZ +PQsuF7vTPw6MF/Qy8Z6v1sDCq9Rv5k5Vj5km31j+C8buEVZ37R1TmNRf+S8z +G1lgPu33m/XiAxOwsd36SRPmzyuf7AJ7RTI33/wZdagZ62tjuNp27ilzoL9C +/oIWzN9zHwWWGXkLVlnPdBzaivOjs+OKjs8xjMa7ZQsaMW50VNkWr5LOyA23 +7IloY6Hfrte3w/yzGP7AyVfX2rEfGAKP9me7C0pTnHZs6sD1G5Rk9Mw7mokZ +5zN6aifOuzPLJ+7NT2Qen35X8B3jlfFPNERD0phzeQU3crqwvo+p3vTZIkyw +bUqHgZcQ76fx3oFnUsOZhZf/fj4iYmGn083HAS6xgomVCq8XsSykix2Pna9L +ZaS0NXYNF+N6mOdpFjx9x7Q6LR/bgvG7CGiQvewmyGi2LIr6iPVilGXs1qtv +BcGCU7dufML+fGW+C6frwzi7315kwbGwoKKy9csdV8ERsc9XdR7P402PmYmv +I5m1K+Le/MJYo0JDNX5FDqP9onB3XjfOz6q2riKVNwL57x3jXvTg+l0I8uk+ +9UjwZV2vkuOfsT/UVux+VBIiqAgedXvpF5zfTvdjTBc4CuKkNJeM/Ir5qi5V +rUQnUuBpafi9DeMZxgrZ3Ilk5lLUtrDYbyyo6Yz00zZzFdgMOb3X6TvmW0at +j2agp2DpXufxlj/w/ju//Ro2NZpRS/QtnfkT95NZsu2bTzTTf/R7578YRwdE +KNuZhwk6jxctLfyF+WV7/geFMH8mJ7vzh89vFg5mtp2ZI5fEvJ7UO+LUH3z9 +4vQwx/D7zL3zivsN/7JguWdU7WztFOZ4qeYExV5i0PV8Lc9/TGc2aKwo78Q4 +ZXL0TbNnqYzuje133/cWg0ytnlxDUQSj2HB6+Z0+Yoh2sBycMsJV8EPvzq/t +UmLY3/fnxrRXSUzNfb+3WtJiKCiIsZ+R4iFI6Hp/oE9fMQwqlZrz7m4i82Jp +8cQSjCOSPfeetslgHDy7Kvz7ieGBrsPvrYbegl2fe7uc6S/+f/nEcPXoFStl +xDAxam1Z6aRoZlqg1p8xA8Rg8CNfylkzhZHtZRQlwvjglgHFptO9Bexmq0MJ +A//7+/xw20kusmKoN955eR3m1/CBd6tsBokh8JxsrMlYb+bBTv/7OoPFMHBe +Ztk+6UTmNMMY9R0ihgaFUX6t9uEC85Elf8swdgjaONBpUyqjf0QYHTRUDGvV +0gWjNP2ZsRl9jtjLicH5zElXNe9I5rfyGLVVw8Rg87s9yXRHMtNwdlaN0nAx +GJ58qlt3MJFJLjR68BHjp7Xeh9nNToyfurVx0ggxpF7zVF3kHcI4Xj3T+4G8 +GILH529quhUo2FdzN3bXSDEMdzQEhcORjPGcgKO6Cv8934y78ZNlRolBOC37 +BY5UzJD2ktpKjC/5Bz1/ZhAq+LRQ5PZK8b/fL3okterCaIwrjhxNvh0giOLG +SK0ZIwa79zYyC0KjmYfG2nETxophyfBewt2CWIGd78rjPMYBoaZxhgkxzNZf +1lNTx+H7Fc8/9u71LWbBxrP17kpiqHDXb7uUfJtRfnPPY+94MdyuTVLM35TG +9O4faKqvLIbNfT1+dHrEMM1WCdKyE/77PGmxpe9r/r84cBh74s1EMfiG/Nig +pBPHOB2QVr+sIoa+Bb5ajocTmUMpYxvXTRLD10Gzg5euiRasHqfzaJKqGBJf +H9rKfXzLaJ02XvP5f7HJEKubSknM8Dybfhlq/71+z2S7+EeTxXBGXrat78rn +TNkll1MHpojBzdBqQOerWCa2InC6YKoY5BcWuG06Ec48mQVNg6eJIVZp67A3 +vuGC805lj+sxdro6Vlcph2Gsmtm14er/vf5iQV+Zq9PFYKIydYDPwWDBJPdx +sGGGGK6a+LwwdvBk+op1bCfPFMN86Y16WfteMO2GJjO/Ybzx1TWV+25xTNbz +HS1ZGmI4IatZs8/iHfPqm93Tp5piWPZZjsvWucXcWXd//WEtPA9Sqcv4Ha8F +R4ODBiycJYYFb+qj+EMuzDqpxEQ5bTG4x68ZIbwdxcy2LD/ThPFOV7d9Fa7h +jEKUWCNS57/P+21wv7brs8WAA/Y8jz+JTNUeJS/zOXj/s8ccMrX3YRiYvWGa +Ln7e1j3nXZpfMM8UV8n+xFhD1kNap5cvc/n4zuQPc8Wg0CC0v7YqRLAj297u +mZ4Y3ubJO3VveSBYNslV65g+5oexur0/b01kJp9/2b54nhgKo2dmKaxzEQwo +TXw2Yj6el44Cl40mUYxwZsXGVoyXnNXdekLVT5Dr+HFQjEAMfxW+yau0uAtC +6/ul3lyA9+P5utXGU58I7uuNP7fFQAx/FB/1eb/hNnPy/hztGQvFMFMxemn0 +VFdmY9eqzt8Yy87RONS/1E0wd+muF/mL8PMvqO57PiSIGe15ztx7sRjSA+6J +Hox/z/zscR1ycokYWqbuNB65KExQZxqctmypGHzUdvS3cY8WJAYknVdYJoaE +t6Xnru57KPD5W6HTgXH0uPDIde9CmGubP3W9Wy6GN+nHXNZVhDJ7wvv73DYU +Q9FHts+l+kjGaKCyxbYVYtiaNaRosZqzQH2nrpymkRg898z0+DDAVzCIMc3o +tfK//RHL775YhPHuQ59kNzb6CgoOn5/jZ4zr47y3n1JdpOBt+gPRaRMxGG8+ +c6zHzJ9xV37lu2KVGPyWdv4w2XNDcOZs8pbRppjfhw+TvTTxPWNRWDlMiPHO +h66nlvd6IJivzmUyq8XwZcbd4OPmDwVKV2Uu310jhs8DCm5UP/IX/K1Wnmu9 +VgzjP69pa5P3EjTOniuetU4M6qv9uyu8EpmUO6v9pdbjfigNsHIb58b4t+22 +LF3/3+e/ufDCiEAzMUipXFlotu6O4MAjt+yzG/77+Sru1RXjjWIY6zBCKe5t +GKNhnKI3bhOed+VJa6xtnzByvlUfWYyDVi47vcXsPcP/5ALAXAy2Ez73eZ0S +wJRsGLD9/masN/srLziPdxREv54wcqeFGErt3z5hy/yYR/30Pszegvnnq//Q +4X3uCOyt1lztt1UMv9YPjWiJxn4kds+8CozfFw5cb5LlxywcdpF7aSmG0/cu +KPuxr5iJB9yDzm0Tw8uosq02l18KpFJCrEy34/nqM/fJ9+PugtaxqQrKVmKQ +e/alWOvVeybjVHXuJ4wbh98WHbzICF7m8teSrf973tuTBwrcbP6LD1+a2L17 +hxjGFZp+CDgTxKyp0Aueu1MMi4adW3DZIYLRnrXWZsAuMZxcvP7i5tUvGHmn +vYrVGF+zqptq5xLFfGm6mB+yWwzeXX+lV4bfF1TM93D8P6bOOiyqrnvYdit2 +d3e3y8bA4LEeMLATu8VWVFRA6a4JZoZuUXTrskBR7O5unXOOif2t9137/fj9 +ua8Z5pyzz94ruO593VvmWfHAhJfD2r8S4rB/Yt8x82k8p4j1x24hwj+c/txo +gRV7rxrY98+zTNgy9H78Jxr7b7E3+g8KETOiPs3Kdi64v0H5ZWoHLbTi5g/D +5jzYniGajm18ZcEiut8NI3ctvhEuSsb12tN7sRU/BswxFDMcFW+KjO1fbgnF +y/cvmtxdnibOT1nw9QGNG904tme/e4hIzNiamLyU1ntu4uZnrS3Cq3zQnO3L +rFjCOWjKjBxfWDEvqc745bSeX6e2yK59QIzH7KtNVxTcT7eaD/Z+pfGUiq6b +P4xJFjVWfB5wdqUVi42fc/1VZoz4nls2P2QV7Zcj2099epQA9xs3SV602opv +xd6ODxaGiWMbe8/ru8aKuptP3Tq2OSZ018fWs1lrxZvTbk/Y5awXru2crz+m +cQf7ruaoBslijts2j7R1VA/Mr37f+aMQQx8FDdrpQvury4Tl41rsFC17Jn// +dz3VE009Rz2eeViU8clJabHBiisWFN/rVTkR3r95MP87jT91n2KZvNIAFwd9 +qX9+oxUXP9vY7+OIQyIlrNzN8E203sYuVkKcDwu/z032Ld1M9dL8dQ69mvmI +NaP72A7YYsX8evfWTN+3ExzN435W2mrFPaF2JQbO8xO9/jqnPaOx6485AXUK +x0CdidudD2yz4uG8boNfbA2E3ynBDXdvp/VSe8H00tnHxKPSKbcmulrRbmSc +6+BlGXBi1pn9rXdYse2DH68SKwcJ45GHQ37RuHFMX+XFg0ixq+rXXxd2Fsz/ +giXlM6J2WXGCk5tXTqIXjMhpumiFG813tXWNLv6IgrYNoPHg3VbM2//u53T/ +cKjgMv5O1T0UL7+G7DFVF0K9vND7JY2ntex2vV9qkrjaynXYob0Fv5/hGvJn +r7sVq52OmBaRbxKB91IOTPGg+GX6lXW/oR5cup5d3M6T5n/p1tcfb6aKyfse +NflL47HBP4bMjYoXfV9+vXt5nxWHTS8mtr7NhAb9K/ga9lN+KmbT/fXPg6Jw +cDO71V60X2He4uM7dPBMhUJDva2YdfVLpaI3E0W23YSDNXys+B5mHrNP1AmL +YdHSNzTO92hXvtyIdLH3p2uzI74F97toQuh9Tz96/pbRA495pInRial+0/yt +OK5YaOI/CZmiQ4ncER0DaH99qJRw9GKcqDz9ceEigVSf1dh69E8Mis8Hvx26 +RuPlQZ2cajbyFjcr2iw3BVmx2YIhobXd48Qh5+Yt1gUXXC/0ZN+Hw0MKxpvq +/BtQO5T2V4tyB3f/iodpqxePek/jEcWqL3GNPQADLuwoeizMijVn57fMW5EG +jZuHHfYKp/Vy2dqVuiNRbGvaipkRVnSsYVejxdk08epWbssukVSvXlmkW6ZZ +ILfjk0fFoqw4+ciyt4FtE0T83vzAmzSek5/Usv2yDLHvqY19jI72x4D1v5OH +BItlfVoU36CnfGDjcnnR+Qwx1r+fGGmgeq70w5prHqaILh/+XVXPaMUKS9o2 +LT8hFqoNXdJaofEi/8OPks+Fi2+RO58cj7biriEDv/0Ys1/c+RYW7Gui9+kW +OzBR+AkxJv2fOWaaz2aOE1adTBORsedKdLdQ/9ChtMcO70CxrcjToyVjrNhg +woJwo1MYzJryffUdGnedEPHUy84kbDMqto2PteKzVZNtLxvioXn5ls82xVG+ +zkwvWnmdTpSa1z/UPt6KEU93BNzYfES8PeYwtmEC7Z+aU35+mZ4i8mosLfWR +xr3L320XEZYCSct34alEK0ZBo2srh5uET2742oAkqufviGV1vI+IVY0z2s1P +tmJuxt2wwX/8xb8bzz/vmUL5q82cOjcXxoge15+GlUm14tmcxbfWPDZBrXY/ +xt2ncfCNjOpHnqSIn7sqlUlKo3rS2Kj8qAEp4sHDlie2plsRyu7f8LZpAGCP +AS5jM6z4emzYj+ieMULv7dihyQGqXzo+Tr0z+7DY8Wbpy880Ti7xs9jpqRaY +N8gtIifTikvG4bIba7xheFjEhOCDFI+tP2uPWmsSrT9nlF14qGB9lRudd7JP +lhWD8ryjxZEAsJqerS9/2Iq+xXd0OjQ9S1z+86Pjo8MF309zrPw65YgVe+Zs +/tj1jUH4p7SKchVWLLmj7shjbUxibemBDhOOWnH7xBHpxg5hMHHWxPLNjxX8 +fZ8jy05/o/HDKx+Dk26linpVd2/MRSu2ctkZ+j4tDP4ujuwcdtyKIU1muqws +GwBPsg+8WXyC4t3tIXnNT7nDqfoXdP1O0npfuLBpR480MK177ljxlBU/G743 +yvPSi92Xf1Z4euo//VijmPGH04Rzqyo56aepP7x2fXm8MV2MdG29eVc21XsT +ei8xt0qAdvcGdnXMKbi/il0nvWt5xootM47k7f9wVHz0XG74QWPH45GhS9Z7 +iusvdk/KO2tFh+fVG98abRaZ/aIqRuZacdbhmZlvdckiOCjzzLJz1B8GJI+b +/twHNqgXtgw8T+th7dR2a4w64WT3oluVPCvezT3291+LG/Qz/Hr/nMZBVV2j +L9dKFQ1/VonOvEDxd9nE3COr40WRCW2m7Lloxd/Hh0yY2SRMvEgYVHnyJcpH ++Z9topPM4kzxybltLlvRGvi08Pu+aSJ22optvy//n3rk4J4el67QfOpdekx/ +aRJLKuqsuqtWvOgYu3Pk2jTxj/NB08prBd/vdPKik+11yv+enb55ztWLKnVe +Vql+g/qFyT+K2m42iy+rfp97ReOMxzr9kT4p4lZeVdesm7Tf4nLzXlVNg8PN +2vbyuGVFr04Tu048kCDCtwxWnW5TfVf4qf0Jh0yx5dZkS/s7VuzSvONoj1sH +xYyOK6cVukv5dPS+/FU/48SgvXurXaXxpehVH8SxfaLpU12e8Z4VCzfVnRns +tg9K9Dm0Y819K+6rM77mpclx8NrvUu9hD6yYMrb6EJ3/ATj3/qVW86EVF8y1 +bN9eIVUkDPkT85bGOZ0r9XcfGwL7I6vNEI+s6BLxfcTlrBRY8a1tjf2PrXit +66rf822zxPgxthenP7Fi4LoHFaf+1UG32Cm7Oj2l+mhn4wpT3+ihRpFVUPQZ +Xb9Fhj7HaTd8n+z+6TqNg7dWm+k00SLupevjzM8p306YfDo6RSeOlsua6fKC +1u+KYesPb9oJUXMv1xzxkvJfuet1jDNTxfZjry7VeWXF84+jn4VVChWza/x1 ++0Bjc2LHReFvU8TQ5dX74WsrHr31MqlC2SBomdvui/cbis/b8u3n1vYTZRoP +SZj1luqZ2o+KdxsUJd5vcJrd9Z0VB3aq/6t3oyS4eG1V7RLvqf6f0OPMsk0Z +IqWtx5VbNA5Z/uvR60XB4LvLsCf2A623J6/2/2iQKVY/zOq/0VqwHhx6XPk6 +SqF8bIh4bkyPFT29XyfWV63oti3QVL6tB9R583eOqhZ8//fAGnVPalYccupq +hR/dvMSj0PbX/D4WfH7i0xD3uZ+oPp/VqIivv14YR00d2OOzFX/ubDZqxhQD +7DKtzi/1heq1dq9b+xQ+JOb/8Ui+S2Pb9C/2Oxv7CjtH47yEr9S/TG+UdGGT +EG1SDtfb8s2KThvPPuvcNFmUL331+j/5tP86ltjbj/pNdeYbj0bfqV8+k9V9 +av5hcfVwocGfaHzT/HjKUcUMGVVq/jj9w4r/3Dd1qdbqoAhc3CE18CfVn2Wd +EpZ/3gMu2UMXLPhF9X6zXyXX6dLF5PrTGvT+XfA8sG7NzbJ/rDhq5FfdxYw0 +Uf+y574HNG7fbvW/nrtTRaFW0bbJfykebnFXAx3i4On2Iz+3FVJwUtjjb7Mf +RUD23atp4wor+N7BJ+n37X3C0uWtc9MiCno28Bq7p3OKYB5OQff64cVC0i2C +eTgFN1rHb573MVEwD6fg68CFvWwKewPzcArqd9Zv/K8wAvNwCv5zet6+tydM +wDyc8v/vn3m4gjHzcAoeKvnq01OXRMnDKXij7ievZuN9JQ+n4O4+TV3WTrIA +83AKJg/Uhl/4Fi+Yh1Mw1ddYte2KaME8nIKhZy/3tFQ8IJiHU3Dlio9RDXYc +EczDKTjmYKkVH64nSh5OwQWRQ/vXCUmQPJyC1WvF+1coHw7MwxXcL/NwBWPm +4QrGzMMpeEb9/rOn827JwxV8zjycgr/u7P/085BBMA+n4Ophq/TTV6YJ5uHo ++WxsmnmVyZA8nIJ2nX81af9eB8zDFfwe83AK+pp3Pnx7wRuYh1Pw05K3uzst +zBTMwynootQplxafKXk4Bbc6J59OjD4kmIdTcH/tCb/f3MySPJyCRdfOnt9y +djIwD6dgvySPbbOmmYB5OAVb5mmDBtwNAubhCu6HebiCMfNwCl6p/+hW9xGh +koej8a5Gk1wvJQLzcAoeq73mQm9jBDAPp2CPIW08WrskAPNwCuZOyzlf85UO +mIdT8GKp3zdcepglD6fgqv3qx5PNMiQPp6D3tXkLzT3iJA+noIfdiJt+epNg +Hk7BESVHlP1wMFzycAo+atYxPav8IcE8HO2XsmV7Ni6fIXk4BU9MTWpzcnag +5OEUPPXjRGbkiHDJwxU8L/NwCv58fqZHRNU0ycMpqNW4tvuLNQ2Yh1Pwx8rT +H86lH5E8nILqjiOesxbGCebhFCw191eRL8UTgXk4Bde+rnPraeEUYB5OwcvD ++zfo0MEAzMMpuPRM5y7+gYmSh1NwW6OZ3r0vZArm4RQ8tzX83t6mFmAeTsGc +123s7Y5kSh5OwWpQPCzyQ6jk4RRsZRxpd93FIpiHU9B/cFrHktHxkodTMCqj +/8M/q9KAeTgaN4mZp0xNE8zDKeiT1u3Xl/RkycPR82QUPfngl49gHk7BL+1P +v287L1HycAq69m5RfVM1f8nDKditSs1O+1+nSR6uYH6Zh1PQ71DPIpOO6QTz +cAq2jbrijr0OSB6u4PvMwyn4tsTCmwv/NUoeTsEBvdb5OPqaJA+nYM+SD30T +VF/Jwyk4407Zsm2/75Q8HK23VpfHLz6TCszDKXjbN6Bd0+kpkodTcM6e/ZPN +L8MlD6fg2RJjtMIHEgXzcLTeSjv+NtbNEszDKWguk3EudHmM5OEUHB8dn960 +Tbrk4RScerPK3xqNMwXzcArmVRtlu2WoQfJwCo5MM54vlmOSPJyCB13TcvLL +ZwLzcAXPzzxcwZh5OAVfdbjdftnVJME8nIJrZvX++PCBJzAPp2BaXa/QucX1 +wDycgsNLvo2dpWRIHk7BhQk4+Kp9ODAPp+Awj9/aReM+YB5OweCAdad+2VkE +83D0fmbVSU7tkCV5ONoPI9Zd3vQnRfJwdP33h1uNdvaWPJyCkbXOaUlP3YF5 +OAVX1Dxhd9GQIXk4BQOnXXtwMsBLMA+n4IV5od1KPjNLHk7BWbrzDs/2WATz +cHR9bVmZ9JYhgnm4gvlgHq5gzDwc/f5lmNpzj17ycBSP4nrvPtVbL3k4ynep +f0P7grdgHk7BehmFS+zbHgDMwyn4Mk/p3fzl/3g4ypcrVi5vviZV8nAKzo/o +XGJ0bAAwD6dgpXynGWMGJAvm4Qruh3k4iudR0Rkb0wySh6P5OXMxskGRg5KH +o/Va6+iNiUmRkodTcNDGfXYXd0ZJHk7BuCOB147MTJc8HOX/I5UW1NqYKXm4 +gusxD6dgbOsQU3y0XjAPR/mnUtMZG0+GA/NwCtq8WLh803wfycMpmFRuzvTw +Zj7APJyCGdtv/hp+M13ycAqGdHmutDsXL3k4BTe8v+ZzeUiqYB5OwQm9T5rT +Ak3APJyCl9Kbjkg/FCh5OAW7XpmL2ScyBfNwCv57eKRXZap/mYdT0Hbu9vkd +/QzAPFzB8zAPR+sjMsF23C0jMA+n4KL7ux8XH2iQPJyCbtvjXNc7pQjm4ej+ +P6RGfErxBubhKF52UGqMz44UzMNRPO3QJPxrq0DJw9H7a+4RurTyfmAejvZP +8c62u9q4A/Nw9D5c514/XzlJ8nD0PmOHagafcMnD0fOe1Jy2FjUD83AF9888 +HMX3y7WH5VcKljwcxcd26v4T7TMF83AK2o/yCtih9xfMwynYa/XqbNdwEzAP +V/B7zMPR/s/buuDaA5Pk4Qo+Zx6O7n9SETzybJ/k4aj+ynQ7JM57C+bhFHxc +6n2hmWvcJQ+noGXroPDK3dMlD0fr/XSt3nPHH5Q8nILxHScFvbHdB8zD0fv4 +lNS8xBgTMA+nYIOyvSduquMtebiC+2EejuJJr13n6+w4IHk4ev93sjN+rvAB +5uEU/JtT9dmUlhbJwyl4b/DsVVXtMgTzcAq+s3cFr54GycPR8/Xb7+TsliB5 +OMqXl8qMEzODgXm4guszD0f1b8Xw20NzQyQPp2D03YVvdq9NlTycgr9fV/rc +c5kRmIej+LN24/MdVQ9KHk7Bsf3rbN+0PkjycAreL3w97HChGMnDUb1ScvD1 +95HRwDycgiIh5bhzRaNgHo7qk5cho3fGpgrm4RQ8nLi9RdrgA5KHo/queYWN +LTFe8nC0PocEbB1RJ0jycAqW8UhuX7afDpiHU7B1fNmWH75HAfNwdL3Ag6su +xkVIHo72k5gjcsbvA+bhCuaDeTgFaz+YYOc4OVPycPR+VzeJbdD2kGAejuJl +N5eubiWzJA/3n3ixynLuc6zk4Qp+j3k4iu+6wY4z+6UC83BUD9zGrHYNdZKH +o/7kp2/r1id8gHk4BfNTsUKpwwZgHo72V1TzJnd6p0oejuJXznx1dvt4ycPR +/t2e3/B1rzjBPJyCD8zenV6GpUoeTsGOsfXE9+1xgnk4BT/Y503/p7sXMA+n +YCaqHoMiDZKHU9CpxZ0q39oZgHk4qge/7PzsvC9KMA+n4Prbixo02hYiebj/ +U4/8l4ej+t9S2/F4Sx/Jwyn45unYTX2+WyQPp2DJkdMrzf5gBObhFOw7urXv +mnspgnm4gt9jHo7if0ujGD8yXDAPp2CXiZ2CbSrECebh6H23FJfzlxwUzMMp +OCVq9Q0t5KDk4RR89jp4WowhVPJwlC/7lPnHzX2v5OGofvMt+32ATQAwD0f1 +atvm0U7uXpKHo3oOOwe07R4DzMNRPbhiudfcowmSh1Mwq2OZCzcXREsejuJv +ZunYErQ/mYcreB7m4aj+uXrceeOTJGAejurxZjWrbvurlzxcwfeZh6PPqzsX +Wdg+TvJwCv55k1y0U0NvycMpOKTb7YYzJ1gkD0f95rAGRdvlBADzcApmj1mx +8dAAf8nDKdhn+5wfOcYkycMVXI95OHp/O6lM7aIXzMPRemu4avC8AQclD6dg +6Ufd/9mRvhuYh6P5S5pcc101neThFLzp/dGzx6QwYB6u4PeZhysYMw9H/Snu +XXrwiB8wD6dgUNzJ1cuKmYB5OOrH+p4b/OqPAZiHo/g0pU0b+GaRPBzlm38H +ffzado/k4RQ0PitfZk+aOzAPR/Xb5T95lTKzJA9H9cWFS9kRpdME83AKpn+4 +kalW1Ekejp4vaIkYnWiUPFzB/TIPp2DV6iVSm/dwB+bhqF4OHFWt+IYEYB6O ++m9zw5KTn5gkD1fw98zDUb0SlXr98i43ycNRvHHfU+rOtkRgHo7yhfuyr/+U +SwLm4RQM829Q/PF4HTAPR/ll+ajao6zRkoejeLgiqdiMY2GCeTiKH6VWWbq3 +Oih5OAW3dFtZuEmlXcA8HF2/54o+m0YmSh6O5rv0guMNfx6QPBzV40Eeujnf +UiQPp+BnF23LRPQRzMMp6Px0dpMSe2IlD1fwfMzD0fw3iFw4ZOoBycNR/2Hn +GpxZwkfycAq6Dz18d1lAvOThaP1u0Xq9PJQoeTjKX8+tZmGvkzwc1eOj86Oy +DWmCeTiqxz7veHj6Vrrk4RQc2Hne/GHO/pKHUzAmusex5y33SR6O9uOR36NL +nYiTPJyCTZ3LzLHuShDMw1H8cV9cbLKWLpiHK3ge5uGo/vVcLCYMTBXMw1E8 +9t1hc4zyP/NwBd9nHu7/1CP/5eEov5rsIuq4RUseju730NCT7cv6Sh6Onsfw +6FGpU2bJw1G9tK1f6B6ndME8HNVfS6L7XV1hAebh/s//R/7Lwym4Mnxb/0N9 +/8fDKVh/TgW8lR8LzMMp+O1pu6nHTiVJHo72f2tr7ULrzIJ5uILfYx6uYMw8 +HOVLu+lTY8pESB6u4HM+n63isateazaNTRV8PlvFjDjnaQudqT/87/lsFd08 +YvwjP+qBz2er///v+Xy2iubNA2o+yToo+Hx2wed8PlvF9x21QsVPHxR8PltF +1by7sumZCfh8toqtZ9Ya/TXVCHw+W8UB/p+LXemaKvh8toqLRi6q/fdSCvD5 +bBV9qyWWvTsqBPh8topaXJHYhyUtgs9nq3jiyVrb2lqG4PPZKi68UqTrqQo+ +gs9nq/jgprmF/YAAweezVZz/622ZbiV8gc9nq+iwFCxrToQAn88ueB4+n61i +g7ZBeS2mUX747/lsFU9mGS+Vu50u+Hy2ih7rw88P3Zos+Hy2ihUudDw0tXqc +4PPZKo7MGNI1uIMe+Hy2ilt0Iy93vOUv+Hy2isevfY3JGegt+Hx2wfX5fLaK +G4qGNdGEAfh8too3tVaLqx06IPh8toqmw5+q/4nIFHw+W8W8FUkj4yIPCT6f +rWKtsxE39vyMEXw+W8XV4e7NTh7eK/h8toqLJ12whKTqBZ/PVrHmwNzeZy2x +gs9nqzi8y8zX3WPiBJ/PVnHerIEuWfuMgs9nq3i5+snYFacCBZ/PVnHbjEIt +mrdPAj6fTfdb98xFtYW74PPZKs5+vN+aNtlN8PlsFbttntuxcuF44PPZKtq3 +dzw2aIxZ8PlsFZ1/Xhxw0SNW8PlsWp8zRhTdXv+w4PPZBfPF57NVrOPuePZF +OX/g89kq/m4272qvK6GCz2eruLXrt9KO1WIFn88u+Hs+n63i2oSLug7/pAk+ +n63irYjtPSHfJNhXomJW+QVLex3xFOwrKfh79pWoOD6z0Zub8QGCfSUqBlqG +vMlPiQf2lajYeYSTxbjPDOwrUXFf8Mj34S30gn0lKqZ1OKtr9sxLsK+k4PfZ +V6Ji9z7FDZ86eQv2ldB+8526oR/Vj+wrUXFowhDviCERgn0lKkZ9trm4ZUQ4 +sK9ExezsEiO1UcnAvhIVy+9O61u+mxnYV6IiJHz9fa5ypGBfiYpdFoQYIrr5 +C/aVqLjfrbhjmWYRwL4SFYM29sgtaR8o2FdScL/sK1Fx6qa9j9yqpgv2lRR8 +zr4SFR23NJ0z4kaGYF+Jikti5rQZ0coI7CtR8aN/zuutxxMF+0pUjE8cubJz +to9gX4mKLZr8nHxpdrRgX4mKRz73t69E+Y99JSp6JjfR766WLNhXQu+n2NnL +x8cnC/aV0Py5pHQamK4D9pWoePhhK+O6demCfSUq9rB26rzPnC7YV1Jw/+wr +oXizsPvez209BftKVAzPi9js1yxMsK9ExfTWy1qpvjpgXwm9j0adFlb3zhDs +K1Gx8h3b9zv+GgX7SlQs82OpdzktRbCvRMVOe5f/ajQpANhXUnB99pVQvOmV +2ra2Y5BgX4mKPxNfp8Y5RQD7SlQc1ObLn6iWfsC+EhWL7hhu7KAkCfaV0H7+ +0G/Enu86YF+JimM/3D8c/a8HsK+E9ndSRbF8tU6wr0TFVxOdXIPtfIF9JRSf +xoR/f1lIJ9hXUnB/7CtR0b1B1zKfN5mAfSUULx3sQ+9ZQgT7Suj7dkl95g/O +EuwrUTG62O1zLptiBPtKVEx2PDdt0zijYF8Jzc8N11zH0EjBvhK635LrhtW5 +FivYV6JiPcuqE4tGRAL7SlTsqf81+ua5AMG+EhVfrGowac7dRGBfiYrLmtV6 +W75LpGBfScH9s69ExcLHijVo2CZOsK9ERcufryH/ftED+0pUPLr+QcLhHmmC +fSUqNvqlPYyqkSDYV0K/p/6eNuVapGBfScHvs6+Eru8w6M/wlQcE+0pof7t1 +HXo7Olqwr0TF9Qv3OCgOqYJ9JSret47Nj7mpF+wrUfHCuinLyzRPEOwrKfh9 +9pWoeHX3kPU5HdME+0ooH0V169x4uRHYV6Ki0+0anV07mIF9JbReVgYGPS5i +AvaVqKirVcmz/cK9gn0lKvqXHHH28bYswb4SFW/Pd/l8cZtBsK+k4PrsK6H8 +mfxPjQVOhwX7SlQ0JC/3MDU0APtKqD6YM650uWM+wL4SFf16l3FRxqcI9pUU +/B77Sihe1F3aJPl9nGBfCa3ft4tPuipRwL4Sqhc+1KrX1D9dsK9Exdrt9lcY +8C5VsK+E3s+hOj0P2OwR7CtRcVN4gza2OQZgX4mKualq2rh20cC+EhXnlhZR +Q23CBftKCu6HfSUq6ss7139R3h/YV6Jiwo0SWs3ysYJ9JZRPf/jWalknQ7Cv +hOqPD61Cxk4KE+wrUVHE3fLueOOAYF+JimfPjLcGdEoR7CtRccHKUTPCfmYJ +9pWomFipzHWHn0bBvhKq5xpWq9jSLRzYV6Lin+pL5kFeqGBfiYrWWTV3vdN5 +C/aVqLg0otNWtw6hwL4SFa+7rGy4d3kksK9ExZb2DSuWsKQI9pWouNPjSc7F +mkbBvpKC52dfiYpPykxz6XouWbCvRMW+pmrt941KF+wrKfg++0pU7ONw5XfA +hVTBvhJ6XmNQryJ2McC+EhX3BB4fcH6rRbCvRMWIb68rtpwTBOwroXzuudz7 +zAF/wb4SFU9HpI906WgB9pUUXI99JQVj9pWoOLq06UPr736CfSVUL0wLvB8e +cUiwr6Tg++wrKRizr0TF5/Nrru1aMUuwr0TFgT196l+ergf2laj4+UuVqI9V +fYB9JSpi/FdP3wqxwL4S2s+nu/+5MCcE2FeiYlLa9HtznkYB+0po/j4sKnk9 +21ewr4Tqr+N3LpWeaBHsK6H9UUh1PL82U7CvRMWX4PR4XNtMwb4SFR+NX+of +8TRZsK9ExapNLt/zHmQG9pWoGHMWPp8uYhHsK1Fx0qgtYzoYPAT7SlSc6Xd+ +SvyHSMG+EhXLvnu84N+BiYJ9JQXzwb4SqteHBt3JywgB9pUUfM6+EhUH2z2p +1cZ0QLCvpOBz9pWouPtwYEePynrBvhKq93b3mnat4mHBvhIVw4I25b4+aAb2 +lVC8N9t8yZkWD+wroee9/8LUZGIgsK+E1m/F6UMnhyUJ9pXQ/Pqcq/5wbapg +XwmNqxULmbDNCOwrKbgf9pXQeqtd1MNtiZdgX4mKByyf7T97Jgn2lai4PGFo +H+fwdMG+koK/Z1+JihPPd/sYUiNDsK9ExTXbqv5zorE/sK/k/8Sz//pKVDwT +fnjX0HQvYF8J1Tszp8y6V9co2FdS8H32laiY0rubWwtDDLCvRMXS82rAsuJe +gn0lBd9nX4mKV1zetL0+bjewr0TFVrMcH9cakC7YV1LwffaVqNhmsU+r13Y6 +wb4Sym/Dalfb0DJFsK+k4PvsK1Hx+7B+aD8zQLCvhOLFraZX1q01C/aVqNhx +zIDYJx9TBPtKVGySf+Jzq3kpgn0lNJ/7a1bcOSlBsK+E6s1/Dz7ImxwN7Cuh +frHa1quNloYD+0pU3Jhx+2qdqcHAvhLaf796VHDzjgP2lVD/UWtoreDU3YJ9 +JQX3y74Sqg+3h96yP2cS7CtR8W3pNxXeJwUK9pWo2D7FvTn6+wn2lag4btqr +NcXupwr2lVD+LB5Y8XZSlmBfCe3nNzOd/wQFCvaVUH83bXu2XU6cYF+JisVH +B91bcCVZsK9Exbuvpyx9nKcT7Cuh/tPRZu/CYomCfSUF98u+EhVLLpp7tu1u +nWBfCdWzkZdX9A+PBfaVqLgidmutHxVSBftKKB4uXTCp9M1IYF+Jiv8+itw8 +0StRsK/k/8Sz//pKVCw1r3fv5KxQwb6S/9T7a1a3zY4G9pVQ/TR2yuffX70E ++0qo/7l95Mmtm1mCfSUUvzuXnl3tmy+wr4TyT4OlUxtQPcC+EspXoav+nH2u +F+wrKbg++0pU/OFZbJZT8xTBvhKKN11PN/nSPl6wr4R+7/PvQrX8jYJ9JbS/ +XoeJV646wb4S6geCsotsXJ4l2FdC8WDhEY9xpdyAfSUqXrwyrs7QFhbBvhJa +/9kRK55W8AL2lagY0HxKr7qLPQT7SlRcV+7+YPd0H2BfyX/6a+Otykq0YF+J +ii6jLtsUiYgF9pWoOOzit7rHakUB+0qoHt/k3vfVTz2wr4Ti4/4+19u8TwT2 +lVD9XHTu11OjdMC+Etq/HTf2Df4YA+wrofoqMTDMtnEIsK+E+uGF+QlXDpuB +fSVUT/XzcTM0jgb2lVD/1vXqiinN9cC+EupvFth2Dq2cAuwrUbFclYiEPm/0 +wL4SqjfjHFvrfczAvhIVQ2u8bus8QQfsK6H4+O1JtStjkoF9JdSfPmud3Ccr +EthXouL5c5f1G9YagH0lKub/vlwpZkIksK+E+qWyI4bsy08A9pWomGecO/Dc +/UBgX4mKdkOyPTLjdcC+EhXf9E6a27dsNLCvhOL/420OjcfvA/aVUH32aNJA +20g9sK+E7m9STrSjXxKwr4TmJ91lp20PC7CvRMX+83Y0nvAxAthXomKHGy17 +N3W3APtK6PM6xe6H3DcD+0poPUz3nJ7bVg/sK6H4d3W861EvHbCvhPqXndvn +/QiKAvaVqLj5ZzP/J8ujgX0lKu799XHnUlszsK+E6vFzV/usuhAJ7Cuh+cip +diWoRziwr4TWZ8LXedU/7AH2lVD/mNq4nG1fC7CvhPL/0Pmp6VR/sq9ExSor +i/uuemkE9pVQP20Iv7kl0QLsK1HR7fUXx1yPeGBfCa3nnpMW3R1uBvaVqPhs ++e+d4+pGA/tKVGze717U2rHRwL4Sqk//pNVJ2mwE9pWoqE183m/WagOwr4TW +V0WPubOm+gD7SlTsNkG/sqy/H7CvhPrvD/Y+D0yxwL4SFb3mn955L1AH7Cuh +/jf3epTrDj2wr4Ty/Yh6N/PsooB9JdRvt/8673WaDthXQvntZrXw8RTf2FdC +8aN/VO+L9vHAvhIVv5awHO/RLg7YV0LP33vMu21T9gP7Sqi/vWdcNmthMrCv +hN7PjPzezQN0wL4SWs+Vi1753jkV2Fei4uK4HusO2sYD+0qov7o5semq6lHA +vhLazy4xPtbLccC+EhXvvaq0OaVLDLCvhPrf2JfXW/zRAftKqJ7M/HLn+jcL +sK9EReVj8pvOXkZgXwnFY+PRO/EfvIF9JSoOPXeqQd9UC7CvhPoVm6DEWuNi +gX0lVD95O74LfWUG9pVQfzM4/4Rz3xRgXwn1M9smnKl2PxbYV6Liyh+Xdlzc +GALsK6H7rdgF7JYnAvtK6PMZxTauSjAB+0ro/pTlb87e1wH7Sii/vN1Qt8aG +KGBfiYqV3vRNKfrDBOwrUfHEptx3PvlBwL4S6pe2lugVuSgV2FdC9XXlH47j +R4YA+0pUbOj08uaxd3pgX4mKXe+O8n7ZIQXYV0Lx4a7tgD79w4B9JSqmDZgZ +V+KXGdhXQvt/z8BeMdEBwL4SFQ9V+VXG+XgSsK+E1l/rZyOd7kYA+0ro99Vi +HxveSgX2ldD9eS6//KBtHLCvhPrFZeU61ftqAfaVqNjYX22b2t4I7Cuh+X24 +paNDHT2wr4T6v7px/mWD9cC+Epq/yscj6o0PA/aV/Kde//S9XBczsK9ExWYv +O23Q7w8H9pXQ98sMvujokwjsK1Fxl9/LzhuqW4B9JZTfHBPGeK2OBfaVqFjC +MNn5z70AYF+JimPeXm3iUFsH7CuheHag5oyxr1KAfSVUn3zvsenA5URgXwnV +L7pxZodiCcC+EhV3eLyFAbkWYF+JiqvPP9oR0skM7CtR8V37iXNPTAkE9pXQ +eoZCtjeGBAD7Sqj+aFhj0bP34cC+EhV18xPWBbqGA/tK6H21TI3+t7IR2FdC +/YjObVW70UnAvhK6/2dJbvUvxAD7Smj+N9abYPvOCOwrofjo8cRld4VIYF8J +zX8566OnnjHAvhIVMyu5dEo9HgzsK1ExeXWvcpuPRQH7Suj3TvaboB+iB/aV +0PPgMZt522KBfSUUj/Wdst7siwX2lVC9nWDwPf9TB+wrofWjltrS8oMe2FdC +9SPkDS+0SQfsK6H1Xzpzq12FCGBfiYqzdwWnVkyNAfaVqFhsdOa5UlPNwL4S +Wq/DZjt9uZoE7CtRMbwHOOJuC7CvhPrXvs+2O81KBPaVULzbegpb9gsH9pWo +eG2/XyPHzpHAvhIVlyRMWXI3Pw7YV6LiU/udjkfGRgH7Sqi/GLD09+P1UcC+ +EhW7T2tw4X4xE7CvhOqNyuZhwZ1jgX0lKo7wqrGn3OhQYF8JrY/qr5+27pgA +7Cuh+dLlLS5mMAP7SqieuFHf590jA7CvhPqxt64TPKL0wL4Sqm+SnLsuzY0F +9pWoGOj7d51xeSqwr0TFq+uPucx8mgDsK6H9WbZJlQ/jLMC+EtrPXrOuDm4U +BewroXg8M2fyDdsYYF8J1YcXah16MDQa2FdC9S34ti/ROgXYV6JixdYhxU/0 +0QP7SlS8NbvX/FEhMcC+Etq/vnmTvW7EAPtKVDxp7SCiT/kC+0qo/vKZWsXx +tx+wr4T6vdojdkS20gH7SlSc3nDer0X0PthXQvWL3bagCU1igX0lFM+37rwR +hXHAvhLan/N+PHG2NwH7Sqg+6bLSpsXzOGBfiYpLb1+psT09CdhXQvkr4t9e +5WqZgH0lFI9vrRoX+ywB2FdC/eyvu8sPNIwF9pWoCNrZvXtK6oB9JSpe7ubc +21UfDewrofU1W8EffkZgX4mKvXsF7Og3WQfsK6H1dW30js7hMcC+Elqfy9O+ +P1ATgH0lNH9jiyU/+pUI7CtRMbXo3NZeOSnAvhKqJ/Z9ialmpwf2lVA/FD1r +SM4EM7CvhPqZwZGrtqUkAPtKqJ/Ya7YvtDka2FdC+Xf641kXK8QA+0qo3vp5 +46ZnIxOwr4Ty+4Oq0TPWWYB9JSoOP7D2VFV7A7CvhPqpkc6nag7TA/tKqD46 +OvVdZcUX2FdC832lYRebrGhgXwnFh+yW26JOGIF9JVT/LfMzbrkRDewrof2/ +JGpapeUhwL4SFWd18hl++7MB2Feioo1t4NylnRKBfSV0v6uK5K4dbAD2lVD8 +K7PYe+aTZGBfiYp7Mm0mbfBPBvaVUH3sv+awn20csK+E+tkmVz0dIyzAvhLq +hyKnhXXZEAPsK6HnTbf58WBtOLCvhPrjsG+Pz0+IAvaVUHz2HO38TyUdsK+E +4qPhRMqJ3SZgXwn1n38Hfmn4IxbYV0LrtzYUuxFmBPaVqLhq+hq/+icigX0l +tL88Nmw+9DYC2FdC+bpq1vgaDeKAfSUU/+39xlo6moB9JSpmNzo9uPJYP2Bf +CdWD6RFjCi8yA/tKaD9ev3i7in8MsK9ExQWzj/iNOm4E9pXQ+nVuctE8MAbY +V6Lipc/zn7YebwL2ldD+7DW9dtmqOmBfiYqvbY3d4j7FA/tKqN8t9S59f1cT +sK+E8llksSKXX+qAfSX0vCeHhAx3tQD7SlQ0d/TsfviFGdhXQvnh2YujjzZT +PPuvr0TFIgG1zn8s4wPsK6H6cfKRMtvsAoB9JSoGJzr9fusXCewrofgVvuqI +2/ZAYF+JihkBXa5ZlpqAfSUq+rT/Hfd8XgKwr4T6r+D73x4ejwH2lag4Z/XW +sSEPfIB9JSp2erBywceeemBfCa2n4aePr7pgAvaVqDgo7cn0QtvjgX0lKr61 +251ds7YZ2FdC8+XdNvtA11hgX4mKMY1mLd5fPRTYV0L9QIKpavNC7sC+EhVn +HC///lkVHbCvRMXO+5zqj0ozAPtKaP1vuX/gim0ksK+E6v9+JetVWRsL7CtR +8dfXbaX/E6/YV0L1hvhbckppA7CvhPJB0SDz5PpBwL4Sqidfpzd+2T8a2FdC +6zv00MXW05KAfSUqDpnS5wg8iwf2lVD/8NC5r8MlE7CvhOoNh5/VHjnpgH0l +lH9mDihfY0kcsK+E1vtN49FS3fTAvhIV6xeP+1jdMRDYV0L7y1Ly3s+W0cC+ +EhWfvMldeeZgDLCvRMW/Yed3f6X1yL4SFSOO6g/3am4C9pWoOKlkxp2Fv32A +fSXUf+70uXghJwLYV6JijUUzc0aM8Qb2ldB+mlvSL5/yH/tKVHQ9vsa7ZH8D +sK9ExRsp3YrbXbQA+0ro+vVWlexXNBjYV0L5uftRtWivFGBfCa2/Pc9C6vcN +AfaVUH3hcD3CfawZ2FdC/SM4e83aaAD2laho2y23UeqeVGBfiYpHdEd8He0s +wL4SFb+sCToyt2IksK+E3vemeNcGmhHYV6KisV3vxo9+xAD7Smi//0n70LhI +ILCvhOLBTo9H9u2DgH0ltL5F+LmGSwzAvhLKf6e2Vj4+OBjYV0L1TVER0eCx +F7CvhOq95T+KdymtB/aV0PO0quPeZGMcsK+E8nFj91E7Y4KBfSUqri27vVz4 +8RBgXwnVQy+rJVXfnADsK6F+8mNWzbQDXsC+ElrvK73U8AuxwL4SFR3nV6k8 +Yp4F2Fei4vdFcQlTfOOAfSV0P9W7fqrTJRXYV0L107Oqg+8O1AP7SlQc8Kv6 +kpR0E7CvhPJHZPCF155mYF8J7ae9JbQxA83AvhKqf5Z+PevSIQLYV0L7vfn4 +k/XnmYF9JfQ8tt8fT22TAuwrUbF6SbdBeW2SgX0llI/O5s05sDEa2FdC8/t9 +4uxKLjpgX4mKt0vc7Rs3cz+wr4T6h0rZU8uuCQf2lagoCg33cT0fAuwrof56 +IRQJ2e4D7Cuh+NjtSoUxA0KBfSUUb5JrlXG9YAD2lagYtDex8NRlMcC+Esr3 +z3T9rlB9yr4SFft0/PEyMNwI7Cuh9Z16Oi1kayCwr4T217zvu6f21QH7SlRs +ajrhuthbD+wrofrnbJ8K3v5xwL4Sih+Jm9+VXhcL7Cuh/e7dYvzScj7AvhK6 +3xOzfceXjAH2lVD9MKSH2+fuwcC+EuqfjX5VZvXzAvaVUD92/UIX8914YF+J +ih3t+w59eiMY2FdC9W5o+/eT4+OAfSVUH7cY3L7iUj2wr0TFOyOuzfk03QLs +K6HPH553eDzGDOwrUbHXs495kGsE9pVQ/d2r9fyxx/XAvhIVC7f3OVo/xwLs +K1Fx/tcyqxYnUTz7r69ExWOY9yzGzgDsK6H9+Nuh2qCEVGBfCcUv/29Pm2n+ +wL4Syu8zvOuKfAOwr0TFKU4/HzQ6bQD2lVA9kNzj+8pbUcC+EsrH919tCp2o +B/aVqFj3R6uH3X8bgH0lKt49n+w6pacPsK+E+u/KI7zHDkoE9pXQfprUP3ZG +rhnYV0L1S6cds/TVYoB9JSoO9kv5gA5BwL4SFd1H12w5/1AssK9ExWqiaobo +GA7sK1Hx+oy52HF+KLCvhOLDvzmWcYfigH0lKg5LWWSJH5sI7Cuh93+w4cBR +K3yAfSV0vQWhd7/diwf2ldD+r+C0t3fDQGBfCb2vD59L1vdIAvaVqPjmTgX/ +rNoGYF+JiuvtHCv7u/oB+0pUbJW/3MbvfRQwD6fhu1WPu/WvZgTm4TScs2tB +x5G/4oF5OA2fly1ftlqbaMnDaVh64ZJws28gMA+n4QXb2f/mVQ0F5uE0nO5p +W/XoKTMwD6dhnbyP3+3qxgDzcBqmF3Ys/va5WfJwGorS7w72zvWRPJyGzp9G +NZ4wPhKYh9NwbYS/TevQaMnDabgvTFwKNe2XPJyG4bsnzrmfGALMw2l4t9WP +/r09dcA8nIblkk9eHhdkAObhNDR9Tdu2abMemIfT8OPjeuHx0RGSh6PfW5+y +0z1HL3k4DWfvdtu9tEwMMA+n4br4SV83nEoE5uE0TPL5OqaBiAbm4TQ8fK+M +3+VG0cA8nIY+U5/2vNk2DpiH07Be1QO9H/RIlDychr/u6wbYtY0H5uE0VHp9 +2pO7Jg6Yh9Pw6eYBtQ5XMgDzcBoeGzJZbTU+RPJwGr52eOxqP9cEzMNpOPJM +j5wuJ4KBeTgNz9v2P5pVPxqYh9PQa5i3Q1MbT2Aejp53wK4BFZrogXk4DWe8 +6uO6zj0CmIej+SuVfe/dwhhgHk7D4gGBCwcP9Qfm4TSMP+kcd76TDpiH0zAh +d33Fpk/jgHk4DX+3NLtsGWmSPJyGV4Y/XXp9uBGYh9Nwd8b1Fo4Xw4B5OA0/ +zbW51H1tguThNAyp9m7zzJdmYB5OQzynvv0NocA8HH1/wIm/vQ9ZgHk4DfVX +J/5+oU8A5uE07NC4rjU/Wy95OFpPPw5cqLXXCMzDafjyR0W7axsDgXk4DSOD +ExtWG5sMzMNpODe3wqDnuz2BeTgN81NW9M8/mAjMw2lYY3/mxhqz44B5OA3n +7ZuwYsy7aGAeTsNNPqHGbZtMwDychsG73z6LKW2SPJyGef4uTlVLxEoejtZT +j1HD7GvFAfNwGja1+Vlqzt84YB6O5jcv4Ibv9ShgHk7Ds+vrp3asawDm4TS8 +eeucq3tCAjAPp6Hr+jI7cgMMwDychiNelCr0dqNR8nC0HlYfnT2D+inm4TRs +++G1al/cX/JwGv7TYWvOzuxwYB5Ow4dhrT5umRoFzMNpmHarwZYq5WMlD6dh +nwu+1VwrpgLzcBraW7e39XkeA8zDafjt40C7kXaRwDycRvV1UusOX92BeTgN +b7S8Mjv+uwGYh9NwUaG9xsbtYiUPR3+/e32DYWNMwDychhNv7/jxlfov5uE0 +DCh+NbcCxW/m4TS0mg6+XEnvl3k4DS1FDkaEFk8F5uE0LBvzcbfzzHhgHo72 +w7LgPYH3zZKH0/CHeU7/fk8twDychicmZE/ZEmEC5uE0jKs4v36m5gvMw2lY +tOpLl4bBYcA8nIbPnng9+bIoHJiH07DXz3s+M4zxkoej93HL0Gj8KQMwD6dh +A29l2tpLUcA8nIabuyyYMjYrAJiHo/XcesvqrxlhkofT8OjuPe+31YoG5uE0 +3PJuqN+yP3HAPJyGA/NvVdnZN0zycBq+8n9wPGeATvJwtJ72/Dtp5cxAYB5O +w3bxMxosiDdJHk7DFZ+Pfv9WJknycPR7H74P/vzCB5iHo3i643xv/ReD5OE0 +HLd+xy/b+t7APJyGFUKfBvV6HADMw2l46ZV9vYB/dJKH09DtjiHIaDYA83A0 +f5MnPWv0OAKYh9Ow8cSFa26kGoB5OA03Hrfp6LvQCMzD0f66Ur7l2udJwDyc +hqkjVn/q7BICzMNpeGTW1u1Rq//Hw2k4bezXoy9GxQHzcHS9984HvoQFSh5O +w/4965+9288IzMNpuLNpmTHFUAfMw1F8PKWbf6ZJBDAPR/mgVpUiJ9v7Sh6O +8te758cr6cOBeTjaD4UCfl700APzcBqOHte6WqBBD8zDUb6d/M9OOxszMA9H +629hz6wjuyKBeTjaD/m+3V9S/8w8HMVPsPd79jEQmIejePSjX5g+WQ/Mw2n4 +2H912rpwHTAPp2HN+jNvbqiVAMzDafg9vvSugBGJwDychk5lpinX3iRKHo7y +Z+T1Z0tXGSQPR/l2eHCmz5BoycPRfvu99lqpOfHAPJyGd8wfPjffagTm4ej+ +SxYZ/HJ4CjAPp+Gj6tq69+vCgXk42o8DzVu8vVOAeTgNr889vG2GW7jk4Sh+ +J3mYJ4zWSR5Ow882I28PeJAAzMNpuDK4SY/HJeOBeTgNI5qtMb19YQDm4TTM +2PTygctVo+Th6PdXXU8Jco8G5uGo3sFoz09LU4F5OA37ZszVwVEzMA9H+WF+ +xXNVHMySh9MwKqdJw7VFEiQPp2GVT/6WDrODgXk4DX/evGHzcr0FmIfTULVW +L6I7Z5I8HO3HxBjVPCIMmIej/NJqZG/nSRZgHo7ikb7Hmw02scA8HOX77XmX +LtSLBebh6HpHaswN+KYD5uE03P9l075Kg+KAeTgNvW/tqNMx0wjMw2mole3X +qlrfOMnDaRg048jZNiIWmIej+6lwsI++rT8wD0fxKfmfPbO2e0seTsMHYzqv +uXLHJHk4Df/9d2GpmFHJwDychjYZ/9x/HRYAzMPR/W9s/+LylTBgHo7W16T5 +bwxjE4B5OA0PLX0499fBcGAejuLb7M41eljjgXk4mr9tB0vnPdQB83AUH64m +dSj+NkzycFRPNXebmLPADMzDafjhemLEhYkJkofTcED223oD0hOBeTjKJy2b ++n3MMkoeTsNqlbcuvWdD8ey/PBzth0Dn+d9PRAPzcPR+g8+sbbhbD8zDaVir +YVX3HtnBwDychsuz++dUtI8B5uFo/Y6snJ/bxgzMw1F+HJHp0uirEZiH0zDr +aHb25Po6ycNRfHd9N3RnxSTJw2m4rV3ZT17efpKHo8+vH/q0aXGQ5OHoenYz +OsWa4iQPp+Gwd7pbD2x1wDwcxY+kVR2jKoQD83C0/5elX9k1ej8wD0fxZ/3B +1NxjemAejuojY8b5Clf1wDychqX62EYPD/aXPBytr8mX+1buHAvMw1F+cP4+ +3fowBZiHo3y7YM2xYYY4YB6O6oVp90MztWRgHk7DJaYvXzzqxALzcBpee7t1 +2NLIVMnDadgkbHGd4otigXk4ivdxn0sPXRAIzMNRfOhS1rHWP0HAPBzFj1El +X5xMjgfm4ai+nfqkg1+zEGAeTsMuGTZbqzaJkTychudqrKg7cGaM5OHo/X0f +3dRaOUnycLQ/Vjr4tylhAebhNPR7NrDv/Z3xwDychpc9Koybnx8oeTiaX/v8 +Bj0qpgDzcBou+FineaPgSGAeTkNb75xvA1ubgHk4yi/O1RMm60zAPBzlI12v +jZv+NQHzcBS/RXpJ1+oRwDychu8vTkkabLJIHo7y22OfoTt6xQDzcBrOvxo1 +IynfKHk4qk9+LYr45BQLzMPR/KUP6r/DagTm4TQc5dD05SJjmOThNGz/e/Pt +y1XSgHk4iif1Lhfb3SsWmIejej79fX2bjQGSh6N8vTzc25KRAMzDadj5VPH7 +Z9oYgHk46kfyarb6UywZmIfT0Li42dXFsTHAPJyGKUuan7ndPg6Yh6N+aYgl +o9shIzAPR/VTzEQxsFIyMA9H9YWpVfyAg1HAPBzVMzMqb3d/mgLMw9F+/afc +63c/dcA8nIZvkubDlyXhkofTcMOPHT+LWT0kD0fx5edBa2DRGMnDaehS9tTe +L45Bkoej9TGz6KMRPiZgHo7md9+Ow8POhgLzcLT/S+PC9UNMwDwc1dvln+06 +mGYE5uGoP9x+pJlxnwWYh6P3fXSqS2JLMzAPp2GPF4vtB/8TBczDaf85D1Ji +Xj09MA+nYQury4kqVN8zD0f387LGS8838cA8nIbHt7R8XuZTMjAPpyFUW/1z +4c1AYB6O1kfDFWdL1DAB83CUj7dUtJnnGArMw9Hni+r26aKkSB6Onn9Sz98L +/1iAeTgNC5kyts7oliB5OA1vX9zXPtInDZiHo/5qfb1DoyvGAvNwFL8rFhoz +e1o0MA+nYfcQ/d4nP8ySh6P1d+P+6p+booF5OA2HnP+RdWlzEjAPp+HXNstW ++AyIlzychmElg3ou7hkDzMNpWKxq1JEJSxOAeThan9lXVwHVx8zDUTxaPrHu +1r8pwDwc1Zupixp8qxgNzMPR+p7+2amCOQ6Yh9Ow+dxd970cLMA83H/qz/x2 +kTo/YB6O8ofpTYzrXn/Jw9H7P1Vk9PpaycA8HK2fVuNSd3mGSB6O1veiYvqA +HinAPBzVD4MaaH2LGyUPR/lRWfo7MNAEzMPR+9o+yHmo8JI8nIazfpzcqstM +kjwc7fftTV/bhMdJHo7235Gkbs72EZKH07Bjp5drSvhYJA+n4dbxZescvBor +eTgNh2dd61bBLVrycHS9bp7FZosAycNRvVrFs1yZkEDJw1E9Oi7DbvGQ//Fw +FH++L5m4uk6S5OHo78/7uc15HyZ5OKqHmp13nr3AJHk4Wj8+VdvONARJHo7q +0x39+686Gid5OOrHHHctfuIfLXk4DTsNd3jS6kOy5OE01K3zX7xxjFHycJTf +dW9mVDyulzwcxffcPa2SqV9nHk7DkoPTa/x6mix5OMpfAdsGbdvnL3k4Daf3 +HDtBqRkteTgNtz/1aF6m7/94OA0dH+kz9vYNkTychl9W1NfZXouSPBzNx+/j +Dzvc0kkejuLHtpjtaw7FSB5Ow3tTJjk9s4mSPBzVg/65J+pdjJc8HK2nzo3y +BtonSh6O8ueMhg751lTJw1F9/3nC/KwHJsnD0fUXLL1eMilV8nAaHjTd9LJp +kSx5OMofK7sVG3g0RfJw1D8/vzi5XjWd5OEo/25vuV43Mk7ycBpOaHauyOl3 +BsnDaTg+u/nEl2Exkoej+nfyx7HtrHrJw2l4vvDHG20XmiQPR9dfbJ21dlKC +5OE0HBP9sW6DcC/Jw9F8rBl/v56nr+ThNMw+a3fpdz9/ycNpOLfvoEON/HSS +h9PQo1X/tZGFoyQPp2H9AYWdRnU0SR5Ow+QduTPmhOkkD6dh8ZZvs10aBEse +TsOcU77Oq+unSR6O5nvRnFo35pglD6dh20H3zhctFy15OHr+8ova2PiZJQ9H +8eZmizv3J0dJHo7q4fM5xdc2TZE8HM1nzX7TvIamSR5Ow3q/vw9dfTZB8nAa +hhaf6vRhiEHycLQ/YgdOmFwyUfJwtD5rRydObZcoeTjq7z8ml9SmJEgejuL1 +6Ebru4zRSx5OQ//FDoUc9iZLHo7iVfrWMxGNUiQPR+ujuX+vltOTJQ+n4ch5 +A6bFbAqQPBzFb2uP8oYQs+ThKH/AlqEDzImSh6N8eHLAEcftZsnDafi225qw +6VEWycNp+LDM+ICMbKPk4TS8Oqr7hrpVjJKH03DHjZLLI95FSx5Owz23jq3Y +0ClJ8nAaLnuxdmByfozk4ah/cI2589RilDychvfPDGnQI8IkeTiqv+o3/WZf +xiJ5OMqPji1b9FYNkoej9VHL+CAlJ07ycBpW/BiXWrGnSfJwGvYyu6WOPBcj +eTgN2wxz2XfXJkHycBpWTR95odxfi+ThKP7+3bUqulqK5OE0fLGq37PDZRIl +D6fhzGMf+ryfbZY8HNUjy3NzZ472lTwcxdeJ96e3qRkseTgN/0QvchtI/QHz +cBrGnnw6rAO9b+bhKP78OrU5eFqg5OE0nLf7gm3u/HjJw1H+2xZXoVINo+Th +NCx8K/j54mvxkofTsPKAsX6j9KGSh6P8a4nw2qDGSx6O9oPXO8v63ybJw1F9 +Xv9bpdr/4Xv/y8NpeMzoYbv6w/94OFr/Dx4YbtdOlDychtV72D667hMseTiK +F0/GT9WOmiQPR/l9U7M/WfPiJA+n4d8+w/N8VoRJHo7yYfKTLu41kiUPR/u1 +nt323x1iJA9H188MGZHxM0bycBpWaNZ6R88acZKHo/XU8HlyD4rXzMNRPzV0 +bdUwx2jJw1H/+2J2l9axCZKHo/mYtLSUA+V/5uE0rJTl6+rdOkHycBomtrp0 +yDFLL3k4DY+6bb3W7V245OGov9h0cnSDkgbJw9F6Wnzy+RovveThNDTMm15+ +eIVAycNp+Lx4/lqHEp6Sh6N+0btBjkOFdMnD0XwUyn/0dI6X5OE0XLnl0bNK +FF+Zh6P6ItktduuAWMnDUf0fWCpcOR8jeTgNFzvvCF2xJ0nycNTPT49RZzqZ +JQ9Hz6s4BzkUTpY8HMULW99lNSKiJQ9H/c6+wUcyp6RKHk7DhVv6bSjvpJc8 +HO3vnYdC+570lzwc9Zttrsy5mmqQPJyGXcf4exVuoZM8HNVLS5wPfn/lK3k4 +6rdyu9VJXxMteTjt/583YB5Ow0xL6JRfyf/j4TR0mLhs0LtKMZKHo3zx4NDm +dhGhkoejeLy/2L7ei0MlD6fh+vzUyEGnEiQPp2HpyPNbunaIlTwc7efjQb2X ++1kkD0frd7b9iKLfLZKHo/U+qefSA4nJkoejfmx0Yc/iI9MkD0f7td+iSmfv +GyQPR/ly0JQ9cb2jJQ9Hv3fHL6BdTKrk4ah+DUhx3jr0fzwczV//UNtya5Il +D0f5cfXRSU1PmiUPR+v5XrDdI4pfzMNpaD/MJsg52Sx5OHq/t56VPRpuljwc +xZMU+13dPc2Sh9PwRPLeJWep/2QeTsPy08cP6vs0XvJw1A8cfHbtUtlwycNp +OGXj8zV/gv0kD0f1ZsOanr9X6SQPp+Eg7/SV25pZJA+n4YF3vaOcf0VLHk7D +z11LVXOItUgejvLnvH5//hwLljwcXd/+TP9KqT6Sh9MQj0ZPd9sUL3k4Wr+T +O/XyPGCSPBz17+42exb3i5c8HNULP30LXRn5Px5OQ/f1pZeumhMreTjq/8vZ +3Bty1Ch5OKrHkpqlrG9sljychnebp1ZTPhskD6dh3qjYa2/uJ0oeTsMrQ/Oz +i60xSx5Ow21tXyf22GyWPJyGv5Y4Pc/d9T8ejp438fqLOx+jJQ9H821Xpern +YZGSh6P48KPG7jONLZKH0zDgTxfYMiVc8nBUzzZPnOI3Il7ycNQvBRhNf1Sz +5OGoXt6e65l1K1XycBp227v9/rZZkZKHo356s4NxWtlkycNp+KTnhml92kdJ +Ho7W5+duy+sNjpU8nIaBa1P7H+vxPx6O4t+HR9rph3rJw1F98D3lvkfdOMnD +/ef/G1Pdv85JkDwc1SNfSrbqcjtJ8nAaBn96WzlvYIbk4ej+P16IHtQ8SfJw +GjYsnutafVm45OHo/Xisvr8kPULycFTPTJ02a8zzWMnDUbzt//7FyncmycPR +/lve7eiwKL3k4Wg/3d6XHvEoSfJwlB9a1DjY3y1e8nC0ni7NfOjeK13ycFTv +BYz3Xk31DPNw9P12VhvnsBDJw2l4sd3Jg4MXGCUPR+tjied45ZlB8nAaTqyR +O6nqpCDJw2lYYv5VW1s7veThqP9qOHzOF+q/mYejfJdSanifcTGSh9Ow6Afo +GV5CL3k4ul7zqy9XtNRJHo7iQcavr8pug+ThNDSt7Ru68neC5OEo3g1L77/Q +O1bycLSe9mc/zLbVSR5Ow995jRzaRKRIHk7DLQ6Lhrno4yQPp+GMM4WPl98e +I3k46j/07tPSQ2MkD0fx3+Zs8ZX9YiUPR/F3Wq02D/ZZJA9Hz1fdYXV8G6Pk +4ageM/it/hoULXk4Dfs57agwYkGS5OEo/9V53/1hcX/Jw2n4+szV3HfzEyQP +R/1W3PhxXnd0kofT8PHJgJRomxDJw2m4ZvS6SaceGSQPp+Fs/6xWU1NNkofT +cNf5jfFVriRKHo76gTHes0XJcMnDabh58Uw/z/qxkoejerXH+sIhZovk4aif +CFzRcPzLOMnDaWiebM0esFIneThar4/rtEn7mCp5OA1Fxc2V695PlTwc/f7M +U8l25WIlD6fhtFlVNq3PjpM8nIaefcv0vVgsQ/JwtN/r9nnncDJO8nAa1u6b +W67DK5Pk4TRM2lWhvcNZs+ThaH+0G77yxqFoycNRvbHvYP2E7jGSh6N6oPqY +r/W6pUoejvrdgOvpsafjJA9H9zPt85xXyv94OMqvva+e2J5jkDwc1YMdJs04 +9lAneTjaD3uiekTmJ0oejuKdOaP59VCL5OHoej+qJE8wWCQPp2GrYt9bv8mN +ljychr4Xczc0qZUueTian8mlQiofjpc8HMWD1f5LFtUIlzwc9U9OB2Zo39Ml +D0f5RWubMNyolzwc5c8Gpc40oPfNPJyGW3v3ORlC+Zp5ONqPVao93tomVfJw +1C99ctjbZUqU5OFoPS68vvb0HYvk4ag+vlNyuuOMRMnDaRi2r/zrBrl6ycNp +mFuryrLvpyIlD0f55V3tPvb5RsnDaRg91/B3gFOS5OHo/nwrOBTvmyZ5OA3v +2A2bsa5hzP9r6r7DorqaOADbFUusiIjRqKjYscYaG1FANBgL9hLEKLaIsSb2 +BhZQUAGpAtJBeh0YmoBiQcSCGuwFW7JnFRVRvklmfPbzv33ucvfec0+Zs77P +b8XD/Vuv/OQws+tZYA9H87fLzFP6708DezhaP9/vd/CvHwfs4TQYUivv0ft+ +J8TDUX3h3dc/p88x8XC0v0qpf2/w4HRgD0fPN3zBP1Yd4oA9nK5eYg9Hz/dp +4a5lZbHAHk6DFdtv9e+1JgbYw1H9u9z7n9gEd/FwGjzwxtpzbL0g8XC0PlRN +yVUdc4E9nO787OHo+bqYfgmaFy8ejurBnPJZNxJ8xcPp3s8ejurB7n3vbV95 +FtjD/ft8n3g1fpIG7OGo/27qn12QGAPs4XR/zx6O6oG1h7c1+iZCPBzVR81M +3F3cMoA9HO13zLZMNTySAOzhNPhzbM7Lqa/TgD0crU8rF519k+0hHo7qJesW +3vu3xwF7OA3Wm3H3r2HXPIA93L/7vU1uBg3SgD0czfej99aqvJ0oHo7mP/Nf +WlT19AH2cFRPn8HRJnae4uFo/Z0blpDc8TSwh9Og3V7/Nl8axAN7ONoPrPaz +MNKcAfZwVM+/f2VR1fKoeDgNGo8e2uFEQLB4OA1WwtOoK3digT0cXc+TDa0a +PPIQD6fBc7O6Ro/UjwL2cBqs82VejvPcGGAPp8GhoQ8W1r/mCezhqD+4/r34 ++Jsw8XC0/4p+f+L8rmBgD6drf/ZwVP+bLPnJcLyfeDjaX3efPCpxSSCwh9Pg +h4Pb5wx9mA7s4TSY1/Z1l1LfVGAPR/2x1tMDfe1TgT2cBi13tAsZ7Y3AHk73 +eezhaP2tfWzNjrfxwB6O9nutalnFloSKh9O9nz0cja+Of5Q3uOMG7OE0OMek +TWJijyBgD6d7P3s4Da4x9hniMTYL2MPpjrOH02CVxYPJxq0SgT2cBp2z9zvW +mxcP7OFoPjmz+9Xsqz7AHo7qFXur+d5mqcAe7t//n33+04OaE+Lh6Pxvem2N +f+skHk6Dv697qhq/iAb2cDT+N4zZfWR4ArCHo/ntSNat/KHZwB5Od33s4TTY +yGGlZY86gcAeTuGCKM3WtEfxkg+nsMWB4ClPotIlH07pfj/nPw+ncPGjlp77 +zgUCeziFGy7smP+ddYR4OIWDX8Q2nhYUCezhFI7X3HXYmpYh+XC687GHU5hg +Vc+j1DZGPJzCXe/muja0+ZoPp7DIZPzElJJkYA+n0LvoxYkmK2KBPZzufOzh +dK/ZwynUzzxX671NsOTDKQysXXI7/YmT5MMptMuo0+q4czCwh1M4oebW3Tc0 +f7OH052PPZxCwwFOS06NzZZ8OIXTOvqp+Odf8+EU7m/u8+diPU/Jh1OY6mT5 +vsWRQMmH052PPZzCjTs+HPCMTpN8OIWm0x3dAj/HSj6cwqmn+gWfdE4F9nAK +86YeWlrd/auHU7hzVqMjc9dmST6cwgPhOxzcg9MlH05hy6Ipa6Lxaz4cff6T +oKLEAJB8ON31sIdT2NHOwXGknb/kw+mOs4dT2MPPJvPkQDfxcAotG8Wd3W4f +IflwCpt7NLo9zDRR8uF0f88eTuHdosPJp/5IkHw4hQ4v1xvMsHOXfDiFXS0j +lvr5hQB7OIVD9pR/bGV/XPLhqH+96es6b0ua5MMpvLP67OYhBumSD6fwdL3H +DYau8BcPp9Br5KAlcCoW2MMprG9R2MjOO03y4RSWGRnWTPrDU/LhFNbNtI3y +tvIUD6dwuMn8Wlc7+kk+nMLRBzPNjIrSJB9Od3/s4RSa3OpSZ0xOKrCHU3ho +2tKHE8/GSj4cnd/ly8NOXzyAPZzCcptZBg/NQ4A9nMLi5QPj/DBV8uGof1x1 +87vbOV3y4RRWZgUa1tQNAPZw1L8Le5hcsUyWfDjd9bCHU1iRNv/QrfMe4uF0 +x9nDKfz9XOT2QYddgT2cwh9+b7yjweFUYA+ncK2Rdd5CixjxcApTRkY6LvIJ +Ew+nOx97OIUx9fQ7Tw84LflwNL+cyTDaO89VPJzC741TzGKbJkk+nMIGmtj+ +IdYx4uGof8w2CV75PFLy4Wg+CgkqmHTgLLCHU9io/Zpg3zbxkg+ncL1P8zb7 +lxwVD6fwo2Pp+cRID8mHU/gu5YFv85co+XAKXXYHrs8yTpJ8ON31s4dT6BTX +IN46zlM8HH0+PPep3yhGPByNzxs5ZYlWQeLhFF4PjXhX9ns8sIdTODuyqW3j +5cGSD6cwenF15suNXz2cwteTrFLK7iQCeziFWc/Ch3glRko+nMI/N6y9Vbrx +rOTDKZzc0PBJ+NxEyYdTWOXpkPAqP148nMLN74fu+v5Bing4Gg+L1KHKRScl +H053f+zh6PqbXtH4FoSIh6P+bXW3+e1DPpIPp/Dl9xWfhwWHAXs4haifkdQ9 +JAXYwyk0chsRMOXWMcmHU3izQcvd8xvnAHs4hXue1J976H2w5MMpHDex/4zh +sb7AHk5hh43DrPJpf8AeTmGroXYHPyfGiYdTWG/hPYRuyZIPp3B53fyuOV0D +xcMpbLZ3tFNY2wDJh1PoEfUh5I1RtuTDKVzTJzHU8EWs5MMpnHhh4EEPo+PA +Ho763/TLC47ph0s+nK592MPR64N3nRz9z4qHU3imqse09b3cgD0c9f/hW0cV +9E2SfDiaX9PMXBJnZwF7OIV+I6Yca988SPLhqL18551/uSpMPJzCny2mhfXa +myX5cAoziu68m2MVKx5OYfDtAhuL2CzJh1NoMGFLsv2EJPFwuutlD0f9bfSp +axqfCMmHU+j6YXia3Sdv8XC697OH071mD0fjxe6P0p6tQsXDKVwd07d+l7ex +wB5O4d7TVcEdjM4AeziFrbtf+Dhz8knxcNQ/jzT70XyDh+TD0f0em3y4/c4U +yYej+cchyfr8thxgD6fQZ0jo7NC+buLhFNYMuBMxdXcmsIej8fRrn1umK3KA +PZzCHcVjDN0X50o+nEIYMc+0dUoMsIej9dhs5pBJNXHi4RTGWd4/u+c3X2AP +R+tB5YmGW45GSj6cwi1eLsO6DgkSD0ftP/em1nCPv+TD0eeV7m040CAY2MMp +HNPIcsiPL5OAPZzCF3pPXs56ny35cAqfWjft3cspG9jDKYxaei14x7oUYA+n +0GL7zU53PALFwyl0tvHoWdggSfLhqN74YVa1WUyE5MMpPP7k+h/rj8aIh1PY ++fS4p4YdQyQfju53tHOZ0fzTkg+n8A94+zJsSZbkwylceaj0Q/tTUcAejvp7 +wr3P/6zKlnw43fNnD6cwPGGEw9adx8TD6Y6zh1N4NnVBh/BWx8XDKfx119oP +455ESz4czQ/mI9tm7AFgD6cw5PGFdh9+D5J8OIVzP7Rr0CI6XDyc7vzs4RQu +LQyNqD52RvLhFGa/npjRPT4B2MNRfXN+77Ci1ETJh1P49qGa7bjYFdjDKUxy +X9yoZE+C5MPR/IVzN67uGQns4Wh9t9bzCzvmJh6O1rvFy//O3RgC7OF018Me +juqRSwP+bF3vlOTDKbwUalZuXpEJ7OFo/RhUM97xhr94ON3fs4fTvWYPR/PD +9TfuozZnAXs4ha/O6hs/WOEO7OGoHkmIbFvzTziwh6Pxb+V489DtOPFwNJ6S +Pn/uvjpS8uGonvnzu0dbRhyTfDiFhTsLH9et8Jd8OFof39bcXJN9RvLhaD46 +NCtu4ABfyYej9jbXn/rrTAD2cDQ/9vxpYaQCyYej9d57p0HAMH/Jh1MYP7Ha +bkLD45IPp/CB9YjLwUvjJB9OYZdaTey/2e4sHk7hb9P7nv/xfBawh1PYzuDt +R5s5rsAeTuGT9H1GX4LSxMPp2os9nMIBbsfn6y9Nl3w4Wn+tZ3e6EOgvHk7h +1smfO0Q9jBEPR/3bIu7KqFxvYA+n8PxBr7WvkmKAPRzNN3rDy4f1SJV8OIX2 +taKbNn4eKh5O4bOPpXq9Z2QBezjd9bCH071mD0f16GCnc/reseLhFAZ9tBue +2xwlH476l1GzF/XDsoE9nELbFcMHrLIIl3w4eh6dDhtvGpsD7OFofCw6nVr8 +2UU8HI0nm3eDTacHAHs46s+btxcdeRgh+XAKNUZzA7NnxwJ7OGrvBX/MWT09 +B9jDUb3pcXPj0uwkyYdTqNcgdKHRh3RgD6fwynav0S59/YA9HM13s29vMwnP +lnw4hQ2bWj1vssdPPJxCY7sWzYvGx4uHo/q8Z9Kw3cUpkg+ncOiaa6cWzTks +Hk5hWKtDG9x+SZV8OGr/jY/fLloKkg+nsFfSAHc1NVry4Wg+WW7zpO2NJPFw +NP+PbH265H6W5MPR+XtX11l6KxfYw1H9VOzSImxfgHg4et4HBhsMq3AXD0f1 +hXn0mmspYcAejuqbRbGhMxf7Sz6cwk8vHOoOKE8E9nC0H+zkkzCr2F08HPWn +K6c6lznkSD4c1evPns4+cKlQ8uGoPvyxR0J8y1zJh6P98MyL5UstsiQfjuZT +59GmmtNx4uFoPfC54XRg63HJh6P9a/8bxgOCsyUfTuGSWwdOGv/qJR6Onk9e +7s2f68RIPty/+5e109+F5wJ7OGo/P8+7zmbBkg+nsP+7Z91GdYwTD0f1e3Wn +26ZVQeLhqP0MbedutsgB9nA0nu1+v5mbkiAejtpzUXXkgg05wB6O+mPWXwVX +npyVfDhd/2cPp3DU0bFFJ6qSJB9Od5w9HO3Pfr7gfXBEJLCHU5hWL8fBr1uo +5MMp/BxZvmxth0TJh1M4cuIPY5tRvcweTuHAVRfr3EwKkXw4Gv92rTVJeomS +D6f7PPZw1H7mj+5bf8qUfDiFUypntkrKyJB8OIX5v751H7MzC9jD0fi/pmlW +1idB8uFofOT1exT++rR4OIW/dOsbYRKWJ/lwCgPsPdbZ7MsF9nC6z2cPR/NH +evsb09zzgD0ctffGSlPTqBjxcNRePfuFnnuCwB6O6ocKf4+NyxKAPZzCD22C +qqY2TwD2cLS/m/B4S5XNCfFwtB4OOLbQpZG7eDiqR8wiLo32SwP2cAqVzdQq +H98zwB6O6mn7xMoVlUni4Wg9sPHt98OeQGAP93/fj/zn4ah9fJr1jk5PA/Zw +1J5Lch+O6x8nHo7q7UMjArrMjAH2cArXdW5p98imENjDKez4pqOBuyYK2MNR +/3THl5MvJwJ7ON3nsYej591tQbu3JxKBPZzC3ckwvuOEM8AejvY/fSfbxA5M +Eg9H++1VHZd8npgL7OEU5nSYVueUZTawh6Px+6yxr3d3APZw1L86WXiazMkD +9nAK72m+W7Ld5bB4OIVmi01tPRzTxcPR85621eHHJtHAHk5hz9PXIrvaOwN7 +OIVjh//tceTFaWAPR/Viod6uO+PPiIdTmNxqRX6LfvnAHo76/zKP0LhBgcAe +Tnf/7OEUHt19dfUZqv/Zw+mOs4ej9c9dX996bjawh6P+uvVbk5/+igX2cArb +OtSqHtAyFdjD6f6ePZzuNXs4ur6y166r0mLEw1G9MfkF3LSIBfZwCntcqj86 +NroQ2MNR/fjAJMojLg/Yw9F+7ueWl87/Fi4eTnd+9nBUf2RbXs2YHQ/s4RT6 +hj81G0bzIXs4qqde371uuCsO2MMpNCmDa1ZX04E9nMLuiZaX06bEAHs4qu+y +q8sqrWPFwynchsUlgeMR2MPR+YsfnrfomiweTnc97OHoedYZ2kNvIQB7OIWx +rr1qbH9IBvZwCid9Wm/RJN5LPJzCWQ9q/bBGLxfYwymc/l2zFsGzkoA9nO78 +7OFo/xBg8Dnp+wxgD0f7s1HXu4+wChYPp1D7Te2Wl73SxMPRePm9W58p/ifE +wynsF1i/7r71IB5OYUlEwuXMZSeBPRzVBwHmFx+NjRcPpzA1c1KQp2GaeDia +P750Mll5Oko8HI3fak3uWruv+XC662UPR/vvzEt/VtoGAns43XH2cP9+3xXX +dH5SDrCH+7/ve//zcLTfmvPleth7D/FwuuPs4Wh+CjJZ86gkC9jDKaya06P7 +zqoY8XDU/2bYteq0/6uHo3oo1vnG2L/PAHs43fnYw9H6POvzcO3aOGAPR/X7 +z52nnmuZB+zhdO9nD0f1Z1bsp9RG2cAeTmHz/SG9ncakAHs4hdW3Mnd0/lQE +7OF0f88eTuH4id6TrJLTxcPR/PlWv0PzH2KAPZzCyI/GYTfP5gF7ONpv2eTk +LEtPBvZwCk+svLlv/8A48XC0fs6Nf/RtdCawh1OYovmj397BWcAeTqHHjed2 +JVsigD2cwqwNw0NizROBPZzu+tjD0fgZG3c34nG0eDiFTc1rdoVS/2QPR/Op +tt711yPjxMPR/uvzjcMzZ50H9nBUD04p/SHTI1M8HK03E1u3si8AYA+n8NrN +HcGj4pOBPZzCNhu3xkfqXwH2cDTfztqUlFXrArCHo+dXVDbDLSMX2MPR+mLs +c3M51Qvs4aie2OzWOa9BBrCH090Peziab/qvdrNvGwzs4eh8Ga5DJq0rAvZw +Cod9Hl1ivyhYPJzCbxtdWP7BJAfYwyk0NXhThipWPJxCx4KNlWfrxwF7ONo/ +u42bnT/oIrCHo/qj9Uunnt1SgT2cwr51DD4tHhkL7OEU3gjyvLc5+ziwh1P4 +04zzbcuuegN7ONr/du2TdWu7L7CH090Peziq9727r2ra/pB4OGpfj10n6u+J +APZwtD69czNv7hUoHk7himE117aOixcPpzsfezjqPxUP36eMKQb2cLQeBy1S ++fWzgT0cPZ9w21U3V2eIh6P6b5376Ir8fGAPR/P7ucPqaGo8sIf7v+97//Nw +NH8U1Xx52s1VPJzCY3/fi/7YJgnYw9F8UzLGy/x6ArCHo/6p39VlzJvTwB6O +nsedytphc7OAPZzu/OzhFP78bOOsyvGxwB5Od5w9HK1f5V6Ldh2MEg9H9VKX +5U+dp3/Nh1N48oe3eY8HxouHUzjPwbjrbdccYA9H9eAjx18L9l4B9nAKy39d +fuqcVx6wh6P96Z49zxb+dVQ8nMLcl/O6bBqdDezh/u/73v88nO41eziFSZ4R +2/r/FSkejsbbztrBhQtjxMNRf3IKW9usYTSwh9P9PXs4uv9vAy5PwXxgD6fQ +deHQcZPbRAB7ONqfdHl64e60rx5O4alLZnPahKQBeziFs0PS0+wdLgF7OJoP +12cHlLoWA3s4heZ9zVblbYkF9nDU/zs1u1E3KwvYw9H4MOzhHNwmUjwcHff9 +ssQ1Lk48nMLJ962PfD8pF9jDKXw1IHLd3eZxwB6Orl+vYVn8q2zxcAr/qXwx +PbVPmHg4hXsfznkTPSdMPBzdf2jxM/NDqeLhFK4adduzeOhRYA9H9ZPpg29n +DgRgD0fP47BHmnlYMrCHU3jw4MNNv5ZlA3s4hQ26mS5P6X9EPJzCx91+s23d +67h4ONrf9Ow8+7eKJPFwtN7brzxkO6wY2MMp3Of94+C2dH/s4Wh9toBmI6wD +xMMp3Bj9eq+eWYx4ON3zYw+nsE+nDQO3bSgG9nAKO1Xb7KmyTwb2cFQvPO73 +qHfXcGAPR/PVlOp+F5qeA/ZwCid+arLd7WIRsIej9dDcodH5yDRgD6fwTdj1 +no+NI4E9nEL/VPv+L8ZcBfZwCjfVBJUEbvAUD6dwWUmvcFOzLPFwCiO++Vxx +f00RsIej+mZn/vLdkVHAHu7/vu/9z8MpfLRp6u626wqAPZzCzLEv7kxoUQrs +4Wi9vDepJMA2WzwctVf7zC+NU9KAPRzth7ud/LbflCvAHo7mix6/5Jo6ZQB7 +ONof+fU68GXfVWAPp3D+1o/7K8bniIdTuLX+oNlXr6J4OIUL9I4s2LujSDyc +wiG7G59styhHPJzCtfErvo3OKAT2cArdn/a+Muu3r/lwNN+NPtpgh3MysIej +9uzkEXHlSAqwh6P6Ga0HtC7PBfZwdD+XO9qG30gB9nAKLf21r0/ZegB7OBpv +89vOP1onUTycQuMtN65XBF8UD0ftWTnNO94rHdjDKTz8wqWlleFXD0f1QoLT +shp1HtjD0fWexVsmXUqBPZzC20FmXtV0nD0czR/mns3GZN0G9nD0+WNX4l/N +ioA9HNW/tdIyWp7MBvZwCsMM8vZufJAK7OHo9UgXx7012cAeTuHOF79NMr3k +C+zhaL1o71+3Z3KKeDiFBfverhwfHwjs4Wi8/ek8R7MjTzwc7b/0Io2WBl4F +9nC0v5z+uPbufzyBPZzCHWsGpK10zQX2cAq/NAnIMj2eLh6O6iHr714Oul4A +7OEUznwwY+ergljxcDRerw06Gb80Rjwc3e/Mk+22bsoUD0fr/yWXlm4e6cAe +TuGMHvPC69hmAXs4ai+rX5oFrcoQD6dwROiZL02czomHo/119IrfH5ReBvZw +NN/94hw4bl2IeDjdeGAPp1CzqVH5mqO5wB6O6u9JE/q4LssUD0fvn1NvBY5M +FQ9H9Uzc2t52ViXAHk7h8tAFb2f2CAH2cArXLGgdWuh/E9jD6T6PPRyN/7FF +f/xJz5M9HM0/xRudjE1KgT2cwsTr/aO6jMoH9nA03/VcPMe+X6x4OKoXhlzq +nGRaAuzhaP68c2hGaXyMeDiF+huedXM2ugns4ag95nv/1NU+TzwcvX7bd+mn +hGTxcDR/PTW3NF6aJh6O9sOdXA0NNCXi4RRa6MUHf/mQIx6Oxm9KjwP6emni +4RRWGg1ebmSWLR6O6qcFfSqONCsVD6cwaJJLO4PeV4E9HNXXDc4+N2vhLx5O +4aXnZY52heeAPZzCmk92jjD7IrCHo3pj87aKqLdJ4uEUDipp5hg77754OIU/ +9nXtZLa3WDwcPe9h999Y5VwXD6cwvWz7c6eZZcAeTmFt257lqdEXgD0c9e+f +7//ZqX+ZeDiqNwzM/+417o54OIUJA3tNCnOKkXw4hU+XLWqz9NUd8XAKY+x9 +puoXFIqHo/66sLBv2Jx7wB6Ontfh8P16m9PEwyl0vru52cq5xeLhFF5cZK7f +MeAvYA9H/a+51fXut5KBPRztj3cqgws5MeLh6Hl5NbHwPpcl+XAKby6s3djW +M1c8nMLgeov6fp6UL/lw9P4j3bY7fDwu+XAK13vNeXd0+z1gD6fQ7tQbvynG +JcAejuqleo53WkbdEw9H4zOlS/dpNl89HO3v1rw+0ejWQ/FwtB541Phu2FgM +7OEUurVfOmXLhVLxcAqbNB4xxnHZQ/FwChvmeLWb0K9cPBytz1cquvednCYe +ju63wxLHzo3KxMMpbHxqW1EX+zhgD0f7D70DRoGGnpIPR/Oba/upBnoPxcMp +rGjVes+ZdU/Ew9F6UvzO3NK3HNjDKfQtjehy7PZF8XB0vjEPBkUNShUPR/Xy +7DX9Oly5LB5O4ZEh+Xtufzwj+XB0/a/r5H2+4SP5cFTfeKasC1lbLB5OYe8x +O7v8NfUBsIej9/tecVjolCcejvZvIzzsT+67C+zhdPMDeziqZ+ePKnw04brk +w9H+P31q48xz98TDKbzT77sl5+8WiIej+stoXZeyVtni4Wh/rf/axuHpVfFw +Ci8MHvj9zPp/AXs4hef++bnud9tuiIej/fKKfQ59NrwB9nAKVb8F0XqTnwN7 +OOpPRlv0xzuViIej+jBm3T2PWs/Ew1H71gn3nH/3PrCHo/EXlTqpzavr4uGo +nvcx8vVscgvYw+nulz0cPf/lXp+Mhl6WfDjdcfZw1D+dFs7d0uac5MNRvdHx +2br1BZfFwync36tOo29tKoA9HD2PWbbx73q9Fg9H841PGeR+qRAPR/vzb4pX +jZ6eLx6O5teThjFf5pYBeziFXi6Hz3WoviL5cFoMt/ryvpO2QPLhtNh7hGXO +vYCn4uG0eMMj5Ptx5feAPZwWjyWnBfd0fiYeTotux1yS9Pc/kd9L1eKF9lXV ++hvKJR9Oiys6mfgtPnpDPJwWvSvPwAjXbMmH02JkxLFxR5MixMPR9WhSjc48 +0IqH0+LkRXHfu31Q4uG0aBm9xyPA8Z7kw2nxx2u+E8vzz0o+nBbHGq+4b2l3 +X34vVYu/Vk8u6X7qmng4LV7tPy7aNvCueDgtvi4YX1RRnC75cFpc/eCpRVW7 +SmAPp8VHe04OLn/7BNjDadE21nK8b/1P4uG0uL5D7+VGqYckH06Li9o9NNxc ++VA8nBY3Hth2eJzxB/FwWsyf/92ztS3fAns4LTYZd3VmZV2NeDgt+gQ8HFR7 +wEfxcFosNWlYPmnJR/FwWozbWrLn94Ln4uG06Le3YmRkn0fi4bQ43NgoraxI +iYfTYoZPbvPQffUy2MNpsfDTQOu4Bi/Fw2mxtdZ7Y9ZqJb+XqsWqzNXPtsY3 +zGAPp8XOHS8O37u9RH4vVYvPVpnc/9xKI7+XqsXQuuf1c0JeSz4ctd+58idh +f9fJYA+nxfsHY3Z3ia2SfDgt2t+aW3tASIMM9nBa3Pf3jFb3zbTi4bTYPbnU +curFGvFwWmx29Hrt2XZNM9jDabH81aiPi2e/lHw4Lb4M+nGy5/XaGezh6PyP +v0nf9p1hBns4Lda5HD4m01U/gz2cFl22/umUZHJVPJwWe70e3M10c8PR7OG0 +WH2/YNBJV/3R7OG0uHNK4rhbY+plsofTol3X+Mx2VvUyL138999b3f+PeP77 +L3XM19f/A2leaeo= + "], {{{}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwl2kMUIMqSBcDftm3b9mvbtm3btm3btm3btu2JPrOIU5ta1KaUeRM0aFuh +TYD//e9/aUP973//xoAEIjBBCEowghOCkJj6v9CEISzhCE8EIhKJyEQhKtGI +TgxiEovYxCEu8YhPAhKSiMQkISnJSE4KUpKK1KT5t27SkZ4MZCQTmclCVrKR +nRzkJBe5ycN/5CUf+SlAQQpRmCIUpRjFKUFJSlGaMpSlHOWpQEUqUZkqVKUa +1alBTWpRmzrUpR71aUBDGtGYJjSlGc1pQUta0Zo2tKUd7elARzrRmS50pRvd +6UFPetGbPvSlH/0ZwEAGMZghDGUYwxnBSEYxmjGMZRzjmcBEJjGZKUxlGtOZ +wUxmMZs5zGUe81nAQhaxmCUsZRnLWcFKVrGaNaxlHevZwEY2sZktbGUb29nB +Tnaxmz3sZR/7OcBBDnGYIxzlGMc5wUlOcZoznOUc57nARS5xmStc5RrXucFN +bnGbO9zlHvd5wEMe8ZgnPOUZz3nBS17xmje85R3v+cBHPvGZL3zlG9/5wU9+ +8Zs//OXf5g9AQAIRmCAEJRjBCUFIQhGaMIQlHOGJQEQiEZkoRCUa0YlBTGIR +mzjEJR7xSUBCEpGYJCQlGclJQUpSkZo0pCUd6clARjKRmSxkJRvZyUFOcpGb +PPxHXvKRnwIUpBCFKUJRilGcEpSkFKUpQ1nKUZ4KVKQSlalCVapRnRrUpBa1 +qUNd6lGfBjSkEY1pQlOa0ZwWtKQVrfl3eLelHe3pQEc60ZkudKUb3elBT3rR +mz70pR/9GcBABjGYIQxlGMMZwUhGMZoxjGUc45nARCYxmSlMZRrTmcFMZjGb +OcxlHvNZwEIWsZglLGUZy1nBSlaxmjWsZR3r2cBGNrGZLWxlG9vZwU52sZs9 +7GUf+znAQQ5xmCMc5RjHOcFJTnGaM5zlHOe5wEUucZkrXOUa17nBTW5xmzvc +5R73ecBDHvGYJzzlGc95wUte8Zo3vOUd7/nARz7xmS985Rvf+cFPfvGbP/zl +38UfgIAEIjBBCEowghOCkIQiNGEISzjCE4GIRCIyUYhKNKITg5jEIjZxiEs8 +4pOAhCQiMUlISjKSk4KUpCI1aUhLOtKTgYxkIjNZyEo2spODnOQiN3n4j7zk +Iz8FKEghClOEohSjOCUoSSlKU4aylKM8FahIJSpThapUozo1qEktalOHutSj +Pg1oSCMa04SmNKM5LWhJK1rThra0oz0d6EgnOtOFrnSjOz3oSS9604e+9KM/ +AxjIIAYzhKEMYzgjGMkoRjOGsYxjPBOYyCQmM4WpTGM6M5jJLGYzh7nMYz4L +WMgiFrOEpSxjOStYySpWs4a1rGM9G9jIJjazha1sYzs72MkudrOHvexjPwc4 +yCEOc4SjHOM4JzjJKU5zhrOc4zwXuMglLnOFq1zjOje4yS1uc4e73OM+D3jI +Ix7zhKc84zkveMkrXvOGt7zjPR/4yCc+84WvfOM7P/jJL37zh7/8e/QHICCB +CEwQghKM4IQgJKEITRjCEo7wRCAikYhMFKISjejEICaxiE0c4hKP+CQgIYlI +TBKSkozkpCAlqUhNGtKSjvRkICOZyEwWspKN7OQgJ7nITR7+Iy/5yE8BClKI +whShKMUoTglKUorSlKEs5ShPBSpSicpUoSrVqE4NalKL2tShLvWoTwMa0ojG +NKEpzWhOC1rSita0oS3taE8HOtKJznShK93oTg960ove9KEv/ejPAAYyiMEM +YSjDGM4IRjKK0YxhLOMYzwQmMonJTGEq05jODGYyi9nMYS7zmM8CFrKIxSxh +KctYzgpWsorVrGEt61jPBjayic1sYSvb2M4OdrKL3exhL/vYzwEOcojDHOEo +xzjOCU5yitOc4SznOM8FLnKJy1zhKte4zg1ucovb3OEu97jPAx7yiMc84SnP +eM4LXvKK17zhLe94zwc+8onPfOEr3/jOD37yi9/84S//PvwBCEggAhOEoAQj +OCEISShCE4awhCM8EYhIJCIThahEIzoxiEksYhOHuMQjPglISCISk4SkJCM5 +KUhJKlKThrSkIz0ZyEgmMpOFrGQjOznISS5yk4f/yEs+8lOAghSiMEUoSjGK +U4KSlKI0ZShLOcpTgYpUojJVqEo1qlODmtSiNnWoSz3q04CGNKIxTWhKM5rT +gpa0ojVtaEs72tOBjnSiM13oSje604Oe9KI3fehLP/ozgIEMYjBDGMowhjOC +kYxiNGMYyzjGM4GJTGIyU5jKNKYzg5nMYjZzmMs85rOAhSxiMUtYyjKWs4KV +rGI1a1jLOtazgY1sYjNb2Mo2trODnexiN3vYyz72c4CDHOIwRzjKMY5zgpOc +4jRnOMs5znOBi1ziMle4yjWuc4Ob3OI2d7jLPe7zgIc84jFPeMoznvOCl7zi +NW94yzve84GPfOIzX/jKN77zg5/84jd/+Mu/Yl8AAhKIwAQhKMEITghCEorQ +hCEs4QhPBCISichEISrRiE4MYhKL2MQhLvGITwISkojEJCEpyUhOClKSitSk +IS3pSE8GMpKJzGQhK9nITg5ykovc5OE/8pKP/BSgIIUoTBGKUozilKAkpShN +GcpSjvJUoCKVqEwVqlKN6tSgJrWoTR3qUo/6NKAhjWhME5rSjOa0oCWtaE0b +2tKO9nSgI53oTBe60o3u9KAnvehNH/rSj/4MYCCDGMwQhjKM4YxgJKMYzRjG +Mo7xTGAik5jMFKYyjenMYCazmM0c5jKP+SxgIYtYzBKWsozlrGAlq1jNGtay +jvVsYCOb2MwWtrKN7exgJ7vYzR72so/9HOAghzjMEY5yjOOc4CSnOM0ZznKO +81zgIpe4zBWuco3r3OAmt7jNHe5yj/s84CGPeMwTnvKM57zgJa94zRve8o73 +fOAjn/jMF77yje/84Ce/+M0f/vKv0B+AgAQiMEEISjCCE4KQhCI0YQhLOMIT +gYhEIjJRiEo0ohODmMQiNnGISzzik4CEJCIxSUhKMpKTgpSkIjVpSEs60pOB +jGQiM1nISjayk4Oc5CI3efiPvOQjPwUoSCEKU4SiFKM4JShJKUpThrKUozwV +qEglKlOFqlSjOjWoSS1qU4e61KM+DWhIIxrThKY0ozktaEkrWtOGtrSjPR3o +SCc604WudKM7PehJL3rTh770oz8DGMggBjOEoQxjOCMYyShGM4axjGM8E5jI +JCYzhalMYzozmMksZjOHucxjPgtYyCIWs4SlLGM5K1jJKlazhrWsYz0b2Mgm +NrOFrWxjOzvYyS52s4e97GM/BzjIIQ5zhKMc4zgnOMkpTnOGs5zjPBe4yCUu +c4WrXOM6N7jJLW5zh7vc4z4PeMgjHvOEpzzjOS94ySte84a3vOM9H/jIJz7z +ha984zs/+MkvfvOHv/xr8gUgIIEITBCCEozghCAkoQhNGMISjvBEICKRiEwU +ohKN6MQgJrGITRziEo/4JCAhiUhMEpKSjOSkICWpSE0a0pKO9GQgI5nITBay +ko3s5CAnuchNHv4jL/nITwEKUojCFKEoxShOCUpSitKUoSzlKE8FKlKJylSh +KtWoTg1qUova1KEu9ahPAxrSiMY0oSnNaE4LWtKK1rShLe1oTwc60onOdKEr +3ehOD3rSi970oS/96M8ABjKIwQxhKMMYzghGMorRjGEs4xjPBCYyiclMYSrT +mM4MZjKL2cxhLvOYzwIWsojFLGEpy1jOClayitWsYS3rWM8GNrKJzWxhK9vY +zg52sovd7GEv+9jPAQ5yiMMc4SjHOM4JTnKK05zhLOc4zwUuconLXOEq17jO +DW5yi9vc4S73uM8DHvKIxzzhKc94zgte8orXvOEt73jPBz7yic984Svf+M4P +fvKL3/zhL/8a/AEISCACE4SgBCM4IQhJKEIThrCEIzwRiEgkIhOFqEQjOjGI +SSxiE4e4xCM+CUhIIhKThKQkIzkpSEkqUpOGtKQjPRnISCYyk4WsZCM7OchJ +LnKTh//ISz7yU4CCFKIwRShKMYpTgpKUojRlKEs5ylOBilSiMlWoSjWqU4Oa +1KI2dahLPerTgIY0ojFNaEozmtOClrSiNW1oSzva04GOdKIzXehKN7rTg570 +ojd96Es/+jOAgQxiMEMYyjCGM4KRjGI0YxjLOMYzgYlMYjJTmMo0pjODmcxi +NnOYyzzms4CFLGIxS1jKMpazgpWsYjVrWMs61rOBjWxiM1vYyja2s4Od7GI3 +e9jLPvZzgIMc4jBHOMoxjnOCk5ziNGc4yznOc4GLXOIyV7jKNa5zg5vc4jZ3 +uMs97vOAhzziMU94yjOe84KXvOI1b3jLO97zgY984jNf+Mo3vvODn/ziN3/4 +y79wTwACEojABCEowQhOCEISitCEISzhCE8EIhKJyEQhKtGITgxiEovYxCEu +8YhPAhKSiMQkISnJSE4KUpKK1KQhLelITwYykonMZCEr2chODnKSi9zk4T/y +ko/8FKAghShMEYpSjOKUoCSlKE0ZylKO8lSgIpWoTBWqUo3q1KAmtahNHepS +j/o0oCGNaEwTmtKM5rSgJa1oTRva0o72dKAjnehMF7rSje70oCe96E0f+tKP +/gxgIIMYzBCGMozhjGAkoxjNGMYyjvFMYCKTmMwUpjKN6cxgJrOYzRzmMo/5 +LGAhi1jMEpayjOWsYCWrWM0a1rKO9WxgI5vYzBa2so3t7GAnu9jNHvayj/0c +4CCHOMwRjnKM45zgJKc4zRnOco7zXOAil7jMFa5yjevc4Ca3uM0d7nKP+zzg +IY94zBOe8oznvOAlr3jNG97yjvd84COf+MwXvvKN7/zgJ7/4zR/+8i/YF4CA +BCIwQQhKMIITgpCEIjRhCEs4whOBiEQiMlGISjSiE4OYxCI2cYhLPOKTgIQk +IjFJSEoykpOClKQiNWlISzrSk4GMZCIzWchKNrKTg5zkIjd5+I+85CM/BShI +IQpThKIUozglKEkpSlOGspSjPBWoSCUqU4WqVKM6NahJLWpTh7rUoz4NaEgj +GtOEpjSjOS1oSSta04a2tKM9HehIJzrTha50ozs96EkvetOHvvSjPwMYyCAG +M4ShDGM4IxjJKEYzhrGMYzwTmMgkJjOFqUxjOjOYySxmM4e5zGM+C1jIIhaz +hKUsYzkrWMkqVrOGtaxjPRvYyCY2s4WtbGM7O9jJLnazh73sYz8HOMghDnOE +oxzjOCc4ySlOc4aznOM8F7jIJS5zhatc4zo3uMktbnOHu9zjPg94yCMe84Sn +POM5L3jJK17zhre84z0f+MgnPvOFr3zjOz/4yS9+84e//Av1BiAggQhMEIIS +jOCEICShCE0YwhKO8EQgIpGITBSiEo3oxCAmsYhNHOISj/gkICGJSEwSkpKM +5KQgJalITZpQ/59b/j8pdrn2 + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJwN00OCIAgCALDaadu2bdu2bdu2bdu2bdu2bXtzyBOSoGG7im3/FxAQkCZU +QEA60pOBjGQiM1nISjayk4Oc5CI3echLPvJTgIIUojBFKEoxilOCkpSiNGUo +SznKU4GKVKIyVahKNapTg5rUojZ1qEs96tOAhjSiMU1oSjOa04KWtKI1bWhL +O9rTgY50ojNd6Eo3utODnvSiN33oSz/6M4CBDGIwQxjKMIYzgpGMYjRjGMs4 +xjOBiUxiMlOYyjSmM4OZzGI2c5jLPOazgIUsYjFLWMoylrOClaxiNWtYyzrW +s4GNbGIzW9jKNrazg53sYjd72Ms+9nOAgxziMEc4yjGOc4KTnOI0ZzjLOc5z +gYtc4jJXuMo1rnODm9ziNne4yz3u84CHPOIxT3jKM57zgpe84jVveMs73vOB +j3ziM1/4yje+84Of/OI3f/jLPwJCBwT8j/8IRGCCEJRgBCcEIQlFaMIQlnCE +JwIRiURkohCVaEQnBjGJRWziEJd4xCcBCUlEYpKQlGQkJwUpSUVq0pCWdKQn +AxnJRGaykJVsZCcHOclFbvKQl3zkpwAFKURhilCUYhSnBCUpRWnKUJZylKcC +FalEZapQlWpUpwY1qUVt6lCXetSnAQ1pRGOa0JRmNKcFLWlFa9rQlna0pwMd +6URnutCVbnSnBz3pRW/60Jd+9GcAAxnEYIYwlGEMZwQjGcVoxjCWcYxnAhOZ +xGSmMJVpTGcGM5nFbOYwl3nMZwELWcRilrCUZSxnBStZxWrWsJZ1rGcDG9nE +ZrawlW1sZwc72cVu9rCXfeznAAc5xGGOcJRjHOcEJznFac5wlnOc5wIXucRl +rnCVa1znBje5xW3ucJd73OcBD3nEY57wlGc85wUvecVr3vCWd7znAx/5xGe+ +8JVvfOcHP/nFb/7wl38EhPGf/whEYIIQlGAEJwQhCUVowhCWcIQnAhGJRGSi +EJVoRCcGMYlFbOIQl3jEJwEJSURikpCUZCQnBSlJRWrSkJZ0pCcDGclEZrKQ +lWxkJwc5yUVu8pCXfOSnAAUpRGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCV +alSnBjWpRW3qUJd61KcBDWlEY5rQlGY0pwUtaUVr2tCWdrSnAx3pRGe60JVu +dKcHPelFb/rQl370ZwADGcRghjCUYQxnBCMZxWjGMJZxjGcCE5nEZKYwlWlM +ZwYzmcVs5jCXecxnAQtZxGKWsJRlLGcFK1nFatawlnWsZwMb2cRmtrCVbWxn +BzvZxW72sJd97OcABznEYY5wlGMc5wQnOcVpznCWc5znAhe5xGWucJVrXOcG +N7nFbe5wl3vc5wEPecRjnvCUZzznBS95xWve8JZ3vOcDH/nEZ77wlW985wc/ ++cVv/vCXfwSE9Z//CERgghCUYAQnBCEJRWjCEJZwhCcCEYlEZKIQlWhEJwYx +iUVs4hCXeMQnAQlJRGKSkJRkJCcFKUlFatKQlnSkJwMZyURmspCVbGQnBznJ +RW7ykJd85KcABSlEYYpQlGIUpwQlKUVpylCWcpSnAhWpRGWqUJVqVKcGNalF +bepQl3rUpwENaURjmtCUZjSnBS1pRWva0JZ2tKcDHelEZ7rQlW50pwc96UVv ++tCXfvRnAAMZxGCGMJRhDGcEIxnFaMYwlnGMZwITmcRkpjCVaUxnBjOZxWzm +MJd5zGcBC1nEYpawlGUsZwUrWcVq1rCWdaxnAxvZxGa2sJVtbGcHO9nFbvaw +l33s5wAHOcRhjnCUYxznBCc5xWnOcJZznOcCF7nEZa5wlWtc5wY3ucVt7nCX +e9znAQ95xGOe8JRnPOcFL3nFa97wlne85wMf+cRnvvCVb3znBz/5xW/+8Jd/ +BITzn/8IRGCCEJRgBCcEIQlFaMIQlnCEJwIRiURkohCVaEQnBjGJRWziEJd4 +xCcBCUlEYpKQlGQkJwUpSUVq0pCWdKQnAxnJRGaykJVsZCcHOclFbvKQl3zk +pwAFKURhilCUYhSnBCUpRWnKUJZylKcCFalEZapQlWpUpwY1qUVt6lCXetSn +AQ1pRGOa0JRmNKcFLWlFa9rQlna0pwMd6URnutCVbnSnBz3pRW/60Jd+9GcA +AxnEYIYwlGEMZwQjGcVoxjCWcYxnAhOZxGSmMJVpTGcGM5nFbOYwl3nMZwEL +WcRilrCUZSxnBStZxWrWsJZ1rGcDG9nEZrawlW1sZwc72cVu9rCXfeznAAc5 +xGGOcJRjHOcEJznFac5wlnOc5wIXucRlrnCVa1znBje5xW3ucJd73OcBD3nE +Y57wlGc85wUvecVr3vCWd7znAx/5xGe+8JVvfOcHP/nFb/7wl38EhPef/whE +YIIQlGAEJwQhCUVowhCWcIQnAhGJRGSiEJVoRCcGMYlFbOIQl3jEJwEJSURi +kpCUZCQnBSlJRWrSkJZ0pCcDGclEZrKQlWxkJwc5yUVu8pCXfOSnAAUpRGGK +UJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBjWpRW3qUJd61KcBDWlEY5rQ +lGY0pwUtaUVr2tCWdrSnAx3pRGe60JVudKcHPelFb/rQl370ZwADGcRghjCU +YQxnBCMZxWjGMJZxjGcCE5nEZKYwlWlMZwYzmcVs5jCXecxnAQtZxGKWsJRl +LGcFK1nFatawlnWsZwMb2cRmtrCVbWxnBzvZxW72sJd97OcABznEYY5wlGMc +5wQnOcVpznCWc5znAhe5xGWucJVrXOcGN7nFbe5wl3vc5wEPecRjnvCUZzzn +BS95xWve8JZ3vOcDH/nEZ77wlW985wc/+cVv/vCXfwRE8J//CERgghCUYAQn +BCEJRWjCEJZwhCcCEYlEZKIQlWhEJwYxiUVs4hCXeMQnAQlJRGKSkJRkJCcF +KUlFatKQlnSkJwMZyURmspCVbGQnBznJRW7ykJd85KcABSlEYYpQlGIUpwQl +KUVpylCWcpSnAhWpRGWqUJVqVKcGNalFbepQl3rUpwENaURjmtCUZjSnBS1p +RWva0JZ2tKcDHelEZ7rQlW50pwc96UVv+tCXfvRnAAMZxGCGMJRhDGcEIxnF +aMYwlnGMZwITmcRkpjCVaUxnBjOZxWzmMJd5zGcBC1nEYpawlGUsZwUrWcVq +1rCWdaxnAxvZxGa2sJVtbGcHO9nFbvawl33s5wAHOcRhjnCUYxznBCc5xWnO +cJZznOcCF7nEZa5wlWtc5wY3ucVt7nCXe9znAQ95xGOe8JRnPOcFL3nFa97w +lne85wMf+cRnvvCVb3znBz/5xW/+8Jd/BET0n/8IRGCCEJRgBCcEIQlFaMIQ +lnCEJwIRiURkohCVaEQnBjGJRWziEJd4xCcBCUlEYpKQlGQkJwUpSUVq0pCW +dKQnAxnJRGaykJVsZCcHOclFbvKQl3zkpwAFKURhilCUYhSnBCUpRWnKUJZy +lKcCFalEZapQlWpUpwY1qUVt6lCXetSnAQ1pRGOa0JRmNKcFLWlFa9rQlna0 +pwMd6URnutCVbnSnBz3pRW/60Jd+9GcAAxnEYIYwlGEMZwQjGcVoxjCWcYxn +AhOZxGSmMJVpTGcGM5nFbOYwl3nMZwELWcRilrCUZSxnBStZxWrWsJZ1rGcD +G9nEZrawlW1sZwc72cVu9rCXfeznAAc5xGGOcJRjHOcEJznFac5wlnOc5wIX +ucRlrnCVa1znBje5xW3ucJd73OcBD3nEY57wlGc85wUvecVr3vCWd7znAx/5 +xGe+8JVvfOcHP/nFb/7wl38ERPKf/whEYIIQlGAEJwQhCUVowhCWcIQnAhGJ +RGSiEJVoRCcGMYlFbOIQl3jEJwEJSURikpCUZCQnBSlJRWrSkJZ0pCcDGclE +ZrKQlWxkJwc5yUVu8pCXfOSnAAUpRGGKUJRiFKcEJSlFacpQlnKUpwIVqURl +qlCValSnBjWpRW3qUJd61KcBDWlEY5rQlGY0pwUtaUVr2tCWdrSnAx3pRGe6 +0JVudKcHPelFb/rQl370ZwADGcRghjCUYQxnBCMZxWjGMJZxjGcCE5nEZKYw +lWlMZwYzmcVs5jCXecxnAQtZxGKWsJRlLGcFK1nFatawlnWsZwMb2cRmtrCV +bWxnBzvZxW72sJd97OcABznEYY5wlGMc5wQnOcVpznCWc5znAhe5xGWucJVr +XOcGN7nFbe5wl3vc5wEPecRjnvCUZzznBS95xWve8JZ3vOcDH/nEZ77wlW98 +5wc/+cVv/vCXfwRE9p//CERgghCUYAQnBCEJRWjCEJZwhCcCEYlEZKIQlWhE +JwYxiUVs4hCXeMQnAQlJRGKSkJRkJCcFKUlFatKQlnSkJwMZyURmspCVbGQn +BznJRW7ykJd85KcABSlEYYpQlGIUpwQlKUVpylCWcpSnAhWpRGWqUJVqVKcG +NalFbepQl3rUpwENaURjmtCUZjSnBS1pRWva0JZ2tKcDHelEZ7rQlW50pwc9 +6UVv+tCXfvRnAAMZxGCGMJRhDGcEIxnFaMYwlnGMZwITmcRkpjCVaUxnBjOZ +xWzmMJd5zGcBC1nEYpawlGUsZwUrWcVq1rCWdaxnAxvZxGa2sJVtbGcHO9nF +bvawl33s5wAHOcRhjnCUYxznBCc5xWnOcJZznOcCF7nEZa5wlWtc5wY3ucVt +7nCXe9znAQ95xGOe8JRnPOcFL3nFa97wlne85wMf+cRnvvCVb3znBz/5xW/+ +8Jd/BETxn/8IRGCCEJRgBCcEIQlFaMIQlnCEJwIRiURkohCVaEQnBjGJRWzi +EJd4xCcBCUlEYpKQlGQkJwUpSUVq0pCWdKQnAxnJRGaykJVsZCcHOclFbvKQ +l3zkpwAFKURhilCUYhSnBCUpRWnKUJZylKcCFalEZapQlWpUpwY1qUVt6lCX +etSnAQ1pRGOa0JRmNKcFLWlFa9rQlna0pwMd6URnutCVbnSnBz3pRW/60Jd+ +9GcAAxnEYIYwlGEMZwQjGcVoxjCWcYxnAhOZxGSmMJVpTGcGM5nFbOYwl3nM +ZwELWcRilrCUZSxnBStZxWrWsJZ1rGcDG9nEZrawlW1sZwc72cVu9rCXfezn +AAc5xGGOcJRjHOcEJznFac5wlnOc5wIXucRlrnCVa1znBje5xW3ucJd73OcB +D3nEY57wlGc85wUvecVr3vCWd7znAx/5xGe+8JVvfOcHP/nFb/7wl38ERPWf +/whEYIIQlGAEJwQhCUVowhCWcIQnAhGJRGSiEJVoRCcGMYlFbOIQl3jEJwEJ +SURikpCUZCQnBSlJRWrSkJZ0pCcDGclEZrKQlWxkJwc5yUVu8pCXfOSnAAUp +RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBjWpxf8B+PQ+yg== + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Hue[0.1421359549995791, 0.6, 0.6], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + GrayLevel[0], + Dashing[{Small, Small}]], + Line[{5724, 5725}]}, "Charting`Private`Tag#3"]}}], <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{-1.25, -0.85}, {-0.25, 1.15}}, + "Frame" -> {{True, True}, {True, True}}, + "AxesOrigin" -> {-0.8500000000000019, 0}, + "ImageSize" -> {140, 140/GoldenRatio}, "Axes" -> {False, False}, + "LabelStyle" -> Directive[ + GrayLevel[0], FontFamily -> "Times", FontSize -> 10], + "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + GrayLevel[0], + Dashing[{Small, Small}]], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + GrayLevel[0]], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <| + "CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, "Primitives" -> {{{}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJw0mnk0Vt/bhw1JRQMVSUgZEoW+RSVuc5KQJKWIUEkiGYqiRJIiRGVKyFAy +z8M2z/M8z1Q45zw0h3r3b63z/mVd63mc5+zpvj97rUvY4oaBFQsTE9NeDiam +//197M8s/IOFQDIrpuau6W+E/2d55qXp//G1qS29NawEGs9+z3P1Ai+cUJIJ +eLWCQJGTzoI1jfwgHXZU8xobgb7PGNw4rLcduBimy0dWEmiwTvuVzJcd8FXL +OWsdO4EqykxcFbNEoSvm6bVRzIaeWk7Gabsg90/cjoxVBLrgduGH65AkvD5V +1Oe1mkCCJfZmoQrS4P6hI/D0GgKdHmCsMrSSBVO22aPiHATKuK+TY6DxHyib +svz7hRm2r5guVzwAO3L5cuo5CdQ1s0njja48rNggez1iLYEmzJU9pl0OwfQV +LRG7dQQyOsQJz/MVoLbMbADWE8gbyvdEbFSC5K0uQVwbCMS3I+82s5oy+Ds+ +OzaBufKfY/hFXxW40RjPlM1FoFfuI7pf+lThpGhxrg83gXLlxa1fyavDf/c6 +7Yw3EmhrdF+GXYwGbO6ZFd29iUAHJqbZLvMehZ/SrEOLmJ/OvJH2fKUFfb5b +Q5o2E+icRuG/XHFtKByTPR7Ng9dD+LgGZ/lxiDx8jMWBl0CpR2qa71w5AR7B +F/NVtxBIeoDdhplfD8znXOw38eHxn9+50GyrD+oaAeLTmI/fW88mbnASxKLe +DeduJVBWWHXHI0UDWPWz+MVjfgLphv5UY+w9BTN6XTom2/B8crAomIkZQmPi +HOseAQKJiP+I7Nh5Gj4yryj8i9krNeSkjrgRBJ7jv9kqiMezrHu4VuYM3Mzc +J/FWCM/XN80jmsrGYMipPeq4nUB3lnUVqk6fBTkr8zANYQKxs8xsVXc4B3wl +rrq8OwjUcul4SVmQCSzyBLJ9wSz/y2iVYsF5GLqRUFSwk0BMLDEDWZ8uAKot +cfQXIZCqpN3WXfxmECPcvdtUlEBF6GyH9u6L4HWHGJMWIxDaxyH7t+4iWHWs +eMUsTqB2nR+hKbbmcFRqm34H5oGNUU7G3BYg4f0fe/wuvN4ZFxT/FlgAx7B2 +ibMEgXScrphGWV8CUs7CSWs3Hm9NndbBzZbQGnBbaqskgaxlrGwbqi0h43Pg +xCzm7fo/Dp5xs4IQlcTXxVIEGh3cIDiwzxqcX6OTAXsINBW9/YkRYQ3GX7tX +me8lUMjNq1Rt8mU4rEOifdL4+QrsBbLXrsC2eDaXFTL481nibODeq/B3edve +bswcbl8UJ79dhVGj/VMJsgSKmo7slCqxgYrU4xG39xEoVOqR/1W/axC/6tKp +4//h83nsd8ers7bwyPzOGoH9BJKT385XLHkdrhY8LyMxi0//q2tnsoPjG5Nc +Sw8QSEvplmF/rx3ssS2VDpLD+2WrrFhH5g1YX9UzfUmeQIE7zI2GtexhXoCK +PHAQ79fCqt3H8+2hw3nlafZDBHokMVktLekA2S0CnH2Ykazm2JMoB3i560BF +8mECDT8xlzHbeBPu3Ne5465AIL/RMJukxzfhfP8lWd0jBPpZI6dpw+wISv+5 +fRZSJNDDWpfbMXccYbt/UPQ8Zu3TngW63x2BZSrJqEKJQNmN5sjB4RZMKpat +fQEEEnDhU1pD3YLq0N5Ka2UCmXoHzvHaOUEiRbkdVCGQ/foIz9ekE/hpsf+3 +RpVA/7iXU5/bO8P1GMGZAcwcNy/KLH91Br0/B2JS1Ah0d940d9DVBWRPnTD2 +UCdQjfzdP9L/XGDjB8v1JzUIdK9BMvWHjyt8X+FevUOTQItcNX4yG25Dz4Xg +u98wfz0Rpjr0+jbk5yTvrz6K61dU65MlsTsQvr58NkwL7/fFIP5nWXfg7pW+ +t1ePEejloZl3z9XcwKyMcVZBm0AzzM3jKzvdQGXrKq61xwmU1yX8kLByBxFH +odphzFs4skHjtzusbJTzSNMh0OcG1fq1z+7CZxFduQcnCGS36Wavpsg9qL9r +RZzSJRBLRpcgo/AefOh2jxPVI1CaytzJ1ac94Jl0iMlPzCN7t4sFUR5g7/ue +u06fQEu9E+JVGp5gMFZe9/okgXwuB5W5hnjC/sP9nrYGBFJO3lcwMeEJPMHz +8kqn8HmybZtl238ffs+uotYbEqjX1EFm5OF9GFDf/m4M8xg3h8WN7vtQHCl/ +IfM0no+//udydj2A6B+6m7yN8H5wGfia6fYA7utZNxidwfsvt5X9SssDuJR4 +98EuYwKxdR+xb9/pBRrMLw79wSzfOLXyq6sXiJ/7wGg4S6AAh0fxdc1esDqz +IiHyHK4P7h2iRqIPYZZjwPSGCYEueTqYv3R/CM2WC5tVzuPPqb+ygZ0PIa14 +dRP3BQIV8qx3UNzjDUE8wg8nMYdvl/wV7eMNt24cVMgxJRDJ1f4md9QbjGr1 +Fh6ZEciq3lX9oYIPHBS+nHT2IoHiWf2K2MJ8YOudexclzQn0Qd96XOGrDyy1 +v+BdxiwmYBkgpv8IhiVTmpstCNT4oSWiKuURlD6s9H5zCdevrbMTWzh9IXZo +4MhNSwINGZOK26/5grfc169qVgTaL83+cKDeFy4HrHm/2ZpAmgpnA7QlH8Ox +z8IWnzA7UssHr/s/BkmVQ3z5lwl0JmjFOTXyMax9rd/qdwX/vtLNhkZ9P6AW +Lj86f5VAa0ZVrFdn+UHbcQ+lvTb4/Mpbsv7mfQKZcaHf/2Hu6kJu4e5P4MVy +yoe2awRSF5BNZIw9AVejqkuxtrjfKfuZ/zrqD+dSB7c6XSfQTdVgz4yP/nBk +1bc2TTsCxX1bPSzI8xQEzTkeb7lBoLc/YwwU7z0FpoIdyjOYu/NX5K799BTG +uQ//LLQn0FqXtokA/WdQee3kx6cOBOqQj0yvKHgG7yqvWJndxPupdeFXomgA ++Ap4bpN1xP163CAIngeAjXNYB8stAjn0/Gf0aDkATrR89OvE3LLguU75QCBI +76pWeedEoBU+ASObbAOB6/7QLxdnArk8dlijGhsIX/u+pR5zIZB/1Qm3mv5A +6NrHeZnfFdfTaQ3BeO7nkPtkpyCBOcDdeaRf+zm8mjzcVXKbQHV/fiRf8XoO +booG/oF3CPQut89Wt+g5XAi9qmbhhudrTpLL//tzAMrzz3/uBDqU9d1LWDoI +dmi9TGe7SyCVtYfT110NghUxqVd6MP/o/vHwVGwQTP+uFkq6h+tfu8gsNRQE +tQbD3Xc8cB56m9sysSUYkt9/f6rjSaC5ukhBacNg8F+xVkPwPoH0Ukor2gKC +we6CyBKFmf35ivcNDcGgn6OQWfaAQJmvtEq3rQqBfetP2QR7Eai8wZqqVA+B +TVdshK0e4n7AsV+k8n4I/Cy93yvnTSBefk/trSgE+vheBazyIVBO5GbdmqUQ +KLyZptmPuWtjwdaGwy8gsqFm+f0jvN6DeyJEb78AD5GRrLu+BLp2QKqkO/cF +mN/9cU3vMR7voWu2wz9egFr32p3CfgSqGg0POiIXCqLSov0LmNuYbbbNO4UC +u++R55VP8PnWc/++mB0KX0ZPaYX6E8jJ+NbqCz9CofHQtX+Xn+J6/phU2yQf +Bh+DHuQcekYgqd0PAoVdwyBw9tV1jgACPTAt63+YHwY31dNFhjAPih5ap7QY +BoaRtQMfA/H8nXPgOaH4EuR+jAR5PifQ1cy54XSPl7BF7+cxgyA8P2jXKdvy +l/AnYR2zSDD+/5lIU3e2VzDIJJb3HXPTbTQ3pvUKSs4q3qgJIdDzJ4ufI/xf +QUyGodirFwRq2FKolNL6Crw4bIdsQgl0+6t5H/fm12Bl6RVyJAznr2rduKaz +r+Fo8evj614S6DLPgN9Q1GuQ4MlgGcXMCSauqpOvgeNGXX76KwJdcRI0XpII +B6Jm1N7rNd5f1XabOezDoWX7L/HT4QSS5HkebpcTDum314+IReD1FK7qElsO +h+B2sdBfmG/f0Uj9Tz0CnCWVTtRH4nzpf3TjiycRYPzw9IqIqP/lwcU57Y4I +ODxkW3g9GueHTH/x0/yRsE3u4U14QyCb5u0fMy9Fwt9n4RJcMbheJvdes/4Q +CaOfMkbHMZNjLcevf4+EcuX6sKy3BPIY33aoVikK4l6N6frE4v7KaBV08Y0C +n4VfbMZxBNq089en2+1RcOX4hmKJeNzfrrzyaN0WDcfjxG8tYnZ8kNN653I0 +7FlWkmx6h/MDm061a0Y0rDcyGo9KwP3t/Mnj9cvRMP/x+iv7RFyPzM/H54q8 +gQ52b33VJJxn4nN7MrXfQPbFCPZNyQRadW1vRp/9GwjLzyyZwsy2bt9uibA3 +cJu7wSn3PYEKDGQPvC1+AybXxqUef8D1w/plrfrkG1Cs/D1xLoVAv0db+zZw +xICQAFe41EcC9ZeqmK7cFwMszrsM/mIet9M7Lno2BiabYXVrKs7rRSah1z1j +oFr8TGlMGq6P41X7RxJiINHTzsUxHe9/rxn+Oy0x4NfnvVcjg0Cvk3eqKP2M +Adt9kVM8mThv1dW+khR6C7pPsiI+YzYp3MuvevQtyEw2nCrIIlBseULB/Rtv +gVtxYo1/NoE+Pfa6PhP2Fr69+FN2IQev7871Eu6lb6GH5LotnUug+lUvxuW+ +vIX8oxIyzHkE8uS/ELCVOxbC3yh/ascsGPdBRFwhFu7+PhMVl4/7vUF12HnL +WDAzuHHauQCvt+LMUMHTWFB578OpVUig0onTcyq5sbBzRVQFXxHOQxLqefOj +scB2IfvOLObYc4Rc/Zo4+JTdKFtc/L98+OhCw/44qFs3+flZCYHWp6oLfzeN +gw+XF6MvIpwnbYxcjj6Og2el3Gf2leJ+qjJjWJ4ZB/Z8u9etKCPQHk/JVKvh +ODC4qVLVhXlzr6qb7Op42N9g7J5QjvuhudFH0f3xwCNi/9/tCvz+rx4rqprF +wy/3RzPalbh+Wi4LPPKLh/6uqJhtVThvrmlV/5YdD0V7c4xJzKuOCCQ8HouH +qEdN60urCfSR4++ho2vfwf3RyernNQQ6+9V3QerQO7h0aOnupVrc39vaqg5b +vQONoI0HDtQRyGzmS6L983cgPrt7bmU9gXZNjwQ2F7+D1eqqsb2YI9vRTcOZ +dzAbcfZccgOurzuC1Vh4E6Dpuz2XeyPOf+8v/upRS4BUXd/aE034fpcs5dNl +nwDPE6I9hJrx89GvsT+RCeDIlCs3j5mZrGDSakgAo7PNRHkL/r1ov56iXwlw +MGMqLqSVQE+iNU3OiiXCVo5lE+s2fP8QojwFDBNh6dKmjQfb8XnKclVc/SAR +hosk61d3EIgy6vLelpYIpZvV7g9g1rT4pntmOBHe2p07mNKJ5yO0/Gk+ZxI8 +rHGg7nUR6Bbvbnk1hSSw3v74nX43vj9e41b+djUJtG6/ubCjh0BK7hcjG18m +gWR77qZvmN3Cfqg11iTBWsmWhqpe3L+vZ+36+iMJKK/pB2F9+P7E5aKsIpYM +bYPLh672E4j79xbPnNPJkHlg8/zhAQL999dh0MA7GV48k0rkHMTPP39Gmyc7 +GVw+qZkNY/ZNDy9hmkqGs8omPGlDOD//XbeXe/N7UHh1s+n+MO7PCfeeamu8 +B4GFxw9PjeD3dchuS3J6D0zHYxRER/F6erlR0u/ew3hs3sIPzElXQgcGu99D +5VJLUu0Yvt9y1vmlsX+Ad6c/XXw9ju/bRR3zyQc/gO/Hv7y2Eziv7rrF1nj1 +A9iw87QoThJo2dyqYGP4B9C5uMdn/RS+jwyasT1o/AB789UVxzBvtuUb4Pn7 +ATZwn/+WMY3z9FENmXbpFFiwcXz/8BPer2whvzPNU6Crws/C6DOBZKMzJYuC +UyB321u+XV9wfrfTK5ypSoFXTvmtvzF/3rshEn6lgFtz66OGGTz+xfCqgt0f +4YL4Z6XIWfx9g1CJ8xc+Anj++243R6DVXG+KdwZ+BOE+nhRlAtdrpzPOXBUf +gXXfXktuEq+/kLGW6I+PMOWnwT+Jefs6hV1mEqlQM3G+PZsi0LG3oWvQ+VRI +PnLr8SMGgS5G/J1QD0wF/xdPlM/OE+iUwIokRkUq2JFvf+5ewP3q3QHd8p+p +oH+04OMS5iP+6ycHN6fBvjdtVs1fCRTTH+c9K50Gm35/3vbmG4G+rRNcuVY7 +DX6cZOp0+E6gWoliMxXLNOhN5n2i9gPns4Fyf997aVDAKq26+SeBMh7aPRp/ +mQYR5zV/T2NWOfD3mF5mGnhkX0jL+4X7Y8rH5uamNDBf53TZ7zc+f+cL15t/ +TgO1y/6C5//g821uyr6SNR1ES2O79iwSiPGkNbVYIB3Y+Qr9/2F2PHeM1fdg +OnxxaFdrWyLQusW/i5an0qGh/suft8v4PBwXCzG0S4eUncwZt/7ifNS7UGX0 +OB0C3Ldc1fxHoJ6R0CfX4tLBoUt6+xYmEj3SURsJQulguPdozxfMpwvECxv7 +00HukemzQmYSrbx1k4/vRzpsGXXSeMpComRH/W8uXBnw5+DTJVNWEoVaEBpf +pDJg8HlcpswKEp155bjqulYGlMwU2rCw4e8LccqxWmbAG7UO4U7MDh+Ga997 +ZMCDiJne+JUkeh/PVWgdngGW35kDXdhJdG17/cr9uRmgqct39NgqEjWPSr7b +2JEBEgkyf7euJhF19mwwG5UBHExa2XOYJ1Mca1dzZAJhbGZbsoZEqSmvYbt4 +JrSkO+8M5CDRwb65BS21TEhf86zfnJNEqmaPBr3MMiH4Uvzz/9aSyFrA50er +WyY4FRVpsa3D433DqiDzMhPObO78141ZfQdfXExWJhyym81JXE+i5afDkqJt +mcBfw2J3ZwOJStxsGguITFgW2iqqw0Wign3tHhZrsmDUVXZQgJtE1YkSytvE +s6C8TSuYwnz8gRf7Z7UsiNt9UbtsI4mUuedbqi5mgY+XC3PwJhKJnnsQnH03 +C64MPsuz3Eyib6s1dXJfZ4H2gXc35HhIJOh57Ft9bhZIPSsWW8VLIs+6l96M +zixY96lzqA+zWYzSH/GFLGDAXMj7LSQ656Cu47A+G9pfsurc5SOR1ckMp0ap +bMie38qqt5VElewB9oe0syFMe1/Bdn4S/V01Kpd3ORtuxx5zWMDc55JUruWd +DSZLF3dVbiORvii5dvZtNiiedh15IUAi/8BU7ujSbBD6GBB6WZBEI9NEveVw +NjCzJ5w4JESiXNZEmSNL2TBhVrKCYzuJIu0HFEW35kBVXlfhIOZdVg/nhA7m +QAIXcfOjMIn+VEfKSRnlgJ/Nit2eO0iUIyHMo30rB2wr+MdO7sSfN6/0vh2U +A7rb/nu5UwTvt3cadwvSckDGSVvvO2bdEx2/OFtygLvZfGWNKJ7f9XHz9kQO +fBO7XfxSjESOU8nm0xy50O0ReMtGnETMLn2q13fnQl5vguSRXSTq3rI9gO1Y +LryWReNrJfD+bbqunHo5F9z9ul+NYP6pkaZv45MLZhOEfvpuEv0Y6ciXi88F +lSNsq7wkSRSWUOG0qTIXdr7YhgylSHTH6Oo91olcYCP/cxbbQ6Ivp1KaWFny +4JPm8T2/MLdlOV/YLJwHddEWk3V7SVSq8F78oHIevP91OzxcmkS9xtJitmZ5 +8PTkc4PrMvg8SEwbpN/LgxvJiatBlkQTt9MT2KPy4CRraemGfSQaKnMQvFGc +B/vP97iMY2betTL902Ae8GSTe7P+I9EGT52zDkt58Gvtymnv/SRSPC7Mxbkt +H/qtBSLPHCDR7jyz9lyFfChC+w0l5PDz346F3jLJh6gtOhyLmHtCPIxV3fLB +0+FSeaM8idorN3AJh+eDRf2d21EHSfSb51rBhsJ8UN8ZJGN/CO//bZd0uQby +Qcw96ZPKYRL929RYvWMxH1Z3lUZtVCBRxqyLgAZ/Aczu6T09hfnAYXkdV4UC +aPKhOHOPkIjvaJ9OkUkBpI6srPRVJJHESik+LvcCeH5Q0O2cEokub1lKdo4o +AMfnB/ZJAYlYGzctzRUVwOkZnS/LmM9tU155a6gA5NUs37Qok4hM16zg+FsA +fBFuZ2JUSGQ5TkllCRbC4regdY6q+DylMSteh0IYPpFcpa5GIv5GZULuYiGU +vitz51HH+zXIXp7rfiG8/df732fM8ZynNi/FFMJDY8ZMvgaJYhYSPX+WF4J1 +OvvbJ5okkk6UdWSdLAStNUJnLxzF76v0flqIrQh2X5LbIK1FokuO7Z06YkXA +WXSihukYHn+Ghbzf0SIgN1nda8c8y82zqvdKEbRedz8Qp00ir3PxynJ+RZBZ +HTzndBzXwz81/XHvi+CF0PvYozok4ti4v3VnUxG4uJaf4ztBoii7qK2ZZBGc +bevjmsV8yqcg02BDMSjsnq8t0iVRnsfhFyz7ikHAa5XnMz0SHd7Tkld+qhj+ +DQjJX9QnkfC6XVuCnYphbL88KXsSn3fdrx8cw4qh4qluPKsBiW7WDNlb5hdD +/LTV+S7ML/8GXbAaKAZfuLsx4RSJXogV2zovF4PNy5B6V0MSNQiyvQwTKgGd ++ff3tU/j+Xfk7qtVKYG92hUHtxmRyODCE4k1liWwIbafIjBrl2/yMvEpgYXF ++XfoDInK9huMFCWWQKfhatPnxnh+vk7J720ogZyU7ZsvncXjmbX3SSVK4OXK +g437z5GoNSuoUnkDgjtmel4rTfD7hHyZHduH4EKe9eFezPx3uX8EnUYAXPfm +k87j/b82afCUKwJhmxeJbhdIdCjw6CuRcASsFR/MTpiSyO6vjyhbCYIp/koe +ITNcz5b+3vk+iqDm1kATA7Mw+bbuD3MpJDUtPCy/SKLyE78yH2wshSdia46E +mON+efPYbW7RUrjuIfzVyoJExr5mPMlypaDXezBZ/hKJuLlYfY9plcI+WX3z +1ZYk2j61WM84Wwqb/C5vGcC85z+uwehrpfBj/F7LByu8XzxX5xndLYVehVCf +e9Z4fyQkm2wOKIWCkBRF/cskSuvPrxh4UwoRROU34SskehuzOJeUUQr3NAff +f8X8rP+/To/KUrgY/dWi6iruN/mizhe6S0H115qtYTZ4P9cHt6h+LgWRkzva +rlwjUQoBQ9J/SoE9+ZDvYVsS3Ts3Gy7CWQZfWE4C53USVUQZrRIWLIMGkys/ +hjA7RakIicqUQUqWR0qqHYn6g68NyaiWQcDaMMv7N0h0f30wqBuWgYP1R/5T +9iRS++WkamZdBqdQVbuIA+7XT9rG77uWwYEtQ49/YCZMLAQ++JUBr8M35dqb +uB+cmiSHIsrgdx3Hr1eOJLLJ5DbkTS2DwR07U6/dIpHPhsRjxmVlUOJ22FrR +CeeFM6aNbzrK4E3nSYH1ziQybyR7GVNl8GDP1c5RzCLs3LZav8rA0sfzSYYL +nq+fHg8S1pSD5kiY6kNXvH8mqC3rBMph18HU36dvk4jt8hYpd+lyWPO8Ok38 +Ds4Lt1Lz5lXKYe7L0OXfmKlTNoV2huXQrPpdsMENc9yyzFfrckgL5+yOcCeR +63kBHo/b5RD8bedTu7skWlvtfpnbvxycTiioK98j0W2vZuGUqHI4885gkcsD +92P/ShW99HI49O9qxgTmMJkNlb8qyoHf+P7VbE8SXd1oEZ/UXQ7LaS+3P7pP +IiMZm3HzL+Uwsjqtx/gBibJ7e1yEl8qhzKLm2W4vEu3NOmvxeV0FxBYOayxh +zi+NjMoRrgDvTT+Wmh6S6I3BSQn//RVw5frarGhvEj3YJPzvytEK0K4Wuebg +QyI99vxtJ85VgJTQkR1qj0h04kHlvYPXK2Cd66m+Tb64fv9HCkt6VgCj1SZw +GnO8wCiLaHAFtEs8OJr3GNebCDVBsXcVkPXg1d/HfiS6a1Zmvze/AkIH0rJN +nuDx2DH/VGysANf9tbZ7/HG/F3ufYjhSAeeejuz8h3nxsNXzmwsVoDj9o7/1 +Ka6vOV1RYWyVIATrgt4+IxHn75j2ii2VwPxS9NitAJzP8u0kfkpWwgTjCJNm +IIli2UajZaESqo4Z5vI+J9EF5Vf7HA0qIeHtNbsvmEd3Kg4XWlXC48UHooVB +JHpaej2a43YlXDN8PegfTCLJu403Lfwr4URKerBpCIk+8/wxLI2uBOmVddoy +L/6Xx0JVRTMrgdtslJklFJ9/H5WDz6sr4Vvuz7wOzKyPXu1b0V8J3RvW28eH +kcg3/8jee0Ql5F0VE3d5SSL3J7Xi/5iq4HW54rDWKxK9K+3jf7SpCtz5T7/Y ++ppEmo6c7Dy7qsD0lq3OHOYNm5am3itUgXKTF2tJOIn22ahla+lVwQ6x8IKA +CJzvg90d5iyqYIVHhoN5JO4PrCq8Yc5V8Kmnbtd/USTK9JaI1fKrgjqZsZEV +0Xi+DjdzMUdVwfvHv0K7MV94XW1Wml4FT8fX6ya+IVH9zaTHPlVVcENBnO1O +DN4/nzn9T/VVwckQpaLjb3Ged/G5JE5UwX/EaUeBWBJ9ZCRzsjBXw2bN67sp +zEPu6x5ObKqGn1EPx0rjSPTptXp1w65q6PsZ/jIonkQ620dbCo5UQ5F+pp7l +O5yPDzlGpulXQ1RS/Uq5BBLtuBIgmWJZDZ4s48XsiXh9fKqc01yrwcLk960+ +zAaV8W4F/tWgnrVB6n0SrhcJSK7hTTWIrd014Z6M88e6t3HjWdWwyhpe677H ++VZqoIyprhpmSoxObv+A8+STX36iQ9XQyGu3agFzN+ejJf35avho740qUnBe ++8y90YutBp7XRTi/+Eii4BTxhkK+GnDckbXncip+H2V94cU9NXDarWHyYBqJ +4oZ4uFVUa0C+czx8TTq+f/GyvX5qVAN8e/4YDGKO64nNHrWpgUVvrjUfM3A/ +MnUzP+xRA0PDu8o8MnF/V934+nVwDSB5ZdeTWTjfOSyYMCfWQEzgGemd2SQK +ko6OtSuqAa8vdtPfMHPxRV8fb60Ba1WfyOocXM+FXHLOT9WAVnik4ctcnP/8 +398Z+l0Du79lcdjk4bz9F+VYrqsFzhON5Qr5eP2vy19e2FELZPzE7bUFePxi +Ib4+8rXQ+vePzAjm281neIV1aiHjDPfntEI8v2asG8ov1kJImkT0gyISvd7D +e+OqUy04r1YxMiwm0eNRbllev1owtjBeK1aC19vVyaAhqhYUCm9U/sTccKK4 +xTuzFgQ2PXKrQ3h/r70Sr1lbC/9so/aFl+L7S9FCy9qhWhiryv5iW0Yi+dy+ +4wPztVAh2PRGqRzn0bSXm1NX1kG8y+SZDRUkMsxMlnjMXwePWhfXjWP+LOT3 +8KpMHVyV2FidWUmipo9pO/Q16uD4g913vatwvbwRxqR4rg72DKjsP1NNooWa +nK0yN+pgw/6zs7tqSCTl7HNd4mEdLPjbv/2DOefZ3e+7XtVB59Sjs421+P3u +cqfu/VgHOUrRG6LqSKTAVhh6uKIOXobl1NyoJ5Gt8lyiTm8d3GE03VNpwPXh +zdZxS6IOzh+bOrCxkURZRL6SF0s9KL1dmpvEfExLsTCBtx62L26My2kiEYjz +GrZL1QOLoaSJbzO+rxnns7Gq1sPUB1Xucy3491hfNB46Uw81bOfqJFvxerzd +Gu9sWw9Jpg6ey5hfcBY8yb9fD09yfeVb2nA+uTbuzhJWD9c3vCHftJMoRI3F +6eSHetC7mht/s4NE3naP7N+V1YNsefN59U4SuQiOXGPuqYeN/NMbebpIVDjo +dMlirh6+Oy7Xf8K82S/3VB1zA/Q0bnqQ343zgOn6Q3K8DVAgKnXoSQ/u9zOf +1idJNUDEPTXG+V58Pja97xRWbYB7PecS9vbh+sBf7vXmTANclLlpytRPoiOh +L/hErzeA6uPHm9sxC958GpT6oAFExt80xg7g+9G3DbPwsgFWKuR5OQ2SyK/Q +R6g7pQE+B7ccPjpEol8FhlI3Kxqgfm56fsswiZ7D6NpNfQ3wQeNv4gxmR+Jp +aSHZAM+iNl8sGiGRQ2mZ8tUVjeDwU4r32SiJeDlH/LZtbYRT+urNZmO4f7pp +hXdJN8KBJBNv2XESmXJftAvRaAReFscjrBM4P+1+xHTWpBF+n/P72ol5t6ug +7k6HRhjIjEl+N4nz8wqfU199GqGYM9/cdQrntX0Ca+siGiHaqnWL9jR+XpGq +S1xGI9wv+dTC/wn3uyzDZ961jXCJ958PgTn0YZqu7XAjaNrzKKHP+PxSGVnG +3xphV92e74FfcL1dl1ekvaYJ1uzQ+GAxg/OTIf8l1e1NMHfn/KX9szg/+cnH +gVwTNHc4bl05R6KkTmt3VZ0mSJN60taDueE9y2dtiyYI8n7rm0SQqGr80oSx +axPcGs4HNxL3u7hxG9tnTWAk3/ZDhyJRyc3uO95xTXAw8HOKIAPfByRC18cV +NAH/l3+WDMz2iy6ita1NsKzCu618HtfrW21589NNMPJ6b0fwAokOuvys2r7c +BGVfNfysvpIoVVnp2OmNzRCrc0FF/hu+b1r+VgqQaAbv+Fu/Vn0n0flWt9hm +aIbLf5+k9mM+XsvruNGoGY6dibX+8INEW2cEky/YNoNkWoHAvZ8kSpccV095 +0AxrV7d36v3C528gHVheNQPD/MsT4d/4PvlmKPR8ajO0FzCpfcWsfTtLs6iq +GbI2bvlT+QfX95KHx4UHmyHUVjo9dJFE64QjYp4sNINrleaVK0t4fZKOaiyu +aoFzgqZCh5fxec7v2Gcv1AJHXJy6Of7i9eLwt5450AKCrf5PhzALZRYOXtVp +ASaJOPXUf3j/8qc8Jy1aYPx+4aInE4XM1yTfd73dAlX97RkGzBQSjVhMZA9s +gYT/Zq6KsFDI7OgMa8S7FtqHo5DYQPGzA8UttA9HoZsexRqdHS20D0chkWxp +UdeZFtqHo9AqyZSQQKZW2oejkJe2SsInjlbah6PQl3vOWiq8rbQPR6HEAjvn +yB2ttA9HodyI49JLe1ppH45Cr+z3Olw41Er7cBS6tPfg4TL1VtqHo1D5nce+ +4vqttA9Hod/vVM8FmrTSPhyFyDSvlEXrVtqHw+NRs/W8erOV9uEo1JLF39Z3 +t5X24ShUtjo9UudxK+3DUch7QXuyLKSV9uEodKaRPfbQm1bah6OQVAxbb+b7 +VtqHw+8vbnFfJreV9uEodLXicGRqeSvtw1Ho7sMPErLNrbQPR6Ef4p0i2X2t +tA9HoQTeZn+FqVbah6OQvE2OWSWjlfbh8HxPJIbrLbXSPhyFGhZKlYbY22gf +jsL5lO/o9Y1ttA9HIYFfTRn/BNtoH45Ci3t/eYTsbqN9OAoxeWR8kJRro304 +Cgnm88hXqbTRPhyFVE2UBMxPtNE+HIWMAlUv/DNuo304CmnJHPkebdlG+3AU +WleqNqFq30b7cBRKcnAQ/ezWRvtwFJIWGcoPeNRG+3AUqr8UFXUouI324fB6 +Xm1sm4pqo304Cnlsu3cyJLmN9uEoFLC+nl8jp4324SiU7FW991dZG+3DUajQ ++rFvSlMb7cNRaPTULnGrvjbah6OQQ3DeKqGpNtqHo9Bqfj3JAUYb7cPh9X7K +9PTVUhvtw+HxKIxJnlvVTvtwFNoqzLZSYFM77cNRyHXGg29cqJ324SikS1la +Jkm20z4chXYcqJm8Kd9O+3AUGrPLf6mk1k77cPj9lk7c49Rrp304Ck3PhQYP +nmunfTgK7f0T3/3Rup324Sh046m/htfNdtqHw88rMhk+e6+d9uEopKIjEr3P +r5324SjU++GH99rQdtqHo9D95fHAmZh22oej0Mc1v4vrUtppH45C13W1ON7n +t9M+HP49xojzs6p22oej0Prq5r+Obe20D0chQ4OdMSZD7bQPR6E/VbMmGl/a +aR+OQs7/DuyR/d5O+3AU+ue3fqMQcwftw1Ho2VtvjvVrO2gfjkIze+I3MfN1 +0D4chT6U35H6LtJB+3AUUo/jPjkr00H7cPh5Fa6eE0c6aB8Or1dZXt6QVgft +w1Ho6eehn32GHbQPh9f3wFeF3osdtA+H31ef1avXtoP24Sj06fmWhn7XDtqH +w+u5fIR75GEH7cNRSE7zjtFUYAftw1Eov6nvBRHRQftwFGKNtWz+mdhB+3AU +uuC18y9rdgftw1Hov37BndxlHbQPRyG+MlOFHU0dtA9HofZ/M+r7+zpoHw6f +N84GRa2pDtqHo1D/mpUipvMdtA9Hoc6mt9+dljtoH45CbN0JaQGrO2kfDtcb +A/5T7zd30j4chWK2sw7UCnfSPhweT6Ol5uc9nbQPh/tDgEbw6sOdtA9HoWyD +ZCSl2Un7cBTanxJWd9Kgk/bhKHR2kivV1bST9uFwvZnbZhdj00n7cHh9rItW +NDp30j4chTjefbX/9aCT9uHw/k2sShML6KR9ODyfAgfKjcI7aR+OQvbm6rG+ +CZ20D0chX4/vJ4syO2kfDr//Pu3GedRJ+3C4P4yrbZBo7KR9OPz+CRP8Fr2d +tA9HoXCt3UTEZCftw1FI5/CWB32MTtqHo1DXm5Re3uVO2ofD511vhjyzuov2 +4fD5rG4qf7W5i/bhKPT54BmDIeEu2oejUMVD7/Ade7toH45CGw6dibh6uIv2 +4Sh0JbjeIEOzi/bhKGTrOVS0ZNBF+3AUqg1/2qtl1kX7cBRSlumNDb3WRftw +FMqRL9g27dJF+3C4nprIqMg/7KJ9OAoNL8it9wvson04CkmsrH84HNFF+3AU +Sj8+Fb0/qYv24ShkKvHQ1D+7i/bhKHQxK6ZkqqyL9uEodCT9YIlycxftw1Go +NVjNJLK/i/bhcF7wKApenO6ifTgKvdCMtDL52kX7cBR6fm2kuehfF+3D4X4s +5N4kxNlN+3AUqlG3NXu4pZv24fD82SQ+mBHppn04vJ/adx0wkO2mfTjcH22m +bhUqdtM+HIUGOrpATLub9uFwvTs/Hxxk1E37cLj+rJC+wXSpm/bhKKR527vl +xo1u2ofD+4FvNmPUrZv24SgU9vU07ynfbtqHw/NbVfinOqSb9uEodGCJy+RI +TDftw+H5yjkhl5nSTftw+LyWXfKTLOimfTgKZbzWOh1f3U37cLg+2TNCtnd0 +0z4c7j9CekcjR7ppHw7niwPGNvxz3bQPR6FSxt/F17+6aR8O9wOQ+8PP1kP7 +cDhvlDAsorh6aB+OQm+Kt+/fIdhD+3AUsjucdy1hdw/tw+H9O5HIvle+h/bh +KLSNb5ItR62H9uEodPvLOUvQ76F9OApVb/q7vf58D+3D4fPPUXLI6GoP7cPh +80b4fZhw6qF9ONwv/A1dbj7ooX04Cn27uuYlS0AP7cPhfJEXzhkS3kP7cBR6 +f/Znu1hiD+3DUejnGMeXgqwe2oej0JoXNTr6ZT20D4fX5/KWpemmHtqHw/3o +3+y3e/09tA9HoXfp0ge2fOqhfTgKmfi0FmR87aF9OFwfDxR66TL10j4chc6J +DT6d5eylfTjcDxyFeh7z9dI+HIWcPttfkBDrpX04Cp3/VyhYv6+X9uFwv52a +3WILvbQPh8+vy+yx9Tq9tA9HoRSN+NRM417ah8P1/Rmr1lmrXtqHw/+vztjA +dLOX9uEopLjq9KrEe720D0ehY3v5pU4+6aV9ONw/CiXvLIb10j4chfitbjDe +xfXSPhzu78HN/qfSe2kfjkLaZrInmEt6aR+OQm1BHtJp9b20D0eh2aQ3shd7 +emkfjkILbW4nuSZ7aR8Ov++Xn/4VjF7ah8P17MHimPNyL+3DUahv0FZXck0f +7cPh+SVlW0d5+mgfjkLiJjJWYTv7aB+OQqFuJzj0ZPpoH45CLsZ2peyKfbQP +h/fbB5cHZcf6aB+OQhZTJ/TcjfpoHw6PV7hL5OClPtqHo1CWzDzT9xt9tA9H +oe+h3mMZ7n20D0ehTF+nSofHfbQPh+vFXGyCbGgf7cNRyP38V9+Ft320D4fH +u0PTOiu1j/bhKGSgeE/RpaiP9uHw80zucirU9dE+HN4vIyJt/7r6aB+OQg+H +Tz+uGu+jfTgKbRac3+dP9dE+HO7fvD0Np5b6aB+OQvd+fjq5bXU/7cNRSCac +tWJqcz/tw+H8E7xaIG1HP+3D4Twi0nfBTbqf9uEotFHtpNfRI/20D4fz3Ev9 +J5uO9dM+HIWeeGY7jp/up304nDdXmR5Ot+infThcPxbEhzxv9NM+HD5/6Yyz +J937aR+OQo7XX3zc8bif9uEo9PXvQu+3F/20D4f709ep7pq3/bQPR6F9ftrv +wlP7aR8O96ucJXX7on7ah8P9XrA3TaOun/bh8P2HP+8Tf3c/7cNR6FaBy6eF +8X7ah6OQcMF8Sj3VT/twFNryjOlQ7FI/7cPh9Tp/z8d99QDtw+HfZ9IIMeIZ +oH04vN/Y9l6U3TlA+3AUGkzh/swpM0D7cPg+QDRIfDkyQPtwuJ7b7ttZfWyA +9uFwffARbI81GqB9ONyftK/sf3BpgPbhcH12/3TU3H6A9uHwfSnYcY3K3QHa +h6PQpvyJ28J+A7QPR6GJxnUhLGEDtA9HIX3zBqPJ2AHah6PQLzyH1WkDtA+H +91P/yaak4gHah8P7/UqN+9P6AdqHw/fLetFGh54B2ofD68mjmm80OUD7cLjf +bplXOTI/QPtw+L6tz2654+8A7cPh/DhvLLCaY5D24ShUNZl/jcE7SPtwFILq +ZZ1ekUHah6PQg08/S0plB2kfjkLGum4oSWmQ9uEotDP7nE7w8UHah8P3fb8r +l+4aD9I+HJ7fr84sV6wGaR+OQsvVZ8RP3RykfTh8Hw0ebFHyGKR9ODz+V92/ +d/sP0j4czn9tPLG8rwZpHw7ny+nrdSveDdI+HF7fwx9sFjIGaR8Ov89EzMNR +NEj7cBSaTxbc3NI4SPtw+H7AvcBX0jdI+3A4L+jNBqZMD9I+HO5nOn0ukV8H +aR+OQv5Jfo1PmYZoHw6vd1GT/721Q7QPh/dvgH3Oja1DtA+H6/GE8lFz8SHa +h8PrvZdT8dT+IdqHw/kpPPqFhsoQ7cPh9eCo1T2oO0T7cPi+wmNgLWkyRPtw +FOJctaZf8MoQ7cPhej5emMDtNET7cLg+mv3XtPLBEO3D4f4+q6C1+GyI9uHw +fCW/4WGED9E+HIV+u0rJTSUO0T4czh9TSXH92UO0D0ehx3ITZq3lQ7QPh/v/ +1bdW1S1DtA+H+5VMembR4BDtw+H8+a33aOaXIdqHw/2ve1wo+ccQ7cNRKPV9 +2KEY1mHah6OQNVNp0MsNw7QPh+sTr4hEoMAw7cNRqHL2yZ9Hu4dpH45CllJo +haf8MO3DUahe2VvDVX2Y9uHw9zXjC+xPDtM+HIWWuKfNr5oO0z4chRZl1h2y +uDZM+3AUUpuYUDRxHaZ9OLyfd+6xM/Qepn04PL8xqTUngoZpH45CVtUyWkej +h2kfjkLMaU6E8odh2oejUNN+tezD+cO0D0eho+MXIvdXD0P+DO8GTiYGOrb8 +OHZvxzDsDoudvYVZ4MGTql2jwxCuJl0zhPmpiBjzTmIYOBkFbzWZGYihJ2Ao +8GcY7kZo3kvFrCi+v4iXfQRIrfazW1gYiNd7jzz3phEw+37hwH3MY4sN5ZzC +I9Aa82XDDOb6rrbz7HtHQEXXac6AlYFGNjGzsiiMQMYfptpCzPyO/JlLR0dg +Z4J/rMgKBnKxGLf5aTgCIae2eDzF/JuTd9eC+QiwMcWd+4G5yvDO5zm7EXD+ +IC1nxsZAUp2NSZ/cRuCTcSFXLebSoear474jYMx2lJBZyUCm7spiQy9GoD69 +vfYVZg3HscGetyOgYGoax8LOQDuUHJ60p47AhzUzHtcwC3OlSjcVjYBArpNJ +J2bmvrM1NXUj8OwSs7ziKgYSUpDRL+8egX/rn3K/w+z/glFTNDEC9kVbyHWr +GWjLP2OpXMYIjF2Jq3PBbHNU3C19eQQMNsvEj2L2duPsO802ChVlhZ7H1jBQ +YYLTp3aOUdhvd/R8BuaX8Smf9LhHIX5rhzw/BwN9bg7qbdwyCjw1phsfYl53 +jjX/mNAoPHKcIecws50belQtOgq/hJzrT3My0I2Sv2pqUqNwtZH5XQnm8FMa +c2jfKPS7Pr0vvpaBAsqfeRw5NArHRfkuBGJe/zxvKQ9Goagt7uBvzO+E31gc +0ByFPfdkNlmsY6D0zB1p6TqjELW7iKrHbHlJYHLPqVHY0HO04b/1DNQlcX05 +6ewo3PfqeBeBmW2I/CN6cRQWpM0esG1goC/SHgMx1qNwaXDmgh1m+4w/kQLX +R6HT1/lQD+bnbYoqrxxHQeMAy2ZlLgbS7RGp3HRnFHLGnjISMVfMPBEN9BwF +8Wd8jVzcDCSxQc+S49EovDwcn3AH88QubQ+fp6Ow+pOM1wRm36/GTswho3An +uMhUZyMDfeI4o+H+ehRmQetwNuZNs0LkjzejcH6uY7PgJgZy+PTMziFhFJpe +ms37YM7d71E1mzIKShqzjRTmpYmGeausUUidd0403sxAZRN6CyMFo7A9iuVh +Gebf/6aqzpaNwnPtZ2a7eRiIe/zKtY6aUWD5yacQjPnvhswxneZRcIyN51nC +rDQfJV7dOQpTerILlrx4fipXHoGBUTBaKmpqwpz3vlIgb2wUahK1kuS24PWO +zWiQ+TwKB093PozGzOKTrJ5EjkIS88WLq/gY6Mpan0fC30dh68dZBQfMvS2C +wa8WR+HJORfefsxIRdeai2UMllayflXdykDm2cQ/31VjcD3zWfN7zJPnuk2Z +1o/BsNnW5E38DHRReey+y+Yx0ON8530X8/DJT5dJ/jEozZM1n8Z87WzZeqsd +YyBrVXxEbxsDCf476jqwawzech3bkodZuO7Um5PSY7CxpPPrdgEGKuIv9qk5 +MAYPbS62PMZ88dD53YpHxuA7z1zyAuYU1dV+GapjYF3h4mMiyED35SLixI+N +Qc8NVotKzH/n51wj9MZAa1uA4h4hBnoh08HGZTQGBbVb+UIxc/mLaXufHwNJ +p3ff/mKOcS9R/20xBhHC+1ovb2egUeOb32yvjsHa5uL3rZjHT/MZj94Yg3t3 +jj06JMxACo/87E45jwEl1mXxFvPakQi5avcxuNhxUYljB+a94qkHvcagzWOO +7xbmkJ1MPcmPx0BVyvX7IObYE38/bAscg8xe1jaNnQw0v+WX9LPQMRDxDvjw +EfNCa4Xpv4gxeCHL78srwkDT0fIH7WPHYOXwu0uemEefSRSOJo2Bi98++IK5 +3fvGtH7aGHyWK9lqIMpAocdH8kpzxuDsxLEfBZh/e+vKyhSPQX1AV9tOMQaq +zg/Sja4YA4Uj5in+mNu1769fVz8GHz7P+X7HzOpO3XRvHQOBF66WpuK4Ht7I +cJnpHoMAlRXKNZjXi0VvMx4aAyYygF9mFwM5e/pdrJoYA4fX/D9fYpZVOqa6 +b2YMxjUT2pklGEjsb2ppFGMMTn3d99EG8zOl4P41P8egMrrkcQdmpgs1z5yX +x+CAjrbVkd0MdC90++AY6zi8+9WlHI/Zjd2xTGfNOPDGm29bJ8lAs++DFHM3 +jIPvSeKnM+YTZqf0hHnH4feya8cI5vRB3+9+AuNgk7wiVUsK95OSldLfdo7D +gFGgXzrm7x5v/pzfPQ46rNust+5hIM07EmeqZMahODVBxQtz0Kdbanvkx2Hv ++f8E5jDfHjtdEqI4DtGr0C/DvQzkZB5dvag2DhuytTuLMY8ri5hYaI/DffPu +VDFpBlpzKPdOrf44LKy1eBKAWXZit8jeM+NgWUBY/8IcnXfSKPjCOHRZ31Y1 +l2GgNO6/639dGgfNjWyC9Zi7d7Pon7cZh1wU+HufLB7PVpnNpfbjsMt2W1c4 +5nWDZ013uozDqy2JaSv24XqjdXK3z91xWFP1n/91zB38n10+e42DmwO63I1Z +haI0tP3GYU7guBr8x0CGSoeD3weOw4X6bsFEzI8tX5pyho1Ds7PFnw37cX2O +HHhnGzkOsJPsuo05bWWXTWPsOKS13E4fxywWcTpJMnkchN3Znh4/wEADCnvN +/dLGIWjX8ytZmAXjpJ9/zhkH1q5t6gJyOA847j6oWTwOt+4nCvlg5pGkDGMr +xmFqz/5FEjP/bt3pf3XjYNSPus/IM9DlDN5Zk9ZxqPE5nlGKWX2a3yK3exwO +/dfzVOIgAxG1u7W5h8YhecTiahDml0qb3tpOjAO/P6m+iNmHM/FK9Zdx8D94 +Z7vlIQbi7MuOEGKMw/Ik21Ij5ijJtYquP8bB7vnzngOH8XmyvavVujQOI4oC +mVGY06a7isVZJ0B/JvEZuwIDyVROhd9bPQFloftt7DF3c7mPda6fgH1qpRp9 +mJ2LLz/dzTMBsdRxYdUjDHQq1CXKY9sEbIroWUrGvPPEnY2dOybAW+tS70ZF +BhK9pTQrLjEBP76Rme6Yd3kEibhJT8DlmDsBU5jDKtRLmg5MQO+Jldd0lXBe +cN2ZK3RkAo79ea6Zi7kzZ2mtg+oEFLwT2LEd8Hm9HVVWpjUBkqeSln0xe4R3 +t3LpTUDEv/1985h9B28eNj89AWs/lGadU8b9clB5OdVkAjyMdQIrMH/btm7L +X/MJYKzovSalwkDxLImPj1+ZAPP0S0dfYI7sGNB/aTcB7ReoHX8xy+c5207e +mgC1NW5/rVUZ6E+BxoC02wRk5azsb8GcULs54s79CRC9FJR9UI2B1NIyUyof +TUDoesHnMZglds6tW/dsAtiLkmzXqDPQ5uwnJUYhE+B65YCWI2aZQdPCqNcT +8GVT2c5BzELzsium30zAuTKdf+oauH5Lt4VJJUxAw/Xe/hTM9ZmrnG6mTMCR +rZY5PJoMlOkR9zo3cwJSqqnnHpg/OdmzL+VPgKCj2/XPmJXOHyyA0gkIEGI/ +dvIoA5kN1KQ/qJ4ApsYgkQLM9fdm5iobJ8DBVZBppxbut1nXbFZ2TMC4SPLA +E8x8LTwSR/smwLDtQO43zEXMueKPRiag6m5Z0IVjuJ5Y8lpVT02A3O4TdtWY +tedZRlfMTUBCd+8xaW183nzUw1QXJmCLl6XoS8zLk+8ee/yagMfSDCbm47i+ +pX3LLvw7AX8G3AavYqYcfm39uWISrvmy57Vj5gh2z5XlmITB/cHBCjp4vBbH +/a5xTcKJMcEbcZhHuKWD4ngnoeRpsvbaEzi/5M83DQpMgvRhOTFnzK66F45s +EpmEN9NlzCOYh1IVBrV3TwJX8Imho7oM1BRp9MFTZhIeQF9eGuY3RS7vs+Um +4eusZQifHgN5hVzp/XJkEixfMm48wPz4+YKsgNokdKm7H5/FXMg6kqN3bBI0 +59nFDfVxvRD6aXVfbxJyI4NZijF/WmRVyjg9CRLaQsOiJ/H9ILRZcdxkEl7/ +SM5/hvlls5All8UkcMTKvfiJ+e3V0lS4MgnueuX2Fw0Y6MyG20LX7SaBWDyh +U4eZU58749WtSTBN7BPfd4qBHrw2tK66Mwkthlas4Zi/aqxSYHhOgjLz/DCr +Ic4bT7/v3fpoEtJT3AtsMdsETCqrPZ2EHedWhXZhbtaPvHEteBKCV4Y4KJ1m +oJUFn/KDXk3CikyhEwmYA18+EcyPngQns/e7NhjhvBSlHzEcPwnTHPIrbmOu +ufRXlvXDJJzJKx8Zw9xx6fywWMYk1FrqFmqfwf2Tad+bY3mTcIirPzQTc949 +TedrJZOQXGx1c5sxA0lzmJv5V04Cv838CW/MK3K0zn2onwR/nrsSJObVTpVW +Da2T8Ld8FduZs3j/7gz3/NI9CTduhIwizL73g5NWDk3CKP/2ol3nGOjWgVsj +OyYm4WTt+7DnmEPrWYWVvkxC+S15xz+Y59JXXTemJuE/4QrdSyb4fqZ6rNzh ++yTENenubsRslftEyG9xEjbf6Wc7cB73u/9CvWKYp8BHzHosErMt2kvksk/B +z/b5opUXGKjkKL9J09opuOJx9+UNzA/fCDeObZyCPsnVt3oxX/Fih+98U6Dd +G6KnYorrY2BQBvv2KSh8uF0yGfNzHV9RPrEpkJL9sHKjGT5fIh9DJaSmIHJI +ftwNM2lTt+LQvilY51dRPIm56ky03dGDU+Ahp/fqxEV8vtd8bzdUmgLGeP+t +HMx1jwJkzNWnwDzAWl/InIHOFv3nY6s9BR0KC5K+mJ3uvGt31p8C9c932ecx +a7p92ORpNAXZIasnzlow0HvTNcd9z0+BmMqLknLMx94/vBVgMQVhxPbXkpcY +6HXzSMCLK1Ow6vUHpxDMLAKj4a/tpuC25sGTy5gH2o6FRd2agpmFCilrS7w+ +68c8Y+5MgUm03qoWzI9Grp6J9ZyCxuMDE/JW+HztS+SL85kCxV/W6A3mi6Nm +1bH+U/AxbuH1amsGOp1oeP5t0BQInbznfBPzgox2f/TLKQhcXm0wgPnIqhUQ +ETUFzMkv9qhfZqD8WI3HYXFTcNNIeHUKZs+L7bnPk6dggiVlcvMV3A+8rtf5 +pU2BYerB0nuYXx7sL3qQMwVVJpXhn/7HIQOBt4umQG6Vvov+VXyfC96vfqN8 +ChKzBgzyMf/Zkth1qXYK+Mwv791hw0DG87/UzjRPgd/ar6ufYPZr/fL0WOcU +LObfm/qKudBAMeNw/xTYWq8pO3+NgY4vZafuHp2CIe7QiCrMQ4d4vPmmp0AX +CbvutcX3h4vbZdnnpgBdSzkVhtk4JTTj6/wUyGw5JM10Hed/TYVVIz+nIKay +cs1VzPqHW2XqlqeA20F/ug1zqwevZAbrNHgJDJYdtsO//6P9+6vV0/Ct7nJk +LOagy5UBnuunwcr5qyvnDQZK9kr5abV5Grp3eBg6YVad0pPS5p+Goy1rZIYx +h6y/LrVHeBry3EI5jtrj/mBX/WOd+DRI7NrxKRVzsrGAHyU1Da87U8q3ODCQ +I8d/U837poHj/qGo+5jFU2tXphycBvc9VbdnMLOcjiYeK00D2ad/+tRNfL/5 +6fLSSn0azHwGZYow67ptXKmsPQ2t+65wijri/JKy7wCf/jSojHz99BTzkkao +yPzpach44lHxA7P71N/2GpNp2HmQI9rsFn4+7x6INJ+GkMnQO7WYT+iNXXa4 +PA1sz3cYyTrhekQMHFf/vxruOy7H94sDuL1HCNkko6ykUNKlkJlNsvceWVF2 +KBKSTaGSijRIEjqpNLWX9n7mffPc9xPJyO/8Xs/5/vl5+arnvu7rOtc5vu/X +s1fCHKaGjL+PublpmbznIQmTyk07t3TA/bXVf5rkmIStvP1JthtzuUf9gten +JCzFanFCHuZWH916nDsvYWbfSh9NPYr/vXTElYVuEvb8wY7jTzE/qroc3ddD +wvrPrl/R9Rj294cd7tfekrAr9aeNjmG+tjhg1IsHEtb0uGOXKsxHtMv3HPaR +sP02d+RzHHH/TC9eYxYgYZWNup9eYhYXrv7VFCxhi5+GPO7nhP3arcEz4l5K +WPwSsxPnMb9dLZ98PkrCjP99suUxd8g7XDgjRsL8ny+esOI41tt+zgNbJUhY +r5VlXQBzxylZneJSJMy11U7FiBM4nwwb6HMqU8J+htV/8sA8JNqg2DRfwnau +PePTiLlnfESkuljCitt3OrnppArMfjiZBFdK2LzIOyvTMH+vn7J8s0TC3m8a +amx8Cvfr/EjtPpyEjeka2tUb8/gjgQfTBQl7+M5M2fo09oN5WXvPNEhY1x2J +ifswf3zLtzL6K2FntJf4FmL22PRhYnULKRNiy05OO6OCsQ1/m19vJ2Wb9u60 +C8K80X3vVosuUpbb57tx97MqGDM0db2ih5TNSDyjdRyzNDD7280+Uvb6YCeu +BvPcBktti0FSNnzQ3aT5zlhv876k1elJ2d20oX6vnf//7yFLe7obSFn7Y6Gn +Bp7D+1dt/83QUMqc9KascsXcbSO/Ms9EypRZiSYqzEURLoscpkjZmpNLutmd +x3l12a+8XpZSlq5fzn3ErHezdd1raymzKNiZbHABz9vLraeXzpeyUOfvfjcw +25UV+n5bLGWDx509/Qdz7dg+C9xspex6SafVW13wfYdITg9dK2UtLt6dmIE5 +ZMnfie82SdkhY73uk1xxnv3T5+DiHVJWWxnKP8LsfLJxnGSvlC2/MiWl3UWc +ZzxX7nc8JGWJpklPDmA+IVOP7egoZZMkS84UY+6oc3Hvg1NSFuhZvnr6JcxB +2foG56WsD9s1KRhzl25XNr25JGVuyu/de7qpIO6VQ6/p16Ts952zX09i7lIz +d0b6TSnbO6NzqgTz8IpPiuX3paxcddd/4WXc/wV+7coeSdlCb72zUZjdJY+9 +NvlLWeycsDVD3HH/93X0lzyTsvE/pkx2w6zeIxuyM0zKfH2Teqgxf0p8pqV4 +LWU9Fi79tvqKCur+Hbff+U7Kzv8uT0248v/f13uaNFbKvgfsejrmqgrW9jU7 +tTlRyrYt+3H2NuZTKx7ql6dJWWEz57X/MIePaGZpmy1ls190Nt1xDfufbv3i +Mwqk7K3dPe1szM4uz0JmlEqZQZthKlMPFcyX72zxtkrKHrwMS/PFHHpZ+8Mo +qZR1Wm8e0PE69m+hW8u8OCk72THZ+TBmmws6mzqJUvb1zdJ1ZZi7jpPNcWqQ +svVbKkytPXE++HDthuSPlGVp7e4ZinmmUerUxS1kzOrDD1XvG3h/nVoyK7qt +jL3a6fz5DOYB52pChnSWMb1eXQLlmFO7Tz7k2l3GbsXdO7fkJvYz3zrcUPaW +sTb7h61/h9n2c6/OCwbI2NF+4WZ6t/B55veqDNGVMVmSea8rmK1aJXToMlLG +7A4nC98xex4rvrx7jIylDl6Wvu42ntdB2puSjGRsSnpFYBJmx/Wj3IdMlrFg +x93nDe/gvORR3M5pqowNGN6w/h7mv39KCrKsZOxqjvOUFndxvi2X1w+bLWP/ +TnXpvRvz0A0xGx1tZMx+1H0xF/OVxz36py2RsarCYRnm9/C+CvHT7bdSxpac +Dw/yxzzYbeThnWtlLN5w6oUu97FfH76ma+QmGTMuS95wFPP77T/FZjtkzP/S +MvNKzAv03gyYu1fGek+s7D3ngQoC9ZZcvX5Qxi5W71aHY3Yaem564VEZa7za +kNHXC/uz9Jbm/U7K2K4p556dw1wYd8VhrbOMlUi7uHCYg24WC96uMjb/5v2N +y71xvkjyCy51l7EP04ZPjcGsn+vr38dTxsby4TojHmI9GHK9ZNkdGXt0b2r9 +Ncyru4y0ueolY1rWKZk/Mf9RDG785CNjZ8Vlzzc+UkG7X8blv5/KmPiw0iUV +c9aBAb/HBcvY5nl7Nk14rIKG4zcWbAqXsbyGhqlemG+YLCr0jJSxmU/O9Wnt +g/sltNPNj+9kLHJR1+97MS/jz577GitjI/7ezyrAnCzd8aRPoozdDRoezHxV +4OLm9N0qTcbar3jpGoh59+v9R3ZlyZhTC4vN3fywHzVvPtQjX8aUISkWTpi9 +pNI/r4plbO3q5X1rMB86VNq8oELGMtpWfZ/3BPsHjzuGP2pljEXsyY7AnFKb +7aqtkLGwDT+DB/ir4HL7GW3Gf5OxIZ3PX3TBHHzl6bN59TLm+bbrlm//z/Xh +h7Y0yljLbQ/Yyqe4PpEDNxxvkrHD3Uf0+4i5v5X/Po+WclYX8/KHfoAKIn/+ +9fZrJ2crdlvkeGJ+b1TFRXSWs6TeqS9+Yz4zte2qhO5yNjlh+aUtgSr4snpk +bXZvOQuyr9qSjtko8c+lsv5y1nfA3mkTg/B+fGY+VzpEzi6n/Oz3CPOeMY+H +fhsuZ3+OnG9o+wzP844K7e+j5GyvrlauPeZxu1/2bzSUs/KMByFFmPebZ5v+ +NpGzhcdHuFk9x/35Rb3rt5mcxY54tfU5ZgOjnJBGJmdGeRaW2sE4zxrptfox +Q878zqT2P4k5Ptpnp2qOnGmPWfGzDrPj4xblsgVydqGoKnfBC9xv4U3rK5bK +2Y8Le0PfYO7+yuZr7ko5227U6DY4BPf7hgDXxLVy9qX8/LZLmO32xBu82SRn +cy5rWYmYHc/aFfhvl7PoSV4DVoeqYJvlkEuee+RsVO2IxnjMu2fmWJ48IGde +Hq/yRodhvz1Q799WBznrPJWF3cJ8ZHkGzD8uZ6fkqZebMDfsuug8/oycfbu1 +Yvv2cKw/he2ttS/I2QaraqsszIWdOrT/fknOsr/uHWj6UgVLDk1Lzb0qZ1YP +Ght9MOfv2XIx7IacvZp1Ib/DK/x9S8dYXb4rZ3r1WuGHMA91WvJjs7ec3Xrs +5V6K2Wr0ZT8zXzlrazNyx8wIFSwMuTq7a4CcHWt8NT0EM0zVrq16Lmdyfzao +92tc7265h1+GydmqJWm/TmPevdK58cxrOUtrWlEgw/zBvMjeJlrOzJ9Xhy+O +VEFl5dWi3iBnL2z3XYnGbLJxlVFlvJwNbPVrx9A3+Oe5ouPTZDm7FnZhhjvm +utldQ3ely1mztd0Gf8dsk7ota3SOnB1o7/17bZQKpq8JK+UK5Kz69cjCRMwm +f31znpXI2dJNES/HvcX7zP972LZKOUvoMu3qXcz5c9Y7DK6TM5N3aTubR6sg +r+D2kC9yOXu63XbmLszhJkvDr3yVs97aNYNzMWvPnadnqZazi7H7/kx5h/OY +aOIoNshZ455fhU8wL2yfGuLzR8529XF51fm9CnQ3x8QvaK5gpZ+6XXPAHJaV +EtnYWsFsDnrvqsDcc6Cfi28HBYsZqG89+4MK+vZobjSnq4KNS4sYEo7Z9tD9 +N3wPBXt8dNrfPjF4HzQN6+Who2Dd9D5/ccb8fv+q+YYDFMw5yzZCiXnF6a8r +M4YomPpEzbVlgPWo6q7ZruEKtkV//+4PmNdYa6lajlKw/Pxf1sNj8fOs7njs +wTgFs3Z20b2GeVnatGxDYwV7M7Z7UwNmffPtv+InK9jIEu+iDR9VoLPERFw2 +VcHuueq/TsE8JmTjmxpLBetg/NrDKA77xa++s+2tFex45bQ9DzDfCQ198muu +gnHun2e1isf+3M0i1Xmhgq01XTl0L+bOPdtEtVumYBl1NU35mK/Xvd/jvlLB +mOf+YosEnPev9pF2Xqtg4Ra/XwdgPvk4Z9iVjQqmq3S5rvUJ//7zhyM6bFOw +G3e673XEHDbFWHl+l4K1mvFwdjXmC+Vz9v/dp2BHVPp68xLx+QfefnnokIJJ +vF7/e4U593zmK+lRBbOdY1nSPwn7k/vBB+xOKFjy98+RFzC3k9Yoks8omKnv +Ss+vmNcKowZOvKBgzxbU7rVNxvrOpnTwuaRg/X7vnxOLecDK5BftryqYe8Bv +Pf0UnLfhUlt7TwX7u9S1mSfmJX9MeuXdVrB9zXqU/sKc6Hnui8kDBasIfvhm +c6oK7rXTt7n1SMEW2Rnc+Ix5V03pQdFPwT62jtxnkqYCFrR8tk2gghm9tJz7 +EHNQ5ZzP/sEK5rcufVjbzypQaNn//R2mYNod7ZrbY+4Td6p44WsFc3lTW/oF +8/yTozf5vFWwhs32UZbpKoj+PO6G6oOC7dD6c+MZ5qopbM/UOAUreu+6v0cG +9le23TjXRAWbu7PHvBOYg0LWdMtKVbB3PR8Nr8PcObuwuGemgo2OM2ixIBP7 +j0Wms+xyFcx7X2RZJGa/uEnL7xcqWJd+Vm8HZeH+fX+pZVGJgp1OSr95EXNW +Qd2inpUKpjpkZy9gnvSihfnCWgXbOLhu3qpsfP9lt+NdZAqW89l+RDzmn23t +JO84BZvu+KfF6BycD7L++X5VKVjEsIvlNzEnlFn+HPhdwYbl9Ij+i9mvKL92 +fqOC3T716Na2XBWcP3Bw+7G/CtZ21KgDmZjXrMg45dNcyY4VRs6fnIfzg/lj +/eTWSiY/ZzXSB/O1sofbuPZKttowo2WHfBUM/H7GuEsXJftcaldxEPOqGX+v +j+muZFMv1UWXYHbwSD05t5eShZgcuD2jAOuh36MfW/oq2aDqPwdeYC6fO+H3 +iYFK5nH1ok2vQhXkrDJ389RVsuZTtPVPY571/ICv/3AlOyh91EqG+XkfN6tI +AyWruTGqctEX7Jcumu9MGKtky6a9eff2/7mS9cwyUrJPnNUd3SLsH7vOm1k0 +Uckm3ss4eBmzul3PnxVmShYwc9WCesw3E5eNrrVQMh2xTn9tMd5fsz9W1lkp +2aWHB1onYp57s5t2nbWS/Zr7t3JsiQpGv/mTUDVXyXY3XHx/B7N5gqlYskDJ +Sv207zYrxX4o//T93CVKZrPo8aGdmK06ubxPXqFkMX9GLczBfM6jpe27VUo2 +LuiNwZQyfP7roTuer1Myn+XT2zzBvGKWperuJiXr3iKzqlO5Cu5+28+d26Zk +50JWfTiCeUi2uHrPLiWrXyW5W455v9nZqUv2KdnWtgcPz6pQwQjnnGsmB5Ws +4NXfhWGYZ7V9uLiXg5LN2nBpVJ9KfL7xD87WOypZVKeebZ0x77A/OzDrpJLp +v31crcD8eGq7EUFnlez+1tExS6tU0LKf9N7pC0rWsXvUvfeYC2/kH1l6SclO +xEw/Mqwa7zvzy2/1rigZvytz0VXM317BZrWHkq3rvXp0A+alPgaH4KaSZcZL +2m6owfks8pzk4l0lm2Z/sCYZ84gw5/cLvZQsvH9TzPhaPF9LK9Q9HiuZbsql ++/cx/zmw+HK+n5LdONLToWUdvg+Jx9mbAUrWStdn8R7M/reX5y96rmQOGaPH +5GPudtj2QodQJZM6RbWzkODnc1rg8fGlkq0cMaP2KWaTl2L9kUglS8nNhK5S +FZwe8yd8RLSSmZ1Z/eAY5g0dhyYWfFCy56OlDlWYTzgMMjz3Ucn6Fx1cMlem +gqv+QV9Hf1KyKxeaxrzCfK3EsVlespI1jXdr31+uAm777C3HPivZ/vKedecx +v3qartM3S8kq3XxiecxxzeL6v81VssWTxnitUKigUVm2b3mhksXVRB0FzNuU +RZ2/FSvZBI8ZS0cqVXD82r6fF8qV7Il51tjrmCc7LBvTt1rJespXd/iFedO8 +Gc+f1ymZyy1p3SYO+9fkH/ZmciVrsDz0MQ2z8FrvZCKnZDu+NnkZ89j/y10y +FqqUrOi+2zFvzF6zCjYXqJVs3qxey9p8VUG/hLQpqxqU7L3aZ9x+zPobBy8v ++aVkYx6P6fgF8xjDKyF2TUr2cP5bybRvWM8Xp1nnN+dY18YZcUGYt/y40X9B +a46d8c/y7q7CeXLt47EJ7TgmLF7jeBzz9sKg45M6cWxTk3RZLeaj8TtbBHbl +WO6zQ4Y2As5v1+997NmDYzNs/3WMxHwgXnxzphfHXre8LB0oqsBQPrZW1odj +w8N6xbtiXuDfduaCARy7s8b3oQpzs+cTi8IGc6xd+7FOdmqcB2KOPNLS45jj +67fL4zDbR566vXcExxQbZ44fVY/7w7HjhyQDjq3ukt3pJubhLQq6DRrLsc/R +a2R/MJuuvnvj0HiOTd0ui9/6XQUFvp0sPxlzLKTH4UcZmFe253W0J3NscOw/ +p0k/VJCRre6zYQrHru+5vOIx5tFLSqyCLDjWok9vo/YNWG/Vaz2/WXLs0Cff +zgcxmxpNbDthJsdqD4yVF2M2fjjw8aHZHFs+MDph+k+cN0qyV4fP41hi6szH +wZhdH3cw5RZwbNLR7OM9G3E/R5+bqLeEY4FD19qewjyj3c8ldss51idLZiTF +vPm07pXLKznmduJwl0W/VNBFP6YmejXHfo9spojCHDfUZbl0Hcf25F/+NOS3 +Cib4mVRpbeJY2dnePm6Yz6pcL0zayrEFY/1OqDE77JpsuXoHx6B47Mo1f1Tw +5kKLHid2c8zQNXrCJ8x/P/s03tvHMZ8J1l3H/sV+tCpbHXGAY90rsxW3MY93 +WtAs/TDHzrmvTfyH+evW3AHVRzn2fbLcZ0cT1u9L/efVO3FsW93hk9mY21bX +ubQ8xbHC683szP5hvXZWZnY9y7HZFu7Gfpgzb8v1+pznyMMJ8HOj1/lBrhx5 +OAHWsVhe140jDyeAt2+39UOvcOThBMjqbVM42IMjDydA9vRxy/vd4MjDCbC/ +9nBh99sceTgBgrOK17S9x5GHE0D9c0DtzwcceTgBlm//vVv6kCMPJ0ACM1Tn ++HDk4QSwiXU89u4JRx5OgMWWt349DuDIwwmQaDLt2LlnHHk4AfaOmqza9IIj +DydA1zSzjSyMIw8nwLsvrVN1XnHk4QRoZmyr/+01Rx5OAIMv3Mm4KI48nACn +S88ner7jyMMJ4HS4rPmGGI48nADtVRFjDT5y5OEESH2XMk+I58jDCTBvY7Ht +60SOPJwAlq7+ix1SOPJwAnwW5JOMP3Pk4QQId97W/lsGRx5OAC/T4oSn2Rx5 +OAFWGrfauTqPIw8nQK9Qv/pOhRx5OAH8Wx3b9q6IIw8nwMuQaR+2lXLk4QTo +YP2hoUsFRx5OgC/OL7QjqjjycALUmmd3X1HLkYcTIGe3TFUv4cjDCbB1xKsX +HnKOPJwA7wOEWfocRx5OgFszt8TEfOXIwwng7pTWdbHAkYcTYE2V1KxSzZGH +E0B3opPFnh8ceTh83hXT+3z/yZGHE2CXVatUp98ceTjcL/1OLfz7lyMPJ4Db +sIOBJ5rx5OEEqEjyyf3ZgicPJ0Af94zMA6158nAC6A+KfiBty5OHE+DQ4uET +V3XgycMJ0KV3vndKJ548HL7fixezTbry5OHw/VS2yHrYjScPJ0DG2TZ3W2nz +5OEEUKmWj9zeiycPJ8CzL69OJ+rw5OEEKG9X46XbjycPJ0CTw9NTxwfw5OEE +WGLxcWj2IJ48nABTHv9wG6rLk4cT4GSrDq8O6vHk4QQ40xhzP2Y4Tx5OgHvG +RdPa6vPk4fD8ePZ/Mn8UTx4O17eXTfzVMTx5OAFm8GO908fx5OEEaLvLxbC9 +EU8eToCGdjonLI158nACRO4LPOUwkScPJ0DSjj8TAyfz5OFwP/Nf/ArMePJw +AuQNaJnQfCpPHk6AJ1bTbusznjycAL8zl/dZYMmThxOgbHP7+fun8+ThcL0S +B4+5MpMnDyfATm7ju4BZPHk43M9qz+8xc3jycAJE6TkV58zjycMJMLChbEeN +DU8eTgBpxwuPVAt58nD4fsdPPPlrMU8eToDOG6OaNV/Gk4cTYHV8zshWK3jy +cAJcCp5f33IlTx5OgMcP+Y3NVvHk4QTYN+ekfeNqnjycAOlu8QO/reXJwwnw +fd/F3VXrefJwAsQZ3lyetZEnD4frbxZa8m4zTx5OgMKhvn/8tvLk4XA9I03e +XNzOk4cT4ITSqMOunTx5OAEcFZvqZ+3mycMJwHW6dlh3L08eToAPpWcuNe7j +ycPhn2u3MEq358nDCVDiU7rH+yBPHk4AX5/iybsO8+ThcD22JN6c4MCThxPA +Yc+u041HefJwArTcf73hnSNPHg7Xd1mbP07HefJwWE8m3rw88SRPHk4Ai21t +/L+e4snDCaC9deQsvzM8eTg8D4pP9sucefJwApyteja4xXmePJwAbXb7LQ++ +wJOHE+Bg6fEuS1158nD4PLHN5v64yJOHE2BkUVOb2248eTgBtvefPsPInScP +J8CQqPvN0q7w5OEECNHKNdtwjScPJ4Drx7eC4MGTh8Pz5N1f74wnTx5OgKDQ +lKwON3nycAJcNLv24/otnjwc1qu3U272vMOThxMgrdI78NZdnjwc/vfe5426 +3+fJw+Hz9ALDyw948nACdAzu79vMmycPh/vp554LBx/y5OHwfsw5XVT5iCcP +h/Vs9jDveT48eTgBZvmPyXzpy5OHw3phv3Nfryc8eTi83/YEOTr48+ThBPC8 +E/Mt5ylPHk6AnmOcMkcF8uThBOjn/6L32SCePJwAjREGSTnPePJw2D8sjC8d +HMyThxNA4j/HdvcLnjwc1r/hHiYvQ3jycHh+z+x0+B7Kk4cToO5RoI5JOE8e +ToA3YYP7HXjJk4fDzzPI/0TQK548HP682+3NyyN48nBYP1rpruwayZOHw/7j +Vlym+RuePBzu7yjw2RbFk4fD+7D/18/ub3nycFhvInQXhUbz5OEE2P3KyCDj +HU8eToCbHmo7+XuePBzuh9ZTypvF8OThBLjfteK1NvDk4QTY+O11hV4sTx5O +gGr926vGf+TJwwlw7eKKYWZxPHk4vL/7ZFiweJ48HNYDwzz/aQk8eTi8z7ZP +s7P4xJOHw/P1QGE7OZEnDyfAhvpnj8cm8eThcH+X2RkNSebJwwlwtX1WR60U +njycAE8PS0b9xqzxcAIs2+hwvTqVJw+HP3+V3ZTENJ48HO4vk50jn37mycMJ +0KnzGVvndJ48nABz5hxLWpXBk4cTYNj90UfHZfLk4QSY/uvo1mZZPHk4AYQQ +k+vpmDUeToArsy0bb2fz5OEEUPg6eK3J4cnD4X6pC3ccmMuThxOAP595rRSz +xsMJMNwyuOx2Hk8eDvfLyGGbbPJ58nC4v2727t+sgCcPh/eT4cYOoZg1Hg77 +V7Fi1KpCnjycAO2GHDzd/AtPHg7//qIfLf0xazycAD+2zYuaUcSTh8N+5M6i +B5WYNR4O74sddc+PFfPk4QRoHiqXdSrhycMJ8Pf61MXemDUeToDRbh9r9Et5 +8nACjN+04tFLzBoPJ4DepDLnSWU8eTgBWjlOuhKFWePhBOivtP5gUs6Th8Pz +/YTvFopZ4+Hw/Wp1cdOr4MnDYb/71U3vNmaNhxMgf+msypaVPHk4XI9nw9/v +xazxcAJYver0JhezxsNh/3y+LNOkiicPh/2828nWNzFrPBz2p5ezV3zFrPFw +Amj5xcTNqObJw+H5mGkw+w5mjYcT4Dz7VVeHWePhBNj2RvuBYQ1PHk6ALaM3 +bDuKWePhBIg/Gm8djVnj4XB90odNacSs8XB4/qP3WRnX8uThsD4YuKzejVnj +4QRYsGnZhYeYNR4O79sWcTHpmDUeToCADlFtGjFrPJwAPfIHrRlcx5OHE6C+ +b82H6Zg1Hk6AMWqlwWbMGg8nwIBcPd9TmDUeTgCda8d0b2PWeDj8PKtyngVh +1ng4AXqnDjB7i1nj4QRIzrLOTMCs8XA4j8Wb7vyMWePh8Lzb1bTJwqzxcAIc +DjIMyMSs8XB4n47tOicNs8bDCdDi0x5lHGaNh8P55cWkK5GYNR5OgBfu68Y8 +xazxcALU7MxKvY5Z4+EEsHt5YosjZo2HE8Bw8tLGNZg1Hk6AiRNmXDTHrPFw +WD8tp2npYNZ4OAGObZ/s8Q3XU+PhBJjUbWDbeMwaDyfAqO41hzwxazwc3vdP +HfPXYtZ4ODzfB/IMhmHWeDjs989KDsrwfWs8HO5/G7/gAMwaDyfAo8imgk2Y +NR4O+9f2vKoPZo2Hw/rzd1PjZ9xvGg8ngEnk8m/HMWs8nADKj9HZwzFrPBye +xwEXH6XjftZ4OHy+8RG29pg1Hg774XyTxi6YNR4O3+/CVueC8LxoPBz2S8t6 +1zPMGg+H9aDN9nk5eP40Hk4Al0al6wbMGg8nQJjRo0AFnl+Nh8P7e7hTsD1m +jYcToPSdg6eI51/j4QQYNO+anT1mjYfD+vMoppkC64fGw+E83PDPZT1mjYfD +fq71QmUW1h+Nh8Pz+S1gtAVmjYfD/ndh27kBWL80Hk6AcZN2WXXCrPFw+L5G +Z2jvxXqn8XACdB81PjYF66PGw+H6BFyfrotZ4+EEmO3+1dsB66vGw+H+M5+V +kYj1V+PhBIi++SC3O2aNh8OfJ1cGr8L6rfFw2J+0M7V7iPVd4+EEWGh+vrAM +67/GwwlgPTtzeB/MGg+H7293f+tFeH9oPBz235v2GZ/D+0Xj4fB+2ZKsCsf7 +R+Ph8H4ZMdapFO8rjYfDn1ful9kCs8bD4X1nNlalh/ebxsNhv7Y7p9gK7z+N +h8P5Vff21TV4P2o8nADNrp3ucBDvT42HE+DXxls25/B+1Xg4rG/p5Ss88P7V +eDgBTC9sHnYP72uNh8P+CUZFeeN9rvFweN9MnNblId73Gg+H/cyIZ8PvYz+g +8XAC2C891MwT+wWNh8P1bfb0/gXsJzQeToCHv+fXH8Z+Q+PhsH7dOtRpA/Yj +Gg+H6xs4rNoa+xWNh8N+58b+w/rYz2g8HD4/WxfXFvsdjYcTwKffv9Qq7Ic0 +Hg7vg6EbrrzBfknj4XB+L7rW7hL2UxoPJwCb7z1lBfZbGg+H/WTPu8MGYT+m +8XBY31Z6fKrB/k3j4bCfTL7d98lrnjwc7g/f90M3YL+n8XA4T77sUdkb+0GN +hxPg6NKQBWnYP2o8HPb/ex/tcwrjycMJcOPYL4th2G9qPJwAe07lxqRhf6rx +cPj5dBi/F/tXjYcToNuBJSkdn/Pk4fB8WA9d4o/9r8bDCWAkTXIxw/5Y4+Hw +fU2y3ZaG/bPGw2H/Gir7Zov9tcbDYb+86qZupR9PHk6AQyUH/27G/lzj4XB9 +d3qfrnnMk4fDP88ZE7gO+3uNh8P1OWnhkI/zgMbD4effqZDN8uLJw/3/frT5 +9xrnCY2HE8C2wTlq0D2ePJwAXW8F9riA84jGwwkweXdJVwnOKxoPh/3dcdNg +K5xnNB4O5+NNVdL7OO9oPJwAfqvEj19xHtJ4uP//+5G7qQXOSxoPJ4DZ6Uyb +SzhPaTwc3n+Tyv5mXubJwwmQ2KdwdnecxzQeDvulExVjFuG8pvFw2F/Y9gm+ +5MKThxMg0DIwLgbnP42HE2Dz0TD7bzgfajycAL0KV7zud5YnDyfA3prYa9NP +8+ThsL+P1/63DedPjYcTYNpMxxYuOJ9qPBzer8U97z3G+VXj4QQ497B7XCTO +txoPh/fBkrsnko/w5OFwHtuQlZR/iCcPh/NxSa1v+QGePJwAm84261yznycP +h/U9ekHrGpzHNR5OAOe7v93KcV7XeDgBFpVO8M7HeV7j4QT4KOhNScZ5X+Ph +BMgU/2yO3MqTh8P5IUDR6/FmnjycAB4XByy9sJEnDyfA+vefem9bz5OHw36/ +n/YWq7U8eTicJ8aZTeq7micPh/XGZJcHv5InD4f1r2/hvvcrePJwWO/PRWS7 +LOPJw+H+SZwYNX8JTx4O+1WV55Aui3jycHhfJDR1SrPhycMJMPhDmOO5eTx5 +OAFCO1ZumzSHJw+H63E/IVdizZOHE2BG5ztwfQZPHg7fz0cP/clWPHk43C9Z +Sq1ixpOHw/3Vtebw0ak8eTgBXnd+uUxrCk8eDs9zv1uhTybz5OGwvs1IOW88 +kScPh/VtuXsuTODJwwnQobj/A+vxPHk4PH8Do4uTx/Lk4f4/jz25bj2aJw+H +92tVPwB9njwc1t+ETZuMR/Dk4QRoMHzn9ESPJw+H53f6jrZaujx5OKyPFS/a +Hh3Ek4cTwDE4y6moP08eToCgfr02TOrLk4fD37c1IdKjN08e7v/3qe6pOm2e +PJwAd1cfe23SnScPh+dhV5c1Z7vy5OHw8/UbeyC5E08eTgDRe0h9hw48eTi8 +L75OqJ3dlicPh+tXFDbNuRVPHk6Aqobadm+a8+ThcH1eDzCXNnHk4QTIsAkq +6v6HIw+H921GQaVpI0ceDvufPj8WrfnBkYfD9a6y1XdSc+ThcJ4Ptdl5Q8WR +h8P7pVZfK5DnyMMJMCGCDYhScOTh8LyNqrkWL+XIw2F/Nm7n9tRajjycALKT +I/w+V3Hk4QT4F3rYKrWcIw+H9c00cEZ8CUceDvf/jr5Bb75w5OHw9+/W2x+Q +z5GHw/M+f/BtzxyOPBzW1/odAxwzOfJw2I+NXtVq9WeOPJwA3x8tmjs5hSMP +h/W6KOirViJHHg7vs1kJqto4jjwcrs/UfwsjgCMPh/2vKrrj6fcceTjs706u +HDrzLUceDuu31PR2m0iOPJwAKTpxm+NfcuThBMiuXXb5eChHHg7n+ba2ncYF +c+ThcD+OHCspC+TIw+E8VDxN56I/Rx4O69ODHz5jfDnycAKs+PLGOeMhRx4O +6zHHv931gCMPJ8CfIS1ntbjLkYfDeShik/6tmxx5OAHcM49tGHqdIw8ngOWN +l8rgKxx5OAHadj+VOt6NIw8ngPnSuX/CXTjycLj/lrqeGXOOIw+H81nbpyue +nObIw+Hvt9M51esERx4O57Vxi3+cO8aRh8P9viUhhjvMkYcT4EyepGDRAY48 +HNaHJWMtwvZy5OFwP4Z1q++wiyMPJ8AzaPdzwzaOPBz2Jzbuc15u4sjDCdDT +oJesaR1HHg7P47AhudarOfJweL/30O/gZsuRh8PzlvzMPXkpRx5OgLdD9Fa1 +WMSRhxNga1OPg5Pmc+ThcH60/pW7fTZHHg77N6nt6RszOPJw+LylIYeip3Hk +4XD+0XMOKzXnyMPhPJyx3OTXZI48HO6/+pDmPUw48nB4/3/o0XPEeI48nABe +azvunziGIw+H57nfwK6W+hx5OPz7Nvn11sM48nDY76x+N2j2EI48HPbvH1df +mzGAIw8nwL7O06eb9+HIw2E9r2syG9eTIw+H82+bgQ4DunHk4fB+szSsb9OZ +Iw8nQOT5xAhlO448HK53wcE3aa048nACXLZN/v20GUceDvvzWavPnvyjJA8n +QH7zj7MW/lSSh8N62txjQf96JXk4/POq9bdqvynJwwnwfl1Kv0ClkjycAENs +t1VtkyrJw2G/kJ5dN7hGSR4O5xF5wMiCciV5OJxHf14IcilWkofD+3tfhx1G +BUrycHh+lXmbi7KV5OEEuG7s4nU8XUkeDu+DqbHd+qQoycNhP6M3PD48QUke +7v/z2JqwmbFK8nA4b35rW5T3TkkeDueLX5nm698oycMJEDJhTUntSyV5OAHm +PF8esTVESR5OgJgn25KqgpTk4bBe3DXTtvNXkofDfmTiqftpj5Xk4QTI3Vph +Z+qlJA+H/bx15yW+d5Tk4QRYahTl3OaGkjycADWx15VbryrJw+H5/zTfPfaS +kjwc3v+Lvbf0uqAkD4f9fOTkw9vPKMnDCbDfNT/61XEleTish/dGTf7toCQP +h/dDq3rl1INK8nD4/MPl+cf3KsnDCVDpl/g9YoeSPBz2RzvmzJNvVpKHw34k +aFyBznoleTj8/JLJt6evUpKH+///bxl2eedyJXk4AV5lREW4LVKShxNgx6+I +7gHzlOTh8H4bVvEkxlpJHk6As7Z/d2VbKsnDYT3kC7ZUmCvJwwkw9o6xp2yS +kjycAH1XVYqckZI8HJ7/G/4u3BgleTgBnBoW2UhHKsnDCeB6O8y6bKiSPBzO +t8U3DmYMVJKHE2CEe1pWdB8leTi8/7L0N/pqK8nD/X+edx56oauSPBy+D9eg +3ps7KMnDCZBm4DDVvLWSPBzehwlwU6vZfx5OAMPt6wdU/lKQhxPA/8q4wmff +FeThcL36doqzVynIw+H7XJ1TNl6pIA+H69Fuo8HXOgV5OKwPs+4G+FcqyMPh ++3tht3xliYI8nADG7OKENgUK8nB4/x9sZxWapSAPh/O4YeyZpWkK8nACTPly ++5v4SUEeDu87iyNXr8QqyMPh/gmduXboOwV5OAHkKvXqiNcK8nBYT2bsdZsW +piAPh/V5+2NZ0jMFeTisB7dOOsz1V5CHE8DG7ceE5EcK8nBYb5ybBlveV5CH +E8Dawm3q65sK8nAC3G/ucUnvmoI8HNabO21bX7ukIA+H8/ViRWj9OQV5OKyn +HSe4LT+lIA8nQPjo8hvhxxTk4fD5A+Wf2x1SkIfD+bDZcpPVexXk4QTYaT0k +PXC7gjwcPu+AxTeFjQrycDh/fZC5maxRkIfD8yTwYYdXKMjD4X3Zb0eb0EUK +8nA4307ccLl2roI8nAAOXypZz5kK8nACPDf8MdSSKcjDCfCyc8ikHaYK8nBY +f0t7H3eboCAPJ8D84BlfA8YoyMMJIIyedT12hII8HPZHERO25A1RkIcTgDMf +vL2mn4I8HPZ/u/Tu8z0V5OFwXnWz+yt2VZCHw/p7q9hD3V5BHg7P77iEZd9a +KsjDYf/+wniO5K+cPByen17z931pkJOHw3530OiET4KcPJwAAyf9nBuilJOH +w3pxKr+FZ52cPBzWuyyF0r5CTh4O63HshjbziuTk4bDffLRxyeBcOXk4AU45 +9clSfZaThxOg3cDI0+8T5eTh8PPrbN94LlZOHg7nrei1R2ZGy8nDCZDUJ/1d +iwg5eTic37QVxu9eyMnDCXBrgbJyX4CcPJwAD0zbxw7wkZOHE0DZ7VRO0n05 +eTjsL6sv6Oy9KScPh/OQ6zrPzlfl5OHw84XOmhbkKicPh/uj2Fl32lk5eTjs +jzctNc1xkpOHw/1xrOn8+sNy8nBYj4antZbtlZOHw/expMX73dvl5OEE2BD3 +NUCxQU4eDutD37KULavk5OEEKKvup1u8VE4eDs/nhd9hc23k5OHweZdmOERa +y8nD4f52kR0aME1OHk6AX5aPA0+bysnDYT9yy6ZnmZGcPJwA8SfnRpuMlpOH +w8+rEO9cGiYnDyfA2ugnIV8GysnDCXAxHpp0deTk4fB8Zflf3NFNTh4O56en +0fODOsjJw2G/3mLLvLqWcvJwApz0aX+h/18ZeTgBJOY9fy34ISMPhz/fQ3x2 +4puMPBzeF6u73vWXycjD4fy4oeRjSpWMPBz2m3uSR8qLZeTh8L5fNje9ZZ6M +PJwAvo0FoX3SZeThsP+bK8kySJSRhxOg2yzRcBLIyMMJkNfsaJZFlIw8HM5j +D8VQy3AZeTjsz9bWZbJnMvJwWD9uZBua+snIwwkw7NWU3DFeMvJwAsC8Z28G +3JKRh8Pn7/S0vN1VGXk43H+Jb2d/c5GRhxPguP3BH1mnZeTh8PwNXy97cUxG +Hg7n1zHdBrkckJGHEyD2R78Hdrtk5OFEGDqk59qRm2Xk4USoc7q5VVwtIw8n +Qm4r49dvlsnIw4mwuf7a/GM2MvJwIvSItB5tbC0jDydC4refy5QWMvJwIgw/ +NyfJe5KMPJwIHT3Kzs0zlJGHE6HMYc3l+pEy8nAitC7ZX353iIw8nAhL/ONP +Tu4rIw8nwr42bXbldpeRhxPBxlHqt7OjjDycCI8/9R39u6WMPJwIy1osb3nx +j5Q8nAjN79oYaH2XkocT4cD0GJ8bvJQ8nAh9dTbv6i6RkocTwc/513n3cil5 +OBG2z5spNi+UkofDn3evzauDmVLycCJkiy2Ty5Ok5OFE8Jze2sg6VkoeToSa +kjwhMEpKHk6EisOsXdtwKXk4ESKMtB02BEnJw4kwOUDP/LWPlDycCJfzZqxu +fV9KHk6EV+Pm5S3ylJKHE4H5aQXecZOShxNBcd2+sMhZSh5OhDlFszf3Pi4l +D4fPn+c4f9EhKXk4EQLWSW6c3y0lDydC94JDZhGbpeThRNgzsINlxWopeTgR +PvrdCGy9TEoeToTBa/8eGDFfSh5OhA2ZEx/OmCElDydCSVejsWvNpeThRDBN +qtE9YCwlDyfCWOPpJ8+MlpKHE2He2LkWl/Wk5OFESB35fdv1/lLycCKsMZn+ +01NbSh5OhKY2E9XXOknJw4mQU5+98mIrKXk4Eb7/GWBw4o+EPJwIG5OG7thd +LyEPJ0JK4LceKzgJeTgRzvp7jDWvlZCHw/1/WOftgFIJeTgR3p19EPErV0Ie +TgQj0VA3N01CHk6EBH+xRUC8hDycCGFmf20d3knIw4lwSMte1/KVhDycCHFz +925u+1xCHk4Et7w+/VN8JeThRBA2edu43JeQhxNh7THdRgtPCXk4EY5vLxik +viQhDyfC4hXyON+zEvJwIlhbXq2xcZSQhxPBoumXc729hDycCM/ZDt/bOyTk +4UR4cafbdOMNEvJwIow5brIt3VZCHk6EfzN6dt60UEIeToQOpt8ni9YS8nAi +2Lv2V560kJCHEyF6Yb5e64kS8nAidHqyR+o6RkIeDs+ru5Fxm2ES8nAiXGzv +1OlMfwl5OKwXOvcOfO8hIQ8nQrs3si3bOkrIw4lwviZBmtNCQh5OhHw/999m +v+rIw4lQ0MbX56FQRx5OhDYjd5X8ldWRhxOh2Mjm2crKOvJwIvTaFtk1tLCO +PJwII3prd26eWUceToQTrwv9FiTWkYcTQUw/kn/nQx15OBHGddnmVxpRRx5O +hN6zh3YdEFxHHk4EwyOj+tr51ZGHE6Ff9L9PHvfryMPheu7S0064XkceTgTj +DkPaqC/WkYcTYdXyM94DztSRhxNhNssqmX60jjycCLY/feK27qsjD4fnQ3Vp +6bmtdeThcP+UDfLwWlNHHk6EzKgmx/CldeThRLiQktA9bm4deTgRBj3psSbD +so48nAjzx3nYFkyuIw+H9SGguk3xuDrycCKY+0buLhpeRx4Oz1P3d655A+rI +w4kQOc93TZp2HXk4EZJb63IfOtaRh8P7ZIN6UnCLOvJwIgTJcixvN9aShxOh +670zHU+oasnD4f1RBbfXSmvJw+H99dS2zqy8ljwc1o953Rt75NeShxOhT9iH +XFlaLXk4/PkTRx19G1dLHk4EdfK4ugtva8nDiaAs9hu0IKyWPJwIlfs2j+we +UEseToS9fRY0z/GuJQ+H9aX1rOArN2vJw4nwocF41MzLteTh8PctbXHm59la +8nAi7B/oHRxwrJY8HO7nlg0vl+yvJQ8nwtWVrW81bq0lD4frPeTjMq81teTh +RFioPaLebGkteTisZ96TjuTPqSUPJ8LX2h9Fu6fVkofD+p+5R69pYi15uP+v +v/8K9zG15OFEWN0QcrCXXi15OKwH5d5OXn1rycOJcPPTRfuB3WrJw+F5v+S2 +wqttLXk4/HwVH8f2aqohD4fPv3Lx78v1NeThRPi2bX3MX0UNeTg8Dxt6Oe2u +qiEPh/fvuSeG+YU15OFEiPo7pdYso4Y8HN6HXPc7Xgk15OFEmL5l87xf0TXk +4UQ4dWh3y2XhNeThsF6P2PsxKOC/74fD/X49xPW393/fD4fnKdVx5Zyb/30/ +HN6XP/pPvOH23/fDYT2dp9AtOvPf98OJ4Kw9eUi/o/99P5wIaZEbJtjt/e/7 +4fD+fx23+sbm/74fDvuVN7FeKXb/fT8cnie3jz9/L/zv++FEOD3S8KCB9X/f +DydC6YfjHZeb//f9cNgPPe+ddNzov++HE2G9+/GAhyP/+344Ee5PGRr6YeB/ +3w8nwtHCq5VftP/7fjist0lWFqoO/30/nAjlMUmpLZv/9/1wIrz+9Oxij4Zq +8nD4Pk1POg3iq8nDiSDLzfMdUVNNHk6ErNB5rUYXVZOHE8HH5ozP6Mxq8nD4 +fu72PKn/qZo8nAg7lS/v6b6rJg+H/UPPVr96h1eTh8P7b8Pbx+0DqsnD4Xrd +dPVo8KomD4f9458Rn6s8q8nDiXBsz8oVyRerycOJ0Gp2yfjnp6rJw4kw8fzR +jW6Hq8nD4fsO+SnduquaPBzWQ3Fs8tQN1eThRPAY+K1V9xXV5OGwfgd096me +V00eTgTf0KOPQi2rycOJgLdBS8dJ1eThRNg6/3aGxZhq8nC4P94Mbd18aDV5 +OBHerrofBDrV5OFEGNZBFePUpZo8nAjdtg+abdSqmjycCLvrRsyWNFaRhxPB +LLtz3O1vVeThRBi4rDhqel0VeTjsP50ejOOLq8jD4fs026J/I6uKPBz2r0fn +B5kkVpGHE2FluwMv895VkYcTYVeMepZ9eBV5OBEe7vy9p11AFXk4EWLKX+l5 +e1WRh8Pn/2tzcKxnFXk47Pcj/q1871pFHk6Ek+1blVqfrCIPh/Vx4IOm9INV +5OGwPzXpkLB4RxV5OKyX0Q/G5aytIg8nwr3pj2YsXFpFHk4Eb6+dbVJmV5GH +EyE4ZdkxZlFFHu7/922s98sJVeThRHgvdnXS1a8iD4f1fl6I1rWBVeTh8P4y +77D1Z48q8nDYT1vddVrXvoo8HK7v5JxlH5sqycOJ8NNuUsPg+krycCIcXjh/ +60l5JXk43L/jHvgWlFeSh8P6cfHVy9F5leTh/t+/Gdw7nVJJHg7P1/z7dpkx +leTh8HlX+TX0i6gkDydCrJHSYWtQJXk4EQZoDSh5/rCSPBzOB6nyEd9uVJKH +w/Urabtx3KVK8nAijNo+wW3PqUrycCL8mDY24OmhSvJw2C9iSSzbUUkeDvvb +uNdp3dZVkocTQR5dXWy1tJI8HL7PsEG8/exK8nD492fPa+M1tZI8HM4z/+YY +JBhVkocToa1Rm7XyEZXk4UQ4M8jet+OASvJwIhTVn2wy6F5JHg77qexRR2a1 +rSQPJ8Jo7wbtuJ8V5OFEaNmJO/+tuoI8HJ4vo8VWvdMryMPh89kvXG76poI8 +nAjtl/ZLXO5TQR5OBKn3d689lyvIw+H6rxtVffJIBXk47E8aej68tL6CPJwI +Sw37FV2bU0EeToRqqxcPPCZUkIcT4aBi7tfLAyrIw4kw5ODporNtK8jDYf2L +r956UCgnD4f91JE+XmtLysnD4foW552f/qmcPBzup265I/VCy8nD4cvRqvD4 +d7ecPBzu77dZn/Kdy8nDYT2occ96uqecPBzW132tow+uKCcPJ0L4ItPLptPK +ycOJ8Mnf2Oa3fjl5OBGcYrTaR/UoJw+H9dawPmn/3zLycCLwtd1v6ErLyMPh +edUPOZSVVUYeDn9frPYhx+gy8nAiNHK+9wc8KSMPh/ONa5z6/ZUy8nAi/Oqg +dLU9WkYeDt/nU58N/IYy8nB4/811dD01t4w8nAidY7q36WhcRh4O779n/SWe +A8rIw4mw/MXS8b3alpGHE+HvTmfhpqqUPBzWr7tnDbsWl5KHE+FJ78k/z8eX +kofDfvnP/SU/gkvJw2F99HsxZ/PtUvJwIuyIOytNO11KHg7X+9ikiYY7S8nD +YX+z7i/zWFJKHg7r6X2trtyUUvJwImz5+v7F9GGl5OHwvk5bM/xOl1LycCKo +NtqdkzSUkIfD+TnCIHN8VQl5OFzPp7bajqkl5OFwXtN2WPv+VQl5ODz/pQav +f3uVkIcT4XGD/vBJLiXk4bBeXpr4bt/+EvJwON8Iuqd9V5aQh8N+qjzrRI5l +CXk47FfWj4cmgxLycLifG2YsHqFdQh4O++EX/Szm/y0mD4fzn16+x15JMXk4 +ERYEPl52ObOYPBzWL8sPN/2jisnD4X5Pc1zz3qeYPBz2I61N3mS6FZOHw/vQ +d21YxaFi8nBYrzcdXMqtKSYPh/V3cIvg7zOLycNhf6p8/un32GLycNiPdxsU +3NS7mDwczpvm/XY3NSsmDyfCutP2XX7Li8jD4X7a88+nPqeIPBzWC/2345Xv +isjDiVB79lFK+ZMi8nAiLGpKOJJ5pYg8nAj19fOtPjgUkYfDefTx7imB64vI +w+F9OX7bXo/ZReThRPgs86w9Mr6IPBze98PsXqzsW0QeDuffE+PzJrcsIg8n +gl6m/9Ze3BfycCKkr128T8j7Qh5OBIeyyl8pH76Qh8P+/0p3ncdPv5CHE6Fq +XmTOoWtfyMNhvQx+MWnmsS/k4UQo6cQt1d74hTycCLeeORhXzflCHg7vv7db +JM+NvpCHE+HK0QqHw/2+kIcT4Zm1rtqs1RfycNifgdOOf1wheTgR7JJm137M +LyQPh/f1s6ZDzjGF5OFwvcYNGWYZUEgeToTmwbNaN10rJA+H9XVIf923xwrJ +w2F/vmD1pYMbC8nD4edPypttMLeQPJwIK7R276s0KiQPh+c9pEe7W/0KycPh +es0sHDq7VSF5OJwPn2VnNnIF5OFEePlvfN9n+QXk4USAJLNudjEF5OFEMGlu +Fd8moIA8HN4n5uEWr64VkIcTYU1ka891xwrIw+E8vycrrd3GAvJwWM8mR/4K +n1NAHg7ne9n+MauMCsjD4ftZkXqweb8C8nA4b5x7WhTQsoA8HK53cKO9DZdP +Hk6E8TvSZ4l5+eTh8H54z/be/pBPHg735+RzKtOn+eTh8Hx2r6gsvZpPHk4E +Y9NQm9NH88nD4X11ZIv1kA355OFE0Dpzvjhudj55OJxP+z/rs2V8Pnk4fD8T +LvRo3TefPBz2D3xxoX+LfPJwIrh8vuBgrcwjDydCUtKRf5LcPPJwOJ9tDXN2 +fZ9HHg7vk4JFWiP988jD4fprXYxKvpJHHg7vE6XX5Z0OeeThcN4x5e51WJ9H +Hg7rQ51M/XxWHnk4fJ9d+j+zMcwjDyfChCHD0r/p5JGHw371x9u9ns3zyMPh ++vJPvUwUueThRPDvmLmrKCeXPJwIVtqj5Sff5ZKHE+FuYMbIoU9yycPhvLW5 +3CzFPZc8nAjbftwztD+SSx5OhERr234663LJw4nQ9/K5Th+tc8nDYX+ww6/n +7nG55OHw/tFaOruXTi55OBGM1llHxjXLJQ+H/cn32Qfs5Tnk4fD3rbe4PCgn +hzwcrq+Bbq+s6BzycHgftG2n5+yXQx5OhE3yfkkm7jnk4bAf0/XtpjycQx4O ++zGXhp6+a3PIw+F8c+G6ZJV1Dnk4PF8Lmm71HJdDHk6E1K+1E3N655CHwz/f +37rUo1kOeTgR+v+cfHexPJs8HO5H7sAp7Zxs8nBY3zq/9ymKziYPJ0JvL/Oe +Pn7Z5OFwvx8x4na5Z5OHw+eb+MN00pFs8nDYf6/91a3NumzycFivd6W5Flpn +k4fD/drUNfT5uGzycNiPNLv0wFknmzwc3kfQbeOa5tnk4XC+6fK4h6kiizwc +9u97ZyTp5GaRh8OfP8rA4/e7LPJwIkx1vuNc9SSLPBzOy7H8y9QrWeThRLi9 +K8jkjUMWeTic11ZtGhSwPos8HM7DsrTz92dnkYfD5+nw2un6+CzycCKMcWrX +y71vFnk4vL8KP+92a5lFHg77oQdzdPsUZZKHE+Gq/cOJw55nkofD3+e+gJme +zCQPJ0LEtDnbly/MJA+H/bPOmyLHIZnk4bA+6f/6+FSdQR4O68GKkHmlnzLI +w/3/3/eCfPvezSAPJ0LosT/ixl0Z5OHwvDcKO16aZ5CHw/01S2t8p64Z5OFw +v+bpHNtflU4eDvuFF+12l75KJw+H5zNdZ9JSl3TycLjft8a0z1uZTh5OBPfx +z7XWj0onDyeCzqd0x/q/n8nD4fsoWXzoZtZn8nAihAQ8HsX8PpOHE8FxxMbs ++iOfycNh/Tl47Mbr2Z/Jw2G/0qmDz9l+n8nD4Xxldkzf7msaeTjcH4u2rDH/ +mEYeToQj7UJ3jrqZRh4O77PCY2eHb08jDyfCA3PdvDFmaeThRFjbf76nZec0 +8nAi/Nb6wm2sTCUPh/99nEz72qtU8nDYf06tnpLikkoeDt/vrF03tFalkofD +/R29w2brmFTycCIUfmnrldQslTwcrkdgRPikvBTycFgf5wz6+DoghTwc9lNL +p/y1PJ5CHk4EN4Np90oXpJCHw/t3XVDyBd0U8nDYT7aYBFN/JJOHw/rW6WtY +q9Rk8nAipLzQySn2TiYPh/sz3No29kAyeTjs/4ttjryemUweDp+Hzd0Y3SeZ +PBz2Qy8eLsrkk8jDYf1v/v1Y/cck8nBYb90ttAxuJ5GHw37/QMR8+11J5OHw +fbf9siXJIok8HL5fs0PXxvVIIg+H/Q53t2WQNJE8HK73Kv+fE94nkocTIfmk +xdMsj0TycNgP2y+bcXprInk4fP6sIR2nmSWSh8N6rT96Qo+uieTh8D5eOuP7 +z5pP5OHwfZS5uAlRn8jD4flxWDP675VP5OFwPRY4afff/Ik8HJ7Xc9UnFkz+ +RB5OhJHutY9udv5EHg7nsfsDor5WJ5CHw/m6s2OztVEJ5OHwPss3jKi4kkAe +ToRmdeldjm5OIA/3/38/Hzl/qGkCeTj8vCN3BtZ2SSAPJ0JTl3Yb3tXGk4cT +weNURmhAdDx5OBFmpBXkBXnEk4cTwbbUvVPctnjycDjPeIXcVJnHk4fD87Bz +/nOTHvHk4bAfck25el0eRx4O5y/P0pOtYuPIw+H7F6+9uXo7jjycCG1srI4b +7o0jDyfCiD9P2ymmx5GHE6GHc+Kjd33jyMOJUPav6Yy/8JE8HNaz4FZ8QPJH +8nDYf86t1ot/9JE8HJ4f38adDQ4fycPh7/vTsmnGgo/k4fDPb3UfGjzsI3k4 +EbIGXes35m8seTicP03NDZLzYsnDYb/LmXieDI4lD4frNUK8bXM+ljwc9tNT +LU9MXhNLHg77/W0LXCyMY8nDiRBv+rhxfadY8nD4PrcZTTRLAfJwIrzaqFrP +OQJ5OBFMFeG/CwyAPJwIl5btvPWrJIY8HO7P1IS3tldiyMNhv7l03I+vFjHk +4US4VpH9JVb1gTycCGOX3FSl+34gD4fnz2Ngeq/lH8jDiTDRo6jpQdsP5OFE +GLBT1Wtd9HvycDgfb18csHbve/JwItwcHGr1YPB78nAi3Lh09kTvvHfk4bAf +6tpWnuP6jjycCBdDZw7ImPKOPBw+b69Ooe1V0eThsD69E41dnkSTh8Of/2+N +9Qy7aPJwIsxf5HR8Rpdo8nA4j8ydtdc1/i15OBHCy6++7uz4ljycCE8dlV8L +x74lD4f31ajkCEltFHk4EYbmb/QzexBFHg7XY3Gybd7iKPJw2I8GVOyPaBdF +Hg7rhdlGtxJ4Qx4O9+evUuu5R9+Qh8P98yB6ZvNxb8jDiSBJNxvZXBpJHk6E +hgPHPOY+iiQPJ0Jg4c4h5baR5OFECGusOvVeK5I8nAhf31+3kaS8Jg+H+2V8 ++wlrzr0mD4f1oiAqf+DU1+ThRPheIZaObYggDyeCeJxTuYdHkIfD/mMQRJvu +iSAPJ8Kf7J21JiMiyMOJoO+Yo+Nc/Yo8HM5Ho5NaDnr4ijwczj8X/i3uvOoV +eTic174PCLfp9Yo8HJ6PQeGZlTkvycOJcH60ydqkay/Jw+H9a9CzQwubl+Th +sB/cE+x6vcNL8nAinKudddEhOZw8nAhvro6AcJdw8nAiXM86XTl9Zjh5OBFU +1U4+Y1qFk4fD55tiFrU/Pow8HM4/vwpLOpwLIw8nwjrbHQn/rMLIw2F90/5t +sLBlGHk4fJ81pjfUrqHk4bCftH4Z2axDKHk4nIfuHpmc5B5CHg77B97Lc7ZW +CHk4EXYZzLJ0ufmCPBzOoy294s72eUEeTgTPVpm3zR8Fk4fD+eO+7rSoYcHk +4bB/Ga60+hH8nDycCGd7eg77afycPBzuz1937eHDM/JwInwO8wqxmfWMPBz+ +/XMr9zzJDiIPJ8Jhu6DJn9YEkYfDflfq8SRcFkgeDvtvxeTpu48EkocToXHd +jyB1i0DycCKkpc23n389gDwc3ncXhHFHBweQh8P7tFnm+SNhT8nDibBhaLvO +cyyfkocTobXv7x3qHH/ycCKw9bOmOGz1Jw+H91NO2sjcn0/Iw+H9cij0S8cr +T8jD4fkcu6l+iO4T8nB4P5c8HtE7yo88HNbv2GOD+QV+5OHwz7XmXfOX+JKH +E8HmVNZwq9O+5OFEGJ3c3ytBx5c8nAjWy3yfjn3lQx4O61e6STPnBT7k4bDe +pXTbGqt4TB5OhJWHim8oXR+ThxPhAPOxaT38MXk4Eb5ZZz8f6PaIPBz2dy8W +1vVKfUgeDs+XofeXHx0fkofD/vzn9X5xC73Jw+F+ON9mzolbXuThcL+vLGmm +X/aAPBzeH6nNZGnDH5CHw3qXdSpwx8H75OFwvatPCC1i75GHw88vnXP0Ydd7 +5OFEmDt5i9pi413ycHj+n57Vk0XcIQ+H9fHBnQzvDnfIw4nQkdVEb950mzwc +9pPhwpup72+Rh8P6vizw3jidW+ThRJgy2MZ0qsNN8nDYL3a6dmRXwQ3ycPjz +z181ejf5Bnk4nD/4OrsJ3p7k4bBeTn5ZXNDSkzwc1tNrD4KC9lwnD4f9dYvy +2NBCD/JwIpxeuGQwP8ODPJwIBof5+2k7rpGHU8PHsm9Pp8y/Sh5ODZ1+HHIx +Mr5CHk4NJ57GbYsa7E4eTg1vCt+cye92mTycGm7H5+l4tnUjD6eGNTuXnP7R +/BJ5ODWc0TPW6tj8Ink4NZhtWzXhSytX8nBqMPe8tWZbZxfycGpIb4rqGNP3 +Ank4NQTohHyWjj5PHk4Nuc9cJ3HTz5GHU0PN3Fuq3PXO5OHUkCd3CAw4c5Y8 +nBoqLhn2t396hjwc/v4JJwz2+J4mD6cGd/mC3FYtTpGHU0NIT/2f73afIA+n +hg4r44/6VTiRh1PD+cbtc9PXOpKHU8O2wf2d50mOkodTQ8bSlLmGjg7k4dSw +fsF2xZVeR8jDqSF18PCPDjGHyMOpoYX/3Tl/7Q+Sh1PDa6sKHeOxB8jDqeFe +x6cfVnP7ycOpYWXXTZ9/Xd9LHg5/nq27/m6b3eTh1DBx08TCnIE7ycOpIXqM +VZeVbbaTh1PDvJfvLIa02koeTg1PW03QWq6zmTycGh6M7L2p7/SN5OHU4D98 +6F3D9uvJw6lBJybBnHu7mjycGhSbnRIi764kD6eG+a9sIir8l5OHU8Mn04BW +b6qWkIdTw2m3TrpX5i8iD6cGdglKtqbPIw+nBskgy1PqDbPIw6khfPjaxG3L +ppOHUwP32MQpeREjD6eGoWaBkbrvJpOHU4O9p2svfbkReTg1XDK2C1wWNYo8 +nBrsEhqGfTUYSh5ODR4NC91c7XTIw6lhwKluWr+adyQPpwZpWMG4VdYNFhoP +p4ZW4tc5qzvmW/wPgJt4bg== + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJxMmnk4VVG7wGVIKFIRqWRMJaRCWs0oRBkyRIlkSiElFRmTzPM8z/M8WywK +IWMhGjVJsvc+pNJ813fPufd8/dPze/Y+e83v+y7PT8zKSf8CKwsLy3YeFpb/ +/H8vZInYN1YCsTD+XfwgNP6QjUDKBjWRAtKdLTr7FcIT2QkE0utMdmzrbJGP +P6pxkYNAVvG9HVrbO1v4aWf/gKUEepbk3rBbobPlyzG3Gl5OAv01jJeBmEcz +Qy9OYibahz2ini9rqf+ZI161jEBOSpPf6mW/wiQDOOHHRSBn6Rc7fy0fBh4l +TyJOcRNoQ2NIe1zsAjzL8fnoZh4CNelv0GDZPA8OnmX9t4j5/Y/T1UsGWFrE +64XrepcTSP/Y9M4xmWeAfeWOSykrCDSqZbJt00A1mLI7JnmZl0DD558khNoM +wu52i+cH+AhEO3n78JUv07Bo3fUo/pUE2tQmHhkl+gSGuIZpvsPMusbZ3Fz5 +I3Dqy2Wp5WfOj55US33AKgJNr3jk+DqGADtvj1w2WU2gVpbb4yUds0Dg6Wep +rWsIdOla6tb+yAn4XZ7t5S/Mfvfbbqql9oKJwHUx/QIEMtWwcRrJnwTNb3Zo +pwsSKCBu8v2k9AJMVdVkdVlLIK89iVELY5+hV/S5xsNCBLpsfpY/3vMjtJy9 +7rxGGI+n5bfl3SVtUE09fPMU5jCH3GV1UTVAOi3vVf06AsV6WkiErngCl31v +ib0nQqDHopNt1lvrwcyJ0eNm63H/bBNNby3SYF/BLNv2DQQy+nGnNVaRBsuW +sDf/xdwS6qk/FkDBiNMiV4Y24vWW59lT6l0Ir1QrbskSJRDvamM9C3MSGi7X +mnTdRKCZbYrBPpmPodIFy3h1MQIF35S9JM7xBAi3uuuuFcfri/an9VZ+gL8E +Izg+YW6LzUyM/jsEXzrlwyYJAhUM9msITkxD1N3qGiJJoF/BdR1P3tJgptjY +1rNSBDr7Mca8MrQH+N0k3shLE6jWSWt9u/cEvPCEPXHJZgL9qNETvJGcC4/K +rj/5BPM/4QWx7TGjYMudnZy5MgT6qc4t/+/QG8DzSqvVbQuBjjsaPU+VygGk +ktW1Y1sJdH845DuaeA+Hwm/IrttGoBUvwk7/+TEFq6Yj3n3GvEratqb32AiM +OVSQ1CJLIK1403M+sAm4JSG98O14/HvUH7a5TUOTL2PLLOXwepwLe8D+6C1U +PU4iRXkC3eReH9n/dQquz+W4zq5AoFN+e29oVk+Av3/Wy41h7mazW9RvewEn +jXZ9yN9BIInNa5sMFarhg3LtlBuKeD12DhQ48b2BucvOG2jvJNDGj+Efx15+ +gHctb3Jv2IX30x1yqoy1B9g3RbaTmLn5J341BFZC7dWF7m27CTRxK66CnZyA +2x3b5KOUCHT3r/6d5bzdkK/z6dR5ZQJViGormGbXgbkNVOpuFQLtrzzruEz3 +PXzitvQU5x4CyV262DDZPwZrBzcsn8D8+giZYOv9ECTI7H5QpEogNpU3Xb9n +nsGbPsdveuwl0HZhjYz+d+XA/Nn5HbqAQAOJvRHftUfh/p23pkX3EejA2tbQ +2c8TcFNIVPoc5thhSbF9h15B1g+FRg/2E+jqi9uzh/6Mw/f72lfEHiCQAn/G +tepvlaArbrzD5iCBSrmGOa2vjsECirqlcgh/r3y2JkyhGQYd49zJfZhAD3mG +ohxuTsJLmRtnnmMOqu54uvZ1OTjxc3dm6RECGSw6pHWsnYA7DHRMvNQIdCJY +9k52VC9YXWLNp6eOz/+ihL7W2CD8yu7RJa5BoLyl235uqRoGT89Eey5g/lR0 +Y3+d6whorCva1XUUf0+ds8G1BIJkvvuf448R6NxWlkkl4xHgaTeRZa9JoC3R +esvZyefQop1muleLQOuKHvXkjFbBQ+uW8a/QJpBhEop++qYXSLqKdr/C3LpA +sGSbNsClfUpeFcfx/njV2nb7RTecltRV8tXB6z3TLlNAG4C9nhcIA10CsUs9 +nlBsTAYlYx45UifwfI0/C/QQHoNh8jFm3zG3yfJeMTbLAc6Bxat6ThLo9Ou0 +mZPUONR/c78nSY9AfPVrPwwF98Ndqs+8HfUJZLFsr5AGzxgUjJ5T3m+Az4+M +9MQH2xT44/Myis+QQI2DR7uq3jyDz9U25b3BLHrjm1u0TgtoSVU+U30Kz8/Q +X9HhkjaY/k13zR0jAjkYvmUf2fQM+pyweWRkTKC6Qb8LRXx98HyBp6+MCYH4 +n/Op22c9hupLYvf8xKzx82/g1DIEN58uoT0yJVDqLas31qVDgKv6QX7qaZxf +Np9bEfKxC37meX7WyQyft79eRdcyHoIB63mBQ+YE8lxmXCrAmgkqWrj6V53B +8zFotPkZ930YJSjm/x7z2njZ5R5KfvCqk8reurMEuqK1b51sYCsw6j4xf9cC +5z+B9P0JSglQRcy20PQcfr+SS+3l6Vaw7ubtc9ss8XiqVvvcc+2Cvx/Hrv2D ++XDxwrdzy6rgq22lAwNWBOo0U9CIC+mHbf4ddzLO43y0Ktr/qdxjmP3yObhi +TaD+gEDTh2sawB2lL1+OXMDfUz0mmSxZA23DuYsFbAh0Y4/dW//7nUBzWszq +I+amT0ZZten34bZDe4QbbQkUNeMwJD7VA1cknRwKssP7Z5VMk65jFqTmbe+a +2xNoZfjF53NCnXBY22u/nAOBXD/GE4Js9bA6J+7rP8zL27Su8I1Vwtg/pSXD +F3E+uJx2MPjWXeBu1Hk+25FAjifevRVuG4Kny1+su3YJ//5Rcg4r5zAEyxaG +NS4z8+FGS557Qk54/+1X283blQVZmsQPzmD++6i+aj5pGL5dpfq92Rmvj9rM +bfOQVtBxUa8s1IVApFF5akZJKszrsLtgcYVAyd/7KnQiBmHgBu/1O1xxfm+x +iJIDUcDBLf4J61UCcQkMei5xQkBnsCxo5CqzfXmZrkN513B9YrvVMSh1GPL7 +vFy87kYg3z9s1g1X6uGXiYVyzesE8llItct93QNHFZfbirgTyC5VbgJZtYH6 +YImNBGYFyyHTPfMZMPG96mjrDQL1ZcSrd+wpBrf26YdE3MT72/dUwUU0BM/E +2R+xusVs/wDl/XOnB4EW9M/1O46NQPFjCZUcngT682iPQox+P2TPLLd7ilku ++4+M8pvHcOpHl2jhbQLN3+w8wH9xDHbrvxq76UWg9G/Rh2KbnsCi4q+hx70J +5GYzZGdreR+GsK9Q3+hDoMigmwmxJ5rB5TOSvynM0peWXBkLbwEn6/ZWt/sS +SEn1o82C7xOoyGfgEO1HIG3JZ3ajsh1wjZ2D2AV/Av32bl+ty9kOv7f5jCvd +wfFq+NLSIbeHcEI4MXxZAI53NP4LHRrZsPlKhcYzzMvHuQQps4cg9dHDP8V3 +CfR18LBI8JXH0EvydY1nIIFU4tme8BmkQUvPbxdP3CNQ/q+duwZq6+CRsRUS +YkH4/LusH1SLLYJS8lLP5jF3TPudcloPIWcgiOwIxu33uOmKiA3DT5MGx+JC +CJTpR2Rpx1XBvj0X/9mG4u+rT3UMsPXCsijfuj1hBOLZrHPCoqsfRnxOvMQT +juev21dnW1s3vKJWKfkSc/0zjYoRyQZgmNr9vCwCf/+btZ3K3WGo9O11lHck +3j+qlj6Keq1Q6MR3Tf0oAnloLrJ+ONIPf+bzLpGMxustEnZ2H0c9eMEi3fAV +M9/GhvTDRQi2mu5zehhDIGHJQNoFnyGYWWUonRiL81/aNUl9m0fQj8fxpUMc +gaaGXPr5xFvgBWu/GBCP41efmfwqzyF4tCVJmzcBx3vRQdLFpB5sEaxincRc +Iqlw2qm8DfI49TRWJhIoeyC/O1L1PiAeTjr7JREoMaX9sOveODC4aXHzqWQC +eXs6dmmz5cDKG3yvpVMIJPaVn+WhTh+Mfiwdt4j5edMxwc6tg9Bt236d3lS8 +/56oy4hPdUAT/1PsKWkEOih9yagwvBuqvnRsvpSO18P3XJvaRCNcr+R/5UAG +Xl9bo3fOshHwb1jyFv5MHJ/73rtHXW8Bkx+rJt9irjHeuizyQBW8f7A3viaL +QB/Cv6160FMBchLf6AZkEyhheXXOXd1uGDC/yGGSg/ebeZU0tK0AdtorW7bk +Emhwr834DeF6oJ2z+eovzGXbrJVHFUrh9j/7t/XnEejo99z9uzZDyGdk9DYt +H7c/KfCFzbQXzpVdSnQuwON1DNbqOdkNn3DeOXm4ENefR+0Xa/cPw9pzKZxr +igj0VI7t4q2CIRjfWN36AfOAu2f1z4IScGPVo2v1xQTaOqPy0PDrADS7+Fb2 +XgmuF337Wc8F5oB9HT/enS4l0BqjhCbJnAYouoE/WbaMQDu4VNPc/uVBVjcZ +/b+Ye98V1O/1bIfvBw5wDZXj988FSpm1tYKuzcZtmRU4vwsn/ps90AYLvC9f +d63E8Yctq/ScXS0Imrgjp16Fz3O+W+fJ7XXQUTH1g2A1gcZVeadTRR9B3eCa +lOlqZjxReP/IoKkGx9Olvp8lXjSBVfvecYfUEmjZjXtyItGdcCH2Z/uZOgJR +SSSu/e+ApyT/Dfl6vB9rvPcbfqwHjUe3KCxpwPmviFNrVq4KJGcc/PgY8wgn +x1DUiyro+cM4LacRt79pZ7vk0kpooe90yq0Jn68bNyy2ThWDQ8UBy481M/sj +wZ72QBgS6M6dcx4nznRAjjO1Nz9jjtqitjdXMxN+rO3b0dJCoG+fAtc8VImH +Pbzvp8NaCTRbFdM1kVwISmx/pZ9DOD5QL2aUT+WBsLZVxoptBHrkwOVuVVkK +nIW38rK3E+jBc9qUxHQK1L9yqHMUs7fVneeKt7vgrkcmHvn38f3pTcGWhcIH +UFDSeeeNB/g8RifLI49iuOhxd0arg0A6E7f33pprgs9G0zLXdxIoQ2HiZfzL +Cgjl6kxIzA+mpWYe5dfCtLv9fG1dBCp6xudYeKMA+Ey+74p8iOdr/zNuriUD +8Pye357nu3G9IbHbRlquAapHrd69uwfvz/2mwnGr68Hmz1tnl/YSyFj8wpqD ++7ohl9rh7HHM3ouT1+K35MPPKaanix4RyKUjbdvLkT7Y/9WZ36MP51fBfNPe +5GJYrhvYrdNPIIGYLduWbxuEkfnpXqIDOD69y4ud0asCriz1SnMDzPk3Mh0g +7g/i+84a9eUOe+KgStWHnJghXM/mHFl7vCwNruP5Y2YzjOvBj2UrL7I3wN/n +16xWeYz3f7CHcPvtGPgKbuvlekKgC8cvpxpr3IdtAkd8nmO2+HfunfvGeph1 ++bRK6QiBzO4I/tMdSQX+D12o26O4HtGo5w/pLIE2m+7lnRzD9YVffvoXlm54 +7EbGGfGnuF4/eIb9UT6uRx7Xr1nA7OvZH//TBtcj2wYfdY7j+qFVXX3vtzZI ++U35xk/geFtPCNRW14DhF3/22D/D92/NE4NNdaWwerfAnOpzXM+PflSeSX4A +Y8NkC5a/IBAhQ6iw7KyH1z8esXiFeYtOwsmYhwPQ9KCZYMVLPL5+3ls7r1XC +vYlX+n1e4fx4aZH395FsuGH+nr/BawJF3P7SRXG3ABbtzL1SkwQq7lg0DnqW +CN9mN8x/wxxbZRF2M+AB7Pg9WNj9hkCQZp+zI6MP5p36eC7pLY6fPrQb+Sw1 +MLDs71rHdzi/vqmUOjuXDB04BQf3vcfxWzkhf+xYJjh+bnsA3wd8fwwJODr9 +tR7KNarte4P5TYD4mRbxLrhylflC1RSBllqXBlfk9sB5B9di/4+4HuBFCfa9 +sWD0QZCV0TSev+Xtx9Iy62D9+ixhmU/4vrv9qZjtYBtMvNY49AOzZkuS3Cxv +J7w1MHT30QzO7+ueG301rQBnNk/vT/2Mz6eWLff1jkp4wPvf18uzBDofFJiY +F9EAxCYESw8SBOoiA5w9XnVANkU561Ukng/jAfmh5Eb4IUhd5D3mxio0yeMd +Ax6+M39cS+F8sda8wcyvGhSBq/fu0nB9rjkYMaeUBUNigw+azhFo3/jEh2+h +UeAymfV96zzej4Fx29tKa+DJo01lvzHLjctJthx9BBUzhi8MfMH3Z0m3qFnx +MrDmx/T6jAU8f54FWV+uJoBveiwjLl9xfago9CVhpASMF60NPvIN39+uLYU6 ++wJAE5v8YYHvOF696pAa2VkDUsw1fkxhltUS7J27ch961Z6paFgkkNROgRxF +gyhgyXvNNugHjrdQilU+PwUcsQ3ZaP4Tn/+Q6u8VMnVQqi17dPsvvJ7w8JnF +rDrIKdwc8g9zXV6V6A3jCvDJ5fGR4d84vpwd7BOsyIWPej/9zPpDoIvdU9d3 +r2yHpRJLqq7+xd9/0lURUBkJwz2E7DX+EcjcZu3LXYoPoMuo/CYhFhIppZSu +mae6oKHc0aefMD+Qrgs0SOuASnfPhjUvIdGylyorJx9XQaHJa+qhrCSq8zVf +8WB1FPipEvr7LBuJ7Dl+neosbocvInOqFdhJNDRUf1P2QRxonWl2YOUg0fJR +tt2NYW0w48gTsRHMVfdTbK9ZPoS+KTPjuUtJFK3k+8dMIxNYf10ScZ2T/P94 +oqErfFRzGYnEak+OjUrUwS35Cn/XcZFo/89BthD5B5CH5VjtLOaLp7me6GzL +BISJhWMrN/P3g5VuEhE8JHqtdd5bD8fXSu6wZ5bLSZR/i6dBWyQTRp/Pjdy5 +gkTcqt1jduxt8BqExzh4STQpuDbnw81KYCww8m8Ms2/BKe4gow645/LnugI+ +Ep2U6gJr5XOhyEPWyzdXkijkumuUVGYN/CO6Tuo4P4ks/3xs17G6Dyfdd7zY +sIpEGq7JSq8utsH7w8eiKczJLzMvESZBMGfrOa321STq8E+RPJhZAgP8ri+J +XkOioo2DRm/v5QO7F2EN1gIkWhWggQQv1UCt3XlOSoLM8cmGtUgvW0uiz1t6 +M/CVCvJ+HHk5gdkrtyA9bX85oB2YjSkWYr7/OIHtuKcw5vHLTveD80Dt3Dq2 +E+tIdKPZctm+8joYr6XYtEmERIdXsXy+ABrAjWxNl3nMeeU6TRqt9dDs9zmZ +jvW4vSd7nRtL78F9p9xfx24g0Xjsnimv+8FQtCw8znYjiYJftgsNGnXCJZz5 +OntESWTCEffzU1w9fGfRys6zidmfzobR5hf/xfn8xJUyMRJll/w03LCzCQY5 +sG/1FicRx1C2QsClNuj4QOSNngSJvi/fVXTkRB3QXb8zQUKSRG2ljmZzVDVU +uKZ14ut/WJvXInBDO1w1YLn0oRTz+wvSN1oSpEl0fQ3PFIdmOhzzirjqsJlE +MRoWXJ+KG2DDeP42IEOiNQeGYoyuVMKkHejtii0kathgxl+WXQk8gsYSX2MO +8hNR2vAIQot3xMnKrczvHwIcy/y2kUhbXIYr62IRkIhdjwxlSeSnnZWh5ZsC +OcidbtLbSbSX/ZRKj10G/KihvX0R86lif/HImCbYk271vkeORFd45F/YmTbC +4sUbycnyJFL7unKud+c9GKoXqX9JAe8Htg61eatS4FRUwHVgB4n2lb2unXeM +gHpsbW0rFUkU23Ji9efgWrjL/On1t5jPR8XYjUdVQsFaUq5mJ7O/iyuWTt3Z +RSJ8wVaN+9sGn9lsSDXejc9/7zpHnZtZEKJdhluUcH8/2HhEvMuAaULHeX5h +luOJY9/Jkg29Xc7f71MmkeDk55v+x0uAVe/NG2kqJKoeWBP05XQ0UJOIUnDe +g+ODiNKSr2ZtUNqj8OMhVRIN123vEdSLAFyjbWmr9+L9Mj0UcUq7Fn7ePn7q +A+bD7kpmVyRzQH8AtbwekOif4OIa8fexoPz10o7Affh8pOvpaskkgUiVjbdO +7yfRX6EE1mbDYOgauVtR9gCJtgvVHamTiYKnZo5/+oOZZ7ecI+doDFA+Yp0x +eBD3f99zDo+SAiiccss48xCJuvLCZ6M3NsNfC1G8rodJ9F7mvJbAwQrwSqeo +U+0IibKkrDgtY+tAW167h6AaiVqrR2/52cWDrH/jO6cx162vrNFrLIH+JrSZ +RnUSlXU5R+iNl0ObSs6sYA0SPaYIVq/XNfAYt6jpmaMkMuvhfXxIKgRsPa+0 +Uv4YiVJstsf1cWWD5VDnIYsmc33INRduP8Z8wZHGc+pNNhi65LE7RwvPT4jt +0g2vakB1V/TsNW0SaZlcd14wyIWxosXZR4+TKOfIp5/aNnfBdff7p4V1cHxf +xc/jJdYMTYcn+D9jPh8fdVWdJRrs3TrXDXVJ9E02rMjFOB5s8FvmHXaCRF+5 +hu4+T8gF/56LKp87SaKNX09MTa1JBW92KZM79Ei0VTf3y3hqG3wQqpvLpo/X +YwOXRcz6GJg7dcF8VJ/Z/8ADnqvzDUjEJu5zwEAvFDgkxPS6GzKfH58r9tE6 +RSIR39UbmqoroJzWA5X1Rni/i0qcOOeWBFdmP6MIzAWaatdOGzTD+V9zeciY +RG6bvrKWPsiDI4ZcZyNNcL6xn/AM2RgA6ko3CZw3JdHozeokYiwHJixV6dt1 +Gsef77l8q1hDwU2LE35LzUj0W5+v6n0drkcabFTHMTcPc+tr9+TAA/y35wrN +SXQt3FM0hyiGYg6xBbfOkKiwdszM0rsQsD0osdA5i/cXq3LSD5dY8EGkQ1DU +gkQr0749UShuhg+vPu+nYX6zKnj24m0ICvvn/e+fY443WJobxFgy+ZKX2JcL +ViRaP6zTl3e9AJ4YVylSPk+ig/y39nn7VkHFHSctuaxJ5HpI/7aJbgZcE2Qr +9Byzv8UrmRsRtfDb29uDJRdIlDnzj12zMhKM740LuG1DolrDqaNyHyFsiind +d9IWszUr+fMuhClEx4KYHYlUXQ/t+/uuDtzWeFH8BXPMbd3smMOJ8Fz6F6tO +e2b/Di9yr4t3IJEncdT6pU8NlNQTH7a7iPt7U/uO42gK5CzaE6jqSKL5WOss +9qwW+IlV78DySzhezn6QeOZcBR+Z2X17iVlstDUwLCgRltZ4lZZfxvu9p9Tz +3dZ8GL4i3trHiURL7ePNznVFARebMhEDZ7yfpys3d66rhQao87GkC7M/u4Ve +3vuG2WylrydxshyudVk42H2FROwG1iMf6wrgjx6exURXfF6afR58eV0CXohL +lF+8SqIZeE/hpUMybL2larPvGokyxt4GKGxrhRkjehv43Eg0dnbc8I59JvTd +bj8yiVled1deumg5tA7wDq66jusBW8EX9vMQaryOP+zvjs/XTkNn/c3+UEal +/MepG7iekAw5PmnZBLkjuyo23ySRix3HvfBVpWD200vbH5i/KJnln76SBQYO +f9346BaJHN/d2j+v1QArkpePpXjg/abnSCXaN8HoBYnQy564XrK9brRHKhJe +09mrdvA2iRY3PL9mEeoPjPP0f/F7kSgwSXPpIZtouOeffdU7zL4/rWNFlhQA +ERMf+1pvEjX17T7ywSsO/KlI2HTXB++XdXYWXJ2t8DVXxVMTXxJpahf5HnGq +Ae1WD8O2+pFI9uXPj6Wr4mF28yv135jFC/ZRH16mwTtrvv3u92fOv92lFTXp +d0hkaB4Q3lUaDrS6JC+6BOD5FrguNvAzHciKAvEjd0nUF/b5l0VMCuB1N5hY +E4jj5bfEwFxBCGlDDhFTmM/K7B7ZX1kGH2/xPdpwj/n9Gt/Ev/eCSCTQkXo2 +dTEXxj2vqDULxvEr93fji02ZwH1Xt+P2EDz/l72m58cq4enQ1xL/MOsl/FS/ +kF4M9019ezYUSqKjFuzQa6YOiB7gjcoKw/mJnU9p+lc9XJIgpXk1HJ9XYOPY +5pcB3tEAi0YEiRoff+VnGyuFnZqG9WsjSTQLLFt1SzNgftbFy58wLwZvX7Fc +qxre++Ur1RzF7O9Fw6QXIdF4/DI5h1qDq6BOaWX02RgS6bMnlZ4oqYPyS3u0 +FGLx+SL4S1oGiuAqi8klrHG4Plvr1fK3AMGF+u8NTzA7x+8wFxKLgGMr+Zxz +40kkZaeetC6oCDbYS2++nsBsL+n+vlfHEpnsIXIqdl0SPl+bl9ff/V0Mzl51 +PD6LWYtd8JJvYS042O/H1ppMIqHzizJ9LlVAXDq5KTwF75chche+HUF2ryoX +y1QSGa/VXLu5uwp+fNojszMN16vDFzOc5vJBj8Kb1+zpJDrd7DQTJ1sCi+8t +xo1htl4sk5FzqoGhb/l0CzLw+Th440+5egJ02ruZ42Ymzgd87kMXH9VAvZj9 +UDsL13Ncr4SuvaqAO4lTrhuyScR7SVZyhWEhENC4tJXCfDGm6XV5bwr8nub/ +pi2HRHfUD33/eTIMTnxPTojKxesZUHioFEZDeLL6hHUenk8pY0PX+1UwrbB3 +qVI+vj/IcwX7RcRBb9a3LZwFJBI1tEvJNk8GVmY/rk5g3mWY+jZcMxeq1ayU +LS4k0TvX02pDWcVAeoXMO48inK/rqtlWXc+Ay2wOJOkWkyj1rV/sqGcznGk1 +0ttUgs+PkNmvrxYVsG/t5WXzmFVXPNuemlwBypzvoAelJEoHYk+uHMuFkT0p +brFluJ6fgE4iEc3QVbxmu205iXpqniUf+RsDT9169F6lAuevbdYiYw4FUHnk +bTJ3JYm6uxyfXpvMBcLbf+q/wJwwWiPY/KYC/rrDz11WhevJbLEVxw9WwJev +ZNq9qkkEeMJuzkjGAqR80F2vhkTTesk/c1QKYGaEsbxELa5fFCYrJ843Qb9P +l6cWMJcv/cXecSYf2BwOSO2qI9ElfeQ0ei0CHEtONUyox/GY/LXuuFsu3LpQ +w+PQwNxfy3X67u9tJFF8X0QObI4FZO67GyuaSBTF4bejwaIRDv39qfC6ifl+ +lfGq6YpmEql0ec7v+pQFYyq2pPtCEnH6rddu3ZYL3bgOGRm2kMjHRKs6Wz4Z +mFiZrJBuZf5+b7NTx3fMr4bnE8qeVsINa+7e6kEk2uLunzRblQz+OaYpJreR +KFHC0v0KTyx401n7ybEdx7tx9T7pB0Hgwcb+jP338X53cJBUCK4CudffG698 +QKKFrB9ifeGZ8O7QL963D/5zHxMrMGiqgvZbVndVd+D74ZMR5+Lsaqjtu9Xz +Tieu9wxVL+VtKQHbnx/aZdzF7N/KXaafZR6SSKamuS+MaIHzIc5ZPzEbt6Ul +XboRAkc+3DXt6yaR0XtB8ac6ebBuf/rKtB4SWTVZ1s1klMOE+LqHTr34fhhb +rm/xPhLcpPXfPvQI7we3M9uvZWdAc80Pu1f3kehZT+u/U/kBYH/W79n3mOPX ++OYMCVfCTb9W59T14/jrZNLTfLUYshpuMwscINGfNnVDS4lk+KHk8KrTgzgf +LS7w5ZTlwYccp3u2DZGIjHu7ZHZfFSw86+L9Z+i/6pH6QOXBYTyfme7KFlO5 +8NLKDDLjMYkGjAv9td2q4An7+twrT5jv77g/YK42gvN/yI7vIRcy4WqRqdWC +o/i+cPonm5pnHvzq+qf3I+aayYzM5r0V8GnfGt/GMXzeinr6Pq6pAk1SsnuC +n5IofIfJLpPaEphy+wjNfBzXd0ve6rYb1cHbT0/ny02QaKe0gk7w03p4TuHK +WZZnOJ/qhC66/iqCh+/dE3iMeTDHlYCtoVDybUZf9nMSLZHMeHgkIBQs3dvg +d+0FiUJFDIQGTxeB6ehB1aMvSVShJ6ieEVMLemen5oRekcjuQr6PD28lLFH/ +WzCDuUuR/0CQXiIISxM4B1+TyD31h9ZQYwVw+S67NmySRE92uf6xVWuEBifV +BizekCju+suVZ/5lgN2FZnd2vMX1kb8475lPmWAtqytge4fb31yT2WV+F/w4 +HfRlBHOCl4CluUk+fF6dWZT3Hudbw9MdORUZsGV5o6X7B7x/XY7eaPLwB+kX +hoS0pnD+Wz4ikm1ZCX1aPw6KfCTRo8mcd8n8SfD82n8BBOa8UoWLKTMVUMNZ +cD+aJlHL06kyXp54INOz/WvEJxyfvRd1L6yLhtzi6iVWM7ieWfeaY/fhdDh7 +0/z8rs8kOrRj429VsTIw8MR13dJZXP8bKj908qiBFbLBw08xJzr/fj19MQFE +3ckKLCTwfnvzMeynaB28+qrxwC2SuR+MlIe/HadwPs5KfZ9dXQhVIqZLN9JI +FOAdl7tCNhiIfPpnTaMx3/9zaO36+3MkUn/wmPfn7nD4OknuSfQ883n7F/Wg +C19wfW4lxhoVkwmzj585pLxAol/+UsfPmWWBO7lXF5d9xfXa9umtkUsaoO3f +4PJnmNWqv+r6i0dBTeNsm5Jv+P5iIVbW7wHhtoqmDbe/k8j8Vvc7RclyuILr +8ciJRXz+FJbe24/vmzTLT8FiP/B9+WGj0pnFJvi4ieXIF8xjeZNmLVQeqFkt +9LPjJ4lOvMjdKbClHsY5ylfG/cL1J495ifNCIHDv1LCz+43rfanfnNczquHp +jWdFVf8wxwOuXxvj+Uui49rfMgZqquDGoZDQl5jltl89FXK3ErJsyVEr/4fj +4e0gWpxREXjr0/zLm4VCpsmT38+/TgWdzx5X6S+h0KxRZNmf8VCYv3PGXpKV +QiGi4XqBihWQ7sNRKGhjCntidT6k+3AUukUaeNrMl0K6D0eh6TiHPXxLIgDd +h6NQpv9G8VMwG9B9OAqd6LAJnWnPBXQfjvr//tN9OCbTfTgKNXB+/PLWvZTh +w1FodP2XcCmDKIYPR6G7eyXd3UzzAd2Ho1D5oblj/d+LId2Ho1BlVPYaWZcc +SPfhKJTUPaSSv7IW0n04Cl1xmU8X9WuGdB+OQifrl7kQI6UMH45CdmkaB0QS +Sxg+HIUEhYtjeFekALoPx+wv3YdjMt2HYzLdh6PQQ9qPXyr2dxk+HPM53Yej +0O+JsC+/GrIg3Yej0NWjrpkWV6og3YfD4+PjkwrnrmH4cBTSVPwtITebAeg+ +HPN7dB+OQlF5/q9m+iMA3Yej0JdLM3d3ONRBug9HIXdKZHlVcR3Dh6OQl315 +R2lOA6T7cBQKW2f459NYI8OHoxCb23lbmfPlgO7DUWh/WbC31dlcQPfhKCTT +N3f44LN4QPfhmP2h+3BMpvtwFBre+PqpklYSw4fDfEfM1HewFNB9OAq1rrvW +r5qdCug+HIWU1bcFb3UvAXQfjkI9Z7seCX3MAHQfjkIDy/6MuivnMXw4CrmG +0ebvS9UwfDgKRTyxcchTLmL4cBQK1tQai87MhXQfjkJanFo8RH0Kw4ej0Gsp +herGFQ2Q7sPh88LDoyK+oobhw1Go/UzZtvvn4xg+HIUe/GyvS9NKYfhwzPHS +fTgK/Xr/UDl1TRXDh6PQ3Nond7+SVYDuw1Ho55UOore6meHDUYjm1xxi5VAE +6T4chZZd+M36laMU0H04CrlNizx9u6QC0H04Cg0dOyAqL58F6D4chS4/VNwZ +E1fK8OEo5C1mGaHaXwfpPhyFer1Snt+TzAd0H45CXdPbdDWb6xg+HIUEAEdy +GpHE8OEotCVbW3PEPR/SfTgKxRypUuDMKWb4cBRKrznw6q9rFaD7cJglCmyo +M1WQ7sNRKLJq9++v1eUMHw6Pp4bt/svfkZDuw1Hoq1zHrKxNKcOHo5Cv6mZB +D4EYhg9Hod2rhXaETVcxfDjm/NJ9OApFN6iwmrZmQLoPRyHZ9OEgtKeW4cMx +36f7cBSaWeow5nAqm+HDUejgnuuRxlG5DB+OQiqcr6JKaFEMH45C5yZ4eGR/ ++DN8OLzftgwZOD6sBHQfjkLjUbHbJS0qGD4chawDw07nTaUwfDgKdS89Obek +thTSfTi837iM/2Svb4R0H45Cedw1vUnOBQwfjkIGOcXVktuqGT4chc6Mrf63 +VrwO0n04CvUJHFe7rZHF8OEopF2V/Yi9K5fhw1Go3reqa3FFHaD7cMzx0304 +JtN9OAp9lB+Xc3pcBuk+HIWuWanOv3oZAug+HIWq1ocnXeDIBHQfjkLHOGcK +ragahg9HIYcSdOSxbgqg+3AUOhr8Z24gOxTQfTgKJcRef/BbMx/SfTi8PlYi +5ZXyjQwfDp8HretDHn8rGD4cbn+2aYuOfQTDh6NQmnDvXNnbIED34SjkItSu +OZBVw/DhKBR39snL+7HhkO7DUajfJmk357s8hg9HIauMR0bvAvMh3YfD7c85 +cVfLJEK6D8ecD7oPx2S6D4e/PwTOqARmMnw4HI+KVO8+UM1k+HA431X+S9oH +IiDdh6PQhpolS0N9YgHdh6PQVB+lKj31fz4czpcuV5ylr1UyfDgK2aYqLtUp +jAV0H45C/Ivm504eLId0H47ZH7oPh+N5ek7Nraoshg+H5+fhQJooaz3Dh8P7 +Vbhl1KQsjeHDUejwrVDNAf90hg9HoaLmuCfNltUMHw7n/2Z+O+FbdQwfjtke +3YejUOHWxNzinExI9+Fw/uGXPHfrfgqg+3AU4vvg4OxhG8nw4ShUttzaIkUq +EtB9OArV+Iz9PjZWzfDhKJS48z21vbeY4cNR6Obsk8gh9UpI9+EoZKh6P68q +LhfQfTgKDVZLalU3xDF8OArtGr6AOtvrIN2Ho9CpJu3wVbj+pftwFFK74GOr +EJ0F6D4cczx0Hw7vj7QSNf2n2YDuw1Ho4ou7kxyHshg+HIUCfIp8b5hXQLoP +h/tPVKZ+qYgAdB8Ox0t5aq1BZxqk+3A4nspLpHzbEsfw4fD6SQcnXV4VBug+ +HD4/HIpqd7YFAboPh9fD98LIo1VlDB8Or2ehxlxWZArDh8PjvT9n7sWWB+g+ +HLP/dB8Ox/ehdUcX+RMYPhyOj9tpYe1ydZDuw1FI93h4rF9mDKT7cBTac/Vq +p29KLqD7cMzv0X04fP77vOyevMxl+HDM53QfDvfflBU1vwtl+HC4/qoLaICP +IiDdh6PQ5LJZFstrQQwfjkL5XodTVilVM3w4vN87hFUvGNQzfDgKFSuYxn9S +CwV0Hw6vx5cy6aUncwHdh6OQKI+qiYdIBMOHY/aH7sPheLLnziMRv1qGD4fX +f6Kz5pdLJKD7cBT617XmnZlMPsOHo9DzI+dd12jWQLoPR6HPur4gXCWL4cPh +8e0PM7cPKGH4cDhfDnLrQ8sEQPfhmO3TfThc/65MGdfoSWT4cBTKeebw6a5b +JcOHo9Cfaf4FFadsQPfhcPxxu/Xeb009w4ejkN4BER+PG/EMH45CL5aMJDex +FDB8OFyvcB4ZmU3LAXQfjkKwpKLNfmU2pPtwuD6ZStTxL6yEdB+OQk2lPpur +jtQyfDhc30nz3pJBxQwfDu9P9VgvLZF4hg9HIe7gcjme/RmA7sNRaGsxjwzx +Ix3QfTjcXly960BRKsOHw+cJWsMug1BA9+GY80H34Si07qWhpvHpOoYPh9f3 +qkShqGwDpPtwOF7udt8VwNnI8OH+Ey9c83sXChk+HPN7dB8Ox/eMI8aW+ysB +3YfD9cA4aty+KYPhw+H7ya+orVvbIwHdh6PQYiXiXdaUBeg+HD5f6dISE6qV +DB8Ox68uW9p5uWKGD4fPr8/ipuk9RZDuw1HoZV7EjqnkSoYPRyGFwg3wh08R +pPtwFCJ0+yxOKIUDug9HoTpECz6clsXw4Shkvnli9fftWYDuw+F68Kv/gn1o +OqT7cBS6MX5RVMw7keHD/Vc98r8+HK7/89cZt8lEMnw4Cn16q+ex90c+w4ej +EKe2Bf95IhvQfTgK7dPZGnXteQWk+3DM79F9OBz/ZbKhgXYKpPtwFNppsiOB +j7cI0n04vN4ycGjxUj2k+3AUMku/OjqXWM/w4Sj0bjrhbEFWEsOHw/lyL/eJ +gKB7DB8O129RPD8O8sUCug+H61VZ6RzzoHCGD4frOaQYK6tUAOg+HK4HXZzD +L7SUMHw4CjUqcPeP2eUwfDgcf+u4Cpfi80n34ZjjoftwuP553GZ/600ZoPtw +uB6XElrj/S+T4cMx36f7cPi5oD2rg1wRw4ej0N9P5Ww7NkUwfDgKqe8e32Rp +mM/w4fB986go2/auWED34SjUedLlVsPBGIYPR6G9PtY/u7LLGD4csz26D4fX +zx+XqTszId2Hw/ttk+sRm4P1DB+OQlyvlU74Vd8FdB8Oz1/ZaaHrAhkMH45C +YxHzIcqmyYDuwzG/T/fhmEz34fD9FN27XN8cDeg+HIXii+5fdWLPBXQfDt/H +9vUe+fg3C9B9OByfzLZtA9/zGT4czjenDs9/kw1k+HAUyn63gjuwKgjQfThc +vw397eOva2T4cLi+6B/sTOWqgnQfjkLVxGgdbWUGw4fD44u/BHVKsxk+HLO/ +dB+OQmsEl1ZKKwcBug+H6+W44wIcN0sA3YfD9++8TZyn3+QyfDjm7+k+HK5X +0itHhu4EMHw4HG+CApdNeJcCug+H80WQ07cTy8sA3YejUHKMKMekQQag+3A4 +vzgfX3eczGH4cDgeupSxn2tNhnQfDsePZa75SlvqGT4chW7vvrJEgv8OoPtw +uH0Vl70e2qUMHw7PN5dd26ZftQwfDtfj8cEZ1t8rGD4chRbc526boEhI9+Eo +ZP/2vMTSwEKGD8ccH92Hw/MvmuagfqaW4cPh+4emb0Ld0kiGD0ehII2mZ06x +xQwfDu/f23N7phpKGT4czl/vyTyom8Hw4XA9rrOY3plVBek+HK7HFvxedTyt +ZvhwFDqkaGN71D6G4cNRqCBHufW9TCjDh8PnsfmPzrL2IoYPRyFJe25r8k4J +pPtwOP4EObKfnquGdB+OOR66D4fr3xBHaHioEtJ9OByPo/z4WnH+p/twzPfp +Ptx/1SP/68Ph/JqrmSoSkMPw4XB/GzTuy/FEMXw4PJ6s16+XPchj+HC4XvLe +nxRoXg3pPhyuvy7l7H/skg/oPtx//X3kf304Cl1J8T7QsO//fDgKbbTmRU8X +CwHdh6PQ97fbz7Q+KGP4cPj8byXXsVzPg3Qfjvk9ug/HZLoPh/OlpsWZAu5U +hg/HfN44s3blchYaan0cfs1DrxJujc/+fBVzTZH9WQd7fD88Iv/wJeaA4IKY +tPlMsJzWlKWxhPb/v/dM0bhdjjnP86DQm8Z6SB57bCrEynxu8fXMbh/Mswpz +LBwd9XAo89PKGcy0vLurct/lgkO612b12Whoq6WwzrfKbFD1k6W7GfPBmAX2 +4V2VUCI/JFuSnYYual9c92+wAsQYCHmFYo4SKOV5djwRcLDknP6Gea6ItfAV +Zz50K5FXsuCgofY3bmrr5mrgR5Nm/m7MDsOsux7wRkITjqOEwlIaejmWt1n3 +YCzsrXzcnYjZ9vcM9+6lUWDv2bM5rJw0ZHQZ5F9rTwQl3DNeFzmZ49lQf81s +BLOobHzf5rM4P5xforxvGQ3db8weXD5eDf/xha7Kwxx8I+WRhlc5dIZCJC8X +DfH2KzScESyCb+xyeq5j1q5R35Ugnwn0BRRyJzHfztAeUngaAx+0N3trctNQ +25NvBV2HIuCuy0fNq7iZ7eeue6IswkNDN9mSJeZgFhB8eHa1P+axuS2OAg21 +8K7rDDmLObfpi+Df1Dq4KOrWe2o5DfW5lGkXpTVA+74lea2YhbtTRwN/FcBn +7qE+m1fQ0NWUIKn7TfegtpTwmQjMjqb9+YmVmRAO56j8wCx0qEe1O78Qbr+t +sMaKl4aO7bScVioogmlbIdWL2cbqkHtjaDZc+fToo518NDQkeL/Q5UEc9PF7 +kpeC2fscy2ZpuTIwL2/hy7ES93f9wwHa5iB4/sXMmcuYz0+GkVWnA+BIoNue +p5h3e15QWLWkGKjvZhU4yE9DunLGrYdP5sG6N6G0Asz2vwYODgQXws1hwn38 +q/D+PKfF5rOxCSao5ubfXMWcL66PCn7vMIsEGXd/WB4DbkbDs8dX09AfKZvH +e4aT4OcDx1RrMXvt+s5lLFAIzWefCGxcw/x9f4LFXABmt5KBDPkTVXC/+uc+ +CvPTVB8VsJgLy+fcCkwEaKhxhd3lPc0hcFMaq3+7APP3kVphFlsFacigTuzT +WHEsZP0uvDcac1y++qfFimLgmp0r+BuzopZ5fnZoHvhwYse89VoaCk3Qnk3Z +nAmNfsP+fsxV8t0ZUu/C4cOCY4VKQszvq5wa8U/HrLSXI+vLjghYuOTcuWXC ++LxFnbm5H9eP68o+73XBrFGiHpGqngqDT19f+wxz+gLfwG2tFPB7KduXw+to +qLNzqfbc8XJwqTpsoBjzirtV+1bszgOvLNYVrRGhIVDy7U/vqjR4YnneHU/M +O+0Ss1J3x8C2hh2WU5jDAjiMuaVSwY4LLeDEehqKv6Xcw6kbB7P4NYUa1jP7 +u7p15MumDTR0xuPe64A11dDf4dzgvQ3M518FZ4vmMRvflrTWGq2BNg+uB5ht +pKFLBdbbtLZkg6dObFYdmOdjuqa92krhsfXh+7aL0lBxqfYVxc5I2NS9TjgO +82aJX6cHz+fAbdfyFv5ibl44oMuP81+KmOKQ7SYaCimXyLwrUA5XDLQUD2E2 +YO8eajMoh7dvat7dI4bnz71ix6HqDEBJj1plYW56tSX7+vVqeO7Juf084jSk +TO5QDM2rhsNes8JXxZn9Pyzr/vUFZgcHpXsLsiGwepxtWF2ChlL6Uj2jpZKh +5J3wkjLM1VudttCiMkDsDpHAtZJ4PcR2OAhG1MClr/LOe2NeNaE26/cvG14P +UjzwCTP3z8sRy+cq4LRS6zp9KRracc/5t5hpLDB9p/mtSYrZfm/46LCENI43 +eypl1xnHw73AsjQE86/S6coi81RQMj0b+BXz4W1f/6bLRIMNse7WZzfTEJvf +sWx5qgyGH2I/+BCzDbFfK/BHBmAhw0UUZGhIj3jRlHMqGLgkiXxPwDxUthI6 +X82AbzXyHy/ZQkMfTcx9EzSjgMEXxTIHzDdPpvyYYsmAHemt955sYfZv93Gt +C2ArDQWJ7uJe8MgFeYujB3MxBxvpJj3PT4Rrcy3X827D72uW7bU90ggD9Yjv +bphz2Md73T0K4I8/7k9eYy437j3roZ8NHYrYy4/J4vkZ9e0xTkqDz40igiox +63FePyrypBAeZ1tvs247DW3Id22/qJUGWsrzD/lhVsn8rTPWGwvlzHdumMX8 +wVXU1PpZKUhfhhYN5WjISUp4ZsXONLiyVmukRY7Zfx/LsXJpeRpa0souumlb +EZxfYRUcjjn/77fEU18zgXUTYbOIueXGy5Im5So4anPjsKUCDYn9nnuVvrYE +aqzm2NiLmYX256zZkzRYjyJ+KO5gfl/Gcf1oMmYno8N/j12phYlCBRXsivh8 +B+zSGM/JgdydO0MuYb7hEGhEGVXCWy7IdgzzC1JvsWAsE85u0D5yYCcN9V83 +c+aWLoFnesc2Fuxkfn/Azernyl009Piu+o0uhSp4QIIcvYFZO323orhzNqgY +vFH5FrP5+FpFX/k8IObBEaq9G++XK3Hxk6y5IEom0q4Gc4Ywf4icwz3INrpe +bYMSDcVwanVPejfCqz4FogGYx23dFwa8s+CH7bt+kUrM9o2eoTFjZZw/y0+s +tTNvgg8DtKvaMGeVOwfnbsoCe3Y+Dd2igusDa32u5a2RoOi1lX0U5mhVbnfK +oAKKhJBqv1SY3wtRubnJeg+OF+svS5TPFsE/7zl+92G2mXG870ulg8uRkU93 +q+J6gRDeIBlTDV/v21Cdhnnd9jDeg58r4cmZgjDOvXh9GkRUavkCYXvcLgdn +zB4potvUurKA4pE29QnMPZW0Kv3tOSCb0hY7DGjoAhdM1+BLgWtSnv4uAsz+ +3Dl2fnz1PhrKXGG/8cOKGPBtgaz2wFwyunROaEUhtM28Gf4Bs9DPKGEZkRo4 +rrP0ou5+XH8QWxL1TJOh5s9IjXrMsOhphMJoLWzK2yC+6QANdT80IGN3VMBt +BoV/AjHbXTl+LvlXI0z5t2tiDnMpP/eI0a9suKKkreb0QVzPbRJYKROQArxM +jkc8wPxX8JIN6EuCNPbxi7KHaIi0ErrzOSMCWlaePxqL+XLqDq8A+STw+Awl +/hfziPuVTfec08AR7lt/bQ7TkIzuppVL8ytgTd3SZ4OY/YPfdA0IZUOp81G1 +KkeY44/j2xiZifkN91n3Xb3lkBMWOnKr0dC+XAG50OPV0N1u9zFXNeb7n9a0 +S7zAvNdo+E9sfyU83X78n5o6Hm92/B5WzQLw6NL4s1LMgXFtBx955UOwzrpO +UIOGUr9Pr5SxjgelXVSkF+Y/Ic4RD2tj4EbXW5emMXekVmu7K+SDcFFOTb2j +zPZY+qIkm/6LXdw3skgcoyEdrlxi649o+Fay6HkwZpGzcS9SUhug4fDu+oVj +zPc7PdujzmgyWWmrzuUuzO9thdx2rWyE+WPjmvJaNHRIJXLjkEUmEPKzlkrA +vPB1dfr8mkhwT57GskSbhlDxt5Ao3kLw8/mtF/aYb3Qo/e23TgQXAzkbHmMu +q7J4bv02HbzYFR299zieP+Ii50hnFNR5s9EpB/OxtolBLpN82BpapLVCB58P +FprxI7c6KK+qJO2GeQqYT+rL1sGMqfYlrzG/Nrgck/q2HPJH67w8qktDaySG +nkcczgO+ByYaKjAXdIOFDtZ8+OWzdYzwCRoyPX77pHxWMLROoDn5YraMfmRW +TKTBUTUP7c+YeT5P2p06VAo15jg3G55kzkd9ajRrC+aLGvETfTWJYIuW6Csp +PebzpG9FjWGYj2i+Ed6WWwt5spViv//Xc48T953P6dPQ3aY4heBVmZD4pXO8 +B7P93T1nn6xsgmcLJjYrGtBQcrxHz3R9Hhg0vMCWjFkvj+9r19licHDJ3Cs2 +QzzeFx9yJUziQGWpR5MjZpmVFhqnk8ug+OllcaOYyyJ7BV+5VcLopTEu+09h +FmBPNPTOBuzVojr5p5j9uWZRLLPSCO+3dWzBAZfC4RSPMvsNzLX5C7oLIWXQ +uOH+6zeYnUs09tqnVMNua91mLWPm7/fwP4urxmzyaPd84toaWNRy4cp6Exq6 +5r3mRLt4DBBxmNO5Y/Jf8UzQcwuJ+WFK0x2N6nDw9/4yDmNTXO9Ymlk9X58N +nZxiJpEp8/1JkU1Q5jQNVajuDticVQD0uovjIzFz2awFThzh8P5VZdefp5nv +7xR7oHvejIaG3T/JjujfBTn9ulv7MG+xMp4UPlgNBW4+49htznw/QNrmTSrm +bY6RW6Y1M+D3x3Nw6Rmc346uE7gpUwHtvDwTnM4w35/YxnV1HPOPo/uRrmUs +1BqPOXHoLI4XTyWHr7vlwWZ/nDUxK5w8WPhmvgLK7ihZutqChiQW2xe22FTA +1JfKb29hdg4TWulvWgJ5gx60vMe881T9y77TOcBL6USizjl8XxTweix2OQXQ +3j67Wof5Vs34Y5EzCcAy3OakqCU+f7+VeQMiisCTvfPbAjF7C2sIJ1TehWrT +npxzlsz+1sZwvTO1wvWhT9JT3d5cKH0otvU+5hmuT7yzZXEwntiUtO08DclV +BEmjmGi4LKnkWgxm/bMfr7G/qIQ3NFT0/mDW5ohbOV7WCGfmH8jaWOPz/MnS +/m98HDRLP7FsEPPtsz6dml1FsE/7+TvlCzTEoRP/3G64HO5btEEZmJ9Nm12e +7MuAZTnzSVw2+P5pzHfPgb0Uiurddrtiw+xvxB8u/eeYOS9e6Ja9mwGXFMVu +V7PF9WzakMuBlEJwxUiMqxSzS6GX8E/eSviOtfS9gB2Oh5ftTLnG0oBhuUrb +bcynXqd5moSXwk6zjuSPdv8Vz5advH7SnoaW2aiqljcmwYKa5/qN9v+p969d +le3MAcKWtnLiDrh+0jNb+PMtHAat+MIVjLlxvPnN07FG+Kvx9ocvmLsVuc4L +fI8Cjjbc7eYXcf4RvXxGFNcDL1fFpXRiLk1y/dv9PhPqIjF3OUdm++hiqUE8 +5p8h7Fbm0hVQQWiPPMslHG92dUh8lSuGmR0d3PaY/y78YRGOyYarXE5ODWM2 +mU6GH30zoN+GF+2ql/F9IL6T9ZZzI1zosU3NxvzaoTlYf1kAuOD2xX25Ew0N +DOuLaGzOh2PiXobXMG/pTHV5yxsOjg5yK7zCHCtttme9YzBsuBXHc9SZhq4v +f3EkqDoSbJER/1ju/J/7dfbTVVQOTBopvS/kQkPux4f4WFMLAY/PnjQfzEcH +vq9vFU4HHts7b8xg5vUI2vfxVyYgJ06eMriC42PY3pFts6XAIuCFAsT8ke3C +twfHM8CQot1yKVd8fhVu7UuYLwCHXn/5GIo5pjQuWU08EVQFez34hlnXYbFk +uCkPSKjwpFtcxfXU/siALPEcEPM+7mY35hW7HruYSWcCjkhxox3X8P3GTk0x +aVUFcNtXtiMJ8/LVqSV7P2WCj5/2rGBzw/VmkfHWzMg8YBLXOX0Rc9LaaVl7 +wwzQc1ivYwRz2fc3AsMny4Eq9SJ933V8P323tXxvYxooTra7lYf5Ue9Q5k23 +LLD+2IIRnzsNLf4Z4i8wTAOhC16K7piVebTUQxdLwN8MHt43mPuyLxzqfREH +nHTiP2neoCFN9c7guuIMMPlDvLMK8yfVsgv7eHKAXl5ZhshNHP8nvY3EDULB +A31VD3/MHq9ND6mlZYJd/zqNCcxlpl05xtFlILdYb6fRLTw/1e7+asr5QNDk +JS/CfMDGT9xwPhXcZbef2exBQ/KjMqqSQflgsWKhMwLzARH2F4kv8oD9Ge/M +H5i3WIRY9Mhmgmdcyz2tPHH8e2zg2xKeAbTr4k0eYQ7y97H5GZ8OoJXErl23 +acjzl1TMG+ccsJ2vnC8V873f8/6X1fJAWrPqZw4vXI/3Pt7r2p8G+Oy6ui5j +Vu4SGI5XTgHea/SznmJ+XfLNRpAIBHNtLz0PeuP7Y6X4crV9+cDqkr1pIeZ9 +GraV1bj+fCL8ddcqHxpafYUjynUqG6h1ea+8hflMVsrY7dJ8UHtl+ew7zAHT +X417gouBtGjCw+O+eD+rmF58diwPJDySyK7F/M75j7/++hzA5V5+e6MfDUnv +f57uppcDbkruPX0Xs9DfKpEyz2zweahrNw3znMn7/VZXs4C5pz6/qT/eXyuD +L1idiQT9W17NtmPebZh5hScmGuwfs+/eegffvwndyJe5haDc92t2NOZw2w7/ +53EZYJO8j9dvzNU9I+m+fpkg8vlyswsBON9rbRjr00wHrIEJSgOYm+S+2UxX +ZQDXXZKrlO/i/DYmkGKA49v7yXIiHXPpgXTVAd1icCp0b8+yQBr6tjS/TXl7 +Eeja8zDHBXOA6snP3mZhQHlK3/sZZrbn2U5WDuWgIOqV2ZF7eH3OLapKx2YA +4QMOyiWYQ1exDf9QrARBn7+uEgiiIcci5ev1asXgV7wP6Yn5xZiJpKtgOrik +tqJ3CjOve0EkOVQEXtESck8E09Dzj/yeFTsLwIlUSZ8GzDcLp0Y2/80AbZoV +5mIhuJ6s+zox8j0f7Pi2VyUIMzVf/kkxPBtkZT1c/QXzqeyWiWLif5q673gu +v/dx4A2lrUiS0i4a2vuIpKIhLaJBSUVSqbQXDUrZe6+XPV72uLmMKGmKSklF +lDTctxJCv+v9Oaef75/X48X9uu9zn3Od63g/31dORGbjlh87bjfB6geFY1XF +EeTqn+oHdzEeJeUZJ785ivyKMBfNvIP1k5N+o88nEdm/teWKB8YuK1vzzVQT +ycseNrv+Yjz+8tZ7slVRRCtu8JKDjk1g1f7E9vE5b5Jp4D38GcZvhs4j2kfj +yLS+k5uWOOHnxhLnjseGE9+kxNIQjH/8ONpwvyqIDDIiEQOdcX/5cna03NlA +cmHgfZsTGA9rUE3s3R5Ovqdv2f0W4/zzJY3OrZ7EaN+7Jatd8Lx0qe+SgENi +8nToIdkEjDdIt+tvWedNNHJamuRcm2DczvoXuY3BJNnM5uFljOe/Xu9UPyuR +TBoxJLIB44evNdWXqfkS9wJv281uTZCkvie6b4eI9D0y2Sgb4/N2K5ZEhrmT +UwripZPcmyBDpmOAWV48+XyPjLiN8dRptet2vvYnBifu878wnt8kIYx7KSYP +xm19tNsD78/h6NO3M6LJskfvIu9hvP/IoDljWiJI7JlDV2d7NsEEt6YZYpVQ +MmbKbyNvjF2qL87WUwgmd8pslvXywvPf6Gi3gV7B5O/FIXKHMLaSzvMfs8WX +HJ3uIzz3+q9eb24bNE9EPryc/Jh4N8Hk+jlng+/4kc1XxVHhGFsNWPlY3zmO +FM5WvTbEpwmuudbPPTsigsx/e9/4FMZd+rG6jieiSLj9VvIe474hhmZdb9yJ +3ML3ctq+TaD7pWyi3qggYldzqFmM8azUkcabPiWStju/H4/yw/qkbdH51Kdx +xHyZbbQtxipBm0V6ErHkzach179ibHvrC1EviSDr3Xz2bPNvghOl72y954hI +jvoU1VyMG1W2m+bv8CAq38QjpwbgfCY9NCtWuZNAb9WfjhhLjpM7VPvVjwxd +XfKkFeOgA7GnPGz8yBVha8yeQHxfSuKwbdKhRAh4f/0BxvVB14/P3BBPTNZZ +7J0XhPdfG39d8VEkKf/9W9UP4/3nxmzVbAwlq8Js5fsEY3689eH0jSEBJE1X +6tdhjF0GfX9X4xBJpnb6PH2Bcdqw03PEeV7EK2pKrFpIEyScWDLoQm4g6a+X +dCMS4/0Fy7cGrwomZ3stNxkWis8DuVL7L0eRxviS5WcxfhQ8J7PhdhTZtWPb +qFqM78WGuJT+CSKPJT/8WheG86ep30Wlb8FELcXiWQrGl8lDrR7ng0iicWvs +mHCc//3TLmkP8SfjB1+1u46xyTUv8VBxJHHJlNr3A2OJDWkP+u0Skd77fdW2 +i3C+rjHZ+assnpyQnqqQj7HfIqIPNyJIXW5Si3IEnl9Va6/s3BtH9A4tL3PB +OPFSISgt9yP35B7E/cH4+R3X8fpzA8jiu9vs90U2weHYHYdft0aTqKMf9j3C +uEbnqn72pkAyasxh9YVReL5Qt+x8fyaQ3CppVQjEeOHusY+qJMJJx8mrvyWj +sd6QFq3xmhtFDk8Y+vwoxmsd5ewGbfAh1Y994ysxth3xuWba7Fiy8dzUmxox +OF5BDy0kQkQkb2qyaQzGWyoUnRvfhZC55ctXDI/F89gXm623AoNJ6OUHoy9g +fCjebL5lSRQZPlOvtQ5jD5e/p0KPism1yg/PdeKaoOxM7uk9NbGk5drhhHSM +5w+cKPNtcwQ5MLft5rh4XM+Oe8tWjg8kr6qv7rfH2GJPsWGFZiTRvjVUQ8C4 +/ZF8xtvVYSRrkd+YHQlY3xIXlb7TEsn0j1PbCjEeOs27T/6yYOLnlFw+I7EJ +XposObDeO5IMVlVLdMfY1OWhoWNFJLnY8OBWF8YF32dxYYUu5Ie73oEDYqy/ +nHfJ6He6EmONGo2nGKeOWmsboBxEnn0/rLgkqQmMxu3vOITvQ8O3rS0YY23t +y55bJ0aR5DXXKgYkYz6/dLUiEKLJpJ9DxccxnrW//YOZTjhxD/JzqMJYZ56V +1NSP0URyg9LBVSlNYPnqmdyV5Hhyui15ZTzG1/23LRkkH04awtXGyqViPn55 +fHNUbSwx3FzafgljpY7XR1PHRZHSLr0XnzEm/H17O8kgQmJqxJvSmuDpArOl +NsFhJE7f8nYWxp0mP6DdNZQoSrQfnJjeBEuXuNsuNwwijonXNB0wfv58g+1c +v0jSY9ewcb8w9jua1Pa2KZYc6+//Z1cGjt8miYR3HXGkJlXpZTHG4t6m0xyL +E8mWvSlJszKxnrj9K1JWO5jcHaJ+xwvjdWF7VxVvFZEF2aVmPbPwPLMy4Pjl +xFgiOqC/yhzjefYinR4Xwojc8NpxzzG+bfR+7+MhkcQuz7JjWTbWW38qXjiM +DydtFu0vwzBueTs8zPhUBDGXv548mGsCrVTrwuE6IaSqaJijNcZ91pkVjlwT +TDZY+Zu/w1gmZ1ej9A8XkquovForB8f72bh5UplhZFZpyngxxvuLlC4H5oeS +oFPqnfK5WP8dcQ29WBFGhk16+MoG46mHA3cPO+pNbJ7qpzRivHeOs9arnyGk ++Xyt41ZoAilND1PLOXFkn/KRQzkYTz/eq8R6ZQipqGhfPSUP898AC6c9HxLI +apvrExwxtkuTMjjrlkDSVaS7fmPc6nYyy1Uzmii98a80zsfz7MQyB33/COJ9 +Qzm1BOMeAbt9552NJAPmpzrNLcDnTZZqf2vtR869V7fwxfio7+/3pVsDyVeH +h2skCjE/O2ww2zgsiOxasn3iYYzTQvIT82+Ek8d1tV0VGF/8u+LXuPYoouZy +5PXyuzh/RxGJCt9QIl7+JzUC4+NGJ10V8wPIhMbrzkOLcH3dOnsh44s/cfWU +PnwG43HDM7fIjY0mEpoBWjUYS+q4boqYHU5ONilPWlfcBEXj766U3uRK6v1S +/yZjvCDZX7fnIRHR117xZvQ9XI/lj1/JuEWS+78epl3D+KBJtuv6vFCyJGS7 +y3eMidnEx6IVkSRa5+Nh/ftN8OTngZppW8KJwp8j2nkYFywxGjVweBBxiPgz +SbmkCT5rhi6Ibo4hnVtu9HDB+Fi/xuQ788OJZQ+ZqnaMTwRI9HpaH0TexQak +mzzA5y1Y5a1lE0F0Daa5PsRYNNthYVadiOT3SbNcUIr7Q21dzrsLmM+SVqwN +wLiXu3ypMMCZhO5+NFnyIdaPhtkDLmu7k+EDDXoexdgrbmfnF9cAcj39Y9Ur +jOf7Hc++fsWD/DY5mrHiUROkuM97HmEZTg4O7XCNxthZpTP64/5YUsndOCLz +GM9fXlW/q/MiyVozmXXnMd534tIm77fOJFs2cEodxnPeWh0UFgeTGQXTeuk8 +wfmkdTfv+KNw4m+Z9jYNY42kD0Y9rsSQIQoamWOfNsEX7RtFI0eJyKV7j9zs +MC5wmlGUOj+KNB03OMpjHDl+r8WdET5kz7i6dYbP8DwQGz58So+bpOzh0amF +GBvnDf5aKxNEVp7p6DWjrAnm3t6puD4phKRMtqt2w3jvxarUZ5oBZHKZTFYn +xoOXS46RsY4iHhcD3fc/b4KOlsv9/8tXktOnH3uCsQr3V3JH/xBy+mXa+sXl +uB/09hQZKnqSBlsNpWCMHT4nT6hXCyM7Zj/uPaAC57dPxuNpu+PJwyqDd1YY +r9qxLJvUxhBV+7qsNxg7Vpup6j0JJ/ELjnlovsB6Q++P7LudQWRsTcexOIx9 +96gPljscTZzu2G0Y8RLn+4vQnH4LgknPZcOVL2Gs2CdaGKHvQaw+BUp8xrhH +hOSbP0phpNZ1+nvdV03woaHE6l56JNmqnp6difFf39IbLTgfi75qeE6obAL/ +nOCsJVPCyULvx1a3MDaQTKk073QmEasMdX5iHH/V+fGjYn8yUqhT3vW6CeQO +7Sleq+tE7AOO9SnGuN1U0rUV97/2tZ3vVd40gU3eSSdJtRBy6Lcd54lxReKC +PtqPI0hV6HCvHlX4/WOOSy7v7UU26AYdN8N47cKcpt5LEklux/SNZRh72dV6 +K6p6k1lR6dOWvcX6Qq/c/+YmEQnetrJvGMbmxMxx77kQIt3ryYdB1U2guaBk +vNhOTGzjDXNOYpwdlO2irx1BfhrWe1Vj/OukZ7bp0ABiKml1Ys07fN/nY2zG +8qHkRXLnxkSMQ2cunfCuPZKsMbafLv8e13tX0rcJvTxIxiBZSRuMJa/eeqej +4kmUM4NqvmA8iPN7MO5wCPExnZG75QPuf4WXpPNWepGB0hneHMaJvTn/se8d +yfnclScn12C9d7S9z7z+weSb+RPdOxifV1a4OfFcNNktt2PGb4z7T7i5/mqk +F3lSWC9pXNsE1gOvDPLL8ybqR61q72N8uF42fsSFWCIe3ZU75yOeJ4XMkUmp +jmRCib2PD8buVo5Nfo+iiOtJWevedU2gf0BGeu3+CCIxIXiTBcZth6Jjd7hE +E+vHM2ZWYLxoxPxmhXli8ulsRr/l9Vg/1Q5f+XpFMNk+VfOjCGP1jhGHE5PD +ScnzJyD1CfePAK9Hnx1EZOnlHb6nMTa278vrrhCRmBmfrD9g7GHZcv/0LH8y +utJq89rPuN6nbClQ3C8it691zUzG2Fqz7f2u6Ymka87N/qMbmmCE5HWNh9MT +yJFq2bqrGE+9/3Bf6rkw8v5mcN43jAe1bTcZdjqIbFo000/vSxO86vtaNXrP +HVJQm3EKMO4aVrRr4Ek/Ms9Jc4tSYxNwPbScbUq9SRh5quKMcZY56eV9xZnI +NuwY0I6x0YJnQ3TVfch19091e79ivkmQH2DzKIT8XnE8vxRjT/u4nruORJKD +37v85n/D/b42aPkzrE8rfW6e9sd42ez2eg+/ULJuzYitfb/j/BbfTfK+5EG4 +5uBZRzD+sL/txi7VIDIzaObAVxhPCs+3sXAKJgHrM+vVf2D9c3/ZECe3aCLV +plkQhbFK3IXG/qeiyOXwp/7STbjenaZusRzkTPhNO8+cw9go38Rli2Qk2dv1 +aetHjG+vWnT950Iv8jz6+OwNPJ6fQ11l9i53JJr6fwemYaxa/mie6HUMSe19 +65Oi0ASzdVRX11R4kSmJIwpvYGzro/LVMCaaeO4MCWjC+NPUlSpDLYNJv/4q +Zw2am6By7fN9zUYR5Exq5rYCjD9Vl+q91xWRL3tWzZn+swmW1AoPSUko2THk +2SA3jE8umXZgU14weZi183MHxj1VnHMUiyOI6oHPhaa/muBAy4DjFvGYz2RO +BD7GOBce1kZqh5BxeX/PLmrB9dipJ6sRKybOFrf0gjBWdPtdM5l3I73k5eb2 +/437u7HTaK41hBwvChlshfGOnX/ejr8bQj4eU2l4jfHZhEVtVi8DyTbFrLsr +W3E/rvp03md7MCl+sCooFuPR7crVCztDyKJTz87JtjXB69IEmx2LnUnkxF36 +FzF+KL3WaZNGHJF/+nnuJ4xvGKhFGZeIyM3zJ4botmP9Msd2b7BsJPmj1ONL +BsYrXRO/gZ4nsai4VTT+TxPc3DBS6UBGFHl7RS74Jsay3PAUbrYf0VEJPd+M +cbmxKcw+4EPgtcr2nR2YH7YVR2zOiCazb2TNK8J4TeKhiJhNcSR43moplU58 +/+njVqw/5kyk3z/74oHxyoM+r3+/iSG2DruK/2J8eMhO+6XjPMivxQ3BB7vw +fX37Kal4K57srztx4RnGDZVD3DJHhZCXzj0Mlv5tgjPa+tJuNq5Ea7nD/FCM +lVuPSrl+DSTUw/HQePz9AjXZUEI9HA/7rh2cva4jhlAPx8PHgYMHyk4PYx6O +h/7mh/1ELh6EejgeHmmabHs43IdQD8eDkYPm8JxCEaEejgeFh0Kb9uhIQj0c +D8k99ft8+ShiHo4Hrn9j+tISZ+bheDBrXj9h65YAQj0cD9b+blLTfMKYh+Ph +ti/3xCf8DvNwPPjd2L6vKs6bUA/Hw2vldrWlDkGEejgeBiUUPN3sGUKoh+Mh +vCXp8vkLwYR6OB6E92P8YsL8mYfD651JvHqzOJh5OB5Mbly/YTkgklAPx8Op +GIOWs4VxhHo4HuKdW3THcmGEejgest4McH06PoxQD8eD866axS9mRBPq4XgY +Mzx16dtFcczD8dBRFaSuPSOGUA/Hw48lzXYlJ6MJ9XA81FxQl88aFkKoh+Mh +d5Vhk/IWb+bhePis995GxzScUA/Hw7p7i4rn5XsR6uF4KNVUy8lUDCPUw/Hg +uMZJb5KUA6EeDp9X/Zr6kInBhHo4How/LbM5ddOfUA+H49ev6E2jeSShHo6H +Pu4e5itXuxHq4XiIKTCLLp0TRKiH4yG25MzQSTXRhHo4HjqVRKcvrgtnHo6H +Z1o1luVaoYR6OB5upJRP1X/sS6iH46HZVOrJQutY5uF48JZtvLCnXkSoh+MB +HjR96SQ+hHo4/Hn1/L9LMyII9XA8BJdt76wLjiXUw/Ewa8Lo761FwczD4Xxq +T30kbx9KqIfjob59qPbzcx6EejgeArzixsluSiDUw/FgWjJE4+MNB0I9HA+t +icfUWtPjCPVwPMjdSTsnZxJNqIfjYf/trcd0G8MI9XA8nHf2Cb18PpxQD8eD +140vtZH9w5mH4+Gh2+mdw/tGMQ+H82nR+jU68tGEejgeJkn96bfvbzShHg7H +96F7hUt5IKEejof7ZxTFs0eHEOrheHjx8oHNzdhYQj0cDzZnBtiWuIcQ6uF4 +WFvXr8eXc6HMw+F8OJFjYoznKerheJjx7XOTTh835uF42DjrUvHVIj9CPRwP +1b7KwsVdgYR6OB6SXo69KDM4ink4HpY9cpG1GSom1MPxoPP9ygznj5GEejge +fgsrtNdpBxDq4Xisr+OnzWq5SaiH46FC6ZlJTFsIoR6Oh0M97EMnzIxiHg5/ +/8aZsWt0wwn1cDxsf2Xb3oLnL+rheHDvU1YyBPM39XA8fA9Pr7fC90s9HA8R +vdL9ffqICfVwPAyMFG6Y7Ykh1MPhejjiZedRJWIejod20T615TURhHo4HvK3 +Fu246B9OqIfjIXroAcU03oVQD8dD7+H1p8d5+RLq4Xio/eD44dchP0I9HA9L +/rxxNg6NYR4O38fLkPFbCkMI9XA8jHX6sdv6SSChHo6HC/MO7tiU6U6oh8P5 +PO3iiZYUX+bheMi5Yff1snwYoR6Oh4uNq12PdEUT6uF4WNH6Uuaqqi/zcDx8 +cnubV6wexDwczie7bQZWezwI9XA8zIwxHnswJpx5OB6O/cxp+z0gnnk4vN63 +tpU/65wJ9XCYT21Llwb/CmEejofNZ2w7NBWdCPVwPAzxqfFc8t6dUA/Hw5NP +OmPcNwYxD8fD9coQz1BRCKEeDsfP0KB2/Ht/Qj0cDxO2m5+sEIcQ6uF4OJcn +NdvFPJRQD4fr69lgJeuP8YR6OB7Ea080zz3tTaiH4yF776UrgSf+eTgedm9q +yalbH02oh8Pv+2qW+svXg3k4HtQWK95/vTyUUA/Hw9VJA3QlIIhQD4f5sTDo +wL2J/oR6ONwP5GV6Fai4MA+H+1fjx7xhwX6EejhcDz3c/zy+FUyoh+Nhw+Zp +sh4hwYR6ONxvDTde1ZYSEerhcP6ZL87MvhZAqIfD9dDqsrAez8/Uw2H+JDqu +tYIHoR4O81H7ct/ghGBCPRwP791OJJ3yCyLUw/EwUnHPi7PysYR6OB7aYvpf +c18bR6iH42HngN0/njfEMQ+H+2dAea3l8RDm4XC/1fJKc14VxjwcrrdO6+f9 +9sUQ6uF4qBR9+znlUiihHg7vX7LXynqtREI9HA/vRvCnvp7yI9TD4XpcIbro +5JRIqIfjodw067LxdT/m4TB/x98Sbd0QxDwcDz+l1r1SfxtLqIfjwcpr4qL3 +kjGEejge/CefDP9SF0Koh+Mh5Xz929NloczD4fWPlyd63gwj1MNhvQNhDs2W +YkI9HA+qKaZBJEdEqIfD/eHA0AcyeiLm4XgILJ44zrpXLPNwPMg0u0XMMvEi +1MPx8OdFhVT9mQhCPRwPTd9H9Ap6EM48HK7HuMgm0VpfQj0c7i/K65aaGUQQ +6uEwHwUvajgrFUWoh8P9/srDJ4/GRBHq4fD7suVM3X8HEerheLjz6/ztYRrR +hHo4Hpxe2irMTgsl1MPxwA9criyrGs08HA+extn3p3NRhHo4vJ8h6cuCZ7gR +6uEwPyVstNt7xYl5OB7e6s49+awynHk4HrZtM+8XuT6BUA/Hg1TKxqrPvu6E +eji8/3MqdU+f+RLq4XB+GRxoCNkUS6iH4yHDstq0I92PUA+H+c1krtyi7zGE +ejgcv8vp/R9WBxHq4TA/lMXP6vPFl3k4rKemXN9efFBEqIfj4Vt5nP+j7bHM +w/GgXvRljHpyHKEeDvcTpUmuQmYo83A8yEpfsnwjhfnsfx4O14OH2YG2/DBC +PRy+X6971uNuBBPq4XiQHzf85qIiL0I9HA9Hi9SKh+pEEurhcP6uk24tmS4i +1MPh/rg27fT4llBCPRwPmTlFRYaKQczDYX63aVx9dWg883A8XJ45sNnRyZV5 +OPy8PKP5vIUn83D4fdrGc6LCo5mH42FNY9DLt5pBhHo4zB/xx2cHDvEj1MPh ++j+S/OzahjuEejjMP2fSxSW5wYR6OKyPQlNKh5QFE+rheOi3TDNMy8uNeTic +X4ZPVaXnRhHq4XB/MGsz+l6dSKiHw/324MncNSHRhHo4rBd2V/mk8QmEejge +Dof/+nVLIYpQD8fD8y+X1lgGiJmH42Gir4VCn0NRhHo4zPfRP/uvPuhBqIfD +/DBvoL78Rk9CPRzmj/WSdQUJMYR6OKxvd32Y5TrZm1APx8O8FKlLwydGMg/H +wwO5Y6NX7IlkHg7fX9uGSd+l45mHw/Vhpec2vW8EoR6OB9faFapVV2MI9XA8 +PL01ZPOBVg/m4XB8dVrHLhqaSKiH4+GgoDBlvFcAoR6OB02n4t8rpoUT6uFw +fzEbEWsYFE6oh8P9KGjJufPbwgn1cJi/uWRJmxH+hHo4Hr4+3hG/MjyCeTjc +3947r7ZdEkmoh+PhQFmgcXxrKPNwWJ90HPJv3hlFqIfD8UvWULP9Hkqoh+Nh +vd6k+kOhvszD8aDSeeHVU5kkQj0c5pMxTyVuLIki1MNhPZ/8VVHqnDvzcLhf +H/VzikiJJdTD8TC3sE/VvekhhHo4PI88HKncJZFAqIfjIdRicplFVCShHo6H +xMNT7r1SiSbUw+F5aVVEyoKMUEI9HNZPkdu5FcMSCPVwWF+EK8eopwcS6uGw +njGWvnKzJpFQD4frdeOgz41/ggj1cDw0xB8gvw77MQ/Hw9l22z8S328xD4f5 +5U/6d4/ekczD8XB6YKH9L31P5uFwfuzp/W6tczihHg7H97Zt1pr7PoR6OFz/ +/cH8zKpwQj0c1tuDa6+lJ4US6uHwfHgle3Lo7QhCPRy+75xdp+OURIR6OB4W +1VnorNwYSKiH4//7/0H67h8TTKiH42Hq99P5MljfUw+H91MvV+/QEEOoh+Mh +76LSxwHNCYR6OB6I7Ik/5i88CPVwOD/GHbvfVy6cUA+H+/HFoVL79X0I9XD4 ++aHRy+b9SGQeDp/fYHGneVcEoR6Ohx7hKZeMF8QyD8fDq8e3VQKckwj1cHi+ +OjMmY8PQKEI9HObvoT10TXaHEerheFjoHWz/oV3EPBzOv4qqE3/OhxHq4XhY +Vdqe+eRCPKEejoeW6UeOOavHMA/Hg6+k52KLxZGEejgeJIYHZm+1jCXUw+H8 +LCo7TrA+ph4O89HR7aMv/U0k1MNhvSk+NPb30DBCPRzOb6OfO4eIogn1cDxM +Mb1W5agXQaiH+6/+bJ0ZEORKqIfD/SO8IdLG3o15OHz/hb02nJFPINTD4fxR +3iy+5uDNPBzO70MSwe6LEgn1cFg/aIzlVfuEMg+H++MPy04Pj3BCPRy+rysa +Zqs5R+bheNjbXnApKC2eeThc71cmfZbyi2YeDtdfdvwCMx1/5uF4mD2n/mRf +5wjm4Xi4tGWgQnpZFPNwPGhlPl8w5HoY83D4fQscJEw4d+bhsF6VcRg0wNuD +eTisRzenaFus+ufhMP+0Hd5+QiGeeTj8/VLX6/u++jIPh/XQ5FIzk4PhzMPh +/HEePmNPiCfzcFif2qqpHc+JZh4Oz2P61yw+uIUxD8fDHC29D8rfEpiH4yHo +lJvFOd1Q5uFwfw9qMB6aF8w8HOb3EjvlBDyvUw/Hg+TKZLmOmgTm4XD/cr+s +cfm2G/NwPBgt3rT1x8gw5uF4uFJza8oA1X8ejgf9d8Ep9qrezMPx8OuYYpDm +80Dm4XA8OvOqZ70MYh4O88flyCsnMyKZh+PhzQ6DnbVSgczDYT3oVpI/5nEM +83A4n+aOf7hCJ455ONw/jcfptX4XMw+H9f3PrQcy34YzD4fff9CyXDJezDwc +D+nhLxylpiYwD4f7h9UCiRU5iczD4fn542PDMbJBzMPh/ntF6UzQumjm4XjY +OvlBr7uNIczD8bClaMr2et9I5uGw/jUUNs38Hsw8HA+lPYWKGebhzMPh91t8 +32ttEMs8HA+6YcLosX6OzMPheJzcUjXGwYV5OB6K7ms/6VzuxjwcD6aqGhnj +XYOYh+PhlrKadUDPQObheFBU77lz/exw5uF4SLAtMd7nG8Q8HA99lL4UnR7r +xTwcD8WFLmYnFJOYh8PxPrRPvmKfiHk4HmZovCntPSiMeTh8/sGHpku5ipiH +w3zzYmpllWEg83BYD5cW97GelMg8HI7nyOW7HVcnMQ/Hw5jOttUn7scyD8eD +T59dO7+tCmEeDtdH1IqthpJxzMPh/BwVFrdrZhzzcHi+FxIk+R2xzMNhvt4w +/sw83WDm4Xhws9DroWefwDwc5qvkS/f8xycyD4fzY4rbEiWjBObheFi3X313 +5Hl35uEwf39fNDjEW8Q8HO4f5OJqdVEc83C4HxaoZ+tfETEPx8OXBSd9jQIj +mIfjoXrAFveUolDm4XgoW7/w7GiZUObheLCtkDzq3xjGPBwPdi9zj52dE888 +HA9H6qxXJLRGMg+H5webyMqaiFDm4Xiourdq7CL/cObhsP5SnPRbZ0AE83C4 +P+orTV3aFMI8HM4P+dC3icXRzMPxMFSIFg9dHM48HA9LRNfF6x5EMg/Hw/Q1 +p2+/loplHo6H4cnrHg36G8E8HObfv9eOh8kmMg/HQ93x5bVZA+KYh+NhT+63 +ZV9NRMzDYT1ytKRkzwYX5uEwv26vMpo+0ot5OB66wg5dX4HnA+rheIgqqFkz +C9839XCYfzoKL3jt9mAejof9Nx5plhyIYR4O97/L0UOGyYUyD8dDz5deHy2e +xzAPx4O0+ibX9cE+zMPh/hvh73i2KYZ5OFwPjo0RZzrDmYfD+lzx97BR//ne +/3k4HnJDb2me+PbPw+H8f/s25NWoOObheBixSPNdubMX83CYLz5s2cXnhDMP +h/v7+cldmfujmYfj4e8yrYfOx3yZh8P9MOHDvJtyCczD4Xodo32lc1Yk83D4 +/Wnea1P+RDIPx8OQydNsF8tFMw+H82ncx4RFmK+ph8Pz1Grr4b76YczD4fm3 +zmTetKhY5uFwPAws++nh/k89HA/DMl1snKbFMg/HQ5zykwz9zGDm4XjIuX7p ++YJGP+bh8HxxvmDDWMkQ5uFwPlkUfDzpGMw8HA8h+40Gaw3xYB6Oh499Wq31 ++jowD4fnRaexxXpDkpmHw/Ho0fquZp8j83A8WF18VzsM8yv1cFhfJFyPuqQe +xTwc1v8e/fx+lEYyD8eDhZmtzzG7eObh8DxvFNm0Z6eIeTh83h9mnno9E5iH +w3yh6XJEzj+MeTg879xemZ22Q8w8HA/mF5efHbwzmHk4XN9XM3xUC9yYh8Pz +5vRn+8rEIczD8TBf182x59Qg5uGwXjpslt72yYV5ODxvlSxQSD4Zxjwc//// +fwPq4XhIi/DZ0ZHwz8PxoLf9iEbjsEjm4XC/eJtxYaa/D/NwmI/vSNxeauHD +PBwPZ1rFARqFsczD8dA/oPTi/FlRzMPhes7zXHrUNYJ5OJy/Jjpre7dFMA+H +891gsWVqXALzcHge29DToc+6JObhcL0uPzTsflUI83C4X2rssIteGsY8HF6v +0tV9ZqSYeTisX90TzS6t/ufhcPzUfDQHnUxgHg73xxM5BpMKRMzD4Xx+46X9 +DvMX9XA86KyR8jRLEDEPh+/3Ze3AHD8R83CYTxJ1ri10EDEPx0N+gv3h+3j+ +pB6Oh8FGWzRUa2KYh8PzQHrt8ycD/ZiH42HHuY8nu7xcmYfDenPcSIfO40HM +w/Gg4ZRsdXlyBPNwPKQ2Lg006whjHo6Hn/P7yepFRTAPh/vn/uVdXblezMPh +9+vcUxsmdmYejgfICTO6fj6GeTicv4ZzljikhjMPh+f3m1J2FstjmIfDeuGP +S49n6/55OB5unulveXxfFPNweP4fJPVmVU4o83BYj8VPTjwzQcQ8HA+vp4hl +f/wMYR6Oh4fro543VMUxD8fDs9WtRRInRczD8XB5xue4RRdEzMPx0HF458eS +a/88HD5vXHldpRDGPByOt7bM8J9rApiHw/zQLnfj3oQI5uF4cO+aRy7u8GMe +DuvZKXE7XNfGMA+H5yX30PCuJhHzcFgvXylxyHwpZh6OhwX2V6ou7w1gHg7P +0xf0QncPTGAejocPi8/uXqYSyDwczs+fC46OWRnFPBwPHtZitdxF/zwc5r9v +7/i71cHMw2F90JZYdWt0NPNw//19Y9fNln2xzMNhPfJLUnneq3jm4Xjwav4i +/XBFCvNweP/CozCNKfHMw/Ewrk+JzYgjfszD4fu5daLqcLI/83BYz+zavVf3 +YxTzcJhv1b7WWTWGMw+H6+/ogpw1gcHMw+F6enU72f9dPPNwuD9MlUtXux7D +PBzOpyd7qm8uSWYeDus99y1OJ7CeoR4Of37mdykzX2/m4Xh4PLMgfeXBUObh +cH4cdtjyozaEeTgetsuVGAw38GQejoe+B8o0NbWDmYfD89c4rX2/8PxNPRzu +d4n9tJZtjmQejofe38hiv77BzMPh900pqz+mFMQ8HOaDlI6WHzdCmIfjIdxa +1ceqM5Z5OMx3a5LVzJ2imIfD+XSnqLpIM4h5OB46H47Xm+6fyDwcDxf1Dq05 +HRzNPBwPxvd65g2+Esk8HJ4/gm/uTvaJZB4O87/U/T5Wy6OYh8P8u1t++tvb +EczD4fON0DsRMz2UeTisx0JcT7R4hjEPx8PynbZD1h6MZx4O9z+Frwur+7gx +D8fD53tlJY0HYpmHw/NW9JbNjpVBzMPx8L7APTFMypt5OB5ObjhlUPguhHk4 +HkzcMpV3icOZh+PhWum5GJlncczD4XlA18mEk/RjHo6HCxZ7XB0Uo5iHw3p1 +0Zme3qII5uHwPOFxbNyW+mjm4XgQGX4vUrcKYh4O5+t7helJgph5OB64oRek +R1eJmYfD6+8pTNAeFMU8HA+798qcP1MUzTwcDw6qA1QfS6QwD4frffSyRr2C +aObheBilWjJo1qdw5uF4iL82REXvvoh5OFwfM7WsKjLCmIfDeuN2umLswkjm +4bAeGKHbMmaBmHk4PO+6lydH3Y1mHg7vZ/fPfZ9+/PNwuL8uLcu/UhzCPBzW +g7MMjHOrg5iHw/VgF7gooDWOeTjMd6KUKeU+EczD4fe1yyRsDYlgHo4HZYm2 +aQ0lYczD8eDyuOTsRPlk5uFwfAz7eUtnxTAPh/nghNvhQ3J+zMPh+WlnqjHf +lsw8HO4v/IxYrdBg5uFw/xzb795YfN/Uw/FwaemyAm/cr6mHw/UoI/v+0nQx +83B4XmrWs5+3I5B5OJyP5uXWdysjmIfD+rhS0kjfOI55OB58bw/+PLYkmHk4 +HkrkZY60FQYwD4f7S+OoZTqtoczD8RBmGvJXfWc883B4fy5D9PqoJjEPx0Ol +9hrjU+MimYf7r17ZaLVtYgJHPRzmb8dtPrK/gznq4XD//H3dKqhPEkc9HA8R +Pe7W/lZxZx4O6wu/mUEFM5yZh8PzVUafd/PnZ3PUw+H7jd7VtH50Ekc9XHe9 +RD0cvt/6+1f2V4o56uF4aLj4atY0y0SOejisfw/6NYlTPJmH4+HGd11vdYkw +5uFwf2jfUCgoFnLUw3Vfn3o4fL+Os7vCdiQzD4f1YEG13ouUAObhun+eejis +B6fMfHfxUAJHPdx/77fOd0BdFkc9HM7fU7Py76UmctTDdf8+9XBYDxxxuNBv +SAzzcFgfDVbydHTN4aiHw/OO5hkd+dspHPVwPGwWFzTqfMviqIfD/emQUcL3 +fC/m4bBe0h3qd/1iEkc9HA8SW6veLn7uxVEP999575SrXN8sjno4zPeqV3u0 +vE5lHg7zn9beoe3K/hz1cFhPh4Oqkqk383C4/xpGpaQrBnPUw/FgejVoeFff +ZI56ODwPHA7UVuDDOerhsJ7//VW7fZgT83A8TFJdONo9RMQ8HA8tXH3ckzdi +jno4vJ+6k9J9a72Yh+OhSG9i/DLZOI56OB56de0ouGOYyFEPx8PCyA+7+zz3 +5qiHw/ng8sPY7XsU83B4/or/7V5yRcRRD9c9/tTDYf2vtGejvEYg83B4vp6y +jqTuCeWoh+Oh9eZFg4U12Rz1cDzcHfFtQnlAJkc9HM7HHvU3ZppnctTD8bD2 +0sgIVT/gqIfr/j7q4XD/7elseelnMkc9HJ73pHusF5dFMg/X/fPUw+H6UjxX +3feNK0c9HA8GSsNTU6eGcdTDdf889XA8WE7yX+ClnsdRD9f9OfVwPLRrf1g3 +STqVox6Ohzv51+0kdiRz1MNhPgm3+br9mT9HPRzWK+brd/ppZnLUw/3332c/ +b/zw1515OLz+92lnk3/aMw/Hw4lj9cKAL/Ec9XC4/k+q2dxeksJRD4f57Xbe +q+KF+Rz1cN33Rz0cD/2sDq2d2iuUox5OgF1x/Nms2mTWH06AoTdEG+risll/ +OKH738/5n4cTwLh2mPe1olCOejgBTj64tHOcbgzzcALM/yIesCkslqMeTgAN +vsrqbFYO6w/XfT3q4QRIWS/hVW6SyDycAFd+GbpI6v/rDydAqZLG6oyydI56 +OAH8Sr+4DzQTc9TDdV+PerjumHo4AWRzi3r81hex/nAChPYse51dZ8/6wwlg +mtNL2u2OiKMeToCVf19Vfcf8TT1c9/WohxNAfo79Hh/1fNYfToBNioFC8ud/ +/eEEuC7lf964vzfrDydApv3a30Nvh7L+cN3Xox5OAOtLrTe847NYfzgBZm+x +cw3tFLP+cALo+KiIPO5kctTDCXBX59a+jin/PJwAl/X63TY8ksf6wwlwI/qS +lacom/WHE2BY6QbLePjXHw6/vy6sNDWEY/3huu+HejgBFE2t7JaZBrH+cN2f +Uw8nwNRA/VyPua7Mwwmwtl9SwkXzGNYfTgApr36vF89OZf3hun+fejgBqkod +0n3OpbD+cAJYNR6X22rqyfrDCTBxbcy+wMAIjno4ARbYVrdJm7ux/nA4v77P +dNlxJov1hxPgzeGE0wvksll/OAGCJT72XWgWxDycAL7L5u3hfMQc9XAC9NG+ +38/UL4v1hxOgUkH+75pz3qw/nAC9c03i/NZ7Mw8nwBKlnT2eKQay/nACqN7M +1VQozWL94bqfj3o4AZReTeilVpDJUQ8nwK1N+2pWJ4hZfzi8vmNXzdguL456 +OAGq9fXkarQiOOrhBHh6cG5SIGSy/nA4P565BlaNz2b94QRoyQuV/9s7hKMe +Duf3/alKT9ams/5w3fdDPZwADVk7b70q8WIervtz6uEEOFEUe3GegwtHPZwA +y08MuNTXIZOjHk6AIwq6d3drJzIPJ0DGslg7I/8o5uG6r0c9nACJErLjt4QE +s/5wmF/CcxSu7nBhHk6ARZMyNMWD0lh/OAH68uJZEbqJzMPh/NiuJDr0OZb1 +h8N8FBF2b82NBI56OAH6jbIUBQxPZv3hBDjuLzX8+h4n5uEEaLMrL0mN9WL9 +4QT4lfEhQKoRWH84ARxtQo/nTUpj/eG67596OAHsk/om6yZ5Mw+H38999u/T +L5F5OFyfLwoqU9eHMQ8nQEVkzK/KE8kc9XACbI8dZDLgoIj1hxMg3rgjt9H6 +n4cT4Nua9RmVb1I56uEEyPsUvcA3NZb1hxPg/Mkjr8qtE1h/OAHWScrXRRum +sv5wArR7W6V8LU5mHk6A078XXln0IYN5OFwPRsKtFiMP1h+u+/moh8P7H/SE +D7gXwTwczu/1VVKvb/mz/nACNC5q6FwsiuKohxMAZHPSpkRkcNTDCaDgujRk +wytn1h9OgJd9h9nsHFDAUQ8ngG1dH8Nbv0WsP5wAK1bP2rpEHMBRDyfAaOvF +64vxfEA9nADSC01vdqYmMQ8ngMTud8BNTmf94QQ42Lt4YsHEUObhBBh8VdU+ +akQI6w8ngFdca8R3hXzWH04AyxmpkfJfxKw/nACrH8y96aXgxlEPh/Nvy+Nd +zrLRrD9c9/hQD4fxzSp7u6AE5uEECG+fuun4NFeOejic/0vOknsz01h/OMyv +WZqOqdvzOOrhBAhcusF5lFQY6w+H4xWwo6TRIop5OAE2a2+KmnY1j/WHEyCn +9M0vg/Vi5uEEEL2+p68tzmP94QSQW3km3XxlGvNw3fdLPRzON1Wf57x/DOsP +J4BL65Is0z9+zMN1/zz1cN0x9XC4XkzPlStLRzIPJ8DhxJl9JvwUc9TDCXA1 +uF00WiGcox5OAJkpD9q2rfNgHg7n5+3Bq7ROerH+cPi8zuscRl3OYP3hMP9Y +pemWXCjgqIcTwH9B5PbIma7Mwwnwd86bGB2bXI56OFxPB2a8mm1WwFEPJ8Cl +p2rynsaFrD+cANzSHbNlMhI56uFwP9bctmDN3yTm4QRIWvs+wfZoAEc9HO4H +Le6SZ5xiWX84Ac74Oi6euCCMeTgcf8OXzfK2Qaw/HH5f+VXJuXIijno4AdT6 +rV2wqjGNox5OgC/96xr1fuez/nAC1OsOmj7NPp+jHk6AuH3PRZeOZXDUwwmg +ffHl2DdeoczDCXBH30v5ft801h8O643leh2aiTGsP5wAbnUV5447JTIPJ8D4 +4BX18ooRrD8cPq/qnUqFncGsP5wA57ifjVF78lh/OAEO3SpvHeUTx1EPh/M9 +5V1nk0U+6w/X/f6phxMgOmWp1dnLzszDdX9OPZwACZm7RkdLuzEPJ8CBK0da +V9TFs/5wmB+0lo3IseU46uEEiPj4YGTriTDWH04Aw9aRfYfGRzMP13196uEE +2Hc/MqbDOZz1hxMg/9vqnCnJKRz1cFjflFxdXJqZyvrDCfCzRthuZ+zCUQ8n +QJqncb8y2xTWHw7zFxhaH1aO5aiHw/1dt39glLMr83C43xkf/FFoHcFRD9d9 +P9TDYT3yaM55GQkf1h9OgEeRmtVaDbkc9XC4f8z7q2H3Ioh5uO7fpx6uO6Ye +DvNDxXdPcjqPox5OgK8JspM+mHly1MNhPZISO+JvUzRHPRyu//V2L2+9TmIe +DtdTWmfnlMOxrD8c1jPnx9WeWerM+sMJcP/y/Y+9G4JYfzjcH3/+fWmZH876 +w2E+uqWXNHdOAOsPh+OtJatzYBvHUQ+H+VF54+5YgWP94XC/97ssF7I4iPWH +EyB5dYfpSkk31h9OgA+6Sx+L9iWx/nACTOgx0HzIxTvMwwlwdMvMklUleRz1 +cAKMlPvZpm/gwlEPJ0Bd9jWFrrAs5uG6x4t6OAHmuLrtlN2XzfrD4f6ru33s +g9Ag5uEEOLuuc3RcTSLzcDi/tZOekEI/jno4AUpu+h75mpbIUQ+H+ab/kurF +UzNZfzgBzHvEDxrwOZJ5OAE+tZX3n741j6Mervt+qIfrjqmHw3p0vn2RrJ+Y +eTgBwtpMlxRKAesPh/NLYfCXPlH5HPVwApiYLZljoR3N+sPh+xjrMOmUegFH +PRyuD6PgzKedjszD4XrS/zV/9pYQjno4nM+nL5berolh/eEE4BUMQ/O3iznq +4XC8d50zOLylgKMeDutNr5fW+/LTWH84Afr3jdyt0JrNUQ8nwJOLvqqOMwM5 +6uEw321/fUEpOp/1hxNActD6zwNtA5mHE2CS6VCpUo1k5uGwPldOW2zzNIP1 +hxNgoeVzHyMDB+bhBIiSvnXSdW8m6w+H42/98afRPo71hxNgWtocT0EnnvWH +w3xyUL9uxIs05uEw/y+TCS57n8f6w+H1p3f02veqkKMeDuunp45Do66FMA+H +7/vGfLnFDZ7Mw2F9oRVv+TwjiqMeDusbI3HkNuMg1h9OgD9frHrPqU7lqIfD +8+BY/xS9p57Mw+F8euIzvtKqgPWHw3r9U/32G4/us/5wWB+umpqSPKyQ9YfD +8/C2h9X7tPNYfzjMp3dUZ/PBSczD4X7g/8L+xlk31h8Oz6+zXkyaI8pn/eEE +2PPqhsekA77Mw+H7uVv4cnOvRNYf7r/zy5Etv6ILOerhcPwCvavuaIpYfzgB +Zv36NJkoJjEPh/V7x9jXs9vDmIfD8ZM3MTytXcBRD4fr2fTEy8KMFObhcDyN +OmJ3nSzgqIfD+Zj39t6TugTWH657/lMPJwBxUi91b09j/eG6P6ceDs9nmx/4 +3Vway1EPJ0CWRIFV4ORI1h9OgM7Y6v1HRqey/nACLFu9XH0w1svUwwkw1+Jh +r5dpEaw/HK5/Uxk+rX8q6w/X/X3Uw+H4adW+1/2Ty/rDCbChZZt0Wk4O6w8n +QPGBn55ql/M46uFw/T/nB1fOSGH94XB93FWpjf4WzDycAHsnz4xRirrL+sMJ +EGLudUz/WiFHPVz391MPh/kje9SLTZ53OerhcLytW2bPjktkHg7HS1klsqgO +OOrhsH5oCPKy3p/CUQ8nQOvwsHYdqRSOejg83638eKZd3515ONwP5zjvduzn +yTwc1iOaMY9UA7M46uEEEPR12v0Dwjnq4bCeNk9tMWtJYx4O9wP9AJXltqEc +9XD/5+8j//NwOD7+g6fHZ2dx1MPheO4prFkxK4l5OKy3by0NmbAtkaMeToBj +44eZ1urf56iHE0Dxu6KcJx/HUQ+H89MTGtc9TuWoh+v+Purh8H1P3jXyp3sq +Rz2cADbpnIbiynCOejg8/8xcpy+em8Y8HJ63LRT3dK4u5KiHE6Bg9KZePmvz +OerhcP1+GhDgN4XjqIfD+TVW21vJ4C5HPZwA7/hxey46OjAPJ4Cm8WwTL7ts +5uHwfW86a7VqYDxHPZwAysHPYyea3+GohxNAfckPr9tfgjnq4bBevN//yhuN +cObhBEiXNiseqlLMUQ+H83+/V2TSvFCOerju56ceTgAnm2eHw7H+px6u+3Pq +4XD/85SV1TXM56iHw/l6dozSxrdijno4AUZY9eiYMyyTox6u+/eph+uOqYfD ++6v85mKRlcg8HNYb675wL7XFHPVwAkx91EdVHH+fox4O68cPSnFeSXc56uHw +PLd52KOSo9HMw3Vfn3o4rD/y1z7L2Z7MUQ8nQEB0veZizIfUw2E99a2qQv5K +Ekc9nABKldzz9c+yOerhBJiSuvZx1oZEjno4rO/yOypbdMXMwwlwAZ6WhWoA +Rz0cXv9pTYn2xHTm4brvh3o4fJ+9Fk7tv5vjqIcTQOwy7a/J8nSOejgB1vw5 +rj0w2Zd5OAH0PvRYbtm/kKMeToAt4wYPFemlcdTDdV+fejg8P4TIdaYtyuGo +h8PzGamYsnS9iHk4AZqH9Bz22DeLeThcLycmz9gQ5M48nAAqoX16XzvOMQ8n +QFlMyuPc/R4c9XBYH4RoPaxVT2YeToDM3DVh3vJZzMNh/ugaq3QoOI55OFy/ +HXzhEdN//eG675d6ODx/5z4632ISylEP1/059XD//b0radDOtAKOerj/8/fe +/3k4PG8ZdFVE/fZiHq77c+rhMD+FKVnWluVx1MMJ0G4wdcrl9kTm4XD+bTWV +Hnv9n4fDekh854X6j3COerju61EPh/uzXueS5iNJHPVwWL9vHq9TNOwuRz1c +989TD4f1Z574T2a/fI56OAGkrkdMt1fL4KiHE6DjVe6l8X9KOerhun+fejgB +NFb7rVmfns08HObPn7KjpZYnctTDCRDbNinqZcJdjno4PG/pFxTsz07nqIcT +wP3Qy2vX5yYxD4f7p2Fy7Zj4XI56OAEy+HMqV+fncdTDCeD14rNp2ZkYjno4 +AfJOLokQa6Vy1MN13x/1cLh+1JOqYj7GMw8nwCCtv1cicX5SD4f5tFmi4tuy +JObh8PzV+cJhm14JRz0c1oMbypfneuUyD4f7zWoZafN7HEc9nADPX14SkeR0 +jno4AYZbn02OlX3CUQ+H+VbvVFpejwcc9XD4/kort7rmFHLUw+H+Msn/5UGs +F6iHw3ritOv4u31zOOrhup+HejjMN7MOu5qPEHHUw+H1clwWrDlWylEPJ8Di +TtUycyMR83ACjOn34GCrUgFHPZwAs+W+V4IgZh5OALt71i0JfZI46uHw/Oy6 +YnvxvIcc9XBYf8g02itPzuSohxNgZi+5P8bLxBz1cAK8CPN+dzrfjaMeToCN +W0tGVD7z46iHw/PvxBl5ry4GcNTDdT8P9XBY7/tNsRg06hbzcDi+Xlfc+9jG +cNTD4f70y1VLyjeUeTgBzBb/fX52RTLzcN3Xox4O509Dze8Mtacc9XC4H4cZ +CcV98jnq4fD9RJtYvDycwzwc1n/HPFUbios56uEwvxc5CE6ZyRz1cP/n773/ +83CYP0r/dtVPdmEeTgDnH+/i24ancdTDYb4pU/PVqkjhqIfD+Sk70VHtezBH +PRy+jzctPaMM8zjq4bqvTz2cAJs/Weu1aIg56uG6P6ceDveval+jKzfjmIfD +emnCwfo7W/71hxPAY/nPux/nJjMPJ8AOq0kTX7sUcNTDYT1Ya3fg3tUnHPVw +AlQfOOhT5HuXox4Oz6e2tp92v3ViHk6AwsYdE06p5nPUw/2fv/f+z8N1x9TD +CZDmHXNh1ttY5uFwvV3uKbq/O5F5OJxP9lFHBkvGc9TDdf8+9XD4/GNCHm+A +Yo56OAFcdi9csW54DEc9HJ5PJtQ/qNr0z8MJ4PNI02B4RBZHPZwA2yOys8yt +HnHUw2E+PJ4fUu7ylKMeTgCtmZoWd8+IOerhcP6PHfyid14eRz0crg/5qXdE +w2OZh8PPA7r2uCQlMQ8nwLr3urcXrSnkqIcT4Ouc2GNVUkkc9XB4//0lK5O/ +5jMPJ0BTy5ctmTOimIcT4GqNwfd4gyjm4fD5I59+0rqVyTycABbktffThU4c +9XBYP83+MGbbXI6jHg7fh4NXllZUOkc9nAA3b9acOlCZz1EPJ0DfybMPZsy6 +zTycAB8nHzWRmebGPByeb5THbz/akMY8HO735odumSx+ylEPJ8A1v1XzR+Dz +UQ+H+7M2N3ipbgjzcAJYx3+72l8zkXm47vdHPZwAM8aenHvh5FOOejgBxnbo +27abp3PUw2G98FGldvrEaI56OMxXGzpUHgwq4qiHE2D1n4EXXR+WctTD4X6o +ZdWvJDaLox5OgO9RFcofJ8Vy1MMJEJRpPuuL2jOOejgBTv0NKws96c08nAD7 +y6ZFz9bMYx5OgJghnQ3vLUs56uGwvrlcfNAmNo6jHu7//L33fx5OgNpTOjYj +jt3jqIcTIFf9y5uVQ8s56uFwv3y3pizEJJ95OByvUbldAzKyOOrh8Dw82WOM +yoYnHPVwmC+m7i2cbZ/DUQ+H56PAaTe6rj3jqIcTYOfZtusNGgXMwwlwts+8 +7c+eAfNwAuzqf3vX1UulzMMJsMBmgMdIowLm4QQ4kmw2Jj7nPkc9nACe9dOf +6B391x8O852qU99Ld9I56uFwPMd6xTy5ncFRD4f1M+jOkaku5KiHw+d5rGgS +/SKDox5OgLVBzd98TLw46uFwve0csdOpVyrzcAJMOvOiokH0kHk4HM+WTX7J +vtkc9XACOHxxHLZe/p+Hw3ohxX7/X6GEox4O7zcBXilNKOeohxPgdZimbwd+ +Tj0c5g8t78Fqea856uHw+9UPwdvBpRz1cFj/9sjKGeaRz1EPJ0CU3N2r1h8y +OerhMF7maHf1bz5HPZwAl78cXTP7UQBHPRzuF6OCeiunZzAPJ8C9az8PaSSH +ctTD4Xo7f8eAv3SXeTg8f/WPVdgX+oyjHg7Pl1s+9rRp8uaohxPgkuWcrEMu +hRz1cAJ0DQzJm+2WzTwc1kO64xrnVdzjqIcTYNuHrZe/3hMzD4fr9fk8j+R9 +iczD4fNu8xh59lQu83C4/z9yHObqlc1RDyfA1qk7onuZ5HHUw+F4rd87OMwi +h3k4AZZGhncNtC9iHg7P1/FmJz6UP+aoh8N8t/dO6IpjEczDda8H6uEE4E/1 +q7Z0KuSoh8P6e83KGS77c5mHw583kDCDZZnMw2E9k3Rkuun6Mo56OAEORu76 +uW1qBEc9nACWu2Qi7we95KiH6/4+6uFw/auXnjuP75N6OMw/T63tJymVc9TD +CZBaMStuAinmqIfDfKdsbGCuImYeDuuFBY/Gp80u46iHw/z55tbW8uRE5uEE +kD35afIdhZcc9XA4Hjv9Nk40v8s8HMY/Z+77k5LOPBzmr3qttZP2ZTEPh+fh +sS7ycnwZ83ACaPdPFnW1FjAPh+s3Y+oN2f5ZzMMJ0KIw/6CCZj7zcFg/7ZrR +cHtwOfNwAoStcRwpN/0ZRz0c1td9Ez5rDg1iHk6AR58r7UzvF3HUwwnw94+p +Hbf9IUc9HNYbpy80xP1MYx5OgHllg+3EO94zDyfAqpkuYzWvPmUeDt/34vff +1xdUMA8nQHblxc/22yo56uEE6GmiXJ0Z/4CjHg7n9+b358fOqmQeDusNOa0f +01a8YR5OgJS509ZE2Sey/nAC1O83Gr7v6xvm4QRINPfXkb13n3k4nK+778+M +MnjHUQ+H78sh+nr/01nMwwlwp+r04EOGT5mHE+ChkZasYshbjno4nH9S6yum +vErnqIfD8/FlQe5BQSLzcPi+fAdq+xXlsf5wArzc3XOAiXch83ACiCSMZnau +KWb94fDnb0++aNXmxvrDCXDc1+CX08V3HPVwApj6fA/cMKmMox4O6yUJuzfD +4t4xD4frM2PClE36/zwcnu8sv7n3e1XDPBzuB15/A05aP+WohxPAddS+DWce +lDMPJ8DAAUvV7PbXMA8ngGSB78iVKtXMw+H+/KRhysx1WczD4fOO3mM3vl8l +83ACDPC5UDrBPImjHg7PH/1vKITKe7P+cJjfXEbpyPWvYR5OgAZpGdvwY3XM +w+F+8vSX1tqAao56OAECymMmOL9+yDwcXk/tw7y4eZnMw2G9vN1SZfSTx8zD +CXB7QbHt67Zw1h8O7/9br7udL/xZfzisb7wzjkUceco8nADT1S5PeKvzgaMe +Dn8+4InVbvu7zMPh+W2pl7nHtSqOerju/EA9HNazO8n92pUVrD8cnv+zdQbk +Fr1jHk6ANyrj9pRU3WMeDusvhWMTKqXzmYfD87XsN32r+mfMwwnwYP7cRdv6 +vOWohxOgqGlz73EXXjAPh+dls2tWM05+56iHE0BQ2RXff91njno4nE8KZ2Q1 +7MuYh8P6MPHYO68en5iHw/HtFe29s+o9Rz0crr+4zDXDv1YwD4f1vL9CgPfA +Vxz1cN3PSz0cvv+Dvn8UFj5m/eG6P6ceDuen/W7DM8OLWH84rDcUPx07fu8x +83ACXJ/Wq98Y/QaOejh8H3omyb+mfWMeDvONfyVX2NXAPByez4c8tVDdUsw8 +HOZXD/nELsNKjno4AXwdHYpGdzxh/eGaIXp91++xzfdYf7hmmL50bcG7kHrm +4ZrhhVfEohXV7zjq4ZrBOT1LpHznE/NwzeDq7Jgme72O/XupzfBgVHuH7Mlq +1h+uGczGKgUaO71gHq4Z/FrCuaUu+aw/XDPExjivcEqLYR4O74fPVAj/0Mw8 +XDOsM0pa5NoqMA/XDGvjbb1C7N6x/nDNsOp5wOrq4gTWH64Z1CeZvV9r+p79 +e6nNcKBjXdkUn+fMwzXDs1kr4k1Cq5iHa4Zv9zRKG55ms/5wzXD4Q712+8gW +jnq4Zqi19Zhf/bOOox6uGUzEazUC+vxhHq4Zjo+eflAh8xbrD9cMRiNr5E+3 +1DAP1wzWNy44rJjUyjxcMxTvHPfpyLCfHPVwzTBwxbNtLb155uGawT+kZl7P +OW3MwzVDuZJk9Zo9bczDNUPS2TLbE/c+Mw/XDIFXG5bFzqhlHq4ZlkxSyKos +FZiHa4Yc/0KpyGsSOdTDNcP9P3N1k/o2Mg/XDDLNftZ5hwX276U2Q3vu4U9n +kyVzqIdrhvGKD5dcvVjG/r3UZvhkofS+U5pn/15qM0T2LpEtiPjG+sPh+BVV +10X96JVDPVwzvL+ZaDNB3M76wzWD+SvDnnMi+uZQD9cM135slX6v2cw8XDNM +SS9fq/PwL/NwzTDYqaLndtNBOdTDNUP1V9JmvL2R9YdrhsawVeu8K3rmUA+H +1/84JPvCOPkc6uGaodfjaLVcF9kc6uGawfHsefs0pWfMwzXDtG/zJ88+LalK +PVwzdLy/N8/DRVaVerhmuLwhdcUrNYlc6uGawXRicu7I9RK5/w8qDbPw + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Hue[0.1421359549995791, 0.6, 0.6], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + GrayLevel[0], + Dashing[{Small, Small}]], + Line[{{-1.3, 0.}, {0.1, 0.}}]}, + "Charting`Private`Tag#3"]}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, + "Function" -> ListPlot, "GroupHighlight" -> False|>|>]]& )[<| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{-1.25, -0.85}, {-0.25, 1.15}}, + "Frame" -> {{True, True}, {True, True}}, + "AxesOrigin" -> {-0.8500000000000019, 0}, + "ImageSize" -> {140, 140/GoldenRatio}, "Axes" -> {False, False}, + "LabelStyle" -> Directive[ + GrayLevel[0], FontFamily -> "Times", FontSize -> 10], + "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + GrayLevel[0], + Dashing[{Small, Small}]], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + GrayLevel[0]], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, "Primitives" -> {{{}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJw0mnk0Vt/bhw1JRQMVSUgZEoW+RSVuc5KQJKWIUEkiGYqiRJIiRGVKyFAy +z8M2z/M8z1Q45zw0h3r3b63z/mVd63mc5+zpvj97rUvY4oaBFQsTE9NeDiam +//197M8s/IOFQDIrpuau6W+E/2d55qXp//G1qS29NawEGs9+z3P1Ai+cUJIJ +eLWCQJGTzoI1jfwgHXZU8xobgb7PGNw4rLcduBimy0dWEmiwTvuVzJcd8FXL +OWsdO4EqykxcFbNEoSvm6bVRzIaeWk7Gabsg90/cjoxVBLrgduGH65AkvD5V +1Oe1mkCCJfZmoQrS4P6hI/D0GgKdHmCsMrSSBVO22aPiHATKuK+TY6DxHyib +svz7hRm2r5guVzwAO3L5cuo5CdQ1s0njja48rNggez1iLYEmzJU9pl0OwfQV +LRG7dQQyOsQJz/MVoLbMbADWE8gbyvdEbFSC5K0uQVwbCMS3I+82s5oy+Ds+ +OzaBufKfY/hFXxW40RjPlM1FoFfuI7pf+lThpGhxrg83gXLlxa1fyavDf/c6 +7Yw3EmhrdF+GXYwGbO6ZFd29iUAHJqbZLvMehZ/SrEOLmJ/OvJH2fKUFfb5b +Q5o2E+icRuG/XHFtKByTPR7Ng9dD+LgGZ/lxiDx8jMWBl0CpR2qa71w5AR7B +F/NVtxBIeoDdhplfD8znXOw38eHxn9+50GyrD+oaAeLTmI/fW88mbnASxKLe +DeduJVBWWHXHI0UDWPWz+MVjfgLphv5UY+w9BTN6XTom2/B8crAomIkZQmPi +HOseAQKJiP+I7Nh5Gj4yryj8i9krNeSkjrgRBJ7jv9kqiMezrHu4VuYM3Mzc +J/FWCM/XN80jmsrGYMipPeq4nUB3lnUVqk6fBTkr8zANYQKxs8xsVXc4B3wl +rrq8OwjUcul4SVmQCSzyBLJ9wSz/y2iVYsF5GLqRUFSwk0BMLDEDWZ8uAKot +cfQXIZCqpN3WXfxmECPcvdtUlEBF6GyH9u6L4HWHGJMWIxDaxyH7t+4iWHWs +eMUsTqB2nR+hKbbmcFRqm34H5oGNUU7G3BYg4f0fe/wuvN4ZFxT/FlgAx7B2 +ibMEgXScrphGWV8CUs7CSWs3Hm9NndbBzZbQGnBbaqskgaxlrGwbqi0h43Pg +xCzm7fo/Dp5xs4IQlcTXxVIEGh3cIDiwzxqcX6OTAXsINBW9/YkRYQ3GX7tX +me8lUMjNq1Rt8mU4rEOifdL4+QrsBbLXrsC2eDaXFTL481nibODeq/B3edve +bswcbl8UJ79dhVGj/VMJsgSKmo7slCqxgYrU4xG39xEoVOqR/1W/axC/6tKp +4//h83nsd8ers7bwyPzOGoH9BJKT385XLHkdrhY8LyMxi0//q2tnsoPjG5Nc +Sw8QSEvplmF/rx3ssS2VDpLD+2WrrFhH5g1YX9UzfUmeQIE7zI2GtexhXoCK +PHAQ79fCqt3H8+2hw3nlafZDBHokMVktLekA2S0CnH2Ykazm2JMoB3i560BF +8mECDT8xlzHbeBPu3Ne5465AIL/RMJukxzfhfP8lWd0jBPpZI6dpw+wISv+5 +fRZSJNDDWpfbMXccYbt/UPQ8Zu3TngW63x2BZSrJqEKJQNmN5sjB4RZMKpat +fQEEEnDhU1pD3YLq0N5Ka2UCmXoHzvHaOUEiRbkdVCGQ/foIz9ekE/hpsf+3 +RpVA/7iXU5/bO8P1GMGZAcwcNy/KLH91Br0/B2JS1Ah0d940d9DVBWRPnTD2 +UCdQjfzdP9L/XGDjB8v1JzUIdK9BMvWHjyt8X+FevUOTQItcNX4yG25Dz4Xg +u98wfz0Rpjr0+jbk5yTvrz6K61dU65MlsTsQvr58NkwL7/fFIP5nWXfg7pW+ +t1ePEejloZl3z9XcwKyMcVZBm0AzzM3jKzvdQGXrKq61xwmU1yX8kLByBxFH +odphzFs4skHjtzusbJTzSNMh0OcG1fq1z+7CZxFduQcnCGS36Wavpsg9qL9r +RZzSJRBLRpcgo/AefOh2jxPVI1CaytzJ1ac94Jl0iMlPzCN7t4sFUR5g7/ue +u06fQEu9E+JVGp5gMFZe9/okgXwuB5W5hnjC/sP9nrYGBFJO3lcwMeEJPMHz +8kqn8HmybZtl238ffs+uotYbEqjX1EFm5OF9GFDf/m4M8xg3h8WN7vtQHCl/ +IfM0no+//udydj2A6B+6m7yN8H5wGfia6fYA7utZNxidwfsvt5X9SssDuJR4 +98EuYwKxdR+xb9/pBRrMLw79wSzfOLXyq6sXiJ/7wGg4S6AAh0fxdc1esDqz +IiHyHK4P7h2iRqIPYZZjwPSGCYEueTqYv3R/CM2WC5tVzuPPqb+ygZ0PIa14 +dRP3BQIV8qx3UNzjDUE8wg8nMYdvl/wV7eMNt24cVMgxJRDJ1f4md9QbjGr1 +Fh6ZEciq3lX9oYIPHBS+nHT2IoHiWf2K2MJ8YOudexclzQn0Qd96XOGrDyy1 +v+BdxiwmYBkgpv8IhiVTmpstCNT4oSWiKuURlD6s9H5zCdevrbMTWzh9IXZo +4MhNSwINGZOK26/5grfc169qVgTaL83+cKDeFy4HrHm/2ZpAmgpnA7QlH8Ox +z8IWnzA7UssHr/s/BkmVQ3z5lwl0JmjFOTXyMax9rd/qdwX/vtLNhkZ9P6AW +Lj86f5VAa0ZVrFdn+UHbcQ+lvTb4/Mpbsv7mfQKZcaHf/2Hu6kJu4e5P4MVy +yoe2awRSF5BNZIw9AVejqkuxtrjfKfuZ/zrqD+dSB7c6XSfQTdVgz4yP/nBk +1bc2TTsCxX1bPSzI8xQEzTkeb7lBoLc/YwwU7z0FpoIdyjOYu/NX5K799BTG +uQ//LLQn0FqXtokA/WdQee3kx6cOBOqQj0yvKHgG7yqvWJndxPupdeFXomgA ++Ap4bpN1xP163CAIngeAjXNYB8stAjn0/Gf0aDkATrR89OvE3LLguU75QCBI +76pWeedEoBU+ASObbAOB6/7QLxdnArk8dlijGhsIX/u+pR5zIZB/1Qm3mv5A +6NrHeZnfFdfTaQ3BeO7nkPtkpyCBOcDdeaRf+zm8mjzcVXKbQHV/fiRf8XoO +booG/oF3CPQut89Wt+g5XAi9qmbhhudrTpLL//tzAMrzz3/uBDqU9d1LWDoI +dmi9TGe7SyCVtYfT110NghUxqVd6MP/o/vHwVGwQTP+uFkq6h+tfu8gsNRQE +tQbD3Xc8cB56m9sysSUYkt9/f6rjSaC5ukhBacNg8F+xVkPwPoH0Ukor2gKC +we6CyBKFmf35ivcNDcGgn6OQWfaAQJmvtEq3rQqBfetP2QR7Eai8wZqqVA+B +TVdshK0e4n7AsV+k8n4I/Cy93yvnTSBefk/trSgE+vheBazyIVBO5GbdmqUQ +KLyZptmPuWtjwdaGwy8gsqFm+f0jvN6DeyJEb78AD5GRrLu+BLp2QKqkO/cF +mN/9cU3vMR7voWu2wz9egFr32p3CfgSqGg0POiIXCqLSov0LmNuYbbbNO4UC +u++R55VP8PnWc/++mB0KX0ZPaYX6E8jJ+NbqCz9CofHQtX+Xn+J6/phU2yQf +Bh+DHuQcekYgqd0PAoVdwyBw9tV1jgACPTAt63+YHwY31dNFhjAPih5ap7QY +BoaRtQMfA/H8nXPgOaH4EuR+jAR5PifQ1cy54XSPl7BF7+cxgyA8P2jXKdvy +l/AnYR2zSDD+/5lIU3e2VzDIJJb3HXPTbTQ3pvUKSs4q3qgJIdDzJ4ufI/xf +QUyGodirFwRq2FKolNL6Crw4bIdsQgl0+6t5H/fm12Bl6RVyJAznr2rduKaz +r+Fo8evj614S6DLPgN9Q1GuQ4MlgGcXMCSauqpOvgeNGXX76KwJdcRI0XpII +B6Jm1N7rNd5f1XabOezDoWX7L/HT4QSS5HkebpcTDum314+IReD1FK7qElsO +h+B2sdBfmG/f0Uj9Tz0CnCWVTtRH4nzpf3TjiycRYPzw9IqIqP/lwcU57Y4I +ODxkW3g9GueHTH/x0/yRsE3u4U14QyCb5u0fMy9Fwt9n4RJcMbheJvdes/4Q +CaOfMkbHMZNjLcevf4+EcuX6sKy3BPIY33aoVikK4l6N6frE4v7KaBV08Y0C +n4VfbMZxBNq089en2+1RcOX4hmKJeNzfrrzyaN0WDcfjxG8tYnZ8kNN653I0 +7FlWkmx6h/MDm061a0Y0rDcyGo9KwP3t/Mnj9cvRMP/x+iv7RFyPzM/H54q8 +gQ52b33VJJxn4nN7MrXfQPbFCPZNyQRadW1vRp/9GwjLzyyZwsy2bt9uibA3 +cJu7wSn3PYEKDGQPvC1+AybXxqUef8D1w/plrfrkG1Cs/D1xLoVAv0db+zZw +xICQAFe41EcC9ZeqmK7cFwMszrsM/mIet9M7Lno2BiabYXVrKs7rRSah1z1j +oFr8TGlMGq6P41X7RxJiINHTzsUxHe9/rxn+Oy0x4NfnvVcjg0Cvk3eqKP2M +Adt9kVM8mThv1dW+khR6C7pPsiI+YzYp3MuvevQtyEw2nCrIIlBseULB/Rtv +gVtxYo1/NoE+Pfa6PhP2Fr69+FN2IQev7871Eu6lb6GH5LotnUug+lUvxuW+ +vIX8oxIyzHkE8uS/ELCVOxbC3yh/ascsGPdBRFwhFu7+PhMVl4/7vUF12HnL +WDAzuHHauQCvt+LMUMHTWFB578OpVUig0onTcyq5sbBzRVQFXxHOQxLqefOj +scB2IfvOLObYc4Rc/Zo4+JTdKFtc/L98+OhCw/44qFs3+flZCYHWp6oLfzeN +gw+XF6MvIpwnbYxcjj6Og2el3Gf2leJ+qjJjWJ4ZB/Z8u9etKCPQHk/JVKvh +ODC4qVLVhXlzr6qb7Op42N9g7J5QjvuhudFH0f3xwCNi/9/tCvz+rx4rqprF +wy/3RzPalbh+Wi4LPPKLh/6uqJhtVThvrmlV/5YdD0V7c4xJzKuOCCQ8HouH +qEdN60urCfSR4++ho2vfwf3RyernNQQ6+9V3QerQO7h0aOnupVrc39vaqg5b +vQONoI0HDtQRyGzmS6L983cgPrt7bmU9gXZNjwQ2F7+D1eqqsb2YI9vRTcOZ +dzAbcfZccgOurzuC1Vh4E6Dpuz2XeyPOf+8v/upRS4BUXd/aE034fpcs5dNl +nwDPE6I9hJrx89GvsT+RCeDIlCs3j5mZrGDSakgAo7PNRHkL/r1ov56iXwlw +MGMqLqSVQE+iNU3OiiXCVo5lE+s2fP8QojwFDBNh6dKmjQfb8XnKclVc/SAR +hosk61d3EIgy6vLelpYIpZvV7g9g1rT4pntmOBHe2p07mNKJ5yO0/Gk+ZxI8 +rHGg7nUR6Bbvbnk1hSSw3v74nX43vj9e41b+djUJtG6/ubCjh0BK7hcjG18m +gWR77qZvmN3Cfqg11iTBWsmWhqpe3L+vZ+36+iMJKK/pB2F9+P7E5aKsIpYM +bYPLh672E4j79xbPnNPJkHlg8/zhAQL999dh0MA7GV48k0rkHMTPP39Gmyc7 +GVw+qZkNY/ZNDy9hmkqGs8omPGlDOD//XbeXe/N7UHh1s+n+MO7PCfeeamu8 +B4GFxw9PjeD3dchuS3J6D0zHYxRER/F6erlR0u/ew3hs3sIPzElXQgcGu99D +5VJLUu0Yvt9y1vmlsX+Ad6c/XXw9ju/bRR3zyQc/gO/Hv7y2Eziv7rrF1nj1 +A9iw87QoThJo2dyqYGP4B9C5uMdn/RS+jwyasT1o/AB789UVxzBvtuUb4Pn7 +ATZwn/+WMY3z9FENmXbpFFiwcXz/8BPer2whvzPNU6Crws/C6DOBZKMzJYuC +UyB321u+XV9wfrfTK5ypSoFXTvmtvzF/3rshEn6lgFtz66OGGTz+xfCqgt0f +4YL4Z6XIWfx9g1CJ8xc+Anj++243R6DVXG+KdwZ+BOE+nhRlAtdrpzPOXBUf +gXXfXktuEq+/kLGW6I+PMOWnwT+Jefs6hV1mEqlQM3G+PZsi0LG3oWvQ+VRI +PnLr8SMGgS5G/J1QD0wF/xdPlM/OE+iUwIokRkUq2JFvf+5ewP3q3QHd8p+p +oH+04OMS5iP+6ycHN6fBvjdtVs1fCRTTH+c9K50Gm35/3vbmG4G+rRNcuVY7 +DX6cZOp0+E6gWoliMxXLNOhN5n2i9gPns4Fyf997aVDAKq26+SeBMh7aPRp/ +mQYR5zV/T2NWOfD3mF5mGnhkX0jL+4X7Y8rH5uamNDBf53TZ7zc+f+cL15t/ +TgO1y/6C5//g821uyr6SNR1ES2O79iwSiPGkNbVYIB3Y+Qr9/2F2PHeM1fdg +OnxxaFdrWyLQusW/i5an0qGh/suft8v4PBwXCzG0S4eUncwZt/7ifNS7UGX0 OB0C3Ldc1fxHoJ6R0CfX4tLBoUt6+xYmEj3SURsJQulguPdozxfMpwvECxv7 -00HukemzQmYSrbx1k4/vZzpsGXXSeMpComRH/e8uXBnw9+DTRVNWEoVaEBpf +00HukemzQmYSrbx1k4/vRzpsGXXSeMpComRH/W8uXBnw5+DTJVNWEoVaEBpf pDJg8HlcpswKEp155bjqulYGlMwU2rCw4e8LccqxWmbAG7UO4U7MDh+Ga997 -ZMCDiJne+JUkeh/PVWgdngGWP5gDXdhJdG17/cr9uRmgqct39NgqEjWPSr7b -2JEBEgkyy1tXk4g6ezaYjcoADiat7K+YJ1Mca1dzZAJhbGZbsoZEqSmvYbt4 -JrSkO+8M5CDRwb6v81pqmZC+5lm/OSeJVM0eDXqZZULwpfjn/60lkbWAz89W -t0xwKirSYluHx/uGVUHmZSac2dz5rxuz+g6+uJisTDhkN5uTuJ5ES0+HJUXb -MoG/hsXuzgYSlbjZNBYQmbAktFVUh4tEBfvaPSzWZMGoq+ygADeJqhMllLeJ -Z0F5m1Ywhfn4Ay/2z2pZELf7onbZRhIpc8+1VF3MAh8vF+bgTSQSPfcgOPtu -FlwZfJZnuZlE31dr6uS+zgLtA+9uyPGQSNDz2Pf63CyQelYstoqXRJ51L70Z -nVmw7lPnUB9msxilv+LzWcCAryHvt5DonIO6jsP6bGh/yapzl49EVicznBql -siF7biur3lYSVbIH2B/SzoYw7X0F2/lJtLxqVC7vcjbcjj3mMI+5zyWpXMs7 -G0wWL+6q3EYifVFy7ezbbFA87TryQoBE/oGp3NGl2SD0MSD0siCJRqaJesvh -bGBmTzhxSIhEuayJMkcWs2HCrGQFx3YSRdoPKIpuzYGqvK7CQcy7rB5+FTqY -AwlcxM2PwiT6Wx0pJ2WUA342K3Z77iBRjoQwj/atHLCt4B87uRN/3rzS+3ZQ -Duhu++/lThG8395p3C1IywEZJ229H5h1T3T85mzJAe5m85U1onh+18fN2RM5 -8F3sdvFLMRI5TiWbT3PkQrdH4C0bcRIxu/SpXt+dC3m9CZJHdpGoe8v2ALZj -ufBaFo2vlcD7t+m6curlXHD36341gvmXRpq+jU8umE0Q+um7SfRzpCNfLj4X -VI6wrfKSJFFYQoXTpspc2PliGzKUItEdo6v3WCdygY38z1lsD4m+nEppYmXJ -g0+ax/f8xtyW5Xxhs3Ae1EVbTNbtJVGpwnvxg8p58P737fBwaRL1GkuL2Zrl -wdOTzw2uy+DzIDFtkH4vD24kJ64GWRJN3E5PYI/Kg5OspaUb9pFoqMxB8EZx -Huw/3+Myjpl518r0T4N5wJNN7s36j0QbPHXOOizmwe+1K6e995NI8bgwF+e2 -fOi3Fog8c4BEu/PM2nMV8qEI7TeUkMPPfzsWesskH6K26HAsYO4J8TBWdcsH -T4dL5Y3yJGqv3MAlHJ4PFvV3bkcdJNEfnmsFGwrzQX1nkIz9Ibz/t13S5RrI -BzH3pE8qh0n0b1Nj9Y6FfFjdVRq1UYFEGbMuAhr8BTC7p/f0FOYDh+V1XBUK -oMmH4sw9QiK+o306RSYFkDqystJXkUQSK6X4uNwL4PlBQbdzSiS6vGUx2Tmi -AByfH9gnBSRibdy0+LWoAE7P6HxZwnxum/LKW0MFIK9m+aZFmURkumYFx3IB -8EW4nYlRIZHlOCWVJVgIC9+D1jmq4vOUxqx4HQph+ERylboaifgblQm5i4VQ -+q7MnUcd79cge3mu+4Xw9l/vf58xx3Oe2rwYUwgPjRkz+RokiplP9PxVXgjW -6exvn2iSSDpR1pF1shC01gidvXAUv6/S+2khtiLYfUlug7QWiS45tnfqiBUB -Z9GJGqZjePwZFvJ+R4uA3GR1rx3zLDfPqt4rRdB63f1AnDaJvM7FK8v5FUFm -dfBXp+O4Hv6t6Y97XwQvhN7HHtUhEcfG/a07m4rAxbX8HN8JEkXZRW3NJIvg -bFsf1yzmUz4FmQYbikFh91xtkS6J8jwOv2DZVwwCXqs8n+mR6PCelrzyU8Xw -b0BI/qI+iYTX7doS7FQMY/vlSdmT+LzrfvvgGFYMFU9141kNSHSzZsjeMr8Y -4qetzndhfrkcdMFqoBh84e7GhFMkeiFWbOu8VAw2L0PqXQ1J1CDI9jJMqAR0 -5t7f1z6N59+Ru69WpQT2alcc3GZEIoMLTyTWWJbAhth+isCsXb7Jy8SnBOYX -5t6hMyQq228wUpRYAp2Gq02fG+P5+TYlv7ehBHJStm++dBaPZ9beJ5UogZcr -DzbuP0ei1qygSuUNCO6Y6XmtNMHvE/Jldmwfggt51od7MfPf5f4ZdBoBcN2b -SzqP9//apMFTrgiEbV4kul0g0aHAo69EwhGwVnwwO2FKIrtlH1G2EgRT/JU8 -Qma4ni0u3/kxiqDm1kATA7Mw+bbuL3MpJDXNPyy/SKLyE78zH2wshSdia46E -mON+efPYbW7RUrjuIfzNyoJExr5mPMlypaDXezBZ/hKJuLlYfY9plcI+WX3z -1ZYk2j61UM84Wwqb/C5vGcC85z+uwehrpfBz/F7LByu8XzxX5xndLYVehVCf -e9Z4fyQkm2wOKIWCkBRF/cskSuvPrxh4UwoRROV34Sskehuz8DUpoxTuaQ6+ -/4b5Wf9/nR6VpXAx+ptF1VXcb/JFnS90l4Lq7zVbw2zwfq4PblH9XAoiJ3e0 -XblGohQChqT/lgJ78iHfw7YkunduNlyEswy+sJwEzuskqogyWiUsWAYNJld+ -DmF2ilIREpUpg5Qsj5RUOxL1B18bklEtg4C1YZb3b5Do/vpgUDcsAwfrj/yn -7Emk9ttJ1cy6DE6hqnYRB9yvn7SN33ctgwNbhh7/xEyYWAh88CsDXofvyrU3 -cT84NUkORZTBnzqO368cSWSTyW3Im1oGgzt2pl67RSKfDYnHjMvKoMTtsLWi -E84LZ0wb33SUwZvOkwLrnUlk3kj2MqbK4MGeq52jmEXYuW21fpeBpY/nkwwX -PF+/PB4krCkHzZEw1YeueP9MUFvWCZTDroOpf07fJhHb5S1S7tLlsOZ5dZr4 -HZwXbqXmzamUw9cvQ5f/YKZO2RTaGZZDs+oPwQY3zHFLMt+syyEtnLM7wp1E -rucFeDxul0Pw951P7e6SaG21+2Vu/3JwOqGgrnyPRLe9moVTosrhzDuDBS4P -3I/9K1X00svh0L+rGROYw2Q2VP6uKAd+4/tXsz1JdHWjRXxSdzkspb3c/ug+ -iYxkbMbNv5TDyOq0HuMHJMru7XERXiyHMouaZ7u9SLQ366zF53UVEFs4rLGI -Ob80MipHuAK8N/1cbHpIojcGJyX891fAletrs6K9SfRgk/C/K0crQLta5JqD -D4n02PO3nThXAVJCR3aoPSLRiQeV9w5er4B1rqf6Nvni+v0fKSzpWQGMVpvA -aczxAqMsosEV0C7x4GjeY1xvItQExd5VQNaDV8uP/Uh016zMfm9+BYQOpGWb -PMHjsWP+pdhYAa77a233+ON+L/Y+xXCkAs49Hdn5D/PCYavnN+crQHH6Z3/r -U1xfc7qiwtgqQQjWBb19RiLOPzHtFVsqgfml6LFbATif5dtJ/JKshAnGESbN -QBLFso1Gy0IlVB0zzOV9TqILyq/2ORpUQsLba3ZfMI/uVBwutKqExwsPRAuD -SPS09Ho0x+1KuGb4etA/mESSdxtvWvhXwomU9GDTEBJ95vlrWBpdCdIr67Rl -Xvwvj4WqimZWArfZKDNLKD7/PioHn1dXwvfcX3kdmFkfvdq3or8Sujest48P -I5Fv/pG994hKyLsqJu7ykkTuT2rF/zFVwetyxWGtVyR6V9rH/2hTFbjzn36x -9TWJNB052Xl2VYHpLVudr5g3bFqceq9QBcpNXqwl4STaZ6OWraVXBTvEwgsC -InC+D3Z3+GpRBSs8MhzMI3F/YFXhDXOugk89dbv+iyJRprdErJZfFdTJjI2s -iMbzdbiZizmqCt4//h3ajfnC62qz0vQqeDq+XjfxDYnqbyY99qmqghsK4mx3 -YvD++czpf6qvCk6GKBUdf4vzvIvPJXGiCv4jTjsKxJLoIyOZk4W5GjZrXt9N -YR5yX/dwYlM1/Ip6OFYaR6JPr9WrG3ZVQ9+v8JdB8STS2T7aUnCkGor0M/Us -3+F8fMgxMk2/GqKS6lfKJZBox5UAyRTLavBkGS9mT8Tr41PlnOZaDRYmf271 -YTaojHcr8K8G9awNUu+TcL1IQHINb6pBbO2uCfdknD/WvY0bz6qGVdbwWvc9 -zrdSA2VMddUwU2J0cvsHnCef/PYTHaqGRl67VfOYuzkfLerPVcNHe29UkYLz -2mfujV5sNfC8LsL5xUcSBaeINxTy1YDjjqw9l1Px+yjrCy/sqYHTbg2TB9NI -FDfEw62iWgPynePha9Lx/YuX7fVToxrg2/PXYBBzXE9s9qhNDSx4c635mIH7 -kamb+WGPGhga3lXmkYn7u+rG16+DawDJK7uezML5zmHehDmxBmICz0jvzCZR -kHR0rF1RDXh9sZv+jpmLL/r6eGsNWKv6RFbn4Hou5JJzfqoGtMIjDV/m4vzn -//7O0J8a2P09i8MmD+ftZZRjua4WOE80livk4/W/Ln95fkctkPETt9cW4PGL -hfj6yNdC6/JfmRHMt5vP8Arr1ELGGe7PaYV4fs1YN5RfrIWQNInoB0Uker2H -98ZVp1pwXq1iZFhMosej3LK8frVgbGG8VqwEr7erk0FDVC0oFN6o/IW54URx -i3dmLQhseuRWh/D+XnslXrO2Fv7ZRu0LL8X3l6L5lrVDtTBWlf3FtoxE8rl9 -xwfmaqFCsOmNUjnOo2kvN6eurIN4l8kzGypIZJiZLPGYvw4etS6sG8f8Wcjv -4VWZOrgqsbE6s5JETR/Tduhr1MHxB7vvelfhenkjjEnxXB3sGVDZf6aaRPM1 -OVtlbtTBhv1nZ3fVkEjK2ee6xMM6mPe3f/sXc86zuz92vaqDzqlHZxtr8fvd -5U7d+7EOcpSiN0TVkUiBrTD0cEUdvAzLqblRTyJb5a+JOr11cIfRdE+lAdeH -N1vHLYk6OH9s6sDGRhJlEflKXiz1oPR28esk5mNaioUJvPWwfWFjXE4TiUCc -17Bdqh5YDCVNfJvxfc04n41VtR6mPqhyn2vBv8f6ovHQmXqoYTtXJ9mK1+Pt -1nhn23pIMnXwXML8grPgSf79eniS6yvf0obzybVxd5aweri+4Q35pp1EIWos -Tic/1IPe1dz4mx0k8rZ7ZP+urB5ky5vPq3eSyEVw5BpzTz1s5J/eyNNFosJB -p0sWX+vhh+NS/SfMm/1yT9UxN0BP46YH+d04D5iuPyTH2wAFolKHnvTgfj/z -aX2SVANE3FNjnO/F52PT+05h1Qa413MuYW8frg/85V5vzjTARZmbpkz9JDoS -+oJP9HoDqD5+vLkds+DNp0GpDxpAZPxNY+wAvh993zALLxtgpUKel9MgifwK -fYS6Uxrgc3DL4aNDJPpdYCh1s6IB6r9Oz20ZJtFzGF27qa8BPmgsJ85gdiSe -lhaSDfAsavPFohESOZSWKV9d0QgOv6R4n42SiJdzxG/b1kY4pa/ebDaG+6eb -VniXdCMcSDLxlh0nkSn3RbsQjUbgZXE8wjqB89PuR0xnTRrhzzm/b52Yd7sK -6u50aISBzJjkd5M4P6/wOfXNpxGKOfPNXadwXtsnsLYuohGirVq3aE/j5xWp -usRlNML9kk8t/J9wv8syfOZd2wiXeP/5EJhDH6bp2g43gqY9jxL6jM8vlZFl -/L0RdtXt+RH4BdfbdXlF2muaYM0OjQ8WMzg/GfJfUt3eBF/vnL+0fxbnJz/5 -OJBrguYOx60rv5IoqdPaXVWnCdKknrT1YG54z/JZ26IJgrzf+iYRJKoavzRh -7NoEt4bzwY3E/S5u3Mb2WRMYybf91KFIVHKz+453XBMcDPycIsjA9wGJ0PVx -BU3A/+WfJQOz/YKLaG1rEyyp8G4rn8P1+lZb3tx0E4y83tsRPE+igy6/qrYv -NUHZNw0/q28kSlVWOnZ6YzPE6lxQkf+O75uWf5QCJJrBO/7W71U/SHS+1S22 -GZrh8vKT1H7Mx2t5HTcaNcOxM7HWH36SaOuMYPIF22aQTCsQuPeLROmS4+op -D5ph7er2Tr3f+PwNpAPLq2ZgmH95IvwH3yffDIWeT22G9gImtW+YtW9naRZV -NUPWxi1/K//i+l7y8LjwYDOE2kqnhy6QaJ1wRMyT+WZwrdK8cmURr0/SUY2F -VS1wTtBU6PASPs/5HfvshVrgiItTN8cyXi8Of+uZAy0g2Or/dAizUGbh4FWd -FmCSiFNP/Yf3L3/Kc9KiBcbvFy54MlHIfE3yfdfbLVDV355hwEwh0YiFRPbA -Fkj4b+aqCAuFzI7OsEa8a6F9OAqJDRQ/O1DcQvtwFLrpUazR2dFC+3AUEsmW -FnWdaaF9OAqtkkwJCWRqpX04CnlpqyR84milfTgKfbnnrKXC20r7cBRKLLBz -jtzRSvtwFMqNOC69uKeV9uEo9Mp+r8OFQ620D0ehS3sPHi5Tb6V9OAqV33ns -K67fSvtwFPrzTvVcoEkr7cNRiEzzSlmwbqV9ODweNVvPqzdbaR+OQi1Z/G19 -d1tpH45CZavTI3Uet9I+HIW857Uny0JaaR+OQmca2WMPvWmlfTgKScWw9Wa+ -b6V9OPz+4hb3ZXJbaR+OQlcrDkemlrfSPhyF7j78ICHb3Er7cBT6Kd4pkt3X -SvtwFErgbfZXmGqlfTgKydvkmFUyWmkfDs/3RGK43mIr7cNRqGG+VGmIvY32 -4SicT/mOXt/YRvtwFBL43ZTxT7CN9uEotLD3t0fI7jbah6MQk0fGB0m5NtqH -o5BgPo98lUob7cNRSNVEScD8RBvtw1HIKFD1wj/jNtqHo5CWzJEf0ZZttA9H -oXWlahOq9m20D0ehJAcH0c9ubbQPRyFpkaH8gEdttA9HofpLUVGHgttoHw6v -59XGtqmoNtqHo5DHtnsnQ5LbaB+OQgHr6/k1ctpoH45CyV7Ve3+XtdE+HIUK -rR/7pjS10T4chUZP7RK36mujfTgKOQTnrRKaaqN9OAqt5teTHGC00T4cXu+n -TE9fLbbRPhwej8KY5LlV7bQPR6GtwmwrBTa10z4chVxnPPjGhdppH45CupSl -ZZJkO+3DUWjHgZrJm/LttA9HoTG7/JdKau20D4ffb/HEPU69dtqHo9D019Dg -wXPttA9Hob1/47s/WrfTPhyFbjz11/C62U77cPh5RSbDZ++10z4chVR0RKL3 -+bXTPhyFej/89F4b2k77cBS6vzQeOBPTTvtwFPq45k9xXUo77cNR6LquFsf7 -/Hbah8O/xxhxflbVTvtwFFpf3bzs2NZO+3AUMjTYGWMy1E77cBT6WzVrovGl -nfbhKOT878Ae2R/ttA9HoX9+6zcKMXfQPhyFnr315li/toP24Sg0syd+EzNf -B+3DUehD+R2pHyIdtA9HIfU47pOzMh20D4efV+HqOXGkg/bh8HqV5eUNaXXQ -PhyFnn4e+tVn2EH7cHh9D3xT6L3YQftw+H31Wb16bTtoH45Cn55vaeh37aB9 -OLyeS0e4Rx520D4cheQ07xhNBXbQPhyF8pv6XhARHbQPRyHWWMvmX4kdtA9H -oQteO5dZsztoH45C//UL7uQu66B9OArxlZkq7GjqoH04CrX/m1Hf39dB+3D4 -vHE2KGpNddA+HIX616wUMZ3roH04CnU2vf3htNRB+3AUYutOSAtY3Un7cLje -GPCfer+5k/bhKBSznXWgVriT9uHweBotNT/v6aR9ONwfAjSCVx/upH04CmUb -JCMpzU7ah6PQ/pSwupMGnbQPR6Gzk1yprqadtA+H683XbXYxNp20D4fXx7po -RaNzJ+3DUYjj3Tf73w86aR8O79/EqjSxgE7ah8PzKXCg3Ci8k/bhKGRvrh7r -m9BJ+3AU8vX4cbIos5P24fD779NunEOdtA+H+8O42gaJxk7ah8PvnzDBb9Hb -SftwFArX2k1ETHbSPhyFdA5vedDH6KR9OAp1vUnp5V3qpH04fN71Zsgzq7to -Hw6fz+qm8lebu2gfjkKfD54xGBLuon04ClU89A7fsbeL9uEotOHQmYirh7to -H45CV4LrDTI0u2gfjkK2nkNFiwZdtA9Hodrwp71aZl20D0chZZne2NBrXbQP -R6Ec+YJt0y5dtA+H66mJjIr8wy7ah6PQ8Lzcer/ALtqHo5DEyvqHwxFdtA9H -ofTjU9H7k7poH45CphIPTf2zu2gfjkIXs2JKpsq6aB+OQkfSD5YoN3fRPhyF -WoPVTCL7u2gfDucFj6Lgheku2oej0AvNSCuTb120D0eh59dGmov+ddE+HO7H -Qu5NQpzdtA9HoRp1W7OHW7ppHw7Pn03igxmRbtqHw/upfdcBA9lu2ofD/dFm -6lahYjftw1FooKMLxLS7aR8O17vzc8FBRt20D4frzwrpG0yXumkfjkKat71b -btzopn04vB/4ZjNG3bppH45CYd9O857y7aZ9ODy/VYV/q0O6aR+OQgcWuUyO -xHTTPhyer5wTcpkp3bQPh89r2SU/yYJu2oejUMZrrdPx1d20D4frkz0jZHtH -N+3D4f4jpHc0cqSb9uFwvjhgbMP/tZv24ShUylheeP27m/bhcD8Aub/8bD20 -D4fzRgnDIoqrh/bhKPSmePv+HYI9tA9HIbvDedcSdvfQPhzevxOJ7Hvle2gf -jkLb+CbZctR6aB+OQre/nLME/R7ah6NQ9abl7fXne2gfDp9/jpJDRld7aB8O -nzfC78OEUw/tw+F+4W/ocvNBD+3DUej71TUvWQJ6aB8O54u8cM6Q8B7ah6PQ -+7O/2sUSe2gfjkK/xji+FGT10D4chda8qNHRL+uhfTi8Ppe3LE439dA+HO5H -/2a/3+vvoX04Cr1Llz6w5VMP7cNRyMSntSDjWw/tw+H6eKDQS5epl/bhKHRO -bPDpLGcv7cPhfuAo1POYr5f24Sjk9Nn+goRYL+3DUej8v0LB+n29tA+H++3U -7BZb6KV9OHx+XWaPrdfppX04CqVoxKdmGvfSPhyu789Ytc5a9dI+HP5/dcYG -ppu9tA9HIcVVp1cl3uulfTgKHdvLL3XySS/tw+H+USh5ZyGsl/bhKMRvdYPx -Lq6X9uFwfw9u9j+V3kv7cBTSNpM9wVzSS/twFGoL8pBOq++lfTgKzSa9kb3Y -00v7cBSab3M7yTXZS/tw+H2//PKvYPTSPhyuZw8WxpyXemkfjkJ9g7a6kmv6 -aB8Ozy8p2zrK00f7cBQSN5GxCtvZR/twFAp1O8GhJ9NH+3AUcjG2K2VX7KN9 -OLzfPrg8KDvWR/twFLKYOqHnbtRH+3B4vMJdIgcv9dE+HIWyZOaYftzoo304 -Cv0I9R7LcO+jfTgKZfo6VTo87qN9OFwvvsYmyIb20T4chdzPf/Odf9tH+3B4 -vDs0rbNS+2gfjkIGivcUXYr6aB8OP8/kLqdCXR/tw+H9MiLS9q+rj/bhKPRw -+PTjqvE+2oej0GbBuX3+VB/tw+H+zdvTcGqxj/bhKHTv16eT21b30z4chWTC -WSumNvfTPhzOP8GrBdJ29NM+HM4jIn0X3KT7aR+OQhvVTnodPdJP+3A4z73U -f7LpWD/tw1HoiWe24/jpftqHw3lzlenhdIt+2ofD9WNefMjzRj/tw+Hzl844 -e9K9n/bhKOR4/cXHHY/7aR+OQt+W53u/v+infTjcn75Ndde87ad9OArt89N+ -F57aT/twuF/lLKrbF/XTPhzu94K9aRp1/bQPh+8//Hmf+Lv7aR+OQrcKXD7N -j/fTPhyFhAvmUuqpftqHo9CWZ0yHYhf7aR8Or9f5ez7uqwdoHw7/PpNGiBHP -AO3D4f3Gtvei7M4B2oej0GAK92dOmQHah8P3AaJB4suRAdqHw/Xcdt/O6mMD -tA+H64OPYHus0QDtw+H+pH1l/4NLA7QPh+uz+6ej5vYDtA+H70vBjmtU7g7Q -PhyFNuVP3Bb2G6B9OApNNK4LYQkboH04CumbNxhNxg7QPhyFfuM5rE4boH04 -vJ/6TzYlFQ/QPhze71dq3J/WD9A+HL5f1os2OvQM0D4cXk8e1XyjyQHah8P9 -dsucypG5AdqHw/dtfXbLHcsDtA+H8+OcscBqjkHah6NQ1WT+NQbvIO3DUQiq -l3R6RQZpH45CDz79KimVHaR9OAoZ67qhJKVB2oej0M7sczrBxwdpHw7f9/2u -XLprPEj7cHh+vzmzXLEapH04Ci1VnxE/dXOQ9uHwfTR4sEXJY5D24fD4X3X/ -2e0/SPtwOP+18cTyvhqkfTicL6ev1614N0j7cHh9D3+wmc8YpH04/D4TMQ9H -0SDtw1FoLllwc0vjIO3D4fsB9zxfSd8g7cPhvKA3G5gyPUj7cLif6fS5RH4b -pH04Cvkn+TU+ZRqifTi83kVN/vfWDtE+HN6/AfY5N7YO0T4crscTykfNxYdo -Hw6v915OxVP7h2gfDuen8OgXGipDtA+H14OjVveg7hDtw+H7Co+BtaTJEO3D -UYhz1Zp+wStDtA+H6/l4YQK30xDtw+H6aPZf08oHQ7QPh/v7rILWwrMh2ofD -85X8hocRPkT7cBT64yolN5U4RPtwOH9MJcX1Zw/RPhyFHstNmLWWD9E+HO7/ -V99aVbcM0T4c7lcy6ZlFg0O0D4fz5/feo5lfhmgfDve/7nGh5J9DtA9HodT3 -YYdiWIdpH45C1kylQS83DNM+HK5PvCISgQLDtA9HocrZJ38f7R6mfTgKWUqh -FZ7yw7QPR6F6ZW8NV/Vh2ofD39eML7A/OUz7cBRa5J42v2o6TPtwFFqQWXfI -4tow7cNRSG1iQtHEdZj24fB+3rnHztB7mPbh8PzGpNacCBqmfTgKWVXLaB2N -HqZ9OAoxpzkRyh+GaR+OQk371bIP5w/TPhyFjo5fiNxfPQz5M7wbOJkY6NjS -49i9HcOwOyx29hZmgQdPqnaNDkO4mnTNEOanImLMO4lh4GQUvNVkZiCGnoCh -wN9huBuheS8Vs6L4/iJe9hEgtdrPbmFhIF7vPfLcm0bA7MeFA/cxjy00lHMK -j0BrzJcNM5jru9rOs+8dARVdp68GrAw0somZlUVhBDL+MtUWYuZ35M9cPDoC -OxP8Y0VWMJCLxbjNL8MRCDm1xeMp5j+cvLvmzUeAjSnu3E/MVYZ3Pn+1GwHn -D9JyZmwMJNXZmPTJbQQ+GRdy1WIuHWq+Ou47AsZsRwmZlQxk6q4sNvRiBOrT -22tfYdZwHBvseTsCCqamcSzsDLRDyeFJe+oIfFgz43ENszBXqnRT0QgI5DqZ -dGJm7jtbU1M3As8uMcsrrmIgIQUZ/fLuEfi3/in3O8z+Lxg1RRMjYF+0hVy3 -moG2/DOWymWMwNiVuDoXzDZHxd3Sl0bAYLNM/ChmbzfOvtNso1BRVuh5bA0D -FSY4fWrnGIX9dkfPZ2B+GZ/ySY97FOK3dsjzczDQ5+ag3sYto8BTY7rxIeZ1 -51jzjwmNwiPHGfIrZrZzQ4+qRUfht5Bz/WlOBrpRsqymJjUKVxuZ35VgDj+l -8RXtG4V+16f3xdcyUED5M48jh0bhuCjfhUDM65/nLebBKBS1xR38g/md8BuL -A5qjsOeezCaLdQyUnrkjLV1nFKJ2F1H1mC0vCUzuOTUKG3qONvy3noG6JK4v -JZ0dhfteHe8iMLMNkX9FL47CvLTZA7YNDPRF2mMgxnoULg3OXLDDbJ/xN1Lg -+ih0+jof6sH8vE1R5ZXjKGgcYNmszMVAuj0ilZvujELO2FNGIuaKmSeigZ6j -IP6Mr5GLm4EkNuhZcjwahZeH4xPuYJ7Ype3h83QUVn+S8ZrA7PvN2Ik5ZBTu -BBeZ6mxkoE8cZzTcX4/CLGgdzsa8aVaI/PlmFM5/7dgsuImBHD49s3NIGIWm -l2ZzPphz93tUzaaMgpLGbCOFeXGiYc4qaxRS55wTjTczUNmE3vxIwShsj2J5 -WIb5z7+pqrNlo/Bc+5nZbh4G4h6/cq2jZhRYfvEpBGNe3pA5ptM8Co6x8TyL -mJXmosSrO0dhSk923pIXz0/lyiMwMApGi0VNTZjz3lcK5I2NQk2iVpLcFrze -sRkNMp9H4eDpzofRmFl8ktWTyFFIYr54cRUfA11Z6/NI+McobP04q+CAubdF -MPjVwig8OefC248Zqehac7GMweJK1m+qWxnIPJv457tqDK5nPmt+j3nyXLcp -0/oxGDbbmryJn4EuKo/dd9k8Bnqc77zvYh4++ekyyT8GpXmy5tOYr50tW2+1 -YwxkrYqP6G1jIMF/R10Hdo3BW65jW/IwC9edenNSegw2lnR+2y7AQEX8xT41 -B8bgoc3FlseYLx46v1vxyBj84PmaPI85RXW1X4bqGFhXuPiYCDLQfbmIOPFj -Y9Bzg9WiEvPy3FfXCL0x0NoWoLhHiIFeyHSwcRmNQUHtVr5QzFz+Ytre58dA -0und92XMMe4l6n8sxiBCeF/r5e0MNGp887vt1TFY21z8vhXz+Gk+49EbY3Dv -zrFHh4QZSOGRn90p5zGgxLos3mJeOxIhV+0+Bhc7Lipx7MC8Vzz1oNcYtHl8 -5buFOWQnU0/y4zFQlXL9MYg59sTyh22BY5DZy9qmsZOB5rb8ln4WOgYi3gEf -PmKeb60w/RcxBi9k+X15RRhoOlr+oH3sGKwcfnfJE/PoM4nC0aQxcPHbB18w -t3vfmNZPG4PPciVbDUQZKPT4SF5pzhicnTj2swDzH29dWZniMagP6GrbKcZA -1flButEVY6BwxDzFH3O79v316+rH4MPnr74/MLO6UzfdW8dA4IWrpak4roc3 -MlxmuscgQGWFcg3m9WLR24yHxoCJDOCX2cVAzp5+F6smxsDhNf+vl5hllY6p -7psZg3HNhHZmCQYSW04tjWKMwalv+z7aYH6mFNy/5tcYVEaXPO7AzHSh5pnz -0hgc0NG2OrKbge6Fbh8cYx2Hd7+7lOMxu7E7lumsGQfeePNt6yQZaPZ9kGLu -hnHwPUn8csZ8wuyUnjDvOPxZcu0YwZw+6PvDT2AcbJJXpGpJ4X5SslL6+85x -GDAK9EvH/MPjzd/zu8dBh3Wb9dY9DKR5R+JMlcw4FKcmqHhhDvp0S22P/Djs -Pf+fwFfMt8dOl4QojkP0KvTbcC8DOZlHVy+ojcOGbO3OYszjyiImFtrjcN+8 -O1VMmoHWHMq9U6s/DvNrLZ4EYJad2C2y98w4WBYQ1r8xR+edNAq+MA5d1rdV -zWUYKI17ef3vS+OguZFNsB5z924W/fM245CLAv/sk8Xj2SqzudR+HHbZbusK -x7xu8KzpTpdxeLUlMW3FPlxvtE7u9rk7Dmuq/vO/jrmD/7PLZ69xcHNAl7sx -q1CUhrbfOHwVOK4G/zGQodLh4PeB43ChvlswEfNjy5emnGHj0Oxs8XfDflyf -Iwfe2UaOA+wku25jTlvZZdMYOw5pLbfTxzGLRZxOkkweB2F3tqfHDzDQgMJe -c7+0cQja9fxKFmbBOOnnn3PGgbVrm7qAHM4DjrsPahaPw637iUI+mHkkKcPY -inGY2rN/gcTMv1t3+l/dOBj1o+4z8gx0OYN31qR1HGp8jmeUYlaf5rfI7R6H -Q//1PJU4yEBE7W5t7qFxSB6xuBqE+aXSpre2E+PA70+qL2D24Uy8Uv1lHPwP -3tlueYiBOPuyI4QY47A0ybbYiDlKcq2i689xsHv+vOfAYXyebO9qtS6Ow4ii -QGYU5rTprmJx1gnQn0l8xq7AQDKVU+H3Vk9AWeh+G3vM3VzuY53rJ2CfWqlG -H2bn4stPd/NMQCx1XFj1CAOdCnWJ8tg2AZsiehaTMe88cWdj544J8Na61LtR -kYFEbynNiktMwM/vZKY75l0eQSJu0hNwOeZOwBTmsAr1kqYDE9B7YuU1XSWc -F1x35godmYBjf59r5mLuzFlc66A6AQXvBHZsB3xeb0eVlWlNgOSppCVfzB7h -3a1cehMQ8W9/3xxm38Gbh81PT8DaD6VZ55RxvxxUXko1mQAPY53ACszft63b -smw+AYwVvdekVBgoniXx8fErE2CefunoC8yRHQP6L+0moP0CtWMZs3yes+3k -rQlQW+O2bK3KQH8LNAak3SYgK2dlfwvmhNrNEXfuT4DopaDsg2oMpJaWmVL5 -aAJC1ws+j8EssfPrunXPJoC9KMl2jToDbc5+UmIUMgGuVw5oOWKWGTQtjHo9 -AV82le0cxCw0J7ti+s0EnCvT+aeugeu3dFuYVMIENFzv7U/BXJ+5yulmygQc -2WqZw6PJQJkeca9zMycgpZp67oH5k5M9+2L+BAg6ul3/jFnp/MECKJ2AACH2 -YyePMpDZQE36g+oJYGoMEinAXH9v5mtl4wQ4uAoy7dTC/Tbrms3KjgkYF0ke -eIKZr4VH4mjfBBi2Hcj9jrmIOVf80cgEVN0tC7pwDNcTS16r6qkJkNt9wq4a -s/Ycy+iKrxOQ0N17TFobnzcf9TDV+QnY4mUp+hLz0uS7xx6/J+CxNIOJ+Tiu -b2nfswuXJ+DvgNvgVcyUw++tv1ZMwjVf9rx2zBzB7rmyHJMwuD84WEEHj9fi -uN81rkk4MSZ4Iw7zCLd0UBzvJJQ8TdZeewLnl/y5pkGBSZA+LCfmjNlV98KR -TSKT8Ga6jHkE81CqwqD27kngCj4xdFSXgZoijT54ykzCA+jLS8P8psjlfbbc -JHybtQzh02Mgr5ArvV+OTILlS8aNB5gfP5+XFVCbhC519+OzmAtZR3L0jk2C -5hy7uKE+rhdCv6zu601CbmQwSzHmTwusShmnJ0FCW2hY9CS+H4Q2K46bTMLr -n8n5zzC/bBay5LKYBI5YuRe/ML+9WpoKVybBXa/c/qIBA53ZcFvout0kEAsn -dOowc+pzZ7y6NQmmiX3i+04x0IPXhtZVdyahxdCKNRzzN41VCgzPSVBmnhtm -NcR54+mPvVsfTUJ6inuBLWabgElltaeTsOPcqtAuzM36kTeuBU9C8MoQB6XT -DLSy4FN+0KtJWJEpdCIBc+DLJ4L50ZPgZPZ+1wYjnJei9COG4ydhmkN+xW3M -NZeWZVk/TMKZvPKRMcwdl84Pi2VMQq2lbqH2Gdw/mfa9OZY3CYe4+kMzMefd -03S+VjIJycVWN7cZM5A0h7mZf+Uk8NvMnfDGvCJH69yH+knw57krQWJe7VRp -1dA6Ccvlq9jOnMX7d2e455fuSbhxI2QUYfa9H5y0cmgSRvm3F+06x0C3Dtwa -2TExCSdr34c9xxxazyqs9GUSym/JO/7F/DV91XVjahL+E67QvWSC72eqx8od -fkxCXJPu7kbMVrlPhPwWJmHznX62A+dxv/sv1CuGeQp8xKzHIjHbor1ELvsU -/GqfK1p5gYFKjvKbNK2dgised1/ewPzwjXDj2MYp6JNcfasX8xUvdvjBNwXa -vSF6Kqa4PgYGZbBvn4LCh9slkzE/1/EV5RObAinZDys3muHzJfIxVEJqCiKH -5MfdMJM2dSsO7ZuCdX4VxZOYq85E2x09OAUecnqvTlzE53vNj3ZDpSlgjPff -ysFc9yhAxlx9CswDrPWFzBnobNF/PrbaU9ChMC/pi9npzrt2Z/0pUP98l30O -s6bbh02eRlOQHbJ64qwFA703XXPc9/wUiKm8KCnHfOz9w1sBFlMQRmx/LXmJ -gV43jwS8uDIFq15/cArBzCIwGv7abgpuax48uYR5oO1YWNStKZiZr5CytsTr -s37MM+bOFJhE661qwfxo5OqZWM8paDw+MCFvhc/XvkS+OJ8pUPxtjd5gvjhq -Vh3rPwUf4+Zfr7ZmoNOJhuffBk2B0Ml7zjcxz8to90e/nILApdUGA5iPrFoB -EVFTwJz8Yo/6ZQbKj9V4HBY3BTeNhFenYPa82J77PHkKJlhSJjdfwf3A63qd -X9oUGKYeLL2H+eXB/qIHOVNQZVIZ/ul/HDIQeLtoCuRW6bvoX8X3ueD96jfK -pyAxa8AgH/PfLYldl2qngM/88t4dNgxkPPdb7UzzFPit/bb6CWa/1i9Pj3VO -wUL+valvmAsNFDMO90+BrfWasvPXGOj4Ynbq7tEpGOIOjajCPHSIx5tvegp0 -kbDrXlt8f7i4XZb96xSgaymnwjAbp4RmfJubApkth6SZruP8r6mwauTXFMRU -Vq65iln/cKtM3dIUcDvoT7dhbvXglcxgnQYvgcGyw3b493+2/3i1ehq+112O -jMUcdLkywHP9NFg5f3PlvMFAyV4pv6w2T0P3Dg9DJ8yqU3pS2vzTcLRljcww -5pD116X2CE9Dnlsox1F73B/sqn+uE58GiV07PqViTjYW8KOkpuF1Z0r5FgcG -cuT4b6p53zRw3D8UdR+zeGrtypSD0+C+p+r2DGaW09HEY6VpIPv0T5+6ie83 -v1xeWqlPg5nPoEwRZl23jSuVtaehdd8VTlFHnF9S9h3g058GlZFvn55iXtQI -FZk7PQ0ZTzwqfmJ2n1purzGZhp0HOaLNbuHn8+6BSPNpCJkMvVOL+YTe2GWH -y9PA9nyHkawTrkfEwHH1/6PhvuNyfL84gNsjK4RsklFWUijpUsjMJtl7j6wo -OyMSkk2hkoo0SBI6qTS1l/Z+5n3z3PcTycjv/F7P+f75efmq577u6zrXOb7v -17NHwhynhIy7j7m5WZm8x0EJk8rNOrV0xP21xX+q5KiErbj9SbYLc7lH/fzX -JyUsxXpRQh7mVh/dup89J2Hm30ofTTmC/710+JUFbhL2/MH2Y08xP6q6HN3H -Q8L6zapf3uUo9veHHO/X3pKwK/WnjI9ivrYoYOSLBxLW9LhD5yrMh3XKdx/y -kbB9tnfks51w/0wrXm0eIGGVjXqfXmIWF6z61RQsYYuehjzu64z92q1B0+Ne -Slj8YvPj5zC/XSWfdC5Kwkz+fbLjMWvlHSqcHiNh/s8XjV9+DOttX5cBrRIk -rOeKss6AucPkrI5xKRLm2mqHYvhxnE+GDvA5mSlhP8PqP3lgHhxtWGyWL2E7 -1pz2acTcIz4iUl0sYcXtO57YeEIF5j+cTYMrJWxu5J0VaZi/109etkkiYe83 -DjExOYn7dV6kTm9OwkZ3Ce3ijXnc4cAD6YKEPXxnrmx9CvvBvKw9pxskrMv2 -xMS9mD++5VsZ/5Ww0zqLfQsxe2z8MKG6hZQJsWUnpp5WwZiGv82vt5OyjXt2 -2Adh3uC+Z4tlZynL7f3dpNsZFYwekrpO0V3Kpiee1j6GWRqY/e1mbyl7faAj -V4N5ToOVjuVAKRs28G7SPBest3lf0ur0pexu2hC/1y7///eQJT3cDaWs/dHQ -kwPO4v2rdvhmZCRlzvqTV7pi7rqBX5FnKmXKrERTFeaiiAsLHSdL2eoTi7va -n8N5demvvJ5WUpZuUM59xKx/s3XdaxspsyzYkWx4Hs/byy2nlsyTslCX7343 -MNuXFfp+WyRlg8aeOfUHc+2Y3vPd7KTseknHVVsu4PsOkZwaskbKWly8OyED -c8jivxPebZSygyb63Sa64jz7p/eBRdulrLYylH+E2eVE41jJHilbdmVySruL -OM94rtjndFDKEs2SnuzHfFymHtPBScomShafLsbcQffingcnpSzQs3zVtEuY -g7INDM9JWW+2c2Iw5s5dr2x8c0nK3JTfu/VwU0HcK8ee065J2e87Z76ewNy5 -Zs709JtStmd6p1QJ5mEVnxTL7ktZuequ/4LLuP8L/NqVPZKyBd76Z6Iwu0se -e230l7LY2WGrB7vj/u/j5C95JmXjfkye5IZZvVs2eEeYlPn6JnVXY/6U+Exb -8VrKui9Y8m3VFRXU/TvmsOOdlJ37XZ6acOX/v6/XVGmslH0P2Pl09FUVrOlj -fnJTopRtXfrjzG3MJ5c/NChPk7LCZi5r/mEOH97Myi5byma96GS2/Rr2P137 -xmcUSNlb+3s62ZhdLjwLmV4qZYZthqrMPFQwT76jxdsqKXvwMizNF3PoZZ0P -I6VS1nGdRUCH69i/hW4p8+Kk7ESHZJdDmG3P627sKErZ1zdL1pZh7jJWNtu5 -QcrWba4ws/HE+eDDtRuSP1KWpb2rRyjmGcapUxa1kDHrDz9UvW7g/XVy8czo -tjL2aofL59OY+5+tCRncScb0e3YOlGNO7TbpoGs3GbsVd+/s4pvYz3zTuqHs -JWNt9g1d9w6z3eeeneb3l7EjfcPN9W/h88zrWRmiJ2OyJIueVzBbt0rQ6jxC -xuwPJQvfMXseLb68a7SMpQ5amr72Np7XgTobk4xlbHJ6RWASZqd1I90HT5Kx -YKdd54zu4LzkUdzOeYqM9R/WsO4e5r9/SgqyrGXsao7L5BZ3cb4tl9cPnSVj -/0527rUL85D1MRucbGXMYeR9MRfzlcfd+6UtlrGqwqEZFvfwvgrx0+u7QsYW -nwsP8sc8yG3EoR1rZCzeaMr5zvexXx+2ukvkRhkzKUtefwTz+20/xWbbZcz/ -0lKLSszz9d/0n7NHxnpNqOw1+4EKAvUXX71+QMYuVu9Sh2N2HnJ2WuERGWu8 -2pDRxwv7s/SWFn1PyNjOyWefncVcGHfFcY2LjJVIO1/gMAfdLBa8XWVs3s37 -G5Z543yR5Bdc6i5jH6YOmxKD2SDX17+3p4yN4cN1hz/EejD4esnSOzL26N6U -+muYV3UeYXvVS8a0bVIyf2L+oxjU+MlHxs6IS59veKSCdr9Myn8/lTHxYeWF -VMxZ+/v/HhssY5vm7t44/rEKGo7dmL8xXMbyGhqmeGG+Ybqw0DNSxmY8Odu7 -tQ/ul9CONz++k7HIhV2+78G8lD9z9musjA3/ez+rAHOydPuT3okydjdoWDDz -VcEFN+fv1mky1n75S9dAzLte7zu8M0vGnFtYburqh/2oRfMhHvkypgxJsXTG -7CWV/nlVLGNrVi3rU4P54MHS5gUVMpbRtur73CfYP3jcMfpRK2MsYnd2BOaU -2mxXHYWMha3/GdzfXwWX209vM+6bjA3udO7iBczBV54+m1svY55vu2z+9v9c -H35wc6OMtdz6gK14iusTOWD9sSYZO9RteN+PmPtZ++/1aClndTEvfxgEqCDy -519vv3ZytnyXZY4n5vfGVVxEJzlL6pX64jfm01ParkzoJmeTEpZd2hyogi+r -RtRm95KzIIeqzemYjRP/XCrrJ2d9+u+ZOiEI78dnFnOkg+XscsrPvo8w7x79 -eMi3YXL25/C5hrbP8Dxvr9D5PlLO9uhp5zpgHrvrZb9GIzkrz3gQUoR5n0W2 -2W9TOVtwbLib9XPcn1/UO3+by1ns8FdbnmM2NM4JaWRyZpxnaaUTjPOssX6r -H9PlzO90ar8TmOOjfXaoZsuZzujlP+swOz1uUS6bL2fni6py57/A/RbetK5i -iZz9OL8n9A3mbq9sv+aukLNtxo1ug0Jwv68PcE1cI2dfys9tvYTZfne84ZuN -cjb7sra1iNnpjH2B/zY5i57o1X9VqAq2Wg2+5LlbzkbWDm+Mx7xrRo7Vif1y -5uXxKm9UGPbbA/T/bXGUs05TWNgtzIeXZcC8Y3J2Up56uQlzw86LLuNOy9m3 -W8u3bQvH+lPY3kbnvJytt662zsJc2FGr/fdLcpb9dc8As5cqWHxwamruVTmz -ftDY6IM5f/fmi2E35OzVzPP5Wq/w9y0ZbX35rpzp12uHH8Q8xHnxj03ecnbr -sZd7KWbrUZf9zH3lrK3tiO0zIlSwIOTqrC4Bcna08dW0EMwwRae26rmcyf3Z -wF6vcb275h56GSZnKxen/TqFedcKl8bTr+UsrWl5gQzzB4siB9toObN4Xh2+ -KFIFlZVXi3qBnL2w23slGrPphpXGlfFyNqDVr+1D3uCf54pOT5Pl7FrY+enu -mOtmdQndmS5nzdZ0HfQds23q1qxROXK2v7337zVRKpi2OqyUK5Cz6tcjChMx -m/71zXlWImdLNka8HPsW7zP/72FbK+UsofPUq3cx589e5zioTs5M36XtaB6t -gryC24O/yOXs6Ta7GTsxh5suCb/yVc566dQMysWsM2euvpVazi7G7v0z+R3O -Y6Kpk9ggZ427fxU+wbygfWqIzx8529n7wqtO71Wgtykmfn5zBSv91PWaI+aw -rJTIxtYKZnvAe2cF5h4D/C74ailYzAADm1kfVNCne3Pj2V0UbGxaxOBwzHYH -77/huyvY4yNT//aOwfugaWhPD10F66r/+YsL5vf7Vs4z6q9gLll2EUrMy099 -XZExWMHUx2uuLQWsR1V3zXcOU7DNBvt2fcC82kZb1XKkguXn/7IZFoufZ1WH -ow/GKpiNywW9a5iXpk3NNjJRsDdjujU1YDaw2PYrfpKCjSjxLlr/UQW6i03F -pVMU7J6rwesUzKNDNrypsVIwLZPXHsZx2C9+9Z3lYKNgxyqn7n6A+U5o6JNf -cxSMc/88s1U89udulqkuCxRsjdmKIXswd+rRJqrdUgXLqKtpysd8ve79bvcV -CsY89xVbJuC8f7W3tNMaBQu3/P06APOJxzlDr2xQMD3lhevan/DvP384XGur -gt24022PE+awySbKczsVrNX0h7OqMZ8vn73v714FO6wy0J+biM8/4PbLgwcV -TOL1+t8rzLnnMl9JjyiY3Wyrkn5J2J/cD95vf1zBkr9/jjyPuZ20RpF8WsHM -fFd4fsW8Rhg5YMJ5BXs2v3aPXTLWdzZZy+eSgvX9vW92LOb+K5JftL+qYO4B -v/UNUnDehkttHTwV7O8S12aemBf/Me2Zd1vB9jbrXvoLc6Ln2S+mDxSsIvjh -m02pKrjXzsD21iMFW2hveOMz5p01pQdEPwX72Dpyr2maCljQslm2gQpm/NJq -zkPMQZWzP/sHK5jf2vShbT+rQKHt8Pd3mILpdLBv7oC5d9zJ4gWvFezCm9rS -L5jnnRi10eetgjVscoiySldB9OexN1QfFGy79p8bzzBXTWa7p8QpWNF7133d -M7C/suvKuSYq2Jwd3ecexxwUsrprVqqCvevxaFgd5k7ZhcU9MhVsVJxhi/mZ -2H8sNJtpn6tg3nsjyyIx+8VNXHa/UME697V+OzAL9+/7Sy2LShTsVFL6zYuY -swrqFvaoVDDVQXsHAfPEFy0sFtQq2IZBdXNXZuP7L7sdf0GmYDmfHYbHY/7Z -1l7yjlOwaU5/WozKwfkg65/vV5WCRQy9WH4Tc0KZ1c8B3xVsaE736L+Y/Yry -a+c1Ktjtk49ubc1Vwbn9B7Yd/atgbUeO3J+JefXyjJM+zZXsaGHkvEl5OD9Y -PDZIbq1k8rPWI3wwXyt7uJVrr2SrjDJaauWrYMD30yadOyvZ51L7igOYV07/ -e310NyWbcqkuugSzo0fqiTk9lSzEdP/t6QVYD/0e/djcR8kGVv/Z/wJz+Zzx -v48PUDKPqxdtexaqIGelhZunnpI1n6xjcArzzOf7ff2HKdkB6aNWMszPe7tZ -RxoqWc2NkZULv2C/dNFiR8IYJVs69c27t//PlaxHlrGSfeKs7+gVYf/YZe6M -oglKNuFexoHLmNXtevysMFeygBkr59djvpm4dFStpZLpinUGa4rx/pr1sbLO -WskuPdzfOhHznJtddepslOzXnL+VY0pUMOrNn4SqOUq2q+Hi+zuYLRLMxJL5 -Slbqp3O3WSn2Q/mn7ucuVjLbhY8P7sBs3fHC++TlShbzZ+SCHMxnPVravVup -ZGOD3hhOLsPnvx66/flaJfNZNq3NE8zLZ1qp7m5Usm4tMqs6lqvg7rd93Nmt -SnY2ZOWHw5gHZ4urdu9UsvqVkrvlmPeZn5myeK+SbWl74NDMChUMd8m5ZnpA -yQpe/V0Qhnlm24eLejoq2cz1l0b2rsTnG/fgTL2TkkV17NHWBfN2hzMDsk4o -mcHbx9UKzI+ntBsedEbJ7m8ZFbOkSgUt+0rvnTqvZB26Rd17j7nwRv7hJZeU -7HjMtMNDq/G+s7j8Vv+KkvE7MxdexfztFWxSeyjZ2l6rRjVgXuJjeBBuKllm -vKTt+hqczyLPSi7eVbKpDgdqkjEPD3N5v8BLycL7NcWMq8XztaRC3f2xkuml -XLp/H/Of/Ysu5/sp2Y3DPRxb1uH7kHicuRmgZK30fBbtxux/e1n+wudK5pgx -anQ+5q6H7M5rhSqZ1DmqnaUEP5/zfI+PL5VsxfDptU8xm74U6w9HKllKbiZ0 -karg1Og/4cOjlcz89KoHRzGv7zAkseCDkj0fJXWswnzccaDR2Y9K1q/owOI5 -MhVc9Q/6OuqTkl053zT6FeZrJU7N8pKVrGmcW/t+chVw22ZtPvpZyfaV96g7 -h/nV03TdPllKVunmE8tjjmsW1+9trpItmjjaa7lCBY3Ksr3LCpUsribqCGDe -qizq9K1YycZ7TF8yQqmCY9f2/jxfrmRPLLLGXMc8yXHp6D7VStZDvkrrF+aN -c6c/f16nZBduSes2cti/Jv9wMJcrWYPVwY9pmIXX+icSOSXb/rXJy4TH/l9+ -IWOBSsmK7rsd9cbsNbNgU4FayebO7Lm0zVcV9E1Im7yyQcneq33G7sNssGHQ -spJfSjb68egOXzCPNroSYt+kZA/nvZVM/Yb1fFGaTX5zjnVpnB4XhHnzjxv9 -5rfm2Gn/LO9uKpwn1zwek9COY8Ki1U7HMG8rDDo2sSPHNjZJl9ZiPhK/o0Vg -F47lPjtoZCvg/Hb93sce3Tk23e5fh0jM++PFN6d7cux1y8vSAaIKjORjamW9 -OTYsrGe8K+b5/m1nzO/PsTurfR+qMDd7PqEobBDH2rUf42yvxnkg5vAjbX2O -Ob1+uywOs0Pkydt7hnNMsWHGuJH1uD+cOnxIMuTYqs7ZHW9iHtaioOvAMRz7 -HL1a9gez2aq7Nw6O49iUbbL4Ld9VUODb0eqTCcdCuh96lIF5RXteV2cSxwbF -/nOe+EMFGdnq3usnc+z67svLH2MetbjEOsiSYy169zJu34D1Vr3G85sVxw5+ -8u10ALOZ8YS242dwrHb/GHkxZpOHAx4fnMWxZQOiE6b9xHmjJHtV+FyOJabO -eByM2fWxlhk3n2MTj2Qf69GI+zn67AT9xRwLHLLG7iTm6e1+LrZfxrHeWTJj -KeZNp/SuXF7BMbfjhzov/KWCzgYxNdGrOPZ7RDNFFOa4IReWSddybHf+5U+D -f6tgvJ9plfZGjpWd6eXjhvmMyvX8xC0cmz/G77gas+POSVartnMMisesWP1H -BW/Ot+h+fBfHjFyjx3/C/PezT+O9vRzzGW/TZcxf7EerstUR+znWrTJbcRvz -OOf5zdIPceys+5rEf5i/bsntX32EY98nyX22N2H9vtRvbr0zx7bWHTqRjblt -dd2Flic5Vni9mb35P6zXLsrMLmc4NsvS3cQPc+ZtuX7vcxx5OAF+bvA6N9CV -Iw8nwFoWy+u5ceThBPD27bpuyBWOPJwAWb1sCwd5cOThBMieNnZZ3xsceTgB -9tUeKux2myMPJ0BwVvHqtvc48nACqH/2r/35gCMPJ8Cybb93SR9y5OEESGBG -6hwfjjycALaxTkffPeHIwwmwyOrWr8cBHHk4ARJNpx49+4wjDyfAnpGTVBtf -cOThBOiSZr6BhXHk4QR496V1qu4rjjycAM1M7Ay+vebIwwlg+IU7ERfFkYcT -4FTpuUTPdxx5OAGcD5U1Xx/DkYcToL0qYozhR448nACp71LmCvEceTgB5m4o -tnudyJGHE8DK1X+RYwpHHk6Az4J8oslnjjycAOEuW9t/y+DIwwngZVac8DSb -Iw8nwAqTVjtW5XHk4QToGepX37GQIw8ngH+ro1vfFXHk4QR4GTL1w9ZSjjyc -AFo2Hxo6V3Dk4QT44vJCJ6KKIw8nQK1FdrfltRx5OAFydslU9RKOPJwAW4a/ -euEh58jDCfA+QJhpwHHk4QS4NWNzTMxXjjycAO7OaV0WCRx5OAFWV0nNK9Uc -eTgB9CY4W+7+wZGHw+ddPq33958ceTgBdlq3SnX+zZGHw/3S9+SCv3858nAC -uA09EHi8GU8eToCKJJ/cny148nAC9HbPyNzfmicPJ4DBwOgH0rY8eTgBDi4a -NmGlFk8eToDOvfK9Uzry5OHw/V68mG3ahScPh++nskXWw648eTgBMs60udtK -hycPJ4BKtWzEtp48eTgBnn15dSpRlycPJ0B5uxovvb48eTgBmhyfnjzWnycP -J8Biy49Dsgfy5OEEmPz4h9sQPZ48nAAnWmm9OqDPk4cT4HRjzP2YYTx5OAHu -mRRNbWvAk4fD8+PZ78m8kTx5OFzfnrbxV0fz5OEEmM6P8U4fy5OHE6DtzgtG -7Y158nACNLTTPW5lwpOHEyByb+BJxwk8eTgBkrb/mRA4iScPh/uZ/+JXYM6T -hxMgr3/LhOZTePJwAjyxnnrbgPHk4QT4nbms93wrnjycAGWb2s/bN40nD4fr -lTho9JUZPHk4AXZwG94FzOTJw+F+Vnt+j5nNk4cTIErfuThnLk8eToABDWXb -a2x58nACSDucf6RawJOHw/c7bsKJX4t48nACdNoQ1az5Up48nACr4nNGtFrO -k4cT4FLwvPqWK3jycAI8fshvaLaSJw8nwN7ZJxwaV/Hk4QRId4sf8G0NTx5O -gO97L+6qWseThxMgzujmsqwNPHk4XH/z0JJ3m3jycAIUDvH947eFJw+H6xlp -+ubiNp48nADHlcZaO3fw5OEEcFJsrJ+5iycPJwDX8dohvT08eTgBPpSevtS4 -lycPh3+u08I43YEnDydAiU/pbu8DPHk4AXx9iiftPMSTh8P12Jx4c7wjTx5O -AMfdO081HuHJwwnQct/1hndOPHk4XN+lbf44H+PJw2E9mXDz8oQTPHk4ASy3 -tvH/epInDyeAzpYRM/1O8+Th8DwoPjksdeHJwwlwpurZoBbnePJwArTZ5bcs -+DxPHk6AA6XHOi9x5cnD4fPENpvz4yJPHk6AEUVNbW678eThBNjWb9p0Y3ee -PJwAg6PuN0u7wpOHEyBEO9d8/TWePJwArh/fCoIHTx4Oz5N3P/3Tnjx5OAGC -QlOytG7y5OEEuGh+7cf1Wzx5OKxXbyff7HGHJw8nQFqld+Ctuzx5OPzvvc8Z -d7vPk4fD5+kJRpcf8OThBOgQ3M+3mTdPHg7308/d5w885MnD4f2Yc6qo8hFP -Hg7r2ayh3nN9ePJwAsz0H5350pcnD4f1wmHH3p5PePJweL/tDnJy9OfJwwng -eSfmW85TnjycAD1GO2eODOTJwwnQ1/9FrzNBPHk4ARojDJNynvHk4bB/WBBf -OiiYJw8ngMR/tt2uFzx5OKx/wzxMX4bw5OHw/J7e4fg9lCcPJ0Ddo0Bd03Ce -PJwAb8IG9d3/kicPh59noP/xoFc8eTj8ebfbW5RH8OThsH600lvRJZInD4f9 -x624TIs3PHk43N9R4LM1iicPh/dhv6+f3d/y5OGw3kToLQyN5snDCbDrlbFh -xjuePJwANz3U9vL3PHk43A+tJ5c3i+HJwwlwv0vFax3gycMJsOHb6wr9WJ48 -nADVBrdXjvvIk4cT4NrF5UPN43jycHh/986wZPE8eTisB0Z5/lMTePJweJ9t -m2pv+YknD4fn64HCblIiTx5OgPX1zx6PSeLJw+H+LrM3HpzMk4cT4Gr7rA7a -KTx5OAGeHpKM/I1Z4+EEWLrB8Xp1Kk8eDn/+SvvJiWk8eTjcX6Y7Rjz9zJOH -E6Bjp9N2Luk8eTgBZs8+mrQygycPJ8DQ+6OOjM3kycMJMO3XkS3NsnjycAII -IabX0zFrPJwAV2ZZNd7O5snDCaDwdfRancOTh8P9UhfuNCCXJw8nAH8u81op -Zo2HE2CYVXDZ7TyePBzulxFDN9rm8+ThcH/d7NWvWQFPHg7vJ6MNWqGYNR4O -+1exYuTKQp48nADtBh841fwLTx4O//7CHy39MWs8nAA/ts6Nml7Ek4fDfuTO -wgeVmDUeDu+L7XXPjxbz5OEEaB4ql3Us4cnDCfD3+pRF3pg1Hk6AUW4fawxK -efJwAozbuPzRS8waDyeA/sQyl4llPHk4AVo5TbwShVnj4QTop7T5YFrOk4fD -8/2E7xqKWePh8P1qd3bTr+DJw2G/+9VN/zZmjYcTIH/JzMqWlTx5OFyPZ8Pe -78Gs8XACWL/q+CYXs8bDYf98rizTtIonD4f9vNuJ1jcxazwc9qeXs5d/xazx -cAJo+8XETa/mycPh+ZhhOOsOZo2HE+Ac+1VXh1nj4QTY+kbngVENTx5OgM2j -1m89glnj4QSIPxJvE41Z4+FwfdKHTm7ErPFweP6j91qb1PLk4bA+GF5YtQuz -xsMJMH/j0vMPMWs8HN63LeJi0jFrPJwAAVpRbRoxazycAN3zB64eVMeThxOg -vk/Nh2mYNR5OgNFqpeEmzBoPJ0D/XH3fk5g1Hk4A3WtH9W5j1ng4/Dwrc54F -YdZ4OAF6pfY3f4tZ4+EESM6yyUzArPFwOI/Fm+34jFnj4fC829e0ycKs8XAC -HAoyCsjErPFweJ+O6TI7DbPGwwnQ4tNuZRxmjYfD+eXFxCuRmDUeToAX7mtH -P8Ws8XAC1OzISr2OWePhBLB/eXyzE2aNhxPAaNKSxtWYNR5OgAnjp1+0wKzx -cFg/raZq62LWeDgBjm6b5PEN11Pj4QSY2HVA23jMGg8nwMhuNQc9MWs8HN73 -T53y12DWeDg83/vzDIdi1ng47PfPSA7I8H1rPBzuf1u/4ADMGg8nwKPIpoKN -mDUeDvvX9ryqN2aNh8P683dj42fcbxoPJ4Bp5LJvxzBrPJwAyo/R2cMwazwc -nsf+Fx+l437WeDh8vnERdg6YNR4O++F808bOmDUeDt/vglZng/C8aDwc9ktL -e9UzzBoPh/Wgzba5OXj+NB5OgAuNStf1mDUeToAw40eBCjy/Gg+H9/cw52AH -zBoPJ0DpO0dPEc+/xsMJMHDuNXsHzBoPh/XnUUwzBdYPjYfDebjh34V1mDUe -Dvu51guUWVh/NB4Oz+e3gFGWmDUeDvvfBW3nBGD90ng4AcZO3GndEbPGw+H7 -GpWhswfrncbDCdBt5LjYFKyPGg+H6xNwfZoeZo2HE2CW+1dvR6yvGg+H+89i -ZkYi1l+NhxMg+uaD3G6YNR4Of55cGbwS67fGw2F/0s7M/iHWd42HE2CBxbnC -Mqz/Gg8ngM2szGG9MWs8HL6/Xf1sFuL9ofFw2H9v3GtyFu8XjYfD+2Vzsioc -7x+Nh8P7ZfgY51K8rzQeDn9euV9mC8waD4f3nfkYlT7ebxoPh/3arpxia7z/ -NB4O51e921dX4/2o8XACNLt2SusA3p8aDyfArw23bM/i/arxcFjf0suXe+D9 -q/FwApid3zT0Ht7XGg+H/ROMjPLG+1zj4fC+mTC180O87zUeDvuZ4c+G3cd+ -QOPhBHBYcrCZJ/YLGg+H69vs6f3z2E9oPJwAD3/Pqz+E/YbGw2H9unWw43rs -RzQeDtc3cGi1DfYrGg+H/c6NfYcMsJ/ReDh8frY2ri32OxoPJ4BP33+pVdgP -aTwc3gdD1l95g/2SxsPh/F50rd0l7Kc0Hk4ANs978nLstzQeDvvJHneHDsR+ -TOPhsL6t8PhUg/2bxsNhP5l8u8+T1zx5ONwfvu+HrMd+T+PhcJ582b2yF/aD -Gg8nwJElIfPTsH/UeDjs//c82uscxpOHE+DG0V+WQ7Hf1Hg4AXafzI1Jw/5U -4+Hw8+kyfg/2rxoPJ0DX/YtTOjznycPh+bAZstgf+1+NhxPAWJp0wRz7Y42H -w/c10W5rGvbPGg+H/Wuo7Jsd9tcaD4f98sqbepV+PHk4AQ6WHPi7CftzjYfD -9d3hfarmMU8eDv88Z3TgWuzvNR4O1+eEpWM+zgMaD4eff4dCNtOLJw/3//vR -9t9rnCc0Hk4AuwaXqIH3ePJwAnS5Fdj9PM4jGg8nwKRdJV0kOK9oPBz2d8fM -gq1xntF4OJyPN1ZJ7+O8o/FwAvitFD9+xXlI4+H+/+9H7maWOC9pPJwA5qcy -bS/hPKXxcHj/TSz7m3mZJw8nQGLvwlndcB7TeDjsl45XjF6I85rGw2F/Ydc7 -+NIFnjycAIFWgXExOP9pPJwAm46EOXzD+VDj4QToWbj8dd8zPHk4AfbUxF6b -doonD4f9fbzOv604f2o8nABTZzi1uIDzqcbD4f1a3OPeY5xfNR5OgLMPu8VF -4nyr8XB4Hyy+ezz5ME8eDuex9VlJ+Qd58nA4H5fU+pbv58nDCbDxTLNONft4 -8nBY36Pnt67BeVzj4QRwufvbrRzndY2HE2Bh6XjvfJznNR5OgI+C/uRknPc1 -Hk6ATPHPpsgtPHk4nB8CFD0fb+LJwwngcbH/kvMbePJwAqx7/6nX1nU8eTjs -9/vqbLZew5OHw3lirPnEPqt48nBYb0x3evArePJwWP/6FO59v5wnD4f1/mxE -9oWlPHk43D+JE6LmLebJw2G/qvIc3HkhTx4O74uEpo5ptjx5OAEGfQhzOjuX -Jw8nQGiHyq0TZ/Pk4XA97ifkSmx48nACTO90B65P58nD4fv56GEwyZonD4f7 -JUupXcx48nC4v7rUHDoyhScPJ8DrTi+Xak/mycPhee57K/TJJJ48HNa36Snn -TCbw5OGwvi1zz4XxPHk4AbSK+z2wGceTh8PzNyC6OHkMTx7u//PYk+s2o3jy -cHi/VvUFMODJw2H9Tdi40WQ4Tx5OgAajd85P9HnycHh+p21vq63Hk4fD+ljx -ou2RgTx5OAGcgrOci/rx5OEECOrbc/3EPjx5OPx9WxIiPXrx5OH+f5/qnazT -4cnDCXB31dHXpt148nB4HnZ2Xn2mC08eDj9f3zH7kzvy5OEEEL0H12tp8eTh -8L74Or52VluePByuX1HYVJdWPHk4Aaoaatu9ac6Th8P1ed3fQtrEkYcTIMM2 -qKjbH448HN63GQWVZo0ceTjsf3r/WLj6B0ceDte7ys7AWc2Rh8N5PtR2xw0V -Rx4O75daA+1AniMPJ8D4CNY/SsGRh8PzNrLmWryUIw+H/dnYHdtSaznycALI -Tgz3+1zFkYcT4F/oIevUco48HNY3s8Dp8SUceTjc/9v7BL35wpGHw9+/S39f -QD5HHg7P+7xBtz1zOPJwWF/rt/d3yuTIw2E/Nmplq1WfOfJwAnx/tHDOpBSO -PBzW66Kgr9qJHHk4vM9mJqhq4zjycLg+U/4tiACOPBz2v6roDqfec+ThsL87 -sWLIjLcceTis31Kz220iOfJwAqToxm2Kf8mRhxMgu3bp5WOhHHk4nOfb2nUc -G8yRh8P9OGKMpCyQIw+H81DxVN2L/hx5OKxPD374jPblyMMJsPzLG5eMhxx5 -OKzHHP925wOOPJwAfwa3nNniLkceDuehiI0Gt25y5OEEcM88un7IdY48nABW -N14qg69w5OEEaNvtZOo4N448nAAWS+b8Cb/AkYfD/bfE9fTosxx5OJzP2j5d -/uQURx4Of7+97smexznycDivjV304+xRjjwc7vfNCTHcIY48nACn8yQFC/dz -5OGwPiweYxm2hyMPh/sxrGu91k6OPJwAz6Ddz/VbOfJw2J/Yus9+uZEjDydA -D8Oesqa1HHk4PI9DB+farOLIw+H93t1Ay82OIw+H5y35mXvyEo48nABvB+uv -bLGQIw8nwJam7gcmzuPIw+H8aPMrd9ssjjwc9m9Su1M3pnPk4fB5S0MORk/l -yMPh/KPvElZqwZGHw3k4Y5npr0kceTjcf/UhzbubcuTh8P7/0L3H8HEceTgB -vNZ02DdhNEceDs9z3wFdrAw48nD4923z622GcuThsN9Z9W7grMEceTjs3z+u -uja9P0ceToC9naZNs+jNkYfDel7XZD62B0ceDuffNgMc+3flyMPh/WZlVN+m -E0ceToDIc4kRynYceThc74IDb9JaceThBLhsl/z7aTOOPBz25zNXnTnxR0ke -ToD85h9nLvipJA+H9bS5x/x+9UrycPjnVetu1X5TkocT4P3alL6BSiV5OAEG -222t2ipVkofDfiE9u25QjZI8HM4j8oARBeVK8nA4j/48H3ShWEkeDu/vvVrb -jQuU5OHw/CrzNhVlK8nDCXDd5ILXsXQleTi8D6bEdu2doiQPh/2M/rD48AQl -ebj/z2Orw2bEKsnD4bz5rW1R3jsleTicL35lWqx7oyQPJ0DI+NUltS+V5OEE -mP18WcSWECV5OAFinmxNqgpSkofDenHXXMfeX0keDvuRCSfvpz1WkocTIHdL -hb2Zl5I8HPbzNp0W+95RkocTYIlxlEubG0rycALUxF5XbrmqJA+H5//TPPfY -S0rycHj/L/Le3PO8kjwc9vORkw5tO60kDyfAPtf86FfHlOThsB7eGznpt6OS -PBzeD63qlVMOKMnD4fMPk+cf26MkDydApV/i94jtSvJw2B9tnz1XvklJHg77 -kaCxBbrrlOTh8PNLJt2etlJJHu7//79l6OUdy5Tk4QR4lREV4bZQSR5OgO2/ -IroFzFWSh8P7bWjFkxgbJXk4Ac7Y/d2ZbaUkD4f1kC/YXGGhJA8nwJg7Jp6y -iUrycAL0WVkpcsZK8nB4/m/4X+BGK8nDCeDcsNBWOkJJHk4A19thNmVDlOTh -cL4tvnEgY4CSPJwAw93TsqJ7K8nD4f2XZbDBV0dJHu7/87zLkPNdlOTh8H24 -BvXapKUkDydAmqHjFIvWSvJweB8mwE3tZv95OAGMtq3rX/lLQR5OAP8rYwuf -fVeQh8P16tMxzkGlIA+H73NVTtk4pYI8HK5Huw2GX+sU5OGwPsy8G+BfqSAP -h+/vhf2yFSUK8nACmLCL49sUKMjD4f1/oJ11aJaCPBzO40axp5ekKcjDCTD5 -y+1v4icFeTi87ywPX70SqyAPh/sndMaaIe8U5OEEkKvUqyJeK8jDYT2Zvsdt -apiCPBzW522PZUnPFOThsB7cOuE4x19BHk4AW7cf45MfKcjDYb1xaRpkdV9B -Hk4AG0u3Ka9vKsjDCXC/uccl/WsK8nBYb+60bX3tkoI8HM7XixSh9WcV5OGw -nnYY77bspII8nADho8pvhB9VkIfD5w+Uf253UEEeDufDZstMV+1RkIcTYIfN -4PTAbQrycPi8/RfdFDYoyMPh/PVB5ma6WkEeDs+TwIcdWq4gD4f3Zd/tbUIX -KsjD4Xw7Yf3l2jkK8nACOH6pZD1mKMjDCfDc6McQK6YgDyfAy04hE7ebKcjD -Yf0t7XXMbbyCPJwA84Knfw0YrSAPJ4Awaub12OEK8nDYH0WM35w3WEEeTgDO -YtC2mr4K8nDY/+3Uv8/3UJCHw3nVzf6v2EVBHg7r761iD3V7BXk4PL9jE5Z+ -a6kgD4f9+wuT2ZK/cvJweH56ztv7pUFOHg773YGjEj4JcvJwAgyY+HNOiFJO -Hg7rxcn8Fp51cvJwWO+yFEqHCjl5OKzHsevbzC2Sk4fDfvPRhsWDcuXk4QQ4 -6dw7S/VZTh5OgHYDIk+9T5STh8PPr7ttw9lYOXk4nLei1xyeES0nDydAUu/0 -dy0i5OThcH7TUZi8eyEnDyfArfnKyr0BcvJwAjwwax/b30dOHk4AZdeTOUn3 -5eThsL+sPq+756acPBzOQ65rPTtdlZOHw88XOnNqkKucPBzuj2IXvaln5OTh -sD/euMQsx1lOHg73x9Gmc+sOycnDYT0altZatkdOHg7fx+IW73dtk5OHE2B9 -3NcAxXo5eTisD33KUjavlJOHE6Csuq9e8RI5eTg8n+d/h82xlZOHw+ddkuEY -aSMnD4f7+4LsYP+pcvJwAvyyehx4ykxOHg77kVu2PcqM5eThBIg/MSfadJSc -PBx+XoV459JQOXk4AdZEPwn5MkBOHk6Ai/HQpKcrJw+H5yvL/+L2rnLycDg/ -PY2eF6QlJw+H/XqLzXPrWsrJwwlwwqf9+X5/ZeThBJBY9Pg1/4eMPBz+fA/x -2fFvMvJweF+s6nLXXyYjD4fz4/qSjylVMvJw2G/uTh4hL5aRh8P7fumc9JZ5 -MvJwAvg2FoT2TpeRh8P+b44kyzBRRh5OgK4zRaOJICMPJ0BesyNZllEy8nA4 -jz0UQ63CZeThsD9bU5fJnsnIw2H9uJFtZOYnIw8nwNBXk3NHe8nIwwkAc5+9 -6X9LRh4On7/j0/J2V2Xk4XD/Jb6d9e2CjDycAMccDvzIOiUjD4fnb9g62Yuj -MvJwOL+O7jrwwn4ZeTgBYn/0fWC/U0YeToQhg3usGbFJRh5OhDrnm1vEVTLy -cCLktjJ5/WapjDycCJvqr807aisjDydC90ibUSY2MvJwIiR++7lUaSkjDyfC -sLOzk7wnysjDidDBo+zsXCMZeTgRyhxXX64fISMPJ0Lrkn3ldwfLyMOJsNg/ -/sSkPjLycCLsbdNmZ243GXk4EWydpH47OsjIw4nw+FOfUb9bysjDibC0xbKW -F/9IycOJ0PyuraH2dyl5OBH2T4vxucFLycOJ0Ed3085uEil5OBH8XH6dcy+X -kocTYdvcGWLzQil5OPx599q8OpApJQ8nQrbYMrk8SUoeTgTPaa2NbWKl5OFE -qCnJEwKjpOThRKg4xNq1DZeShxMhwljHcX2QlDycCJMC9C1e+0jJw4lwOW/6 -qtb3peThRHg1dm7eQk8peTgRmJ924B03KXk4ERTXHQqLXKTk4USYXTRrU69j -UvJw+Px5TvMWHpSShxMhYK3kxrldUvJwInQrOGgesUlKHk6E3QO0rCpWScnD -ifDR70Zg66VS8nAiDFrzd//weVLycCKsz5zwcPp0KXk4EUq6GI9ZYyElDyeC -WVKN3n4TKXk4EcaYTDtxepSUPJwIc8fMsbysLyUPJ0LqiO9br/eTkocTYbXp -tJ+eOlLycCI0tZmgvtZRSh5OhJz67BUXW0nJw4nw/U9/w+N/JOThRNiQNGT7 -rnoJeTgRUgK/dV/OScjDiXDG32OMRa2EPBzu/0O6b/uXSsjDifDuzIOIX7kS -8nAiGItGerlpEvJwIiT4iy0C4iXk4UQIM/9r5/hOQh5OhIPaDnpWryTk4USI -m7NnU9vnEvJwIrjl9e6X4ishDyeCsNHb9sJ9CXk4EdYc1Wu09JSQhxPh2LaC -gepLEvJwIixaLo/zPSMhDyeCjdXVGlsnCXk4ESybfrnUO0jIw4nwnG33vb1d -Qh5OhBd3uk4zWS8hDyfC6GOmW9PtJOThRPg3vUenjQsk5OFE0DL7Pkm0kZCH -E8HBtZ/yhKWEPJwI0Qvy9VtPkJCHE6Hjk91S19ES8nB4Xt2NTdoMlZCHE+Fi -e+eOp/tJyMNhvdC9t/97dwl5OBHavZFt3tpBQh5OhHM1CdKcFhLycCLk+7n/ -Nv9VRx5OhII2vj4PhTrycCK0GbGz5K+sjjycCMXGts9WVNaRhxOh59bILqGF -deThRBjeS6dT88w68nAiHH9d6Dc/sY48nAhi+uH8Ox/qyMOJMLbzVr/SiDry -cCL0mjWkS//gOvJwIhgdHtnH3q+OPJwIfaP/ffK4X0ceDtdzp75OwvU68nAi -mGgNbqO+WEceToSVy0579z9dRx5OhFksq2TakTrycCLY/fSJ27K3jjwcng/V -pSVnt9SRh8P9UzbQw2t1HXk4ETKjmpzCl9SRhxPhfEpCt7g5deThRBj4pPvq -DKs68nAizBvrYVcwqY48HNaHgOo2xWPryMOJYOEbuatoWB15ODxP3d655vWv -Iw8nQuRc39VpOnXk4URIbq3HfehQRx4O75P16onBLerIw4kQJMuxut1YSx5O -hC73Tnc4rqolD4f3RxXcXiOtJQ+H99dTuzrz8lrycFg/5nZr7J5fSx5OhN5h -H3JlabXk4fDnTxh55G1cLXk4EdTJY+vOv60lDyeCsthv4PywWvJwIlTu3TSi -W0AteTgR9vSe3zzHu5Y8HNaX1jODr9ysJQ8nwocGk5EzLteSh8Pft6TF6Z9n -asnDibBvgHdwwNFa8nC4n1s2vFy8r5Y8nAhXV7S+1billjwcrvfgj0u9VteS +ZMCDiJne+JUkeh/PVWgdngGW35kDXdhJdG17/cr9uRmgqct39NgqEjWPSr7b +2JEBEgkyf7euJhF19mwwG5UBHExa2XOYJ1Mca1dzZAJhbGZbsoZEqSmvYbt4 +JrSkO+8M5CDRwb65BS21TEhf86zfnJNEqmaPBr3MMiH4Uvzz/9aSyFrA50er +WyY4FRVpsa3D433DqiDzMhPObO78141ZfQdfXExWJhyym81JXE+i5afDkqJt +mcBfw2J3ZwOJStxsGguITFgW2iqqw0Wign3tHhZrsmDUVXZQgJtE1YkSytvE +s6C8TSuYwnz8gRf7Z7UsiNt9UbtsI4mUuedbqi5mgY+XC3PwJhKJnnsQnH03 +C64MPsuz3Eyib6s1dXJfZ4H2gXc35HhIJOh57Ft9bhZIPSsWW8VLIs+6l96M +zixY96lzqA+zWYzSH/GFLGDAXMj7LSQ656Cu47A+G9pfsurc5SOR1ckMp0ap +bMie38qqt5VElewB9oe0syFMe1/Bdn4S/V01Kpd3ORtuxx5zWMDc55JUruWd +DSZLF3dVbiORvii5dvZtNiiedh15IUAi/8BU7ujSbBD6GBB6WZBEI9NEveVw +NjCzJ5w4JESiXNZEmSNL2TBhVrKCYzuJIu0HFEW35kBVXlfhIOZdVg/nhA7m +QAIXcfOjMIn+VEfKSRnlgJ/Nit2eO0iUIyHMo30rB2wr+MdO7sSfN6/0vh2U +A7rb/nu5UwTvt3cadwvSckDGSVvvO2bdEx2/OFtygLvZfGWNKJ7f9XHz9kQO +fBO7XfxSjESOU8nm0xy50O0ReMtGnETMLn2q13fnQl5vguSRXSTq3rI9gO1Y +LryWReNrJfD+bbqunHo5F9z9ul+NYP6pkaZv45MLZhOEfvpuEv0Y6ciXi88F +lSNsq7wkSRSWUOG0qTIXdr7YhgylSHTH6Oo91olcYCP/cxbbQ6Ivp1KaWFny +4JPm8T2/MLdlOV/YLJwHddEWk3V7SVSq8F78oHIevP91OzxcmkS9xtJitmZ5 +8PTkc4PrMvg8SEwbpN/LgxvJiatBlkQTt9MT2KPy4CRraemGfSQaKnMQvFGc +B/vP97iMY2betTL902Ae8GSTe7P+I9EGT52zDkt58Gvtymnv/SRSPC7Mxbkt +H/qtBSLPHCDR7jyz9lyFfChC+w0l5PDz346F3jLJh6gtOhyLmHtCPIxV3fLB +0+FSeaM8idorN3AJh+eDRf2d21EHSfSb51rBhsJ8UN8ZJGN/CO//bZd0uQby +Qcw96ZPKYRL929RYvWMxH1Z3lUZtVCBRxqyLgAZ/Aczu6T09hfnAYXkdV4UC +aPKhOHOPkIjvaJ9OkUkBpI6srPRVJJHESik+LvcCeH5Q0O2cEokub1lKdo4o +AMfnB/ZJAYlYGzctzRUVwOkZnS/LmM9tU155a6gA5NUs37Qok4hM16zg+FsA +fBFuZ2JUSGQ5TkllCRbC4regdY6q+DylMSteh0IYPpFcpa5GIv5GZULuYiGU +vitz51HH+zXIXp7rfiG8/df732fM8ZynNi/FFMJDY8ZMvgaJYhYSPX+WF4J1 +OvvbJ5okkk6UdWSdLAStNUJnLxzF76v0flqIrQh2X5LbIK1FokuO7Z06YkXA +WXSihukYHn+Ghbzf0SIgN1nda8c8y82zqvdKEbRedz8Qp00ir3PxynJ+RZBZ +HTzndBzXwz81/XHvi+CF0PvYozok4ti4v3VnUxG4uJaf4ztBoii7qK2ZZBGc +bevjmsV8yqcg02BDMSjsnq8t0iVRnsfhFyz7ikHAa5XnMz0SHd7Tkld+qhj+ +DQjJX9QnkfC6XVuCnYphbL88KXsSn3fdrx8cw4qh4qluPKsBiW7WDNlb5hdD +/LTV+S7ML/8GXbAaKAZfuLsx4RSJXogV2zovF4PNy5B6V0MSNQiyvQwTKgGd ++ff3tU/j+Xfk7qtVKYG92hUHtxmRyODCE4k1liWwIbafIjBrl2/yMvEpgYXF ++XfoDInK9huMFCWWQKfhatPnxnh+vk7J720ogZyU7ZsvncXjmbX3SSVK4OXK +g437z5GoNSuoUnkDgjtmel4rTfD7hHyZHduH4EKe9eFezPx3uX8EnUYAXPfm +k87j/b82afCUKwJhmxeJbhdIdCjw6CuRcASsFR/MTpiSyO6vjyhbCYIp/koe +ITNcz5b+3vk+iqDm1kATA7Mw+bbuD3MpJDUtPCy/SKLyE78yH2wshSdia46E +mON+efPYbW7RUrjuIfzVyoJExr5mPMlypaDXezBZ/hKJuLlYfY9plcI+WX3z +1ZYk2j61WM84Wwqb/C5vGcC85z+uwehrpfBj/F7LByu8XzxX5xndLYVehVCf +e9Z4fyQkm2wOKIWCkBRF/cskSuvPrxh4UwoRROU34SskehuzOJeUUQr3NAff +f8X8rP+/To/KUrgY/dWi6iruN/mizhe6S0H115qtYTZ4P9cHt6h+LgWRkzva +rlwjUQoBQ9J/SoE9+ZDvYVsS3Ts3Gy7CWQZfWE4C53USVUQZrRIWLIMGkys/ +hjA7RakIicqUQUqWR0qqHYn6g68NyaiWQcDaMMv7N0h0f30wqBuWgYP1R/5T +9iRS++WkamZdBqdQVbuIA+7XT9rG77uWwYEtQ49/YCZMLAQ++JUBr8M35dqb +uB+cmiSHIsrgdx3Hr1eOJLLJ5DbkTS2DwR07U6/dIpHPhsRjxmVlUOJ22FrR +CeeFM6aNbzrK4E3nSYH1ziQybyR7GVNl8GDP1c5RzCLs3LZav8rA0sfzSYYL +nq+fHg8S1pSD5kiY6kNXvH8mqC3rBMph18HU36dvk4jt8hYpd+lyWPO8Ok38 +Ds4Lt1Lz5lXKYe7L0OXfmKlTNoV2huXQrPpdsMENc9yyzFfrckgL5+yOcCeR +63kBHo/b5RD8bedTu7skWlvtfpnbvxycTiioK98j0W2vZuGUqHI4885gkcsD +92P/ShW99HI49O9qxgTmMJkNlb8qyoHf+P7VbE8SXd1oEZ/UXQ7LaS+3P7pP +IiMZm3HzL+Uwsjqtx/gBibJ7e1yEl8qhzKLm2W4vEu3NOmvxeV0FxBYOayxh +zi+NjMoRrgDvTT+Wmh6S6I3BSQn//RVw5frarGhvEj3YJPzvytEK0K4Wuebg +QyI99vxtJ85VgJTQkR1qj0h04kHlvYPXK2Cd66m+Tb64fv9HCkt6VgCj1SZw +GnO8wCiLaHAFtEs8OJr3GNebCDVBsXcVkPXg1d/HfiS6a1Zmvze/AkIH0rJN +nuDx2DH/VGysANf9tbZ7/HG/F3ufYjhSAeeejuz8h3nxsNXzmwsVoDj9o7/1 +Ka6vOV1RYWyVIATrgt4+IxHn75j2ii2VwPxS9NitAJzP8u0kfkpWwgTjCJNm +IIli2UajZaESqo4Z5vI+J9EF5Vf7HA0qIeHtNbsvmEd3Kg4XWlXC48UHooVB +JHpaej2a43YlXDN8PegfTCLJu403Lfwr4URKerBpCIk+8/wxLI2uBOmVddoy +L/6Xx0JVRTMrgdtslJklFJ9/H5WDz6sr4Vvuz7wOzKyPXu1b0V8J3RvW28eH +kcg3/8jee0Ql5F0VE3d5SSL3J7Xi/5iq4HW54rDWKxK9K+3jf7SpCtz5T7/Y ++ppEmo6c7Dy7qsD0lq3OHOYNm5am3itUgXKTF2tJOIn22ahla+lVwQ6x8IKA +CJzvg90d5iyqYIVHhoN5JO4PrCq8Yc5V8Kmnbtd/USTK9JaI1fKrgjqZsZEV +0Xi+DjdzMUdVwfvHv0K7MV94XW1Wml4FT8fX6ya+IVH9zaTHPlVVcENBnO1O +DN4/nzn9T/VVwckQpaLjb3Ged/G5JE5UwX/EaUeBWBJ9ZCRzsjBXw2bN67sp +zEPu6x5ObKqGn1EPx0rjSPTptXp1w65q6PsZ/jIonkQ620dbCo5UQ5F+pp7l +O5yPDzlGpulXQ1RS/Uq5BBLtuBIgmWJZDZ4s48XsiXh9fKqc01yrwcLk960+ +zAaV8W4F/tWgnrVB6n0SrhcJSK7hTTWIrd014Z6M88e6t3HjWdWwyhpe677H ++VZqoIyprhpmSoxObv+A8+STX36iQ9XQyGu3agFzN+ejJf35avho740qUnBe ++8y90YutBp7XRTi/+Eii4BTxhkK+GnDckbXncip+H2V94cU9NXDarWHyYBqJ +4oZ4uFVUa0C+czx8TTq+f/GyvX5qVAN8e/4YDGKO64nNHrWpgUVvrjUfM3A/ +MnUzP+xRA0PDu8o8MnF/V934+nVwDSB5ZdeTWTjfOSyYMCfWQEzgGemd2SQK +ko6OtSuqAa8vdtPfMHPxRV8fb60Ba1WfyOocXM+FXHLOT9WAVnik4ctcnP/8 +398Z+l0Du79lcdjk4bz9F+VYrqsFzhON5Qr5eP2vy19e2FELZPzE7bUFePxi +Ib4+8rXQ+vePzAjm281neIV1aiHjDPfntEI8v2asG8ov1kJImkT0gyISvd7D +e+OqUy04r1YxMiwm0eNRbllev1owtjBeK1aC19vVyaAhqhYUCm9U/sTccKK4 +xTuzFgQ2PXKrQ3h/r70Sr1lbC/9so/aFl+L7S9FCy9qhWhiryv5iW0Yi+dy+ +4wPztVAh2PRGqRzn0bSXm1NX1kG8y+SZDRUkMsxMlnjMXwePWhfXjWP+LOT3 +8KpMHVyV2FidWUmipo9pO/Q16uD4g913vatwvbwRxqR4rg72DKjsP1NNooWa +nK0yN+pgw/6zs7tqSCTl7HNd4mEdLPjbv/2DOefZ3e+7XtVB59Sjs421+P3u +cqfu/VgHOUrRG6LqSKTAVhh6uKIOXobl1NyoJ5Gt8lyiTm8d3GE03VNpwPXh +zdZxS6IOzh+bOrCxkURZRL6SF0s9KL1dmpvEfExLsTCBtx62L26My2kiEYjz +GrZL1QOLoaSJbzO+rxnns7Gq1sPUB1Xucy3491hfNB46Uw81bOfqJFvxerzd +Gu9sWw9Jpg6ey5hfcBY8yb9fD09yfeVb2nA+uTbuzhJWD9c3vCHftJMoRI3F +6eSHetC7mht/s4NE3naP7N+V1YNsefN59U4SuQiOXGPuqYeN/NMbebpIVDjo +dMlirh6+Oy7Xf8K82S/3VB1zA/Q0bnqQ343zgOn6Q3K8DVAgKnXoSQ/u9zOf +1idJNUDEPTXG+V58Pja97xRWbYB7PecS9vbh+sBf7vXmTANclLlpytRPoiOh +L/hErzeA6uPHm9sxC958GpT6oAFExt80xg7g+9G3DbPwsgFWKuR5OQ2SyK/Q +R6g7pQE+B7ccPjpEol8FhlI3Kxqgfm56fsswiZ7D6NpNfQ3wQeNv4gxmR+Jp +aSHZAM+iNl8sGiGRQ2mZ8tUVjeDwU4r32SiJeDlH/LZtbYRT+urNZmO4f7pp +hXdJN8KBJBNv2XESmXJftAvRaAReFscjrBM4P+1+xHTWpBF+n/P72ol5t6ug +7k6HRhjIjEl+N4nz8wqfU199GqGYM9/cdQrntX0Ca+siGiHaqnWL9jR+XpGq +S1xGI9wv+dTC/wn3uyzDZ961jXCJ958PgTn0YZqu7XAjaNrzKKHP+PxSGVnG +3xphV92e74FfcL1dl1ekvaYJ1uzQ+GAxg/OTIf8l1e1NMHfn/KX9szg/+cnH +gVwTNHc4bl05R6KkTmt3VZ0mSJN60taDueE9y2dtiyYI8n7rm0SQqGr80oSx +axPcGs4HNxL3u7hxG9tnTWAk3/ZDhyJRyc3uO95xTXAw8HOKIAPfByRC18cV +NAH/l3+WDMz2iy6ita1NsKzCu618HtfrW21589NNMPJ6b0fwAokOuvys2r7c +BGVfNfysvpIoVVnp2OmNzRCrc0FF/hu+b1r+VgqQaAbv+Fu/Vn0n0flWt9hm +aIbLf5+k9mM+XsvruNGoGY6dibX+8INEW2cEky/YNoNkWoHAvZ8kSpccV095 +0AxrV7d36v3C528gHVheNQPD/MsT4d/4PvlmKPR8ajO0FzCpfcWsfTtLs6iq +GbI2bvlT+QfX95KHx4UHmyHUVjo9dJFE64QjYp4sNINrleaVK0t4fZKOaiyu +aoFzgqZCh5fxec7v2Gcv1AJHXJy6Of7i9eLwt5450AKCrf5PhzALZRYOXtVp +ASaJOPXUf3j/8qc8Jy1aYPx+4aInE4XM1yTfd73dAlX97RkGzBQSjVhMZA9s +gYT/Zq6KsFDI7OgMa8S7FtqHo5DYQPGzA8UttA9HoZsexRqdHS20D0chkWxp +UdeZFtqHo9AqyZSQQKZW2oejkJe2SsInjlbah6PQl3vOWiq8rbQPR6HEAjvn +yB2ttA9HodyI49JLe1ppH45Cr+z3Olw41Er7cBS6tPfg4TL1VtqHo1D5nce+ +4vqttA9Hod/vVM8FmrTSPhyFyDSvlEXrVtqHw+NRs/W8erOV9uEo1JLF39Z3 +t5X24ShUtjo9UudxK+3DUch7QXuyLKSV9uEodKaRPfbQm1bah6OQVAxbb+b7 +VtqHw+8vbnFfJreV9uEodLXicGRqeSvtw1Ho7sMPErLNrbQPR6Ef4p0i2X2t +tA9HoQTeZn+FqVbah6OQvE2OWSWjlfbh8HxPJIbrLbXSPhyFGhZKlYbY22gf +jsL5lO/o9Y1ttA9HIYFfTRn/BNtoH45Ci3t/eYTsbqN9OAoxeWR8kJRro304 +Cgnm88hXqbTRPhyFVE2UBMxPtNE+HIWMAlUv/DNuo304CmnJHPkebdlG+3AU +WleqNqFq30b7cBRKcnAQ/ezWRvtwFJIWGcoPeNRG+3AUqr8UFXUouI324fB6 +Xm1sm4pqo304Cnlsu3cyJLmN9uEoFLC+nl8jp4324SiU7FW991dZG+3DUajQ ++rFvSlMb7cNRaPTULnGrvjbah6OQQ3DeKqGpNtqHo9Bqfj3JAUYb7cPh9X7K +9PTVUhvtw+HxKIxJnlvVTvtwFNoqzLZSYFM77cNRyHXGg29cqJ324SikS1la +Jkm20z4chXYcqJm8Kd9O+3AUGrPLf6mk1k77cPj9lk7c49Rrp304Ck3PhQYP +nmunfTgK7f0T3/3Rup324Sh046m/htfNdtqHw88rMhk+e6+d9uEopKIjEr3P +r5324SjU++GH99rQdtqHo9D95fHAmZh22oej0Mc1v4vrUtppH45C13W1ON7n +t9M+HP49xojzs6p22oej0Prq5r+Obe20D0chQ4OdMSZD7bQPR6E/VbMmGl/a +aR+OQs7/DuyR/d5O+3AU+ue3fqMQcwftw1Ho2VtvjvVrO2gfjkIze+I3MfN1 +0D4chT6U35H6LtJB+3AUUo/jPjkr00H7cPh5Fa6eE0c6aB8Or1dZXt6QVgft +w1Ho6eehn32GHbQPh9f3wFeF3osdtA+H31ef1avXtoP24Sj06fmWhn7XDtqH +w+u5fIR75GEH7cNRSE7zjtFUYAftw1Eov6nvBRHRQftwFGKNtWz+mdhB+3AU +uuC18y9rdgftw1Hov37BndxlHbQPRyG+MlOFHU0dtA9HofZ/M+r7+zpoHw6f +N84GRa2pDtqHo1D/mpUipvMdtA9Hoc6mt9+dljtoH45CbN0JaQGrO2kfDtcb +A/5T7zd30j4chWK2sw7UCnfSPhweT6Ol5uc9nbQPh/tDgEbw6sOdtA9HoWyD +ZCSl2Un7cBTanxJWd9Kgk/bhKHR2kivV1bST9uFwvZnbZhdj00n7cHh9rItW +NDp30j4chTjefbX/9aCT9uHw/k2sShML6KR9ODyfAgfKjcI7aR+OQvbm6rG+ +CZ20D0chX4/vJ4syO2kfDr//Pu3GedRJ+3C4P4yrbZBo7KR9OPz+CRP8Fr2d +tA9HoXCt3UTEZCftw1FI5/CWB32MTtqHo1DXm5Re3uVO2ofD511vhjyzuov2 +4fD5rG4qf7W5i/bhKPT54BmDIeEu2oejUMVD7/Ade7toH45CGw6dibh6uIv2 +4Sh0JbjeIEOzi/bhKGTrOVS0ZNBF+3AUqg1/2qtl1kX7cBRSlumNDb3WRftw +FMqRL9g27dJF+3C4nprIqMg/7KJ9OAoNL8it9wvson04CkmsrH84HNFF+3AU +Sj8+Fb0/qYv24ShkKvHQ1D+7i/bhKHQxK6ZkqqyL9uEodCT9YIlycxftw1Go +NVjNJLK/i/bhcF7wKApenO6ifTgKvdCMtDL52kX7cBR6fm2kuehfF+3D4X4s +5N4kxNlN+3AUqlG3NXu4pZv24fD82SQ+mBHppn04vJ/adx0wkO2mfTjcH22m +bhUqdtM+HIUGOrpATLub9uFwvTs/Hxxk1E37cLj+rJC+wXSpm/bhKKR527vl +xo1u2ofD+4FvNmPUrZv24SgU9vU07ynfbtqHw/NbVfinOqSb9uEodGCJy+RI +TDftw+H5yjkhl5nSTftw+LyWXfKTLOimfTgKZbzWOh1f3U37cLg+2TNCtnd0 +0z4c7j9CekcjR7ppHw7niwPGNvxz3bQPR6FSxt/F17+6aR8O9wOQ+8PP1kP7 +cDhvlDAsorh6aB+OQm+Kt+/fIdhD+3AUsjucdy1hdw/tw+H9O5HIvle+h/bh +KLSNb5ItR62H9uEodPvLOUvQ76F9OApVb/q7vf58D+3D4fPPUXLI6GoP7cPh +80b4fZhw6qF9ONwv/A1dbj7ooX04Cn27uuYlS0AP7cPhfJEXzhkS3kP7cBR6 +f/Znu1hiD+3DUejnGMeXgqwe2oej0JoXNTr6ZT20D4fX5/KWpemmHtqHw/3o +3+y3e/09tA9HoXfp0ge2fOqhfTgKmfi0FmR87aF9OFwfDxR66TL10j4chc6J +DT6d5eylfTjcDxyFeh7z9dI+HIWcPttfkBDrpX04Cp3/VyhYv6+X9uFwv52a +3WILvbQPh8+vy+yx9Tq9tA9HoRSN+NRM417ah8P1/Rmr1lmrXtqHw/+vztjA +dLOX9uEopLjq9KrEe720D0ehY3v5pU4+6aV9ONw/CiXvLIb10j4chfitbjDe +xfXSPhzu78HN/qfSe2kfjkLaZrInmEt6aR+OQm1BHtJp9b20D0eh2aQ3shd7 +emkfjkILbW4nuSZ7aR8Ov++Xn/4VjF7ah8P17MHimPNyL+3DUahv0FZXck0f +7cPh+SVlW0d5+mgfjkLiJjJWYTv7aB+OQqFuJzj0ZPpoH45CLsZ2peyKfbQP +h/fbB5cHZcf6aB+OQhZTJ/TcjfpoHw6PV7hL5OClPtqHo1CWzDzT9xt9tA9H +oe+h3mMZ7n20D0ehTF+nSofHfbQPh+vFXGyCbGgf7cNRyP38V9+Ft320D4fH +u0PTOiu1j/bhKGSgeE/RpaiP9uHw80zucirU9dE+HN4vIyJt/7r6aB+OQg+H +Tz+uGu+jfTgKbRac3+dP9dE+HO7fvD0Np5b6aB+OQvd+fjq5bXU/7cNRSCac +tWJqcz/tw+H8E7xaIG1HP+3D4Twi0nfBTbqf9uEotFHtpNfRI/20D4fz3Ev9 +J5uO9dM+HIWeeGY7jp/up304nDdXmR5Ot+infThcPxbEhzxv9NM+HD5/6Yyz +J937aR+OQo7XX3zc8bif9uEo9PXvQu+3F/20D4f709ep7pq3/bQPR6F9ftrv +wlP7aR8O96ucJXX7on7ah8P9XrA3TaOun/bh8P2HP+8Tf3c/7cNR6FaBy6eF +8X7ah6OQcMF8Sj3VT/twFNryjOlQ7FI/7cPh9Tp/z8d99QDtw+HfZ9IIMeIZ +oH04vN/Y9l6U3TlA+3AUGkzh/swpM0D7cPg+QDRIfDkyQPtwuJ7b7ttZfWyA +9uFwffARbI81GqB9ONyftK/sf3BpgPbhcH12/3TU3H6A9uHwfSnYcY3K3QHa +h6PQpvyJ28J+A7QPR6GJxnUhLGEDtA9HIX3zBqPJ2AHah6PQLzyH1WkDtA+H +91P/yaak4gHah8P7/UqN+9P6AdqHw/fLetFGh54B2ofD68mjmm80OUD7cLjf +bplXOTI/QPtw+L6tz2654+8A7cPh/DhvLLCaY5D24ShUNZl/jcE7SPtwFILq +ZZ1ekUHah6PQg08/S0plB2kfjkLGum4oSWmQ9uEotDP7nE7w8UHah8P3fb8r +l+4aD9I+HJ7fr84sV6wGaR+OQsvVZ8RP3RykfTh8Hw0ebFHyGKR9ODz+V92/ +d/sP0j4czn9tPLG8rwZpHw7ny+nrdSveDdI+HF7fwx9sFjIGaR8Ov89EzMNR +NEj7cBSaTxbc3NI4SPtw+H7AvcBX0jdI+3A4L+jNBqZMD9I+HO5nOn0ukV8H +aR+OQv5Jfo1PmYZoHw6vd1GT/721Q7QPh/dvgH3Oja1DtA+H6/GE8lFz8SHa +h8PrvZdT8dT+IdqHw/kpPPqFhsoQ7cPh9eCo1T2oO0T7cPi+wmNgLWkyRPtw +FOJctaZf8MoQ7cPhej5emMDtNET7cLg+mv3XtPLBEO3D4f4+q6C1+GyI9uHw +fCW/4WGED9E+HIV+u0rJTSUO0T4czh9TSXH92UO0D0ehx3ITZq3lQ7QPh/v/ +1bdW1S1DtA+H+5VMembR4BDtw+H8+a33aOaXIdqHw/2ve1wo+ccQ7cNRKPV9 +2KEY1mHah6OQNVNp0MsNw7QPh+sTr4hEoMAw7cNRqHL2yZ9Hu4dpH45CllJo +haf8MO3DUahe2VvDVX2Y9uHw9zXjC+xPDtM+HIWWuKfNr5oO0z4chRZl1h2y +uDZM+3AUUpuYUDRxHaZ9OLyfd+6xM/Qepn04PL8xqTUngoZpH45CVtUyWkej +h2kfjkLMaU6E8odh2oejUNN+tezD+cO0D0eho+MXIvdXD0P+DO8GTiYGOrb8 +OHZvxzDsDoudvYVZ4MGTql2jwxCuJl0zhPmpiBjzTmIYOBkFbzWZGYihJ2Ao +8GcY7kZo3kvFrCi+v4iXfQRIrfazW1gYiNd7jzz3phEw+37hwH3MY4sN5ZzC +I9Aa82XDDOb6rrbz7HtHQEXXac6AlYFGNjGzsiiMQMYfptpCzPyO/JlLR0dg +Z4J/rMgKBnKxGLf5aTgCIae2eDzF/JuTd9eC+QiwMcWd+4G5yvDO5zm7EXD+ +IC1nxsZAUp2NSZ/cRuCTcSFXLebSoear474jYMx2lJBZyUCm7spiQy9GoD69 +vfYVZg3HscGetyOgYGoax8LOQDuUHJ60p47AhzUzHtcwC3OlSjcVjYBArpNJ +J2bmvrM1NXUj8OwSs7ziKgYSUpDRL+8egX/rn3K/w+z/glFTNDEC9kVbyHWr +GWjLP2OpXMYIjF2Jq3PBbHNU3C19eQQMNsvEj2L2duPsO802ChVlhZ7H1jBQ +YYLTp3aOUdhvd/R8BuaX8Smf9LhHIX5rhzw/BwN9bg7qbdwyCjw1phsfYl53 +jjX/mNAoPHKcIecws50belQtOgq/hJzrT3My0I2Sv2pqUqNwtZH5XQnm8FMa +c2jfKPS7Pr0vvpaBAsqfeRw5NArHRfkuBGJe/zxvKQ9Goagt7uBvzO+E31gc +0ByFPfdkNlmsY6D0zB1p6TqjELW7iKrHbHlJYHLPqVHY0HO04b/1DNQlcX05 +6ewo3PfqeBeBmW2I/CN6cRQWpM0esG1goC/SHgMx1qNwaXDmgh1m+4w/kQLX +R6HT1/lQD+bnbYoqrxxHQeMAy2ZlLgbS7RGp3HRnFHLGnjISMVfMPBEN9BwF +8Wd8jVzcDCSxQc+S49EovDwcn3AH88QubQ+fp6Ow+pOM1wRm36/GTswho3An +uMhUZyMDfeI4o+H+ehRmQetwNuZNs0LkjzejcH6uY7PgJgZy+PTMziFhFJpe +ms37YM7d71E1mzIKShqzjRTmpYmGeausUUidd0403sxAZRN6CyMFo7A9iuVh +Gebf/6aqzpaNwnPtZ2a7eRiIe/zKtY6aUWD5yacQjPnvhswxneZRcIyN51nC +rDQfJV7dOQpTerILlrx4fipXHoGBUTBaKmpqwpz3vlIgb2wUahK1kuS24PWO +zWiQ+TwKB093PozGzOKTrJ5EjkIS88WLq/gY6Mpan0fC30dh68dZBQfMvS2C +wa8WR+HJORfefsxIRdeai2UMllayflXdykDm2cQ/31VjcD3zWfN7zJPnuk2Z +1o/BsNnW5E38DHRReey+y+Yx0ON8530X8/DJT5dJ/jEozZM1n8Z87WzZeqsd +YyBrVXxEbxsDCf476jqwawzech3bkodZuO7Um5PSY7CxpPPrdgEGKuIv9qk5 +MAYPbS62PMZ88dD53YpHxuA7z1zyAuYU1dV+GapjYF3h4mMiyED35SLixI+N +Qc8NVotKzH/n51wj9MZAa1uA4h4hBnoh08HGZTQGBbVb+UIxc/mLaXufHwNJ +p3ff/mKOcS9R/20xBhHC+1ovb2egUeOb32yvjsHa5uL3rZjHT/MZj94Yg3t3 +jj06JMxACo/87E45jwEl1mXxFvPakQi5avcxuNhxUYljB+a94qkHvcagzWOO +7xbmkJ1MPcmPx0BVyvX7IObYE38/bAscg8xe1jaNnQw0v+WX9LPQMRDxDvjw +EfNCa4Xpv4gxeCHL78srwkDT0fIH7WPHYOXwu0uemEefSRSOJo2Bi98++IK5 +3fvGtH7aGHyWK9lqIMpAocdH8kpzxuDsxLEfBZh/e+vKyhSPQX1AV9tOMQaq +zg/Sja4YA4Uj5in+mNu1769fVz8GHz7P+X7HzOpO3XRvHQOBF66WpuK4Ht7I +cJnpHoMAlRXKNZjXi0VvMx4aAyYygF9mFwM5e/pdrJoYA4fX/D9fYpZVOqa6 +b2YMxjUT2pklGEjsb2ppFGMMTn3d99EG8zOl4P41P8egMrrkcQdmpgs1z5yX +x+CAjrbVkd0MdC90++AY6zi8+9WlHI/Zjd2xTGfNOPDGm29bJ8lAs++DFHM3 +jIPvSeKnM+YTZqf0hHnH4feya8cI5vRB3+9+AuNgk7wiVUsK95OSldLfdo7D +gFGgXzrm7x5v/pzfPQ46rNust+5hIM07EmeqZMahODVBxQtz0Kdbanvkx2Hv ++f8E5jDfHjtdEqI4DtGr0C/DvQzkZB5dvag2DhuytTuLMY8ri5hYaI/DffPu +VDFpBlpzKPdOrf44LKy1eBKAWXZit8jeM+NgWUBY/8IcnXfSKPjCOHRZ31Y1 +l2GgNO6/639dGgfNjWyC9Zi7d7Pon7cZh1wU+HufLB7PVpnNpfbjsMt2W1c4 +5nWDZ013uozDqy2JaSv24XqjdXK3z91xWFP1n/91zB38n10+e42DmwO63I1Z +haI0tP3GYU7guBr8x0CGSoeD3weOw4X6bsFEzI8tX5pyho1Ds7PFnw37cX2O +HHhnGzkOsJPsuo05bWWXTWPsOKS13E4fxywWcTpJMnkchN3Znh4/wEADCnvN +/dLGIWjX8ytZmAXjpJ9/zhkH1q5t6gJyOA847j6oWTwOt+4nCvlg5pGkDGMr +xmFqz/5FEjP/bt3pf3XjYNSPus/IM9DlDN5Zk9ZxqPE5nlGKWX2a3yK3exwO +/dfzVOIgAxG1u7W5h8YhecTiahDml0qb3tpOjAO/P6m+iNmHM/FK9Zdx8D94 +Z7vlIQbi7MuOEGKMw/Ik21Ij5ijJtYquP8bB7vnzngOH8XmyvavVujQOI4oC +mVGY06a7isVZJ0B/JvEZuwIDyVROhd9bPQFloftt7DF3c7mPda6fgH1qpRp9 +mJ2LLz/dzTMBsdRxYdUjDHQq1CXKY9sEbIroWUrGvPPEnY2dOybAW+tS70ZF +BhK9pTQrLjEBP76Rme6Yd3kEibhJT8DlmDsBU5jDKtRLmg5MQO+Jldd0lXBe +cN2ZK3RkAo79ea6Zi7kzZ2mtg+oEFLwT2LEd8Hm9HVVWpjUBkqeSln0xe4R3 +t3LpTUDEv/1985h9B28eNj89AWs/lGadU8b9clB5OdVkAjyMdQIrMH/btm7L +X/MJYKzovSalwkDxLImPj1+ZAPP0S0dfYI7sGNB/aTcB7ReoHX8xy+c5207e +mgC1NW5/rVUZ6E+BxoC02wRk5azsb8GcULs54s79CRC9FJR9UI2B1NIyUyof +TUDoesHnMZglds6tW/dsAtiLkmzXqDPQ5uwnJUYhE+B65YCWI2aZQdPCqNcT +8GVT2c5BzELzsium30zAuTKdf+oauH5Lt4VJJUxAw/Xe/hTM9ZmrnG6mTMCR +rZY5PJoMlOkR9zo3cwJSqqnnHpg/OdmzL+VPgKCj2/XPmJXOHyyA0gkIEGI/ +dvIoA5kN1KQ/qJ4ApsYgkQLM9fdm5iobJ8DBVZBppxbut1nXbFZ2TMC4SPLA +E8x8LTwSR/smwLDtQO43zEXMueKPRiag6m5Z0IVjuJ5Y8lpVT02A3O4TdtWY +tedZRlfMTUBCd+8xaW183nzUw1QXJmCLl6XoS8zLk+8ee/yagMfSDCbm47i+ +pX3LLvw7AX8G3AavYqYcfm39uWISrvmy57Vj5gh2z5XlmITB/cHBCjp4vBbH +/a5xTcKJMcEbcZhHuKWD4ngnoeRpsvbaEzi/5M83DQpMgvRhOTFnzK66F45s +EpmEN9NlzCOYh1IVBrV3TwJX8Imho7oM1BRp9MFTZhIeQF9eGuY3RS7vs+Um +4eusZQifHgN5hVzp/XJkEixfMm48wPz4+YKsgNokdKm7H5/FXMg6kqN3bBI0 +59nFDfVxvRD6aXVfbxJyI4NZijF/WmRVyjg9CRLaQsOiJ/H9ILRZcdxkEl7/ +SM5/hvlls5All8UkcMTKvfiJ+e3V0lS4MgnueuX2Fw0Y6MyG20LX7SaBWDyh +U4eZU58749WtSTBN7BPfd4qBHrw2tK66Mwkthlas4Zi/aqxSYHhOgjLz/DCr +Ic4bT7/v3fpoEtJT3AtsMdsETCqrPZ2EHedWhXZhbtaPvHEteBKCV4Y4KJ1m +oJUFn/KDXk3CikyhEwmYA18+EcyPngQns/e7NhjhvBSlHzEcPwnTHPIrbmOu +ufRXlvXDJJzJKx8Zw9xx6fywWMYk1FrqFmqfwf2Tad+bY3mTcIirPzQTc949 +TedrJZOQXGx1c5sxA0lzmJv5V04Cv838CW/MK3K0zn2onwR/nrsSJObVTpVW +Da2T8Ld8FduZs3j/7gz3/NI9CTduhIwizL73g5NWDk3CKP/2ol3nGOjWgVsj +OyYm4WTt+7DnmEPrWYWVvkxC+S15xz+Y59JXXTemJuE/4QrdSyb4fqZ6rNzh ++yTENenubsRslftEyG9xEjbf6Wc7cB73u/9CvWKYp8BHzHosErMt2kvksk/B +z/b5opUXGKjkKL9J09opuOJx9+UNzA/fCDeObZyCPsnVt3oxX/Fih+98U6Dd +G6KnYorrY2BQBvv2KSh8uF0yGfNzHV9RPrEpkJL9sHKjGT5fIh9DJaSmIHJI +ftwNM2lTt+LQvilY51dRPIm56ky03dGDU+Ahp/fqxEV8vtd8bzdUmgLGeP+t +HMx1jwJkzNWnwDzAWl/InIHOFv3nY6s9BR0KC5K+mJ3uvGt31p8C9c932ecx +a7p92ORpNAXZIasnzlow0HvTNcd9z0+BmMqLknLMx94/vBVgMQVhxPbXkpcY +6HXzSMCLK1Ow6vUHpxDMLAKj4a/tpuC25sGTy5gH2o6FRd2agpmFCilrS7w+ +68c8Y+5MgUm03qoWzI9Grp6J9ZyCxuMDE/JW+HztS+SL85kCxV/W6A3mi6Nm +1bH+U/AxbuH1amsGOp1oeP5t0BQInbznfBPzgox2f/TLKQhcXm0wgPnIqhUQ +ETUFzMkv9qhfZqD8WI3HYXFTcNNIeHUKZs+L7bnPk6dggiVlcvMV3A+8rtf5 +pU2BYerB0nuYXx7sL3qQMwVVJpXhn/7HIQOBt4umQG6Vvov+VXyfC96vfqN8 +ChKzBgzyMf/Zkth1qXYK+Mwv791hw0DG87/UzjRPgd/ar6ufYPZr/fL0WOcU +LObfm/qKudBAMeNw/xTYWq8pO3+NgY4vZafuHp2CIe7QiCrMQ4d4vPmmp0AX +CbvutcX3h4vbZdnnpgBdSzkVhtk4JTTj6/wUyGw5JM10Hed/TYVVIz+nIKay +cs1VzPqHW2XqlqeA20F/ug1zqwevZAbrNHgJDJYdtsO//6P9+6vV0/Ct7nJk +LOagy5UBnuunwcr5qyvnDQZK9kr5abV5Grp3eBg6YVad0pPS5p+Goy1rZIYx +h6y/LrVHeBry3EI5jtrj/mBX/WOd+DRI7NrxKRVzsrGAHyU1Da87U8q3ODCQ +I8d/U837poHj/qGo+5jFU2tXphycBvc9VbdnMLOcjiYeK00D2ad/+tRNfL/5 +6fLSSn0azHwGZYow67ptXKmsPQ2t+65wijri/JKy7wCf/jSojHz99BTzkkao +yPzpach44lHxA7P71N/2GpNp2HmQI9rsFn4+7x6INJ+GkMnQO7WYT+iNXXa4 +PA1sz3cYyTrhekQMHFf/vxruOy7H94sDuL1HCNkko6ykUNKlkJlNsvceWVF2 +KBKSTaGSijRIEjqpNLWX9n7mffPc9xPJyO/8Xs/5/vl5+arnvu7rOtc5vu/X +s1fCHKaGjL+PublpmbznIQmTyk07t3TA/bXVf5rkmIStvP1JthtzuUf9gten +JCzFanFCHuZWH916nDsvYWbfSh9NPYr/vXTElYVuEvb8wY7jTzE/qroc3ddD +wvrPrl/R9Rj294cd7tfekrAr9aeNjmG+tjhg1IsHEtb0uGOXKsxHtMv3HPaR +sP02d+RzHHH/TC9eYxYgYZWNup9eYhYXrv7VFCxhi5+GPO7nhP3arcEz4l5K +WPwSsxPnMb9dLZ98PkrCjP99suUxd8g7XDgjRsL8ny+esOI41tt+zgNbJUhY +r5VlXQBzxylZneJSJMy11U7FiBM4nwwb6HMqU8J+htV/8sA8JNqg2DRfwnau +PePTiLlnfESkuljCitt3OrnppArMfjiZBFdK2LzIOyvTMH+vn7J8s0TC3m8a +amx8Cvfr/EjtPpyEjeka2tUb8/gjgQfTBQl7+M5M2fo09oN5WXvPNEhY1x2J +ifswf3zLtzL6K2FntJf4FmL22PRhYnULKRNiy05OO6OCsQ1/m19vJ2Wb9u60 +C8K80X3vVosuUpbb57tx97MqGDM0db2ih5TNSDyjdRyzNDD7280+Uvb6YCeu +BvPcBktti0FSNnzQ3aT5zlhv876k1elJ2d20oX6vnf//7yFLe7obSFn7Y6Gn +Bp7D+1dt/83QUMqc9KascsXcbSO/Ms9EypRZiSYqzEURLoscpkjZmpNLutmd +x3l12a+8XpZSlq5fzn3ErHezdd1raymzKNiZbHABz9vLraeXzpeyUOfvfjcw +25UV+n5bLGWDx509/Qdz7dg+C9xspex6SafVW13wfYdITg9dK2UtLt6dmIE5 +ZMnfie82SdkhY73uk1xxnv3T5+DiHVJWWxnKP8LsfLJxnGSvlC2/MiWl3UWc +ZzxX7nc8JGWJpklPDmA+IVOP7egoZZMkS84UY+6oc3Hvg1NSFuhZvnr6JcxB +2foG56WsD9s1KRhzl25XNr25JGVuyu/de7qpIO6VQ6/p16Ts952zX09i7lIz +d0b6TSnbO6NzqgTz8IpPiuX3paxcddd/4WXc/wV+7coeSdlCb72zUZjdJY+9 +NvlLWeycsDVD3HH/93X0lzyTsvE/pkx2w6zeIxuyM0zKfH2Teqgxf0p8pqV4 +LWU9Fi79tvqKCur+Hbff+U7Kzv8uT0248v/f13uaNFbKvgfsejrmqgrW9jU7 +tTlRyrYt+3H2NuZTKx7ql6dJWWEz57X/MIePaGZpmy1ls190Nt1xDfufbv3i +Mwqk7K3dPe1szM4uz0JmlEqZQZthKlMPFcyX72zxtkrKHrwMS/PFHHpZ+8Mo +qZR1Wm8e0PE69m+hW8u8OCk72THZ+TBmmws6mzqJUvb1zdJ1ZZi7jpPNcWqQ +svVbKkytPXE++HDthuSPlGVp7e4ZinmmUerUxS1kzOrDD1XvG3h/nVoyK7qt +jL3a6fz5DOYB52pChnSWMb1eXQLlmFO7Tz7k2l3GbsXdO7fkJvYz3zrcUPaW +sTb7h61/h9n2c6/OCwbI2NF+4WZ6t/B55veqDNGVMVmSea8rmK1aJXToMlLG +7A4nC98xex4rvrx7jIylDl6Wvu42ntdB2puSjGRsSnpFYBJmx/Wj3IdMlrFg +x93nDe/gvORR3M5pqowNGN6w/h7mv39KCrKsZOxqjvOUFndxvi2X1w+bLWP/ +TnXpvRvz0A0xGx1tZMx+1H0xF/OVxz36py2RsarCYRnm9/C+CvHT7bdSxpac +Dw/yxzzYbeThnWtlLN5w6oUu97FfH76ma+QmGTMuS95wFPP77T/FZjtkzP/S +MvNKzAv03gyYu1fGek+s7D3ngQoC9ZZcvX5Qxi5W71aHY3Yaem564VEZa7za +kNHXC/uz9Jbm/U7K2K4p556dw1wYd8VhrbOMlUi7uHCYg24WC96uMjb/5v2N +y71xvkjyCy51l7EP04ZPjcGsn+vr38dTxsby4TojHmI9GHK9ZNkdGXt0b2r9 +Ncyru4y0ueolY1rWKZk/Mf9RDG785CNjZ8Vlzzc+UkG7X8blv5/KmPiw0iUV +c9aBAb/HBcvY5nl7Nk14rIKG4zcWbAqXsbyGhqlemG+YLCr0jJSxmU/O9Wnt +g/sltNPNj+9kLHJR1+97MS/jz577GitjI/7ezyrAnCzd8aRPoozdDRoezHxV +4OLm9N0qTcbar3jpGoh59+v9R3ZlyZhTC4vN3fywHzVvPtQjX8aUISkWTpi9 +pNI/r4plbO3q5X1rMB86VNq8oELGMtpWfZ/3BPsHjzuGP2pljEXsyY7AnFKb +7aqtkLGwDT+DB/ir4HL7GW3Gf5OxIZ3PX3TBHHzl6bN59TLm+bbrlm//z/Xh +h7Y0yljLbQ/Yyqe4PpEDNxxvkrHD3Uf0+4i5v5X/Po+WclYX8/KHfoAKIn/+ +9fZrJ2crdlvkeGJ+b1TFRXSWs6TeqS9+Yz4zte2qhO5yNjlh+aUtgSr4snpk +bXZvOQuyr9qSjtko8c+lsv5y1nfA3mkTg/B+fGY+VzpEzi6n/Oz3CPOeMY+H +fhsuZ3+OnG9o+wzP844K7e+j5GyvrlauPeZxu1/2bzSUs/KMByFFmPebZ5v+ +NpGzhcdHuFk9x/35Rb3rt5mcxY54tfU5ZgOjnJBGJmdGeRaW2sE4zxrptfox +Q878zqT2P4k5Ptpnp2qOnGmPWfGzDrPj4xblsgVydqGoKnfBC9xv4U3rK5bK +2Y8Le0PfYO7+yuZr7ko5227U6DY4BPf7hgDXxLVy9qX8/LZLmO32xBu82SRn +cy5rWYmYHc/aFfhvl7PoSV4DVoeqYJvlkEuee+RsVO2IxnjMu2fmWJ48IGde +Hq/yRodhvz1Q799WBznrPJWF3cJ8ZHkGzD8uZ6fkqZebMDfsuug8/oycfbu1 +Yvv2cKw/he2ttS/I2QaraqsszIWdOrT/fknOsr/uHWj6UgVLDk1Lzb0qZ1YP +Ght9MOfv2XIx7IacvZp1Ib/DK/x9S8dYXb4rZ3r1WuGHMA91WvJjs7ec3Xrs +5V6K2Wr0ZT8zXzlrazNyx8wIFSwMuTq7a4CcHWt8NT0EM0zVrq16Lmdyfzao +92tc7265h1+GydmqJWm/TmPevdK58cxrOUtrWlEgw/zBvMjeJlrOzJ9Xhy+O +VEFl5dWi3iBnL2z3XYnGbLJxlVFlvJwNbPVrx9A3+Oe5ouPTZDm7FnZhhjvm +utldQ3ely1mztd0Gf8dsk7ota3SOnB1o7/17bZQKpq8JK+UK5Kz69cjCRMwm +f31znpXI2dJNES/HvcX7zP972LZKOUvoMu3qXcz5c9Y7DK6TM5N3aTubR6sg +r+D2kC9yOXu63XbmLszhJkvDr3yVs97aNYNzMWvPnadnqZazi7H7/kx5h/OY +aOIoNshZ455fhU8wL2yfGuLzR8529XF51fm9CnQ3x8QvaK5gpZ+6XXPAHJaV +EtnYWsFsDnrvqsDcc6Cfi28HBYsZqG89+4MK+vZobjSnq4KNS4sYEo7Z9tD9 +N3wPBXt8dNrfPjF4HzQN6+Who2Dd9D5/ccb8fv+q+YYDFMw5yzZCiXnF6a8r +M4YomPpEzbVlgPWo6q7ZruEKtkV//+4PmNdYa6lajlKw/Pxf1sNj8fOs7njs +wTgFs3Z20b2GeVnatGxDYwV7M7Z7UwNmffPtv+InK9jIEu+iDR9VoLPERFw2 +VcHuueq/TsE8JmTjmxpLBetg/NrDKA77xa++s+2tFex45bQ9DzDfCQ198muu +gnHun2e1isf+3M0i1Xmhgq01XTl0L+bOPdtEtVumYBl1NU35mK/Xvd/jvlLB +mOf+YosEnPev9pF2Xqtg4Ra/XwdgPvk4Z9iVjQqmq3S5rvUJ//7zhyM6bFOw +G3e673XEHDbFWHl+l4K1mvFwdjXmC+Vz9v/dp2BHVPp68xLx+QfefnnokIJJ +vF7/e4U593zmK+lRBbOdY1nSPwn7k/vBB+xOKFjy98+RFzC3k9Yoks8omKnv +Ss+vmNcKowZOvKBgzxbU7rVNxvrOpnTwuaRg/X7vnxOLecDK5BftryqYe8Bv +Pf0UnLfhUlt7TwX7u9S1mSfmJX9MeuXdVrB9zXqU/sKc6Hnui8kDBasIfvhm +c6oK7rXTt7n1SMEW2Rnc+Ix5V03pQdFPwT62jtxnkqYCFrR8tk2gghm9tJz7 +EHNQ5ZzP/sEK5rcufVjbzypQaNn//R2mYNod7ZrbY+4Td6p44WsFc3lTW/oF +8/yTozf5vFWwhs32UZbpKoj+PO6G6oOC7dD6c+MZ5qopbM/UOAUreu+6v0cG +9le23TjXRAWbu7PHvBOYg0LWdMtKVbB3PR8Nr8PcObuwuGemgo2OM2ixIBP7 +j0Wms+xyFcx7X2RZJGa/uEnL7xcqWJd+Vm8HZeH+fX+pZVGJgp1OSr95EXNW +Qd2inpUKpjpkZy9gnvSihfnCWgXbOLhu3qpsfP9lt+NdZAqW89l+RDzmn23t +JO84BZvu+KfF6BycD7L++X5VKVjEsIvlNzEnlFn+HPhdwYbl9Ij+i9mvKL92 +fqOC3T716Na2XBWcP3Bw+7G/CtZ21KgDmZjXrMg45dNcyY4VRs6fnIfzg/lj +/eTWSiY/ZzXSB/O1sofbuPZKttowo2WHfBUM/H7GuEsXJftcaldxEPOqGX+v +j+muZFMv1UWXYHbwSD05t5eShZgcuD2jAOuh36MfW/oq2aDqPwdeYC6fO+H3 +iYFK5nH1ok2vQhXkrDJ389RVsuZTtPVPY571/ICv/3AlOyh91EqG+XkfN6tI +AyWruTGqctEX7Jcumu9MGKtky6a9eff2/7mS9cwyUrJPnNUd3SLsH7vOm1k0 +Uckm3ss4eBmzul3PnxVmShYwc9WCesw3E5eNrrVQMh2xTn9tMd5fsz9W1lkp +2aWHB1onYp57s5t2nbWS/Zr7t3JsiQpGv/mTUDVXyXY3XHx/B7N5gqlYskDJ +Sv207zYrxX4o//T93CVKZrPo8aGdmK06ubxPXqFkMX9GLczBfM6jpe27VUo2 +LuiNwZQyfP7roTuer1Myn+XT2zzBvGKWperuJiXr3iKzqlO5Cu5+28+d26Zk +50JWfTiCeUi2uHrPLiWrXyW5W455v9nZqUv2KdnWtgcPz6pQwQjnnGsmB5Ws +4NXfhWGYZ7V9uLiXg5LN2nBpVJ9KfL7xD87WOypZVKeebZ0x77A/OzDrpJLp +v31crcD8eGq7EUFnlez+1tExS6tU0LKf9N7pC0rWsXvUvfeYC2/kH1l6SclO +xEw/Mqwa7zvzy2/1rigZvytz0VXM317BZrWHkq3rvXp0A+alPgaH4KaSZcZL +2m6owfks8pzk4l0lm2Z/sCYZ84gw5/cLvZQsvH9TzPhaPF9LK9Q9HiuZbsql ++/cx/zmw+HK+n5LdONLToWUdvg+Jx9mbAUrWStdn8R7M/reX5y96rmQOGaPH +5GPudtj2QodQJZM6RbWzkODnc1rg8fGlkq0cMaP2KWaTl2L9kUglS8nNhK5S +FZwe8yd8RLSSmZ1Z/eAY5g0dhyYWfFCy56OlDlWYTzgMMjz3Ucn6Fx1cMlem +gqv+QV9Hf1KyKxeaxrzCfK3EsVlespI1jXdr31+uAm777C3HPivZ/vKedecx +v3qartM3S8kq3XxiecxxzeL6v81VssWTxnitUKigUVm2b3mhksXVRB0FzNuU +RZ2/FSvZBI8ZS0cqVXD82r6fF8qV7Il51tjrmCc7LBvTt1rJespXd/iFedO8 +Gc+f1ymZyy1p3SYO+9fkH/ZmciVrsDz0MQ2z8FrvZCKnZDu+NnkZ89j/y10y +FqqUrOi+2zFvzF6zCjYXqJVs3qxey9p8VUG/hLQpqxqU7L3aZ9x+zPobBy8v ++aVkYx6P6fgF8xjDKyF2TUr2cP5bybRvWM8Xp1nnN+dY18YZcUGYt/y40X9B +a46d8c/y7q7CeXLt47EJ7TgmLF7jeBzz9sKg45M6cWxTk3RZLeaj8TtbBHbl +WO6zQ4Y2As5v1+997NmDYzNs/3WMxHwgXnxzphfHXre8LB0oqsBQPrZW1odj +w8N6xbtiXuDfduaCARy7s8b3oQpzs+cTi8IGc6xd+7FOdmqcB2KOPNLS45jj +67fL4zDbR566vXcExxQbZ44fVY/7w7HjhyQDjq3ukt3pJubhLQq6DRrLsc/R +a2R/MJuuvnvj0HiOTd0ui9/6XQUFvp0sPxlzLKTH4UcZmFe253W0J3NscOw/ +p0k/VJCRre6zYQrHru+5vOIx5tFLSqyCLDjWok9vo/YNWG/Vaz2/WXLs0Cff +zgcxmxpNbDthJsdqD4yVF2M2fjjw8aHZHFs+MDph+k+cN0qyV4fP41hi6szH +wZhdH3cw5RZwbNLR7OM9G3E/R5+bqLeEY4FD19qewjyj3c8ldss51idLZiTF +vPm07pXLKznmduJwl0W/VNBFP6YmejXHfo9spojCHDfUZbl0Hcf25F/+NOS3 +Cib4mVRpbeJY2dnePm6Yz6pcL0zayrEFY/1OqDE77JpsuXoHx6B47Mo1f1Tw +5kKLHid2c8zQNXrCJ8x/P/s03tvHMZ8J1l3H/sV+tCpbHXGAY90rsxW3MY93 +WtAs/TDHzrmvTfyH+evW3AHVRzn2fbLcZ0cT1u9L/efVO3FsW93hk9mY21bX +ubQ8xbHC683szP5hvXZWZnY9y7HZFu7Gfpgzb8v1+pznyMMJ8HOj1/lBrhx5 +OAHWsVhe140jDyeAt2+39UOvcOThBMjqbVM42IMjDydA9vRxy/vd4MjDCbC/ +9nBh99sceTgBgrOK17S9x5GHE0D9c0DtzwcceTgBlm//vVv6kCMPJ0ACM1Tn ++HDk4QSwiXU89u4JRx5OgMWWt349DuDIwwmQaDLt2LlnHHk4AfaOmqza9IIj +DydA1zSzjSyMIw8nwLsvrVN1XnHk4QRoZmyr/+01Rx5OAIMv3Mm4KI48nACn +S88ner7jyMMJ4HS4rPmGGI48nADtVRFjDT5y5OEESH2XMk+I58jDCTBvY7Ht +60SOPJwAlq7+ix1SOPJwAnwW5JOMP3Pk4QQId97W/lsGRx5OAC/T4oSn2Rx5 +OAFWGrfauTqPIw8nQK9Qv/pOhRx5OAH8Wx3b9q6IIw8nwMuQaR+2lXLk4QTo +YP2hoUsFRx5OgC/OL7QjqjjycALUmmd3X1HLkYcTIGe3TFUv4cjDCbB1xKsX +HnKOPJwA7wOEWfocRx5OgFszt8TEfOXIwwng7pTWdbHAkYcTYE2V1KxSzZGH +E0B3opPFnh8ceTh83hXT+3z/yZGHE2CXVatUp98ceTjcL/1OLfz7lyMPJ4Db +sIOBJ5rx5OEEqEjyyf3ZgicPJ0Af94zMA6158nAC6A+KfiBty5OHE+DQ4uET +V3XgycMJ0KV3vndKJ548HL7fixezTbry5OHw/VS2yHrYjScPJ0DG2TZ3W2nz +5OEEUKmWj9zeiycPJ8CzL69OJ+rw5OEEKG9X46XbjycPJ0CTw9NTxwfw5OEE +WGLxcWj2IJ48nABTHv9wG6rLk4cT4GSrDq8O6vHk4QQ40xhzP2Y4Tx5OgHvG +RdPa6vPk4fD8ePZ/Mn8UTx4O17eXTfzVMTx5OAFm8GO908fx5OEEaLvLxbC9 +EU8eToCGdjonLI158nACRO4LPOUwkScPJ0DSjj8TAyfz5OFwP/Nf/ArMePJw +AuQNaJnQfCpPHk6AJ1bTbusznjycAL8zl/dZYMmThxOgbHP7+fun8+ThcL0S +B4+5MpMnDyfATm7ju4BZPHk43M9qz+8xc3jycAJE6TkV58zjycMJMLChbEeN +DU8eTgBpxwuPVAt58nD4fsdPPPlrMU8eToDOG6OaNV/Gk4cTYHV8zshWK3jy +cAJcCp5f33IlTx5OgMcP+Y3NVvHk4QTYN+ekfeNqnjycAOlu8QO/reXJwwnw +fd/F3VXrefJwAsQZ3lyetZEnD4frbxZa8m4zTx5OgMKhvn/8tvLk4XA9I03e +XNzOk4cT4ITSqMOunTx5OAEcFZvqZ+3mycMJwHW6dlh3L08eToAPpWcuNe7j +ycPhn2u3MEq358nDCVDiU7rH+yBPHk4AX5/iybsO8+ThcD22JN6c4MCThxPA +Yc+u041HefJwArTcf73hnSNPHg7Xd1mbP07HefJwWE8m3rw88SRPHk4Ai21t +/L+e4snDCaC9deQsvzM8eTg8D4pP9sucefJwApyteja4xXmePJwAbXb7LQ++ +wJOHE+Bg6fEuS1158nD4PLHN5v64yJOHE2BkUVOb2248eTgBtvefPsPInScP +J8CQqPvN0q7w5OEECNHKNdtwjScPJ4Drx7eC4MGTh8Pz5N1f74wnTx5OgKDQ +lKwON3nycAJcNLv24/otnjwc1qu3U272vMOThxMgrdI78NZdnjwc/vfe5426 +3+fJw+Hz9ALDyw948nACdAzu79vMmycPh/vp554LBx/y5OHwfsw5XVT5iCcP +h/Vs9jDveT48eTgBZvmPyXzpy5OHw3phv3Nfryc8eTi83/YEOTr48+ThBPC8 +E/Mt5ylPHk6AnmOcMkcF8uThBOjn/6L32SCePJwAjREGSTnPePJw2D8sjC8d +HMyThxNA4j/HdvcLnjwc1r/hHiYvQ3jycHh+z+x0+B7Kk4cToO5RoI5JOE8e +ToA3YYP7HXjJk4fDzzPI/0TQK548HP682+3NyyN48nBYP1rpruwayZOHw/7j +Vlym+RuePBzu7yjw2RbFk4fD+7D/18/ub3nycFhvInQXhUbz5OEE2P3KyCDj +HU8eToCbHmo7+XuePBzuh9ZTypvF8OThBLjfteK1NvDk4QTY+O11hV4sTx5O +gGr926vGf+TJwwlw7eKKYWZxPHk4vL/7ZFiweJ48HNYDwzz/aQk8eTi8z7ZP +s7P4xJOHw/P1QGE7OZEnDyfAhvpnj8cm8eThcH+X2RkNSebJwwlwtX1WR60U +njycAE8PS0b9xqzxcAIs2+hwvTqVJw+HP3+V3ZTENJ48HO4vk50jn37mycMJ +0KnzGVvndJ48nABz5hxLWpXBk4cTYNj90UfHZfLk4QSY/uvo1mZZPHk4AYQQ +k+vpmDUeToArsy0bb2fz5OEEUPg6eK3J4cnD4X6pC3ccmMuThxOAP595rRSz +xsMJMNwyuOx2Hk8eDvfLyGGbbPJ58nC4v2727t+sgCcPh/eT4cYOoZg1Hg77 +V7Fi1KpCnjycAO2GHDzd/AtPHg7//qIfLf0xazycAD+2zYuaUcSTh8N+5M6i +B5WYNR4O74sddc+PFfPk4QRoHiqXdSrhycMJ8Pf61MXemDUeToDRbh9r9Et5 +8nACjN+04tFLzBoPJ4DepDLnSWU8eTgBWjlOuhKFWePhBOivtP5gUs6Th8Pz +/YTvFopZ4+Hw/Wp1cdOr4MnDYb/71U3vNmaNhxMgf+msypaVPHk4XI9nw9/v +xazxcAJYver0JhezxsNh/3y+LNOkiicPh/2828nWNzFrPBz2p5ezV3zFrPFw +Amj5xcTNqObJw+H5mGkw+w5mjYcT4Dz7VVeHWePhBNj2RvuBYQ1PHk6ALaM3 +bDuKWePhBIg/Gm8djVnj4XB90odNacSs8XB4/qP3WRnX8uThsD4YuKzejVnj +4QRYsGnZhYeYNR4O79sWcTHpmDUeToCADlFtGjFrPJwAPfIHrRlcx5OHE6C+ +b82H6Zg1Hk6AMWqlwWbMGg8nwIBcPd9TmDUeTgCda8d0b2PWeDj8PKtyngVh +1ng4AXqnDjB7i1nj4QRIzrLOTMCs8XA4j8Wb7vyMWePh8Lzb1bTJwqzxcAIc +DjIMyMSs8XB4n47tOicNs8bDCdDi0x5lHGaNh8P55cWkK5GYNR5OgBfu68Y8 +xazxcALU7MxKvY5Z4+EEsHt5YosjZo2HE8Bw8tLGNZg1Hk6AiRNmXDTHrPFw +WD8tp2npYNZ4OAGObZ/s8Q3XU+PhBJjUbWDbeMwaDyfAqO41hzwxazwc3vdP +HfPXYtZ4ODzfB/IMhmHWeDjs989KDsrwfWs8HO5/G7/gAMwaDyfAo8imgk2Y +NR4O+9f2vKoPZo2Hw/rzd1PjZ9xvGg8ngEnk8m/HMWs8nADKj9HZwzFrPBye +xwEXH6XjftZ4OHy+8RG29pg1Hg774XyTxi6YNR4O3+/CVueC8LxoPBz2S8t6 +1zPMGg+H9aDN9nk5eP40Hk4Al0al6wbMGg8nQJjRo0AFnl+Nh8P7e7hTsD1m +jYcToPSdg6eI51/j4QQYNO+anT1mjYfD+vMoppkC64fGw+E83PDPZT1mjYfD +fq71QmUW1h+Nh8Pz+S1gtAVmjYfD/ndh27kBWL80Hk6AcZN2WXXCrPFw+L5G +Z2jvxXqn8XACdB81PjYF66PGw+H6BFyfrotZ4+EEmO3+1dsB66vGw+H+M5+V +kYj1V+PhBIi++SC3O2aNh8OfJ1cGr8L6rfFw2J+0M7V7iPVd4+EEWGh+vrAM +67/GwwlgPTtzeB/MGg+H7293f+tFeH9oPBz235v2GZ/D+0Xj4fB+2ZKsCsf7 +R+Ph8H4ZMdapFO8rjYfDn1ful9kCs8bD4X1nNlalh/ebxsNhv7Y7p9gK7z+N +h8P5Vff21TV4P2o8nADNrp3ucBDvT42HE+DXxls25/B+1Xg4rG/p5Ss88P7V +eDgBTC9sHnYP72uNh8P+CUZFeeN9rvFweN9MnNblId73Gg+H/cyIZ8PvYz+g +8XAC2C891MwT+wWNh8P1bfb0/gXsJzQeToCHv+fXH8Z+Q+PhsH7dOtRpA/Yj +Gg+H6xs4rNoa+xWNh8N+58b+w/rYz2g8HD4/WxfXFvsdjYcTwKffv9Qq7Ic0 +Hg7vg6EbrrzBfknj4XB+L7rW7hL2UxoPJwCb7z1lBfZbGg+H/WTPu8MGYT+m +8XBY31Z6fKrB/k3j4bCfTL7d98lrnjwc7g/f90M3YL+n8XA4T77sUdkb+0GN +hxPg6NKQBWnYP2o8HPb/ex/tcwrjycMJcOPYL4th2G9qPJwAe07lxqRhf6rx +cPj5dBi/F/tXjYcToNuBJSkdn/Pk4fB8WA9d4o/9r8bDCWAkTXIxw/5Y4+Hw +fU2y3ZaG/bPGw2H/Gir7Zov9tcbDYb+86qZupR9PHk6AQyUH/27G/lzj4XB9 +d3qfrnnMk4fDP88ZE7gO+3uNh8P1OWnhkI/zgMbD4effqZDN8uLJw/3/frT5 +9xrnCY2HE8C2wTlq0D2ePJwAXW8F9riA84jGwwkweXdJVwnOKxoPh/3dcdNg +K5xnNB4O5+NNVdL7OO9oPJwAfqvEj19xHtJ4uP//+5G7qQXOSxoPJ4DZ6Uyb +SzhPaTwc3n+Tyv5mXubJwwmQ2KdwdnecxzQeDvulExVjFuG8pvFw2F/Y9gm+ +5MKThxMg0DIwLgbnP42HE2Dz0TD7bzgfajycAL0KV7zud5YnDyfA3prYa9NP +8+ThsL+P1/63DedPjYcTYNpMxxYuOJ9qPBzer8U97z3G+VXj4QQ497B7XCTO +txoPh/fBkrsnko/w5OFwHtuQlZR/iCcPh/NxSa1v+QGePJwAm84261yznycP +h/U9ekHrGpzHNR5OAOe7v93KcV7XeDgBFpVO8M7HeV7j4QT4KOhNScZ5X+Ph +BMgU/2yO3MqTh8P5IUDR6/FmnjycAB4XByy9sJEnDyfA+vefem9bz5OHw36/ +n/YWq7U8eTicJ8aZTeq7micPh/XGZJcHv5InD4f1r2/hvvcrePJwWO/PRWS7 +LOPJw+H+SZwYNX8JTx4O+1WV55Aui3jycHhfJDR1SrPhycMJMPhDmOO5eTx5 +OAFCO1ZumzSHJw+H63E/IVdizZOHE2BG5ztwfQZPHg7fz0cP/clWPHk43C9Z +Sq1ixpOHw/3Vtebw0ak8eTgBXnd+uUxrCk8eDs9zv1uhTybz5OGwvs1IOW88 +kScPh/VtuXsuTODJwwnQobj/A+vxPHk4PH8Do4uTx/Lk4f4/jz25bj2aJw+H +92tVPwB9njwc1t+ETZuMR/Dk4QRoMHzn9ESPJw+H53f6jrZaujx5OKyPFS/a +Hh3Ek4cTwDE4y6moP08eToCgfr02TOrLk4fD37c1IdKjN08e7v/3qe6pOm2e +PJwAd1cfe23SnScPh+dhV5c1Z7vy5OHw8/UbeyC5E08eTgDRe0h9hw48eTi8 +L75OqJ3dlicPh+tXFDbNuRVPHk6Aqobadm+a8+ThcH1eDzCXNnHk4QTIsAkq +6v6HIw+H921GQaVpI0ceDvufPj8WrfnBkYfD9a6y1XdSc+ThcJ4Ptdl5Q8WR +h8P7pVZfK5DnyMMJMCGCDYhScOTh8LyNqrkWL+XIw2F/Nm7n9tRajjycALKT +I/w+V3Hk4QT4F3rYKrWcIw+H9c00cEZ8CUceDvf/jr5Bb75w5OHw9+/W2x+Q +z5GHw/M+f/BtzxyOPBzW1/odAxwzOfJw2I+NXtVq9WeOPJwA3x8tmjs5hSMP +h/W6KOirViJHHg7vs1kJqto4jjwcrs/UfwsjgCMPh/2vKrrj6fcceTjs706u +HDrzLUceDuu31PR2m0iOPJwAKTpxm+NfcuThBMiuXXb5eChHHg7n+ba2ncYF +c+ThcD+OHCspC+TIw+E8VDxN56I/Rx4O69ODHz5jfDnycAKs+PLGOeMhRx4O +6zHHv931gCMPJ8CfIS1ntbjLkYfDeShik/6tmxx5OAHcM49tGHqdIw8ngOWN +l8rgKxx5OAHadj+VOt6NIw8ngPnSuX/CXTjycLj/lrqeGXOOIw+H81nbpyue +nObIw+Hvt9M51esERx4O57Vxi3+cO8aRh8P9viUhhjvMkYcT4EyepGDRAY48 +HNaHJWMtwvZy5OFwP4Z1q++wiyMPJ8AzaPdzwzaOPBz2Jzbuc15u4sjDCdDT +oJesaR1HHg7P47AhudarOfJweL/30O/gZsuRh8PzlvzMPXkpRx5OgLdD9Fa1 +WMSRhxNga1OPg5Pmc+ThcH60/pW7fTZHHg77N6nt6RszOPJw+LylIYeip3Hk +4XD+0XMOKzXnyMPhPJyx3OTXZI48HO6/+pDmPUw48nB4/3/o0XPEeI48nABe +azvunziGIw+H57nfwK6W+hx5OPz7Nvn11sM48nDY76x+N2j2EI48HPbvH1df +mzGAIw8nwL7O06eb9+HIw2E9r2syG9eTIw+H82+bgQ4DunHk4fB+szSsb9OZ +Iw8nQOT5xAhlO448HK53wcE3aa048nACXLZN/v20GUceDvvzWavPnvyjJA8n +QH7zj7MW/lSSh8N62txjQf96JXk4/POq9bdqvynJwwnwfl1Kv0ClkjycAENs +t1VtkyrJw2G/kJ5dN7hGSR4O5xF5wMiCciV5OJxHf14IcilWkofD+3tfhx1G +BUrycHh+lXmbi7KV5OEEuG7s4nU8XUkeDu+DqbHd+qQoycNhP6M3PD48QUke +7v/z2JqwmbFK8nA4b35rW5T3TkkeDueLX5nm698oycMJEDJhTUntSyV5OAHm +PF8esTVESR5OgJgn25KqgpTk4bBe3DXTtvNXkofDfmTiqftpj5Xk4QTI3Vph +Z+qlJA+H/bx15yW+d5Tk4QRYahTl3OaGkjycADWx15VbryrJw+H5/zTfPfaS +kjwc3v+Lvbf0uqAkD4f9fOTkw9vPKMnDCbDfNT/61XEleTish/dGTf7toCQP +h/dDq3rl1INK8nD4/MPl+cf3KsnDCVDpl/g9YoeSPBz2RzvmzJNvVpKHw34k +aFyBznoleTj8/JLJt6evUpKH+///bxl2eedyJXk4AV5lREW4LVKShxNgx6+I +7gHzlOTh8H4bVvEkxlpJHk6As7Z/d2VbKsnDYT3kC7ZUmCvJwwkw9o6xp2yS +kjycAH1XVYqckZI8HJ7/G/4u3BgleTgBnBoW2UhHKsnDCeB6O8y6bKiSPBzO +t8U3DmYMVJKHE2CEe1pWdB8leTi8/7L0N/pqK8nD/X+edx56oauSPBy+D9eg +3ps7KMnDCZBm4DDVvLWSPBzehwlwU6vZfx5OAMPt6wdU/lKQhxPA/8q4wmff +FeThcL36doqzVynIw+H7XJ1TNl6pIA+H69Fuo8HXOgV5OKwPs+4G+FcqyMPh ++3tht3xliYI8nADG7OKENgUK8nB4/x9sZxWapSAPh/O4YeyZpWkK8nACTPly ++5v4SUEeDu87iyNXr8QqyMPh/gmduXboOwV5OAHkKvXqiNcK8nBYT2bsdZsW +piAPh/V5+2NZ0jMFeTisB7dOOsz1V5CHE8DG7ceE5EcK8nBYb5ybBlveV5CH +E8Dawm3q65sK8nAC3G/ucUnvmoI8HNabO21bX7ukIA+H8/ViRWj9OQV5OKyn +HSe4LT+lIA8nQPjo8hvhxxTk4fD5A+Wf2x1SkIfD+bDZcpPVexXk4QTYaT0k +PXC7gjwcPu+AxTeFjQrycDh/fZC5maxRkIfD8yTwYYdXKMjD4X3Zb0eb0EUK +8nA4307ccLl2roI8nAAOXypZz5kK8nACPDf8MdSSKcjDCfCyc8ikHaYK8nBY +f0t7H3eboCAPJ8D84BlfA8YoyMMJIIyedT12hII8HPZHERO25A1RkIcTgDMf +vL2mn4I8HPZ/u/Tu8z0V5OFwXnWz+yt2VZCHw/p7q9hD3V5BHg7P77iEZd9a +KsjDYf/+wniO5K+cPByen17z931pkJOHw3530OiET4KcPJwAAyf9nBuilJOH +w3pxKr+FZ52cPBzWuyyF0r5CTh4O63HshjbziuTk4bDffLRxyeBcOXk4AU45 +9clSfZaThxOg3cDI0+8T5eTh8PPrbN94LlZOHg7nrei1R2ZGy8nDCZDUJ/1d +iwg5eTic37QVxu9eyMnDCXBrgbJyX4CcPJwAD0zbxw7wkZOHE0DZ7VRO0n05 +eTjsL6sv6Oy9KScPh/OQ6zrPzlfl5OHw84XOmhbkKicPh/uj2Fl32lk5eTjs +jzctNc1xkpOHw/1xrOn8+sNy8nBYj4antZbtlZOHw/expMX73dvl5OEE2BD3 +NUCxQU4eDutD37KULavk5OEEKKvup1u8VE4eDs/nhd9hc23k5OHweZdmOERa +y8nD4f52kR0aME1OHk6AX5aPA0+bysnDYT9yy6ZnmZGcPJwA8SfnRpuMlpOH +w8+rEO9cGiYnDyfA2ugnIV8GysnDCXAxHpp0deTk4fB8Zflf3NFNTh4O56en +0fODOsjJw2G/3mLLvLqWcvJwApz0aX+h/18ZeTgBJOY9fy34ISMPhz/fQ3x2 +4puMPBzeF6u73vWXycjD4fy4oeRjSpWMPBz2m3uSR8qLZeTh8L5fNje9ZZ6M +PJwAvo0FoX3SZeThsP+bK8kySJSRhxOg2yzRcBLIyMMJkNfsaJZFlIw8HM5j +D8VQy3AZeTjsz9bWZbJnMvJwWD9uZBua+snIwwkw7NWU3DFeMvJwAsC8Z28G +3JKRh8Pn7/S0vN1VGXk43H+Jb2d/c5GRhxPguP3BH1mnZeTh8PwNXy97cUxG +Hg7n1zHdBrkckJGHEyD2R78Hdrtk5OFEGDqk59qRm2Xk4USoc7q5VVwtIw8n +Qm4r49dvlsnIw4mwuf7a/GM2MvJwIvSItB5tbC0jDydC4refy5QWMvJwIgw/ +NyfJe5KMPJwIHT3Kzs0zlJGHE6HMYc3l+pEy8nAitC7ZX353iIw8nAhL/ONP +Tu4rIw8nwr42bXbldpeRhxPBxlHqt7OjjDycCI8/9R39u6WMPJwIy1osb3nx +j5Q8nAjN79oYaH2XkocT4cD0GJ8bvJQ8nAh9dTbv6i6RkocTwc/513n3cil5 +OBG2z5spNi+UkofDn3evzauDmVLycCJkiy2Ty5Ok5OFE8Jze2sg6VkoeToSa +kjwhMEpKHk6EisOsXdtwKXk4ESKMtB02BEnJw4kwOUDP/LWPlDycCJfzZqxu +fV9KHk6EV+Pm5S3ylJKHE4H5aQXecZOShxNBcd2+sMhZSh5OhDlFszf3Pi4l +D4fPn+c4f9EhKXk4EQLWSW6c3y0lDydC94JDZhGbpeThRNgzsINlxWopeTgR +PvrdCGy9TEoeToTBa/8eGDFfSh5OhA2ZEx/OmCElDydCSVejsWvNpeThRDBN +qtE9YCwlDyfCWOPpJ8+MlpKHE2He2LkWl/Wk5OFESB35fdv1/lLycCKsMZn+ +01NbSh5OhKY2E9XXOknJw4mQU5+98mIrKXk4Eb7/GWBw4o+EPJwIG5OG7thd +LyEPJ0JK4LceKzgJeTgRzvp7jDWvlZCHw/1/WOftgFIJeTgR3p19EPErV0Ie +TgQj0VA3N01CHk6EBH+xRUC8hDycCGFmf20d3knIw4lwSMte1/KVhDycCHFz +925u+1xCHk4Et7w+/VN8JeThRBA2edu43JeQhxNh7THdRgtPCXk4EY5vLxik +viQhDyfC4hXyON+zEvJwIlhbXq2xcZSQhxPBoumXc729hDycCM/ZDt/bOyTk +4UR4cafbdOMNEvJwIow5brIt3VZCHk6EfzN6dt60UEIeToQOpt8ni9YS8nAi +2Lv2V560kJCHEyF6Yb5e64kS8nAidHqyR+o6RkIeDs+ru5Fxm2ES8nAiXGzv +1OlMfwl5OKwXOvcOfO8hIQ8nQrs3si3bOkrIw4lwviZBmtNCQh5OhHw/999m +v+rIw4lQ0MbX56FQRx5OhDYjd5X8ldWRhxOh2Mjm2crKOvJwIvTaFtk1tLCO +PJwII3prd26eWUceToQTrwv9FiTWkYcTQUw/kn/nQx15OBHGddnmVxpRRx5O +hN6zh3YdEFxHHk4EwyOj+tr51ZGHE6Ff9L9PHvfryMPheu7S0064XkceTgTj +DkPaqC/WkYcTYdXyM94DztSRhxNhNssqmX60jjycCLY/feK27qsjD4fnQ3Vp +6bmtdeThcP+UDfLwWlNHHk6EzKgmx/CldeThRLiQktA9bm4deTgRBj3psSbD +so48nAjzx3nYFkyuIw+H9SGguk3xuDrycCKY+0buLhpeRx4Oz1P3d655A+rI +w4kQOc93TZp2HXk4EZJb63IfOtaRh8P7ZIN6UnCLOvJwIgTJcixvN9aShxOh +670zHU+oasnD4f1RBbfXSmvJw+H99dS2zqy8ljwc1o953Rt75NeShxOhT9iH +XFlaLXk4/PkTRx19G1dLHk4EdfK4ugtva8nDiaAs9hu0IKyWPJwIlfs2j+we +UEseToS9fRY0z/GuJQ+H9aX1rOArN2vJw4nwocF41MzLteTh8PctbXHm59la +8nAi7B/oHRxwrJY8HO7nlg0vl+yvJQ8nwtWVrW81bq0lD4frPeTjMq81teTh +RFioPaLebGkteTisZ96TjuTPqSUPJ8LX2h9Fu6fVkofD+p+5R69pYi15uP+v +v/8K9zG15OFEWN0QcrCXXi15OKwH5d5OXn1rycOJcPPTRfuB3WrJw+F5v+S2 +wqttLXk4/HwVH8f2aqohD4fPv3Lx78v1NeThRPi2bX3MX0UNeTg8Dxt6Oe2u +qiEPh/fvuSeG+YU15OFEiPo7pdYso4Y8HN6HXPc7Xgk15OFEmL5l87xf0TXk +4UQ4dWh3y2XhNeThsF6P2PsxKOC/74fD/X49xPW393/fD4fnKdVx5Zyb/30/ +HN6XP/pPvOH23/fDYT2dp9AtOvPf98OJ4Kw9eUi/o/99P5wIaZEbJtjt/e/7 +4fD+fx23+sbm/74fDvuVN7FeKXb/fT8cnie3jz9/L/zv++FEOD3S8KCB9X/f +DydC6YfjHZeb//f9cNgPPe+ddNzov++HE2G9+/GAhyP/+344Ee5PGRr6YeB/ +3w8nwtHCq5VftP/7fjist0lWFqoO/30/nAjlMUmpLZv/9/1wIrz+9Oxij4Zq +8nD4Pk1POg3iq8nDiSDLzfMdUVNNHk6ErNB5rUYXVZOHE8HH5ozP6Mxq8nD4 +fu72PKn/qZo8nAg7lS/v6b6rJg+H/UPPVr96h1eTh8P7b8Pbx+0DqsnD4Xrd +dPVo8KomD4f9458Rn6s8q8nDiXBsz8oVyRerycOJ0Gp2yfjnp6rJw4kw8fzR +jW6Hq8nD4fsO+SnduquaPBzWQ3Fs8tQN1eThRPAY+K1V9xXV5OGwfgd096me +V00eTgTf0KOPQi2rycOJgLdBS8dJ1eThRNg6/3aGxZhq8nC4P94Mbd18aDV5 +OBHerrofBDrV5OFEGNZBFePUpZo8nAjdtg+abdSqmjycCLvrRsyWNFaRhxPB +LLtz3O1vVeThRBi4rDhqel0VeTjsP50ejOOLq8jD4fs026J/I6uKPBz2r0fn +B5kkVpGHE2FluwMv895VkYcTYVeMepZ9eBV5OBEe7vy9p11AFXk4EWLKX+l5 +e1WRh8Pn/2tzcKxnFXk47Pcj/q1871pFHk6Ek+1blVqfrCIPh/Vx4IOm9INV +5OGwPzXpkLB4RxV5OKyX0Q/G5aytIg8nwr3pj2YsXFpFHk4Eb6+dbVJmV5GH +EyE4ZdkxZlFFHu7/922s98sJVeThRHgvdnXS1a8iD4f1fl6I1rWBVeTh8P4y +77D1Z48q8nDYT1vddVrXvoo8HK7v5JxlH5sqycOJ8NNuUsPg+krycCIcXjh/ +60l5JXk43L/jHvgWlFeSh8P6cfHVy9F5leTh/t+/Gdw7nVJJHg7P1/z7dpkx +leTh8HlX+TX0i6gkDydCrJHSYWtQJXk4EQZoDSh5/rCSPBzOB6nyEd9uVJKH +w/Urabtx3KVK8nAijNo+wW3PqUrycCL8mDY24OmhSvJw2C9iSSzbUUkeDvvb +uNdp3dZVkocTQR5dXWy1tJI8HL7PsEG8/exK8nD492fPa+M1tZI8HM4z/+YY +JBhVkocToa1Rm7XyEZXk4UQ4M8jet+OASvJwIhTVn2wy6F5JHg77qexRR2a1 +rSQPJ8Jo7wbtuJ8V5OFEaNmJO/+tuoI8HJ4vo8VWvdMryMPh89kvXG76poI8 +nAjtl/ZLXO5TQR5OBKn3d689lyvIw+H6rxtVffJIBXk47E8aej68tL6CPJwI +Sw37FV2bU0EeToRqqxcPPCZUkIcT4aBi7tfLAyrIw4kw5ODporNtK8jDYf2L +r956UCgnD4f91JE+XmtLysnD4foW552f/qmcPBzup265I/VCy8nD4cvRqvD4 +d7ecPBzu77dZn/Kdy8nDYT2occ96uqecPBzW132tow+uKCcPJ0L4ItPLptPK +ycOJ8Mnf2Oa3fjl5OBGcYrTaR/UoJw+H9dawPmn/3zLycCLwtd1v6ErLyMPh +edUPOZSVVUYeDn9frPYhx+gy8nAiNHK+9wc8KSMPh/ONa5z6/ZUy8nAi/Oqg +dLU9WkYeDt/nU58N/IYy8nB4/811dD01t4w8nAidY7q36WhcRh4O779n/SWe +A8rIw4mw/MXS8b3alpGHE+HvTmfhpqqUPBzWr7tnDbsWl5KHE+FJ78k/z8eX +kofDfvnP/SU/gkvJw2F99HsxZ/PtUvJwIuyIOytNO11KHg7X+9ikiYY7S8nD +YX+z7i/zWFJKHg7r6X2trtyUUvJwImz5+v7F9GGl5OHwvk5bM/xOl1LycCKo +NtqdkzSUkIfD+TnCIHN8VQl5OFzPp7bajqkl5OFwXtN2WPv+VQl5ODz/pQav +f3uVkIcT4XGD/vBJLiXk4bBeXpr4bt/+EvJwON8Iuqd9V5aQh8N+qjzrRI5l +CXk47FfWj4cmgxLycLifG2YsHqFdQh4O++EX/Szm/y0mD4fzn16+x15JMXk4 +ERYEPl52ObOYPBzWL8sPN/2jisnD4X5Pc1zz3qeYPBz2I61N3mS6FZOHw/vQ +d21YxaFi8nBYrzcdXMqtKSYPh/V3cIvg7zOLycNhf6p8/un32GLycNiPdxsU +3NS7mDwczpvm/XY3NSsmDyfCutP2XX7Li8jD4X7a88+nPqeIPBzWC/2345Xv +isjDiVB79lFK+ZMi8nAiLGpKOJJ5pYg8nAj19fOtPjgUkYfDefTx7imB64vI +w+F9OX7bXo/ZReThRPgs86w9Mr6IPBze98PsXqzsW0QeDuffE+PzJrcsIg8n +gl6m/9Ze3BfycCKkr128T8j7Qh5OBIeyyl8pH76Qh8P+/0p3ncdPv5CHE6Fq +XmTOoWtfyMNhvQx+MWnmsS/k4UQo6cQt1d74hTycCLeeORhXzflCHg7vv7db +JM+NvpCHE+HK0QqHw/2+kIcT4Zm1rtqs1RfycNifgdOOf1wheTgR7JJm137M +LyQPh/f1s6ZDzjGF5OFwvcYNGWYZUEgeToTmwbNaN10rJA+H9XVIf923xwrJ +w2F/vmD1pYMbC8nD4edPypttMLeQPJwIK7R276s0KiQPh+c9pEe7W/0KycPh +es0sHDq7VSF5OJwPn2VnNnIF5OFEePlvfN9n+QXk4USAJLNudjEF5OFEMGlu +Fd8moIA8HN4n5uEWr64VkIcTYU1ka891xwrIw+E8vycrrd3GAvJwWM8mR/4K +n1NAHg7ne9n+MauMCsjD4ftZkXqweb8C8nA4b5x7WhTQsoA8HK53cKO9DZdP +Hk6E8TvSZ4l5+eTh8H54z/be/pBPHg735+RzKtOn+eTh8Hx2r6gsvZpPHk4E +Y9NQm9NH88nD4X11ZIv1kA355OFE0Dpzvjhudj55OJxP+z/rs2V8Pnk4fD8T +LvRo3TefPBz2D3xxoX+LfPJwIrh8vuBgrcwjDydCUtKRf5LcPPJwOJ9tDXN2 +fZ9HHg7vk4JFWiP988jD4fprXYxKvpJHHg7vE6XX5Z0OeeThcN4x5e51WJ9H +Hg7rQ51M/XxWHnk4fJ9d+j+zMcwjDyfChCHD0r/p5JGHw371x9u9ns3zyMPh ++vJPvUwUueThRPDvmLmrKCeXPJwIVtqj5Sff5ZKHE+FuYMbIoU9yycPhvLW5 +3CzFPZc8nAjbftwztD+SSx5OhERr234663LJw4nQ9/K5Th+tc8nDYX+ww6/n +7nG55OHw/tFaOruXTi55OBGM1llHxjXLJQ+H/cn32Qfs5Tnk4fD3rbe4PCgn +hzwcrq+Bbq+s6BzycHgftG2n5+yXQx5OhE3yfkkm7jnk4bAf0/XtpjycQx4O ++zGXhp6+a3PIw+F8c+G6ZJV1Dnk4PF8Lmm71HJdDHk6E1K+1E3N655CHwz/f +37rUo1kOeTgR+v+cfHexPJs8HO5H7sAp7Zxs8nBY3zq/9ymKziYPJ0JvL/Oe +Pn7Z5OFwvx8x4na5Z5OHw+eb+MN00pFs8nDYf6/91a3NumzycFivd6W5Flpn +k4fD/drUNfT5uGzycNiPNLv0wFknmzwc3kfQbeOa5tnk4XC+6fK4h6kiizwc +9u97ZyTp5GaRh8OfP8rA4/e7LPJwIkx1vuNc9SSLPBzOy7H8y9QrWeThRLi9 +K8jkjUMWeTic11ZtGhSwPos8HM7DsrTz92dnkYfD5+nw2un6+CzycCKMcWrX +y71vFnk4vL8KP+92a5lFHg77oQdzdPsUZZKHE+Gq/cOJw55nkofD3+e+gJme +zCQPJ0LEtDnbly/MJA+H/bPOmyLHIZnk4bA+6f/6+FSdQR4O68GKkHmlnzLI +w/3/3/eCfPvezSAPJ0LosT/ixl0Z5OHwvDcKO16aZ5CHw/01S2t8p64Z5OFw +v+bpHNtflU4eDvuFF+12l75KJw+H5zNdZ9JSl3TycLjft8a0z1uZTh5OBPfx +z7XWj0onDyeCzqd0x/q/n8nD4fsoWXzoZtZn8nAihAQ8HsX8PpOHE8FxxMbs ++iOfycNh/Tl47Mbr2Z/Jw2G/0qmDz9l+n8nD4Xxldkzf7msaeTjcH4u2rDH/ +mEYeToQj7UJ3jrqZRh4O77PCY2eHb08jDyfCA3PdvDFmaeThRFjbf76nZec0 +8nAi/Nb6wm2sTCUPh/99nEz72qtU8nDYf06tnpLikkoeDt/vrF03tFalkofD +/R29w2brmFTycCIUfmnrldQslTwcrkdgRPikvBTycFgf5wz6+DoghTwc9lNL +p/y1PJ5CHk4EN4Np90oXpJCHw/t3XVDyBd0U8nDYT7aYBFN/JJOHw/rW6WtY +q9Rk8nAipLzQySn2TiYPh/sz3No29kAyeTjs/4ttjryemUweDp+Hzd0Y3SeZ +PBz2Qy8eLsrkk8jDYf1v/v1Y/cck8nBYb90ttAxuJ5GHw37/QMR8+11J5OHw +fbf9siXJIok8HL5fs0PXxvVIIg+H/Q53t2WQNJE8HK73Kv+fE94nkocTIfmk +xdMsj0TycNgP2y+bcXprInk4fP6sIR2nmSWSh8N6rT96Qo+uieTh8D5eOuP7 +z5pP5OHwfZS5uAlRn8jD4flxWDP675VP5OFwPRY4afff/Ik8HJ7Xc9UnFkz+ +RB5OhJHutY9udv5EHg7nsfsDor5WJ5CHw/m6s2OztVEJ5OHwPss3jKi4kkAe +ToRmdeldjm5OIA/3/38/Hzl/qGkCeTj8vCN3BtZ2SSAPJ0JTl3Yb3tXGk4cT +weNURmhAdDx5OBFmpBXkBXnEk4cTwbbUvVPctnjycDjPeIXcVJnHk4fD87Bz +/nOTHvHk4bAfck25el0eRx4O5y/P0pOtYuPIw+H7F6+9uXo7jjycCG1srI4b +7o0jDyfCiD9P2ymmx5GHE6GHc+Kjd33jyMOJUPav6Yy/8JE8HNaz4FZ8QPJH +8nDYf86t1ot/9JE8HJ4f38adDQ4fycPh7/vTsmnGgo/k4fDPb3UfGjzsI3k4 +EbIGXes35m8seTicP03NDZLzYsnDYb/LmXieDI4lD4frNUK8bXM+ljwc9tNT +LU9MXhNLHg77/W0LXCyMY8nDiRBv+rhxfadY8nD4PrcZTTRLAfJwIrzaqFrP +OQJ5OBFMFeG/CwyAPJwIl5btvPWrJIY8HO7P1IS3tldiyMNhv7l03I+vFjHk +4US4VpH9JVb1gTycCGOX3FSl+34gD4fnz2Ngeq/lH8jDiTDRo6jpQdsP5OFE +GLBT1Wtd9HvycDgfb18csHbve/JwItwcHGr1YPB78nAi3Lh09kTvvHfk4bAf +6tpWnuP6jjycCBdDZw7ImPKOPBw+b69Ooe1V0eThsD69E41dnkSTh8Of/2+N +9Qy7aPJwIsxf5HR8Rpdo8nA4j8ydtdc1/i15OBHCy6++7uz4ljycCE8dlV8L +x74lD4f31ajkCEltFHk4EYbmb/QzexBFHg7XY3Gybd7iKPJw2I8GVOyPaBdF +Hg7rhdlGtxJ4Qx4O9+evUuu5R9+Qh8P98yB6ZvNxb8jDiSBJNxvZXBpJHk6E +hgPHPOY+iiQPJ0Jg4c4h5baR5OFECGusOvVeK5I8nAhf31+3kaS8Jg+H+2V8 ++wlrzr0mD4f1oiAqf+DU1+ThRPheIZaObYggDyeCeJxTuYdHkIfD/mMQRJvu +iSAPJ8Kf7J21JiMiyMOJoO+Yo+Nc/Yo8HM5Ho5NaDnr4ijwczj8X/i3uvOoV +eTic174PCLfp9Yo8HJ6PQeGZlTkvycOJcH60ydqkay/Jw+H9a9CzQwubl+Th +sB/cE+x6vcNL8nAinKudddEhOZw8nAhvro6AcJdw8nAiXM86XTl9Zjh5OBFU +1U4+Y1qFk4fD55tiFrU/Pow8HM4/vwpLOpwLIw8nwjrbHQn/rMLIw2F90/5t +sLBlGHk4fJ81pjfUrqHk4bCftH4Z2axDKHk4nIfuHpmc5B5CHg77B97Lc7ZW +CHk4EXYZzLJ0ufmCPBzOoy294s72eUEeTgTPVpm3zR8Fk4fD+eO+7rSoYcHk +4bB/Ga60+hH8nDycCGd7eg77afycPBzuz1937eHDM/JwInwO8wqxmfWMPBz+ +/XMr9zzJDiIPJ8Jhu6DJn9YEkYfDflfq8SRcFkgeDvtvxeTpu48EkocToXHd +jyB1i0DycCKkpc23n389gDwc3ncXhHFHBweQh8P7tFnm+SNhT8nDibBhaLvO +cyyfkocTobXv7x3qHH/ycCKw9bOmOGz1Jw+H91NO2sjcn0/Iw+H9cij0S8cr +T8jD4fkcu6l+iO4T8nB4P5c8HtE7yo88HNbv2GOD+QV+5OHwz7XmXfOX+JKH +E8HmVNZwq9O+5OFEGJ3c3ytBx5c8nAjWy3yfjn3lQx4O61e6STPnBT7k4bDe +pXTbGqt4TB5OhJWHim8oXR+ThxPhAPOxaT38MXk4Eb5ZZz8f6PaIPBz2dy8W +1vVKfUgeDs+XofeXHx0fkofD/vzn9X5xC73Jw+F+ON9mzolbXuThcL+vLGmm +X/aAPBzeH6nNZGnDH5CHw3qXdSpwx8H75OFwvatPCC1i75GHw88vnXP0Ydd7 +5OFEmDt5i9pi413ycHj+n57Vk0XcIQ+H9fHBnQzvDnfIw4nQkdVEb950mzwc +9pPhwpup72+Rh8P6vizw3jidW+ThRJgy2MZ0qsNN8nDYL3a6dmRXwQ3ycPjz +z181ejf5Bnk4nD/4OrsJ3p7k4bBeTn5ZXNDSkzwc1tNrD4KC9lwnD4f9dYvy +2NBCD/JwIpxeuGQwP8ODPJwIBof5+2k7rpGHU8PHsm9Pp8y/Sh5ODZ1+HHIx +Mr5CHk4NJ57GbYsa7E4eTg1vCt+cye92mTycGm7H5+l4tnUjD6eGNTuXnP7R +/BJ5ODWc0TPW6tj8Ink4NZhtWzXhSytX8nBqMPe8tWZbZxfycGpIb4rqGNP3 +Ank4NQTohHyWjj5PHk4Nuc9cJ3HTz5GHU0PN3Fuq3PXO5OHUkCd3CAw4c5Y8 +nBoqLhn2t396hjwc/v4JJwz2+J4mD6cGd/mC3FYtTpGHU0NIT/2f73afIA+n +hg4r44/6VTiRh1PD+cbtc9PXOpKHU8O2wf2d50mOkodTQ8bSlLmGjg7k4dSw +fsF2xZVeR8jDqSF18PCPDjGHyMOpoYX/3Tl/7Q+Sh1PDa6sKHeOxB8jDqeFe +x6cfVnP7ycOpYWXXTZ9/Xd9LHg5/nq27/m6b3eTh1DBx08TCnIE7ycOpIXqM +VZeVbbaTh1PDvJfvLIa02koeTg1PW03QWq6zmTycGh6M7L2p7/SN5OHU4D98 +6F3D9uvJw6lBJybBnHu7mjycGhSbnRIi764kD6eG+a9sIir8l5OHU8Mn04BW +b6qWkIdTw2m3TrpX5i8iD6cGdglKtqbPIw+nBskgy1PqDbPIw6khfPjaxG3L +ppOHUwP32MQpeREjD6eGoWaBkbrvJpOHU4O9p2svfbkReTg1XDK2C1wWNYo8 +nBrsEhqGfTUYSh5ODR4NC91c7XTIw6lhwKluWr+adyQPpwZpWMG4VdYNFhoP +p4ZW4tc5qzvmW/wPgJt4bg== + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJxMmnk4VVG7wGVIKFIRqWRMJaRCWs0oRBkyRIlkSiElFRmTzPM8z/M8WywK +IWMhGjVJsvc+pNJ813fPufd8/dPze/Y+e83v+y7PT8zKSf8CKwsLy3YeFpb/ +/H8vZInYN1YCsTD+XfwgNP6QjUDKBjWRAtKdLTr7FcIT2QkE0utMdmzrbJGP +P6pxkYNAVvG9HVrbO1v4aWf/gKUEepbk3rBbobPlyzG3Gl5OAv01jJeBmEcz +Qy9OYibahz2ini9rqf+ZI161jEBOSpPf6mW/wiQDOOHHRSBn6Rc7fy0fBh4l +TyJOcRNoQ2NIe1zsAjzL8fnoZh4CNelv0GDZPA8OnmX9t4j5/Y/T1UsGWFrE +64XrepcTSP/Y9M4xmWeAfeWOSykrCDSqZbJt00A1mLI7JnmZl0DD558khNoM +wu52i+cH+AhEO3n78JUv07Bo3fUo/pUE2tQmHhkl+gSGuIZpvsPMusbZ3Fz5 +I3Dqy2Wp5WfOj55US33AKgJNr3jk+DqGADtvj1w2WU2gVpbb4yUds0Dg6Wep +rWsIdOla6tb+yAn4XZ7t5S/Mfvfbbqql9oKJwHUx/QIEMtWwcRrJnwTNb3Zo +pwsSKCBu8v2k9AJMVdVkdVlLIK89iVELY5+hV/S5xsNCBLpsfpY/3vMjtJy9 +7rxGGI+n5bfl3SVtUE09fPMU5jCH3GV1UTVAOi3vVf06AsV6WkiErngCl31v +ib0nQqDHopNt1lvrwcyJ0eNm63H/bBNNby3SYF/BLNv2DQQy+nGnNVaRBsuW +sDf/xdwS6qk/FkDBiNMiV4Y24vWW59lT6l0Ir1QrbskSJRDvamM9C3MSGi7X +mnTdRKCZbYrBPpmPodIFy3h1MQIF35S9JM7xBAi3uuuuFcfri/an9VZ+gL8E +Izg+YW6LzUyM/jsEXzrlwyYJAhUM9msITkxD1N3qGiJJoF/BdR1P3tJgptjY +1rNSBDr7Mca8MrQH+N0k3shLE6jWSWt9u/cEvPCEPXHJZgL9qNETvJGcC4/K +rj/5BPM/4QWx7TGjYMudnZy5MgT6qc4t/+/QG8DzSqvVbQuBjjsaPU+VygGk +ktW1Y1sJdH845DuaeA+Hwm/IrttGoBUvwk7/+TEFq6Yj3n3GvEratqb32AiM +OVSQ1CJLIK1403M+sAm4JSG98O14/HvUH7a5TUOTL2PLLOXwepwLe8D+6C1U +PU4iRXkC3eReH9n/dQquz+W4zq5AoFN+e29oVk+Av3/Wy41h7mazW9RvewEn +jXZ9yN9BIInNa5sMFarhg3LtlBuKeD12DhQ48b2BucvOG2jvJNDGj+Efx15+ +gHctb3Jv2IX30x1yqoy1B9g3RbaTmLn5J341BFZC7dWF7m27CTRxK66CnZyA +2x3b5KOUCHT3r/6d5bzdkK/z6dR5ZQJViGormGbXgbkNVOpuFQLtrzzruEz3 +PXzitvQU5x4CyV262DDZPwZrBzcsn8D8+giZYOv9ECTI7H5QpEogNpU3Xb9n +nsGbPsdveuwl0HZhjYz+d+XA/Nn5HbqAQAOJvRHftUfh/p23pkX3EejA2tbQ +2c8TcFNIVPoc5thhSbF9h15B1g+FRg/2E+jqi9uzh/6Mw/f72lfEHiCQAn/G +tepvlaArbrzD5iCBSrmGOa2vjsECirqlcgh/r3y2JkyhGQYd49zJfZhAD3mG +ohxuTsJLmRtnnmMOqu54uvZ1OTjxc3dm6RECGSw6pHWsnYA7DHRMvNQIdCJY +9k52VC9YXWLNp6eOz/+ihL7W2CD8yu7RJa5BoLyl235uqRoGT89Eey5g/lR0 +Y3+d6whorCva1XUUf0+ds8G1BIJkvvuf448R6NxWlkkl4xHgaTeRZa9JoC3R +esvZyefQop1muleLQOuKHvXkjFbBQ+uW8a/QJpBhEop++qYXSLqKdr/C3LpA +sGSbNsClfUpeFcfx/njV2nb7RTecltRV8tXB6z3TLlNAG4C9nhcIA10CsUs9 +nlBsTAYlYx45UifwfI0/C/QQHoNh8jFm3zG3yfJeMTbLAc6Bxat6ThLo9Ou0 +mZPUONR/c78nSY9AfPVrPwwF98Ndqs+8HfUJZLFsr5AGzxgUjJ5T3m+Az4+M +9MQH2xT44/Myis+QQI2DR7uq3jyDz9U25b3BLHrjm1u0TgtoSVU+U30Kz8/Q +X9HhkjaY/k13zR0jAjkYvmUf2fQM+pyweWRkTKC6Qb8LRXx98HyBp6+MCYH4 +n/Op22c9hupLYvf8xKzx82/g1DIEN58uoT0yJVDqLas31qVDgKv6QX7qaZxf +Np9bEfKxC37meX7WyQyft79eRdcyHoIB63mBQ+YE8lxmXCrAmgkqWrj6V53B +8zFotPkZ930YJSjm/x7z2njZ5R5KfvCqk8reurMEuqK1b51sYCsw6j4xf9cC +5z+B9P0JSglQRcy20PQcfr+SS+3l6Vaw7ubtc9ss8XiqVvvcc+2Cvx/Hrv2D ++XDxwrdzy6rgq22lAwNWBOo0U9CIC+mHbf4ddzLO43y0Ktr/qdxjmP3yObhi +TaD+gEDTh2sawB2lL1+OXMDfUz0mmSxZA23DuYsFbAh0Y4/dW//7nUBzWszq +I+amT0ZZten34bZDe4QbbQkUNeMwJD7VA1cknRwKssP7Z5VMk65jFqTmbe+a +2xNoZfjF53NCnXBY22u/nAOBXD/GE4Js9bA6J+7rP8zL27Su8I1Vwtg/pSXD +F3E+uJx2MPjWXeBu1Hk+25FAjifevRVuG4Kny1+su3YJ//5Rcg4r5zAEyxaG +NS4z8+FGS557Qk54/+1X283blQVZmsQPzmD++6i+aj5pGL5dpfq92Rmvj9rM +bfOQVtBxUa8s1IVApFF5akZJKszrsLtgcYVAyd/7KnQiBmHgBu/1O1xxfm+x +iJIDUcDBLf4J61UCcQkMei5xQkBnsCxo5CqzfXmZrkN513B9YrvVMSh1GPL7 +vFy87kYg3z9s1g1X6uGXiYVyzesE8llItct93QNHFZfbirgTyC5VbgJZtYH6 +YImNBGYFyyHTPfMZMPG96mjrDQL1ZcSrd+wpBrf26YdE3MT72/dUwUU0BM/E +2R+xusVs/wDl/XOnB4EW9M/1O46NQPFjCZUcngT682iPQox+P2TPLLd7ilku ++4+M8pvHcOpHl2jhbQLN3+w8wH9xDHbrvxq76UWg9G/Rh2KbnsCi4q+hx70J +5GYzZGdreR+GsK9Q3+hDoMigmwmxJ5rB5TOSvynM0peWXBkLbwEn6/ZWt/sS +SEn1o82C7xOoyGfgEO1HIG3JZ3ajsh1wjZ2D2AV/Av32bl+ty9kOv7f5jCvd +wfFq+NLSIbeHcEI4MXxZAI53NP4LHRrZsPlKhcYzzMvHuQQps4cg9dHDP8V3 +CfR18LBI8JXH0EvydY1nIIFU4tme8BmkQUvPbxdP3CNQ/q+duwZq6+CRsRUS +YkH4/LusH1SLLYJS8lLP5jF3TPudcloPIWcgiOwIxu33uOmKiA3DT5MGx+JC +CJTpR2Rpx1XBvj0X/9mG4u+rT3UMsPXCsijfuj1hBOLZrHPCoqsfRnxOvMQT +juev21dnW1s3vKJWKfkSc/0zjYoRyQZgmNr9vCwCf/+btZ3K3WGo9O11lHck +3j+qlj6Keq1Q6MR3Tf0oAnloLrJ+ONIPf+bzLpGMxustEnZ2H0c9eMEi3fAV +M9/GhvTDRQi2mu5zehhDIGHJQNoFnyGYWWUonRiL81/aNUl9m0fQj8fxpUMc +gaaGXPr5xFvgBWu/GBCP41efmfwqzyF4tCVJmzcBx3vRQdLFpB5sEaxincRc +Iqlw2qm8DfI49TRWJhIoeyC/O1L1PiAeTjr7JREoMaX9sOveODC4aXHzqWQC +eXs6dmmz5cDKG3yvpVMIJPaVn+WhTh+Mfiwdt4j5edMxwc6tg9Bt236d3lS8 +/56oy4hPdUAT/1PsKWkEOih9yagwvBuqvnRsvpSO18P3XJvaRCNcr+R/5UAG +Xl9bo3fOshHwb1jyFv5MHJ/73rtHXW8Bkx+rJt9irjHeuizyQBW8f7A3viaL +QB/Cv6160FMBchLf6AZkEyhheXXOXd1uGDC/yGGSg/ebeZU0tK0AdtorW7bk +Emhwr834DeF6oJ2z+eovzGXbrJVHFUrh9j/7t/XnEejo99z9uzZDyGdk9DYt +H7c/KfCFzbQXzpVdSnQuwON1DNbqOdkNn3DeOXm4ENefR+0Xa/cPw9pzKZxr +igj0VI7t4q2CIRjfWN36AfOAu2f1z4IScGPVo2v1xQTaOqPy0PDrADS7+Fb2 +XgmuF337Wc8F5oB9HT/enS4l0BqjhCbJnAYouoE/WbaMQDu4VNPc/uVBVjcZ +/b+Ye98V1O/1bIfvBw5wDZXj988FSpm1tYKuzcZtmRU4vwsn/ps90AYLvC9f +d63E8Yctq/ScXS0Imrgjp16Fz3O+W+fJ7XXQUTH1g2A1gcZVeadTRR9B3eCa +lOlqZjxReP/IoKkGx9Olvp8lXjSBVfvecYfUEmjZjXtyItGdcCH2Z/uZOgJR +SSSu/e+ApyT/Dfl6vB9rvPcbfqwHjUe3KCxpwPmviFNrVq4KJGcc/PgY8wgn +x1DUiyro+cM4LacRt79pZ7vk0kpooe90yq0Jn68bNyy2ThWDQ8UBy481M/sj +wZ72QBgS6M6dcx4nznRAjjO1Nz9jjtqitjdXMxN+rO3b0dJCoG+fAtc8VImH +Pbzvp8NaCTRbFdM1kVwISmx/pZ9DOD5QL2aUT+WBsLZVxoptBHrkwOVuVVkK +nIW38rK3E+jBc9qUxHQK1L9yqHMUs7fVneeKt7vgrkcmHvn38f3pTcGWhcIH +UFDSeeeNB/g8RifLI49iuOhxd0arg0A6E7f33pprgs9G0zLXdxIoQ2HiZfzL +Cgjl6kxIzA+mpWYe5dfCtLv9fG1dBCp6xudYeKMA+Ey+74p8iOdr/zNuriUD +8Pye357nu3G9IbHbRlquAapHrd69uwfvz/2mwnGr68Hmz1tnl/YSyFj8wpqD ++7ohl9rh7HHM3ouT1+K35MPPKaanix4RyKUjbdvLkT7Y/9WZ36MP51fBfNPe +5GJYrhvYrdNPIIGYLduWbxuEkfnpXqIDOD69y4ud0asCriz1SnMDzPk3Mh0g +7g/i+84a9eUOe+KgStWHnJghXM/mHFl7vCwNruP5Y2YzjOvBj2UrL7I3wN/n +16xWeYz3f7CHcPvtGPgKbuvlekKgC8cvpxpr3IdtAkd8nmO2+HfunfvGeph1 ++bRK6QiBzO4I/tMdSQX+D12o26O4HtGo5w/pLIE2m+7lnRzD9YVffvoXlm54 +7EbGGfGnuF4/eIb9UT6uRx7Xr1nA7OvZH//TBtcj2wYfdY7j+qFVXX3vtzZI ++U35xk/geFtPCNRW14DhF3/22D/D92/NE4NNdaWwerfAnOpzXM+PflSeSX4A +Y8NkC5a/IBAhQ6iw7KyH1z8esXiFeYtOwsmYhwPQ9KCZYMVLPL5+3ls7r1XC +vYlX+n1e4fx4aZH395FsuGH+nr/BawJF3P7SRXG3ABbtzL1SkwQq7lg0DnqW +CN9mN8x/wxxbZRF2M+AB7Pg9WNj9hkCQZp+zI6MP5p36eC7pLY6fPrQb+Sw1 +MLDs71rHdzi/vqmUOjuXDB04BQf3vcfxWzkhf+xYJjh+bnsA3wd8fwwJODr9 +tR7KNarte4P5TYD4mRbxLrhylflC1RSBllqXBlfk9sB5B9di/4+4HuBFCfa9 +sWD0QZCV0TSev+Xtx9Iy62D9+ixhmU/4vrv9qZjtYBtMvNY49AOzZkuS3Cxv +J7w1MHT30QzO7+ueG301rQBnNk/vT/2Mz6eWLff1jkp4wPvf18uzBDofFJiY +F9EAxCYESw8SBOoiA5w9XnVANkU561Ukng/jAfmh5Eb4IUhd5D3mxio0yeMd +Ax6+M39cS+F8sda8wcyvGhSBq/fu0nB9rjkYMaeUBUNigw+azhFo3/jEh2+h +UeAymfV96zzej4Fx29tKa+DJo01lvzHLjctJthx9BBUzhi8MfMH3Z0m3qFnx +MrDmx/T6jAU8f54FWV+uJoBveiwjLl9xfago9CVhpASMF60NPvIN39+uLYU6 ++wJAE5v8YYHvOF696pAa2VkDUsw1fkxhltUS7J27ch961Z6paFgkkNROgRxF +gyhgyXvNNugHjrdQilU+PwUcsQ3ZaP4Tn/+Q6u8VMnVQqi17dPsvvJ7w8JnF +rDrIKdwc8g9zXV6V6A3jCvDJ5fGR4d84vpwd7BOsyIWPej/9zPpDoIvdU9d3 +r2yHpRJLqq7+xd9/0lURUBkJwz2E7DX+EcjcZu3LXYoPoMuo/CYhFhIppZSu +mae6oKHc0aefMD+Qrgs0SOuASnfPhjUvIdGylyorJx9XQaHJa+qhrCSq8zVf +8WB1FPipEvr7LBuJ7Dl+neosbocvInOqFdhJNDRUf1P2QRxonWl2YOUg0fJR +tt2NYW0w48gTsRHMVfdTbK9ZPoS+KTPjuUtJFK3k+8dMIxNYf10ScZ2T/P94 +oqErfFRzGYnEak+OjUrUwS35Cn/XcZFo/89BthD5B5CH5VjtLOaLp7me6GzL +BISJhWMrN/P3g5VuEhE8JHqtdd5bD8fXSu6wZ5bLSZR/i6dBWyQTRp/Pjdy5 +gkTcqt1jduxt8BqExzh4STQpuDbnw81KYCww8m8Ms2/BKe4gow645/LnugI+ +Ep2U6gJr5XOhyEPWyzdXkijkumuUVGYN/CO6Tuo4P4ks/3xs17G6Dyfdd7zY +sIpEGq7JSq8utsH7w8eiKczJLzMvESZBMGfrOa321STq8E+RPJhZAgP8ri+J +XkOioo2DRm/v5QO7F2EN1gIkWhWggQQv1UCt3XlOSoLM8cmGtUgvW0uiz1t6 +M/CVCvJ+HHk5gdkrtyA9bX85oB2YjSkWYr7/OIHtuKcw5vHLTveD80Dt3Dq2 +E+tIdKPZctm+8joYr6XYtEmERIdXsXy+ABrAjWxNl3nMeeU6TRqt9dDs9zmZ +jvW4vSd7nRtL78F9p9xfx24g0Xjsnimv+8FQtCw8znYjiYJftgsNGnXCJZz5 +OntESWTCEffzU1w9fGfRys6zidmfzobR5hf/xfn8xJUyMRJll/w03LCzCQY5 +sG/1FicRx1C2QsClNuj4QOSNngSJvi/fVXTkRB3QXb8zQUKSRG2ljmZzVDVU +uKZ14ut/WJvXInBDO1w1YLn0oRTz+wvSN1oSpEl0fQ3PFIdmOhzzirjqsJlE +MRoWXJ+KG2DDeP42IEOiNQeGYoyuVMKkHejtii0kathgxl+WXQk8gsYSX2MO +8hNR2vAIQot3xMnKrczvHwIcy/y2kUhbXIYr62IRkIhdjwxlSeSnnZWh5ZsC +OcidbtLbSbSX/ZRKj10G/KihvX0R86lif/HImCbYk271vkeORFd45F/YmTbC +4sUbycnyJFL7unKud+c9GKoXqX9JAe8Htg61eatS4FRUwHVgB4n2lb2unXeM +gHpsbW0rFUkU23Ji9efgWrjL/On1t5jPR8XYjUdVQsFaUq5mJ7O/iyuWTt3Z +RSJ8wVaN+9sGn9lsSDXejc9/7zpHnZtZEKJdhluUcH8/2HhEvMuAaULHeX5h +luOJY9/Jkg29Xc7f71MmkeDk55v+x0uAVe/NG2kqJKoeWBP05XQ0UJOIUnDe +g+ODiNKSr2ZtUNqj8OMhVRIN123vEdSLAFyjbWmr9+L9Mj0UcUq7Fn7ePn7q +A+bD7kpmVyRzQH8AtbwekOif4OIa8fexoPz10o7Affh8pOvpaskkgUiVjbdO +7yfRX6EE1mbDYOgauVtR9gCJtgvVHamTiYKnZo5/+oOZZ7ecI+doDFA+Yp0x +eBD3f99zDo+SAiiccss48xCJuvLCZ6M3NsNfC1G8rodJ9F7mvJbAwQrwSqeo +U+0IibKkrDgtY+tAW167h6AaiVqrR2/52cWDrH/jO6cx162vrNFrLIH+JrSZ +RnUSlXU5R+iNl0ObSs6sYA0SPaYIVq/XNfAYt6jpmaMkMuvhfXxIKgRsPa+0 +Uv4YiVJstsf1cWWD5VDnIYsmc33INRduP8Z8wZHGc+pNNhi65LE7RwvPT4jt +0g2vakB1V/TsNW0SaZlcd14wyIWxosXZR4+TKOfIp5/aNnfBdff7p4V1cHxf +xc/jJdYMTYcn+D9jPh8fdVWdJRrs3TrXDXVJ9E02rMjFOB5s8FvmHXaCRF+5 +hu4+T8gF/56LKp87SaKNX09MTa1JBW92KZM79Ei0VTf3y3hqG3wQqpvLpo/X +YwOXRcz6GJg7dcF8VJ/Z/8ADnqvzDUjEJu5zwEAvFDgkxPS6GzKfH58r9tE6 +RSIR39UbmqoroJzWA5X1Rni/i0qcOOeWBFdmP6MIzAWaatdOGzTD+V9zeciY +RG6bvrKWPsiDI4ZcZyNNcL6xn/AM2RgA6ko3CZw3JdHozeokYiwHJixV6dt1 +Gsef77l8q1hDwU2LE35LzUj0W5+v6n0drkcabFTHMTcPc+tr9+TAA/y35wrN +SXQt3FM0hyiGYg6xBbfOkKiwdszM0rsQsD0osdA5i/cXq3LSD5dY8EGkQ1DU +gkQr0749UShuhg+vPu+nYX6zKnj24m0ICvvn/e+fY443WJobxFgy+ZKX2JcL +ViRaP6zTl3e9AJ4YVylSPk+ig/y39nn7VkHFHSctuaxJ5HpI/7aJbgZcE2Qr +9Byzv8UrmRsRtfDb29uDJRdIlDnzj12zMhKM740LuG1DolrDqaNyHyFsiind +d9IWszUr+fMuhClEx4KYHYlUXQ/t+/uuDtzWeFH8BXPMbd3smMOJ8Fz6F6tO +e2b/Di9yr4t3IJEncdT6pU8NlNQTH7a7iPt7U/uO42gK5CzaE6jqSKL5WOss +9qwW+IlV78DySzhezn6QeOZcBR+Z2X17iVlstDUwLCgRltZ4lZZfxvu9p9Tz +3dZ8GL4i3trHiURL7ePNznVFARebMhEDZ7yfpys3d66rhQao87GkC7M/u4Ve +3vuG2WylrydxshyudVk42H2FROwG1iMf6wrgjx6exURXfF6afR58eV0CXohL +lF+8SqIZeE/hpUMybL2larPvGokyxt4GKGxrhRkjehv43Eg0dnbc8I59JvTd +bj8yiVled1deumg5tA7wDq66jusBW8EX9vMQaryOP+zvjs/XTkNn/c3+UEal +/MepG7iekAw5PmnZBLkjuyo23ySRix3HvfBVpWD200vbH5i/KJnln76SBQYO +f9346BaJHN/d2j+v1QArkpePpXjg/abnSCXaN8HoBYnQy564XrK9brRHKhJe +09mrdvA2iRY3PL9mEeoPjPP0f/F7kSgwSXPpIZtouOeffdU7zL4/rWNFlhQA +ERMf+1pvEjX17T7ywSsO/KlI2HTXB++XdXYWXJ2t8DVXxVMTXxJpahf5HnGq +Ae1WD8O2+pFI9uXPj6Wr4mF28yv135jFC/ZRH16mwTtrvv3u92fOv92lFTXp +d0hkaB4Q3lUaDrS6JC+6BOD5FrguNvAzHciKAvEjd0nUF/b5l0VMCuB1N5hY +E4jj5bfEwFxBCGlDDhFTmM/K7B7ZX1kGH2/xPdpwj/n9Gt/Ev/eCSCTQkXo2 +dTEXxj2vqDULxvEr93fji02ZwH1Xt+P2EDz/l72m58cq4enQ1xL/MOsl/FS/ +kF4M9019ezYUSqKjFuzQa6YOiB7gjcoKw/mJnU9p+lc9XJIgpXk1HJ9XYOPY +5pcB3tEAi0YEiRoff+VnGyuFnZqG9WsjSTQLLFt1SzNgftbFy58wLwZvX7Fc +qxre++Ur1RzF7O9Fw6QXIdF4/DI5h1qDq6BOaWX02RgS6bMnlZ4oqYPyS3u0 +FGLx+SL4S1oGiuAqi8klrHG4Plvr1fK3AMGF+u8NTzA7x+8wFxKLgGMr+Zxz +40kkZaeetC6oCDbYS2++nsBsL+n+vlfHEpnsIXIqdl0SPl+bl9ff/V0Mzl51 +PD6LWYtd8JJvYS042O/H1ppMIqHzizJ9LlVAXDq5KTwF75chche+HUF2ryoX +y1QSGa/VXLu5uwp+fNojszMN16vDFzOc5vJBj8Kb1+zpJDrd7DQTJ1sCi+8t +xo1htl4sk5FzqoGhb/l0CzLw+Th440+5egJ02ruZ42Ymzgd87kMXH9VAvZj9 +UDsL13Ncr4SuvaqAO4lTrhuyScR7SVZyhWEhENC4tJXCfDGm6XV5bwr8nub/ +pi2HRHfUD33/eTIMTnxPTojKxesZUHioFEZDeLL6hHUenk8pY0PX+1UwrbB3 +qVI+vj/IcwX7RcRBb9a3LZwFJBI1tEvJNk8GVmY/rk5g3mWY+jZcMxeq1ayU +LS4k0TvX02pDWcVAeoXMO48inK/rqtlWXc+Ay2wOJOkWkyj1rV/sqGcznGk1 +0ttUgs+PkNmvrxYVsG/t5WXzmFVXPNuemlwBypzvoAelJEoHYk+uHMuFkT0p +brFluJ6fgE4iEc3QVbxmu205iXpqniUf+RsDT9169F6lAuevbdYiYw4FUHnk +bTJ3JYm6uxyfXpvMBcLbf+q/wJwwWiPY/KYC/rrDz11WhevJbLEVxw9WwJev +ZNq9qkkEeMJuzkjGAqR80F2vhkTTesk/c1QKYGaEsbxELa5fFCYrJ843Qb9P +l6cWMJcv/cXecSYf2BwOSO2qI9ElfeQ0ei0CHEtONUyox/GY/LXuuFsu3LpQ +w+PQwNxfy3X67u9tJFF8X0QObI4FZO67GyuaSBTF4bejwaIRDv39qfC6ifl+ +lfGq6YpmEql0ec7v+pQFYyq2pPtCEnH6rddu3ZYL3bgOGRm2kMjHRKs6Wz4Z +mFiZrJBuZf5+b7NTx3fMr4bnE8qeVsINa+7e6kEk2uLunzRblQz+OaYpJreR +KFHC0v0KTyx401n7ybEdx7tx9T7pB0Hgwcb+jP338X53cJBUCK4CudffG698 +QKKFrB9ifeGZ8O7QL963D/5zHxMrMGiqgvZbVndVd+D74ZMR5+Lsaqjtu9Xz +Tieu9wxVL+VtKQHbnx/aZdzF7N/KXaafZR6SSKamuS+MaIHzIc5ZPzEbt6Ul +XboRAkc+3DXt6yaR0XtB8ac6ebBuf/rKtB4SWTVZ1s1klMOE+LqHTr34fhhb +rm/xPhLcpPXfPvQI7we3M9uvZWdAc80Pu1f3kehZT+u/U/kBYH/W79n3mOPX ++OYMCVfCTb9W59T14/jrZNLTfLUYshpuMwscINGfNnVDS4lk+KHk8KrTgzgf +LS7w5ZTlwYccp3u2DZGIjHu7ZHZfFSw86+L9Z+i/6pH6QOXBYTyfme7KFlO5 +8NLKDDLjMYkGjAv9td2q4An7+twrT5jv77g/YK42gvN/yI7vIRcy4WqRqdWC +o/i+cPonm5pnHvzq+qf3I+aayYzM5r0V8GnfGt/GMXzeinr6Pq6pAk1SsnuC +n5IofIfJLpPaEphy+wjNfBzXd0ve6rYb1cHbT0/ny02QaKe0gk7w03p4TuHK +WZZnOJ/qhC66/iqCh+/dE3iMeTDHlYCtoVDybUZf9nMSLZHMeHgkIBQs3dvg +d+0FiUJFDIQGTxeB6ehB1aMvSVShJ6ieEVMLemen5oRekcjuQr6PD28lLFH/ +WzCDuUuR/0CQXiIISxM4B1+TyD31h9ZQYwVw+S67NmySRE92uf6xVWuEBifV +BizekCju+suVZ/5lgN2FZnd2vMX1kb8475lPmWAtqytge4fb31yT2WV+F/w4 +HfRlBHOCl4CluUk+fF6dWZT3Hudbw9MdORUZsGV5o6X7B7x/XY7eaPLwB+kX +hoS0pnD+Wz4ikm1ZCX1aPw6KfCTRo8mcd8n8SfD82n8BBOa8UoWLKTMVUMNZ +cD+aJlHL06kyXp54INOz/WvEJxyfvRd1L6yLhtzi6iVWM7ieWfeaY/fhdDh7 +0/z8rs8kOrRj429VsTIw8MR13dJZXP8bKj908qiBFbLBw08xJzr/fj19MQFE +3ckKLCTwfnvzMeynaB28+qrxwC2SuR+MlIe/HadwPs5KfZ9dXQhVIqZLN9JI +FOAdl7tCNhiIfPpnTaMx3/9zaO36+3MkUn/wmPfn7nD4OknuSfQ883n7F/Wg +C19wfW4lxhoVkwmzj585pLxAol/+UsfPmWWBO7lXF5d9xfXa9umtkUsaoO3f +4PJnmNWqv+r6i0dBTeNsm5Jv+P5iIVbW7wHhtoqmDbe/k8j8Vvc7RclyuILr +8ciJRXz+FJbe24/vmzTLT8FiP/B9+WGj0pnFJvi4ieXIF8xjeZNmLVQeqFkt +9LPjJ4lOvMjdKbClHsY5ylfG/cL1J495ifNCIHDv1LCz+43rfanfnNczquHp +jWdFVf8wxwOuXxvj+Uui49rfMgZqquDGoZDQl5jltl89FXK3ErJsyVEr/4fj +4e0gWpxREXjr0/zLm4VCpsmT38+/TgWdzx5X6S+h0KxRZNmf8VCYv3PGXpKV +QiGi4XqBihWQ7sNRKGhjCntidT6k+3AUukUaeNrMl0K6D0eh6TiHPXxLIgDd +h6NQpv9G8VMwG9B9OAqd6LAJnWnPBXQfjvr//tN9OCbTfTgKNXB+/PLWvZTh +w1FodP2XcCmDKIYPR6G7eyXd3UzzAd2Ho1D5oblj/d+LId2Ho1BlVPYaWZcc +SPfhKJTUPaSSv7IW0n04Cl1xmU8X9WuGdB+OQifrl7kQI6UMH45CdmkaB0QS +Sxg+HIUEhYtjeFekALoPx+wv3YdjMt2HYzLdh6PQQ9qPXyr2dxk+HPM53Yej +0O+JsC+/GrIg3Yej0NWjrpkWV6og3YfD4+PjkwrnrmH4cBTSVPwtITebAeg+ +HPN7dB+OQlF5/q9m+iMA3Yej0JdLM3d3ONRBug9HIXdKZHlVcR3Dh6OQl315 +R2lOA6T7cBQKW2f459NYI8OHoxCb23lbmfPlgO7DUWh/WbC31dlcQPfhKCTT +N3f44LN4QPfhmP2h+3BMpvtwFBre+PqpklYSw4fDfEfM1HewFNB9OAq1rrvW +r5qdCug+HIWU1bcFb3UvAXQfjkI9Z7seCX3MAHQfjkIDy/6MuivnMXw4CrmG +0ebvS9UwfDgKRTyxcchTLmL4cBQK1tQai87MhXQfjkJanFo8RH0Kw4ej0Gsp +herGFQ2Q7sPh88LDoyK+oobhw1Go/UzZtvvn4xg+HIUe/GyvS9NKYfhwzPHS +fTgK/Xr/UDl1TRXDh6PQ3Nond7+SVYDuw1Ho55UOore6meHDUYjm1xxi5VAE +6T4chZZd+M36laMU0H04CrlNizx9u6QC0H04Cg0dOyAqL58F6D4chS4/VNwZ +E1fK8OEo5C1mGaHaXwfpPhyFer1Snt+TzAd0H45CXdPbdDWb6xg+HIUEAEdy +GpHE8OEotCVbW3PEPR/SfTgKxRypUuDMKWb4cBRKrznw6q9rFaD7cJglCmyo +M1WQ7sNRKLJq9++v1eUMHw6Pp4bt/svfkZDuw1Hoq1zHrKxNKcOHo5Cv6mZB +D4EYhg9Hod2rhXaETVcxfDjm/NJ9OApFN6iwmrZmQLoPRyHZ9OEgtKeW4cMx +36f7cBSaWeow5nAqm+HDUejgnuuRxlG5DB+OQiqcr6JKaFEMH45C5yZ4eGR/ ++DN8OLzftgwZOD6sBHQfjkLjUbHbJS0qGD4chawDw07nTaUwfDgKdS89Obek +thTSfTi837iM/2Svb4R0H45Cedw1vUnOBQwfjkIGOcXVktuqGT4chc6Mrf63 +VrwO0n04CvUJHFe7rZHF8OEopF2V/Yi9K5fhw1Go3reqa3FFHaD7cMzx0304 +JtN9OAp9lB+Xc3pcBuk+HIWuWanOv3oZAug+HIWq1ocnXeDIBHQfjkLHOGcK +ragahg9HIYcSdOSxbgqg+3AUOhr8Z24gOxTQfTgKJcRef/BbMx/SfTi8PlYi +5ZXyjQwfDp8HretDHn8rGD4cbn+2aYuOfQTDh6NQmnDvXNnbIED34SjkItSu +OZBVw/DhKBR39snL+7HhkO7DUajfJmk357s8hg9HIauMR0bvAvMh3YfD7c85 +cVfLJEK6D8ecD7oPx2S6D4e/PwTOqARmMnw4HI+KVO8+UM1k+HA431X+S9oH +IiDdh6PQhpolS0N9YgHdh6PQVB+lKj31fz4czpcuV5ylr1UyfDgK2aYqLtUp +jAV0H45C/Ivm504eLId0H47ZH7oPh+N5ek7Nraoshg+H5+fhQJooaz3Dh8P7 +Vbhl1KQsjeHDUejwrVDNAf90hg9HoaLmuCfNltUMHw7n/2Z+O+FbdQwfjtke +3YejUOHWxNzinExI9+Fw/uGXPHfrfgqg+3AU4vvg4OxhG8nw4ShUttzaIkUq +EtB9OArV+Iz9PjZWzfDhKJS48z21vbeY4cNR6Obsk8gh9UpI9+EoZKh6P68q +LhfQfTgKDVZLalU3xDF8OArtGr6AOtvrIN2Ho9CpJu3wVbj+pftwFFK74GOr +EJ0F6D4cczx0Hw7vj7QSNf2n2YDuw1Ho4ou7kxyHshg+HIUCfIp8b5hXQLoP +h/tPVKZ+qYgAdB8Ox0t5aq1BZxqk+3A4nspLpHzbEsfw4fD6SQcnXV4VBug+ +HD4/HIpqd7YFAboPh9fD98LIo1VlDB8Or2ehxlxWZArDh8PjvT9n7sWWB+g+ +HLP/dB8Ox/ehdUcX+RMYPhyOj9tpYe1ydZDuw1FI93h4rF9mDKT7cBTac/Vq +p29KLqD7cMzv0X04fP77vOyevMxl+HDM53QfDvfflBU1vwtl+HC4/qoLaICP +IiDdh6PQ5LJZFstrQQwfjkL5XodTVilVM3w4vN87hFUvGNQzfDgKFSuYxn9S +CwV0Hw6vx5cy6aUncwHdh6OQKI+qiYdIBMOHY/aH7sPheLLnziMRv1qGD4fX +f6Kz5pdLJKD7cBT617XmnZlMPsOHo9DzI+dd12jWQLoPR6HPur4gXCWL4cPh +8e0PM7cPKGH4cDhfDnLrQ8sEQPfhmO3TfThc/65MGdfoSWT4cBTKeebw6a5b +JcOHo9Cfaf4FFadsQPfhcPxxu/Xeb009w4ejkN4BER+PG/EMH45CL5aMJDex +FDB8OFyvcB4ZmU3LAXQfjkKwpKLNfmU2pPtwuD6ZStTxL6yEdB+OQk2lPpur +jtQyfDhc30nz3pJBxQwfDu9P9VgvLZF4hg9HIe7gcjme/RmA7sNRaGsxjwzx +Ix3QfTjcXly960BRKsOHw+cJWsMug1BA9+GY80H34Si07qWhpvHpOoYPh9f3 +qkShqGwDpPtwOF7udt8VwNnI8OH+Ey9c83sXChk+HPN7dB8Ox/eMI8aW+ysB +3YfD9cA4aty+KYPhw+H7ya+orVvbIwHdh6PQYiXiXdaUBeg+HD5f6dISE6qV +DB8Ox68uW9p5uWKGD4fPr8/ipuk9RZDuw1HoZV7EjqnkSoYPRyGFwg3wh08R +pPtwFCJ0+yxOKIUDug9HoTpECz6clsXw4Shkvnli9fftWYDuw+F68Kv/gn1o +OqT7cBS6MX5RVMw7keHD/Vc98r8+HK7/89cZt8lEMnw4Cn16q+ex90c+w4ej +EKe2Bf95IhvQfTgK7dPZGnXteQWk+3DM79F9OBz/ZbKhgXYKpPtwFNppsiOB +j7cI0n04vN4ycGjxUj2k+3AUMku/OjqXWM/w4Sj0bjrhbEFWEsOHw/lyL/eJ +gKB7DB8O129RPD8O8sUCug+H61VZ6RzzoHCGD4frOaQYK6tUAOg+HK4HXZzD +L7SUMHw4CjUqcPeP2eUwfDgcf+u4Cpfi80n34ZjjoftwuP553GZ/600ZoPtw +uB6XElrj/S+T4cMx36f7cPi5oD2rg1wRw4ej0N9P5Ww7NkUwfDgKqe8e32Rp +mM/w4fB986go2/auWED34SjUedLlVsPBGIYPR6G9PtY/u7LLGD4csz26D4fX +zx+XqTszId2Hw/ttk+sRm4P1DB+OQlyvlU74Vd8FdB8Oz1/ZaaHrAhkMH45C +YxHzIcqmyYDuwzG/T/fhmEz34fD9FN27XN8cDeg+HIXii+5fdWLPBXQfDt/H +9vUe+fg3C9B9OByfzLZtA9/zGT4czjenDs9/kw1k+HAUyn63gjuwKgjQfThc +vw397eOva2T4cLi+6B/sTOWqgnQfjkLVxGgdbWUGw4fD44u/BHVKsxk+HLO/ +dB+OQmsEl1ZKKwcBug+H6+W44wIcN0sA3YfD9++8TZyn3+QyfDjm7+k+HK5X +0itHhu4EMHw4HG+CApdNeJcCug+H80WQ07cTy8sA3YejUHKMKMekQQag+3A4 +vzgfX3eczGH4cDgeupSxn2tNhnQfDsePZa75SlvqGT4chW7vvrJEgv8OoPtw +uH0Vl70e2qUMHw7PN5dd26ZftQwfDtfj8cEZ1t8rGD4chRbc526boEhI9+Eo +ZP/2vMTSwEKGD8ccH92Hw/MvmuagfqaW4cPh+4emb0Ld0kiGD0ehII2mZ06x +xQwfDu/f23N7phpKGT4czl/vyTyom8Hw4XA9rrOY3plVBek+HK7HFvxedTyt +ZvhwFDqkaGN71D6G4cNRqCBHufW9TCjDh8PnsfmPzrL2IoYPRyFJe25r8k4J +pPtwOP4EObKfnquGdB+OOR66D4fr3xBHaHioEtJ9OByPo/z4WnH+p/twzPfp +Ptx/1SP/68Ph/JqrmSoSkMPw4XB/GzTuy/FEMXw4PJ6s16+XPchj+HC4XvLe +nxRoXg3pPhyuvy7l7H/skg/oPtx//X3kf304Cl1J8T7QsO//fDgKbbTmRU8X +CwHdh6PQ97fbz7Q+KGP4cPj8byXXsVzPg3Qfjvk9ug/HZLoPh/OlpsWZAu5U +hg/HfN44s3blchYaan0cfs1DrxJujc/+fBVzTZH9WQd7fD88Iv/wJeaA4IKY +tPlMsJzWlKWxhPb/v/dM0bhdjjnP86DQm8Z6SB57bCrEynxu8fXMbh/Mswpz +LBwd9XAo89PKGcy0vLurct/lgkO612b12Whoq6WwzrfKbFD1k6W7GfPBmAX2 +4V2VUCI/JFuSnYYual9c92+wAsQYCHmFYo4SKOV5djwRcLDknP6Gea6ItfAV +Zz50K5FXsuCgofY3bmrr5mrgR5Nm/m7MDsOsux7wRkITjqOEwlIaejmWt1n3 +YCzsrXzcnYjZ9vcM9+6lUWDv2bM5rJw0ZHQZ5F9rTwQl3DNeFzmZ49lQf81s +BLOobHzf5rM4P5xforxvGQ3db8weXD5eDf/xha7Kwxx8I+WRhlc5dIZCJC8X +DfH2KzScESyCb+xyeq5j1q5R35Ugnwn0BRRyJzHfztAeUngaAx+0N3trctNQ +25NvBV2HIuCuy0fNq7iZ7eeue6IswkNDN9mSJeZgFhB8eHa1P+axuS2OAg21 +8K7rDDmLObfpi+Df1Dq4KOrWe2o5DfW5lGkXpTVA+74lea2YhbtTRwN/FcBn +7qE+m1fQ0NWUIKn7TfegtpTwmQjMjqb9+YmVmRAO56j8wCx0qEe1O78Qbr+t +sMaKl4aO7bScVioogmlbIdWL2cbqkHtjaDZc+fToo518NDQkeL/Q5UEc9PF7 +kpeC2fscy2ZpuTIwL2/hy7ES93f9wwHa5iB4/sXMmcuYz0+GkVWnA+BIoNue +p5h3e15QWLWkGKjvZhU4yE9DunLGrYdP5sG6N6G0Asz2vwYODgQXws1hwn38 +q/D+PKfF5rOxCSao5ubfXMWcL66PCn7vMIsEGXd/WB4DbkbDs8dX09AfKZvH +e4aT4OcDx1RrMXvt+s5lLFAIzWefCGxcw/x9f4LFXABmt5KBDPkTVXC/+uc+ +CvPTVB8VsJgLy+fcCkwEaKhxhd3lPc0hcFMaq3+7APP3kVphFlsFacigTuzT +WHEsZP0uvDcac1y++qfFimLgmp0r+BuzopZ5fnZoHvhwYse89VoaCk3Qnk3Z +nAmNfsP+fsxV8t0ZUu/C4cOCY4VKQszvq5wa8U/HrLSXI+vLjghYuOTcuWXC ++LxFnbm5H9eP68o+73XBrFGiHpGqngqDT19f+wxz+gLfwG2tFPB7KduXw+to +qLNzqfbc8XJwqTpsoBjzirtV+1bszgOvLNYVrRGhIVDy7U/vqjR4YnneHU/M +O+0Ss1J3x8C2hh2WU5jDAjiMuaVSwY4LLeDEehqKv6Xcw6kbB7P4NYUa1jP7 +u7p15MumDTR0xuPe64A11dDf4dzgvQ3M518FZ4vmMRvflrTWGq2BNg+uB5ht +pKFLBdbbtLZkg6dObFYdmOdjuqa92krhsfXh+7aL0lBxqfYVxc5I2NS9TjgO +82aJX6cHz+fAbdfyFv5ibl44oMuP81+KmOKQ7SYaCimXyLwrUA5XDLQUD2E2 +YO8eajMoh7dvat7dI4bnz71ix6HqDEBJj1plYW56tSX7+vVqeO7Juf084jSk +TO5QDM2rhsNes8JXxZn9Pyzr/vUFZgcHpXsLsiGwepxtWF2ChlL6Uj2jpZKh +5J3wkjLM1VudttCiMkDsDpHAtZJ4PcR2OAhG1MClr/LOe2NeNaE26/cvG14P +UjzwCTP3z8sRy+cq4LRS6zp9KRracc/5t5hpLDB9p/mtSYrZfm/46LCENI43 +eypl1xnHw73AsjQE86/S6coi81RQMj0b+BXz4W1f/6bLRIMNse7WZzfTEJvf +sWx5qgyGH2I/+BCzDbFfK/BHBmAhw0UUZGhIj3jRlHMqGLgkiXxPwDxUthI6 +X82AbzXyHy/ZQkMfTcx9EzSjgMEXxTIHzDdPpvyYYsmAHemt955sYfZv93Gt +C2ArDQWJ7uJe8MgFeYujB3MxBxvpJj3PT4Rrcy3X827D72uW7bU90ggD9Yjv +bphz2Md73T0K4I8/7k9eYy437j3roZ8NHYrYy4/J4vkZ9e0xTkqDz40igiox +63FePyrypBAeZ1tvs247DW3Id22/qJUGWsrzD/lhVsn8rTPWGwvlzHdumMX8 +wVXU1PpZKUhfhhYN5WjISUp4ZsXONLiyVmukRY7Zfx/LsXJpeRpa0souumlb +EZxfYRUcjjn/77fEU18zgXUTYbOIueXGy5Im5So4anPjsKUCDYn9nnuVvrYE +aqzm2NiLmYX256zZkzRYjyJ+KO5gfl/Gcf1oMmYno8N/j12phYlCBRXsivh8 +B+zSGM/JgdydO0MuYb7hEGhEGVXCWy7IdgzzC1JvsWAsE85u0D5yYCcN9V83 +c+aWLoFnesc2Fuxkfn/Azernyl009Piu+o0uhSp4QIIcvYFZO323orhzNqgY +vFH5FrP5+FpFX/k8IObBEaq9G++XK3Hxk6y5IEom0q4Gc4Ywf4icwz3INrpe +bYMSDcVwanVPejfCqz4FogGYx23dFwa8s+CH7bt+kUrM9o2eoTFjZZw/y0+s +tTNvgg8DtKvaMGeVOwfnbsoCe3Y+Dd2igusDa32u5a2RoOi1lX0U5mhVbnfK +oAKKhJBqv1SY3wtRubnJeg+OF+svS5TPFsE/7zl+92G2mXG870ulg8uRkU93 +q+J6gRDeIBlTDV/v21Cdhnnd9jDeg58r4cmZgjDOvXh9GkRUavkCYXvcLgdn +zB4potvUurKA4pE29QnMPZW0Kv3tOSCb0hY7DGjoAhdM1+BLgWtSnv4uAsz+ +3Dl2fnz1PhrKXGG/8cOKGPBtgaz2wFwyunROaEUhtM28Gf4Bs9DPKGEZkRo4 +rrP0ou5+XH8QWxL1TJOh5s9IjXrMsOhphMJoLWzK2yC+6QANdT80IGN3VMBt +BoV/AjHbXTl+LvlXI0z5t2tiDnMpP/eI0a9suKKkreb0QVzPbRJYKROQArxM +jkc8wPxX8JIN6EuCNPbxi7KHaIi0ErrzOSMCWlaePxqL+XLqDq8A+STw+Awl +/hfziPuVTfec08AR7lt/bQ7TkIzuppVL8ytgTd3SZ4OY/YPfdA0IZUOp81G1 +KkeY44/j2xiZifkN91n3Xb3lkBMWOnKr0dC+XAG50OPV0N1u9zFXNeb7n9a0 +S7zAvNdo+E9sfyU83X78n5o6Hm92/B5WzQLw6NL4s1LMgXFtBx955UOwzrpO +UIOGUr9Pr5SxjgelXVSkF+Y/Ic4RD2tj4EbXW5emMXekVmu7K+SDcFFOTb2j +zPZY+qIkm/6LXdw3skgcoyEdrlxi649o+Fay6HkwZpGzcS9SUhug4fDu+oVj +zPc7PdujzmgyWWmrzuUuzO9thdx2rWyE+WPjmvJaNHRIJXLjkEUmEPKzlkrA +vPB1dfr8mkhwT57GskSbhlDxt5Ao3kLw8/mtF/aYb3Qo/e23TgQXAzkbHmMu +q7J4bv02HbzYFR299zieP+Ii50hnFNR5s9EpB/OxtolBLpN82BpapLVCB58P +FprxI7c6KK+qJO2GeQqYT+rL1sGMqfYlrzG/Nrgck/q2HPJH67w8qktDaySG +nkcczgO+ByYaKjAXdIOFDtZ8+OWzdYzwCRoyPX77pHxWMLROoDn5YraMfmRW +TKTBUTUP7c+YeT5P2p06VAo15jg3G55kzkd9ajRrC+aLGvETfTWJYIuW6Csp +PebzpG9FjWGYj2i+Ed6WWwt5spViv//Xc48T953P6dPQ3aY4heBVmZD4pXO8 +B7P93T1nn6xsgmcLJjYrGtBQcrxHz3R9Hhg0vMCWjFkvj+9r19licHDJ3Cs2 +QzzeFx9yJUziQGWpR5MjZpmVFhqnk8ug+OllcaOYyyJ7BV+5VcLopTEu+09h +FmBPNPTOBuzVojr5p5j9uWZRLLPSCO+3dWzBAZfC4RSPMvsNzLX5C7oLIWXQ +uOH+6zeYnUs09tqnVMNua91mLWPm7/fwP4urxmzyaPd84toaWNRy4cp6Exq6 +5r3mRLt4DBBxmNO5Y/Jf8UzQcwuJ+WFK0x2N6nDw9/4yDmNTXO9Ymlk9X58N +nZxiJpEp8/1JkU1Q5jQNVajuDticVQD0uovjIzFz2awFThzh8P5VZdefp5nv +7xR7oHvejIaG3T/JjujfBTn9ulv7MG+xMp4UPlgNBW4+49htznw/QNrmTSrm +bY6RW6Y1M+D3x3Nw6Rmc346uE7gpUwHtvDwTnM4w35/YxnV1HPOPo/uRrmUs +1BqPOXHoLI4XTyWHr7vlwWZ/nDUxK5w8WPhmvgLK7ihZutqChiQW2xe22FTA +1JfKb29hdg4TWulvWgJ5gx60vMe881T9y77TOcBL6USizjl8XxTweix2OQXQ +3j67Wof5Vs34Y5EzCcAy3OakqCU+f7+VeQMiisCTvfPbAjF7C2sIJ1TehWrT +npxzlsz+1sZwvTO1wvWhT9JT3d5cKH0otvU+5hmuT7yzZXEwntiUtO08DclV +BEmjmGi4LKnkWgxm/bMfr7G/qIQ3NFT0/mDW5ohbOV7WCGfmH8jaWOPz/MnS +/m98HDRLP7FsEPPtsz6dml1FsE/7+TvlCzTEoRP/3G64HO5btEEZmJ9Nm12e +7MuAZTnzSVw2+P5pzHfPgb0Uiurddrtiw+xvxB8u/eeYOS9e6Ja9mwGXFMVu +V7PF9WzakMuBlEJwxUiMqxSzS6GX8E/eSviOtfS9gB2Oh5ftTLnG0oBhuUrb +bcynXqd5moSXwk6zjuSPdv8Vz5advH7SnoaW2aiqljcmwYKa5/qN9v+p969d +le3MAcKWtnLiDrh+0jNb+PMtHAat+MIVjLlxvPnN07FG+Kvx9ocvmLsVuc4L +fI8Cjjbc7eYXcf4RvXxGFNcDL1fFpXRiLk1y/dv9PhPqIjF3OUdm++hiqUE8 +5p8h7Fbm0hVQQWiPPMslHG92dUh8lSuGmR0d3PaY/y78YRGOyYarXE5ODWM2 +mU6GH30zoN+GF+2ql/F9IL6T9ZZzI1zosU3NxvzaoTlYf1kAuOD2xX25Ew0N +DOuLaGzOh2PiXobXMG/pTHV5yxsOjg5yK7zCHCtttme9YzBsuBXHc9SZhq4v +f3EkqDoSbJER/1ju/J/7dfbTVVQOTBopvS/kQkPux4f4WFMLAY/PnjQfzEcH +vq9vFU4HHts7b8xg5vUI2vfxVyYgJ06eMriC42PY3pFts6XAIuCFAsT8ke3C +twfHM8CQot1yKVd8fhVu7UuYLwCHXn/5GIo5pjQuWU08EVQFez34hlnXYbFk +uCkPSKjwpFtcxfXU/siALPEcEPM+7mY35hW7HruYSWcCjkhxox3X8P3GTk0x +aVUFcNtXtiMJ8/LVqSV7P2WCj5/2rGBzw/VmkfHWzMg8YBLXOX0Rc9LaaVl7 +wwzQc1ivYwRz2fc3AsMny4Eq9SJ933V8P323tXxvYxooTra7lYf5Ue9Q5k23 +LLD+2IIRnzsNLf4Z4i8wTAOhC16K7piVebTUQxdLwN8MHt43mPuyLxzqfREH +nHTiP2neoCFN9c7guuIMMPlDvLMK8yfVsgv7eHKAXl5ZhshNHP8nvY3EDULB +A31VD3/MHq9ND6mlZYJd/zqNCcxlpl05xtFlILdYb6fRLTw/1e7+asr5QNDk +JS/CfMDGT9xwPhXcZbef2exBQ/KjMqqSQflgsWKhMwLzARH2F4kv8oD9Ge/M +H5i3WIRY9Mhmgmdcyz2tPHH8e2zg2xKeAbTr4k0eYQ7y97H5GZ8OoJXErl23 +acjzl1TMG+ccsJ2vnC8V873f8/6X1fJAWrPqZw4vXI/3Pt7r2p8G+Oy6ui5j +Vu4SGI5XTgHea/SznmJ+XfLNRpAIBHNtLz0PeuP7Y6X4crV9+cDqkr1pIeZ9 +GraV1bj+fCL8ddcqHxpafYUjynUqG6h1ea+8hflMVsrY7dJ8UHtl+ew7zAHT +X417gouBtGjCw+O+eD+rmF58diwPJDySyK7F/M75j7/++hzA5V5+e6MfDUnv +f57uppcDbkruPX0Xs9DfKpEyz2zweahrNw3znMn7/VZXs4C5pz6/qT/eXyuD +L1idiQT9W17NtmPebZh5hScmGuwfs+/eegffvwndyJe5haDc92t2NOZw2w7/ +53EZYJO8j9dvzNU9I+m+fpkg8vlyswsBON9rbRjr00wHrIEJSgOYm+S+2UxX +ZQDXXZKrlO/i/DYmkGKA49v7yXIiHXPpgXTVAd1icCp0b8+yQBr6tjS/TXl7 +Eeja8zDHBXOA6snP3mZhQHlK3/sZZrbn2U5WDuWgIOqV2ZF7eH3OLapKx2YA +4QMOyiWYQ1exDf9QrARBn7+uEgiiIcci5ev1asXgV7wP6Yn5xZiJpKtgOrik +tqJ3CjOve0EkOVQEXtESck8E09Dzj/yeFTsLwIlUSZ8GzDcLp0Y2/80AbZoV +5mIhuJ6s+zox8j0f7Pi2VyUIMzVf/kkxPBtkZT1c/QXzqeyWiWLif5q673gu +v/dx4A2lrUiS0i4a2vuIpKIhLaJBSUVSqbQXDUrZe6+XPV72uLmMKGmKSklF +lDTctxJCv+v9Oaef75/X48X9uu9zn3Od63g/31dORGbjlh87bjfB6geFY1XF +EeTqn+oHdzEeJeUZJ785ivyKMBfNvIP1k5N+o88nEdm/teWKB8YuK1vzzVQT +ycseNrv+Yjz+8tZ7slVRRCtu8JKDjk1g1f7E9vE5b5Jp4D38GcZvhs4j2kfj +yLS+k5uWOOHnxhLnjseGE9+kxNIQjH/8ONpwvyqIDDIiEQOdcX/5cna03NlA +cmHgfZsTGA9rUE3s3R5Ovqdv2f0W4/zzJY3OrZ7EaN+7Jatd8Lx0qe+SgENi +8nToIdkEjDdIt+tvWedNNHJamuRcm2DczvoXuY3BJNnM5uFljOe/Xu9UPyuR +TBoxJLIB44evNdWXqfkS9wJv281uTZCkvie6b4eI9D0y2Sgb4/N2K5ZEhrmT +UwripZPcmyBDpmOAWV48+XyPjLiN8dRptet2vvYnBifu878wnt8kIYx7KSYP +xm19tNsD78/h6NO3M6LJskfvIu9hvP/IoDljWiJI7JlDV2d7NsEEt6YZYpVQ +MmbKbyNvjF2qL87WUwgmd8pslvXywvPf6Gi3gV7B5O/FIXKHMLaSzvMfs8WX +HJ3uIzz3+q9eb24bNE9EPryc/Jh4N8Hk+jlng+/4kc1XxVHhGFsNWPlY3zmO +FM5WvTbEpwmuudbPPTsigsx/e9/4FMZd+rG6jieiSLj9VvIe474hhmZdb9yJ +3ML3ctq+TaD7pWyi3qggYldzqFmM8azUkcabPiWStju/H4/yw/qkbdH51Kdx +xHyZbbQtxipBm0V6ErHkzach179ibHvrC1EviSDr3Xz2bPNvghOl72y954hI +jvoU1VyMG1W2m+bv8CAq38QjpwbgfCY9NCtWuZNAb9WfjhhLjpM7VPvVjwxd +XfKkFeOgA7GnPGz8yBVha8yeQHxfSuKwbdKhRAh4f/0BxvVB14/P3BBPTNZZ +7J0XhPdfG39d8VEkKf/9W9UP4/3nxmzVbAwlq8Js5fsEY3689eH0jSEBJE1X +6tdhjF0GfX9X4xBJpnb6PH2Bcdqw03PEeV7EK2pKrFpIEyScWDLoQm4g6a+X +dCMS4/0Fy7cGrwomZ3stNxkWis8DuVL7L0eRxviS5WcxfhQ8J7PhdhTZtWPb +qFqM78WGuJT+CSKPJT/8WheG86ep30Wlb8FELcXiWQrGl8lDrR7ng0iicWvs +mHCc//3TLmkP8SfjB1+1u46xyTUv8VBxJHHJlNr3A2OJDWkP+u0Skd77fdW2 +i3C+rjHZ+assnpyQnqqQj7HfIqIPNyJIXW5Si3IEnl9Va6/s3BtH9A4tL3PB +OPFSISgt9yP35B7E/cH4+R3X8fpzA8jiu9vs90U2weHYHYdft0aTqKMf9j3C +uEbnqn72pkAyasxh9YVReL5Qt+x8fyaQ3CppVQjEeOHusY+qJMJJx8mrvyWj +sd6QFq3xmhtFDk8Y+vwoxmsd5ewGbfAh1Y994ysxth3xuWba7Fiy8dzUmxox +OF5BDy0kQkQkb2qyaQzGWyoUnRvfhZC55ctXDI/F89gXm623AoNJ6OUHoy9g +fCjebL5lSRQZPlOvtQ5jD5e/p0KPism1yg/PdeKaoOxM7uk9NbGk5drhhHSM +5w+cKPNtcwQ5MLft5rh4XM+Oe8tWjg8kr6qv7rfH2GJPsWGFZiTRvjVUQ8C4 +/ZF8xtvVYSRrkd+YHQlY3xIXlb7TEsn0j1PbCjEeOs27T/6yYOLnlFw+I7EJ +XposObDeO5IMVlVLdMfY1OWhoWNFJLnY8OBWF8YF32dxYYUu5Ie73oEDYqy/ +nHfJ6He6EmONGo2nGKeOWmsboBxEnn0/rLgkqQmMxu3vOITvQ8O3rS0YY23t +y55bJ0aR5DXXKgYkYz6/dLUiEKLJpJ9DxccxnrW//YOZTjhxD/JzqMJYZ56V +1NSP0URyg9LBVSlNYPnqmdyV5Hhyui15ZTzG1/23LRkkH04awtXGyqViPn55 +fHNUbSwx3FzafgljpY7XR1PHRZHSLr0XnzEm/H17O8kgQmJqxJvSmuDpArOl +NsFhJE7f8nYWxp0mP6DdNZQoSrQfnJjeBEuXuNsuNwwijonXNB0wfv58g+1c +v0jSY9ewcb8w9jua1Pa2KZYc6+//Z1cGjt8miYR3HXGkJlXpZTHG4t6m0xyL +E8mWvSlJszKxnrj9K1JWO5jcHaJ+xwvjdWF7VxVvFZEF2aVmPbPwPLMy4Pjl +xFgiOqC/yhzjefYinR4Xwojc8NpxzzG+bfR+7+MhkcQuz7JjWTbWW38qXjiM +DydtFu0vwzBueTs8zPhUBDGXv548mGsCrVTrwuE6IaSqaJijNcZ91pkVjlwT +TDZY+Zu/w1gmZ1ej9A8XkquovForB8f72bh5UplhZFZpyngxxvuLlC4H5oeS +oFPqnfK5WP8dcQ29WBFGhk16+MoG46mHA3cPO+pNbJ7qpzRivHeOs9arnyGk ++Xyt41ZoAilND1PLOXFkn/KRQzkYTz/eq8R6ZQipqGhfPSUP898AC6c9HxLI +apvrExwxtkuTMjjrlkDSVaS7fmPc6nYyy1Uzmii98a80zsfz7MQyB33/COJ9 +Qzm1BOMeAbt9552NJAPmpzrNLcDnTZZqf2vtR869V7fwxfio7+/3pVsDyVeH +h2skCjE/O2ww2zgsiOxasn3iYYzTQvIT82+Ek8d1tV0VGF/8u+LXuPYoouZy +5PXyuzh/RxGJCt9QIl7+JzUC4+NGJ10V8wPIhMbrzkOLcH3dOnsh44s/cfWU +PnwG43HDM7fIjY0mEpoBWjUYS+q4boqYHU5ONilPWlfcBEXj766U3uRK6v1S +/yZjvCDZX7fnIRHR117xZvQ9XI/lj1/JuEWS+78epl3D+KBJtuv6vFCyJGS7 +y3eMidnEx6IVkSRa5+Nh/ftN8OTngZppW8KJwp8j2nkYFywxGjVweBBxiPgz +SbmkCT5rhi6Ibo4hnVtu9HDB+Fi/xuQ788OJZQ+ZqnaMTwRI9HpaH0TexQak +mzzA5y1Y5a1lE0F0Daa5PsRYNNthYVadiOT3SbNcUIr7Q21dzrsLmM+SVqwN +wLiXu3ypMMCZhO5+NFnyIdaPhtkDLmu7k+EDDXoexdgrbmfnF9cAcj39Y9Ur +jOf7Hc++fsWD/DY5mrHiUROkuM97HmEZTg4O7XCNxthZpTP64/5YUsndOCLz +GM9fXlW/q/MiyVozmXXnMd534tIm77fOJFs2cEodxnPeWh0UFgeTGQXTeuk8 +wfmkdTfv+KNw4m+Z9jYNY42kD0Y9rsSQIQoamWOfNsEX7RtFI0eJyKV7j9zs +MC5wmlGUOj+KNB03OMpjHDl+r8WdET5kz7i6dYbP8DwQGz58So+bpOzh0amF +GBvnDf5aKxNEVp7p6DWjrAnm3t6puD4phKRMtqt2w3jvxarUZ5oBZHKZTFYn +xoOXS46RsY4iHhcD3fc/b4KOlsv9/8tXktOnH3uCsQr3V3JH/xBy+mXa+sXl +uB/09hQZKnqSBlsNpWCMHT4nT6hXCyM7Zj/uPaAC57dPxuNpu+PJwyqDd1YY +r9qxLJvUxhBV+7qsNxg7Vpup6j0JJ/ELjnlovsB6Q++P7LudQWRsTcexOIx9 +96gPljscTZzu2G0Y8RLn+4vQnH4LgknPZcOVL2Gs2CdaGKHvQaw+BUp8xrhH +hOSbP0phpNZ1+nvdV03woaHE6l56JNmqnp6difFf39IbLTgfi75qeE6obAL/ +nOCsJVPCyULvx1a3MDaQTKk073QmEasMdX5iHH/V+fGjYn8yUqhT3vW6CeQO +7Sleq+tE7AOO9SnGuN1U0rUV97/2tZ3vVd40gU3eSSdJtRBy6Lcd54lxReKC +PtqPI0hV6HCvHlX4/WOOSy7v7UU26AYdN8N47cKcpt5LEklux/SNZRh72dV6 +K6p6k1lR6dOWvcX6Qq/c/+YmEQnetrJvGMbmxMxx77kQIt3ryYdB1U2guaBk +vNhOTGzjDXNOYpwdlO2irx1BfhrWe1Vj/OukZ7bp0ABiKml1Ys07fN/nY2zG +8qHkRXLnxkSMQ2cunfCuPZKsMbafLv8e13tX0rcJvTxIxiBZSRuMJa/eeqej +4kmUM4NqvmA8iPN7MO5wCPExnZG75QPuf4WXpPNWepGB0hneHMaJvTn/se8d +yfnclScn12C9d7S9z7z+weSb+RPdOxifV1a4OfFcNNktt2PGb4z7T7i5/mqk +F3lSWC9pXNsE1gOvDPLL8ybqR61q72N8uF42fsSFWCIe3ZU75yOeJ4XMkUmp +jmRCib2PD8buVo5Nfo+iiOtJWevedU2gf0BGeu3+CCIxIXiTBcZth6Jjd7hE +E+vHM2ZWYLxoxPxmhXli8ulsRr/l9Vg/1Q5f+XpFMNk+VfOjCGP1jhGHE5PD +ScnzJyD1CfePAK9Hnx1EZOnlHb6nMTa278vrrhCRmBmfrD9g7GHZcv/0LH8y +utJq89rPuN6nbClQ3C8it691zUzG2Fqz7f2u6Ymka87N/qMbmmCE5HWNh9MT +yJFq2bqrGE+9/3Bf6rkw8v5mcN43jAe1bTcZdjqIbFo000/vSxO86vtaNXrP +HVJQm3EKMO4aVrRr4Ek/Ms9Jc4tSYxNwPbScbUq9SRh5quKMcZY56eV9xZnI +NuwY0I6x0YJnQ3TVfch19091e79ivkmQH2DzKIT8XnE8vxRjT/u4nruORJKD +37v85n/D/b42aPkzrE8rfW6e9sd42ez2eg+/ULJuzYitfb/j/BbfTfK+5EG4 +5uBZRzD+sL/txi7VIDIzaObAVxhPCs+3sXAKJgHrM+vVf2D9c3/ZECe3aCLV +plkQhbFK3IXG/qeiyOXwp/7STbjenaZusRzkTPhNO8+cw9go38Rli2Qk2dv1 +aetHjG+vWnT950Iv8jz6+OwNPJ6fQ11l9i53JJr6fwemYaxa/mie6HUMSe19 +65Oi0ASzdVRX11R4kSmJIwpvYGzro/LVMCaaeO4MCWjC+NPUlSpDLYNJv/4q +Zw2am6By7fN9zUYR5Exq5rYCjD9Vl+q91xWRL3tWzZn+swmW1AoPSUko2THk +2SA3jE8umXZgU14weZi183MHxj1VnHMUiyOI6oHPhaa/muBAy4DjFvGYz2RO +BD7GOBce1kZqh5BxeX/PLmrB9dipJ6sRKybOFrf0gjBWdPtdM5l3I73k5eb2 +/437u7HTaK41hBwvChlshfGOnX/ejr8bQj4eU2l4jfHZhEVtVi8DyTbFrLsr +W3E/rvp03md7MCl+sCooFuPR7crVCztDyKJTz87JtjXB69IEmx2LnUnkxF36 +FzF+KL3WaZNGHJF/+nnuJ4xvGKhFGZeIyM3zJ4botmP9Msd2b7BsJPmj1ONL +BsYrXRO/gZ4nsai4VTT+TxPc3DBS6UBGFHl7RS74Jsay3PAUbrYf0VEJPd+M +cbmxKcw+4EPgtcr2nR2YH7YVR2zOiCazb2TNK8J4TeKhiJhNcSR43moplU58 +/+njVqw/5kyk3z/74oHxyoM+r3+/iSG2DruK/2J8eMhO+6XjPMivxQ3BB7vw +fX37Kal4K57srztx4RnGDZVD3DJHhZCXzj0Mlv5tgjPa+tJuNq5Ea7nD/FCM +lVuPSrl+DSTUw/HQePz9AjXZUEI9HA/7rh2cva4jhlAPx8PHgYMHyk4PYx6O +h/7mh/1ELh6EejgeHmmabHs43IdQD8eDkYPm8JxCEaEejgeFh0Kb9uhIQj0c +D8k99ft8+ShiHo4Hrn9j+tISZ+bheDBrXj9h65YAQj0cD9b+blLTfMKYh+Ph +ti/3xCf8DvNwPPjd2L6vKs6bUA/Hw2vldrWlDkGEejgeBiUUPN3sGUKoh+Mh +vCXp8vkLwYR6OB6E92P8YsL8mYfD651JvHqzOJh5OB5Mbly/YTkgklAPx8Op +GIOWs4VxhHo4HuKdW3THcmGEejgest4McH06PoxQD8eD866axS9mRBPq4XgY +Mzx16dtFcczD8dBRFaSuPSOGUA/Hw48lzXYlJ6MJ9XA81FxQl88aFkKoh+Mh +d5Vhk/IWb+bhePis995GxzScUA/Hw7p7i4rn5XsR6uF4KNVUy8lUDCPUw/Hg +uMZJb5KUA6EeDp9X/Zr6kInBhHo4How/LbM5ddOfUA+H49ev6E2jeSShHo6H +Pu4e5itXuxHq4XiIKTCLLp0TRKiH4yG25MzQSTXRhHo4HjqVRKcvrgtnHo6H +Z1o1luVaoYR6OB5upJRP1X/sS6iH46HZVOrJQutY5uF48JZtvLCnXkSoh+MB +HjR96SQ+hHo4/Hn1/L9LMyII9XA8BJdt76wLjiXUw/Ewa8Lo761FwczD4Xxq +T30kbx9KqIfjob59qPbzcx6EejgeArzixsluSiDUw/FgWjJE4+MNB0I9HA+t +icfUWtPjCPVwPMjdSTsnZxJNqIfjYf/trcd0G8MI9XA8nHf2Cb18PpxQD8eD +140vtZH9w5mH4+Gh2+mdw/tGMQ+H82nR+jU68tGEejgeJkn96bfvbzShHg7H +96F7hUt5IKEejof7ZxTFs0eHEOrheHjx8oHNzdhYQj0cDzZnBtiWuIcQ6uF4 +WFvXr8eXc6HMw+F8OJFjYoznKerheJjx7XOTTh835uF42DjrUvHVIj9CPRwP +1b7KwsVdgYR6OB6SXo69KDM4ink4HpY9cpG1GSom1MPxoPP9ygznj5GEejge +fgsrtNdpBxDq4Xisr+OnzWq5SaiH46FC6ZlJTFsIoR6Oh0M97EMnzIxiHg5/ +/8aZsWt0wwn1cDxsf2Xb3oLnL+rheHDvU1YyBPM39XA8fA9Pr7fC90s9HA8R +vdL9ffqICfVwPAyMFG6Y7Ykh1MPhejjiZedRJWIejod20T615TURhHo4HvK3 +Fu246B9OqIfjIXroAcU03oVQD8dD7+H1p8d5+RLq4Xio/eD44dchP0I9HA9L +/rxxNg6NYR4O38fLkPFbCkMI9XA8jHX6sdv6SSChHo6HC/MO7tiU6U6oh8P5 +PO3iiZYUX+bheMi5Yff1snwYoR6Oh4uNq12PdEUT6uF4WNH6Uuaqqi/zcDx8 +cnubV6wexDwczie7bQZWezwI9XA8zIwxHnswJpx5OB6O/cxp+z0gnnk4vN63 +tpU/65wJ9XCYT21Llwb/CmEejofNZ2w7NBWdCPVwPAzxqfFc8t6dUA/Hw5NP +OmPcNwYxD8fD9coQz1BRCKEeDsfP0KB2/Ht/Qj0cDxO2m5+sEIcQ6uF4OJcn +NdvFPJRQD4fr69lgJeuP8YR6OB7Ea080zz3tTaiH4yF776UrgSf+eTgedm9q +yalbH02oh8Pv+2qW+svXg3k4HtQWK95/vTyUUA/Hw9VJA3QlIIhQD4f5sTDo +wL2J/oR6ONwP5GV6Fai4MA+H+1fjx7xhwX6EejhcDz3c/zy+FUyoh+Nhw+Zp +sh4hwYR6ONxvDTde1ZYSEerhcP6ZL87MvhZAqIfD9dDqsrAez8/Uw2H+JDqu +tYIHoR4O81H7ct/ghGBCPRwP791OJJ3yCyLUw/EwUnHPi7PysYR6OB7aYvpf +c18bR6iH42HngN0/njfEMQ+H+2dAea3l8RDm4XC/1fJKc14VxjwcrrdO6+f9 +9sUQ6uF4qBR9+znlUiihHg7vX7LXynqtREI9HA/vRvCnvp7yI9TD4XpcIbro +5JRIqIfjodw067LxdT/m4TB/x98Sbd0QxDwcDz+l1r1SfxtLqIfjwcpr4qL3 +kjGEejge/CefDP9SF0Koh+Mh5Xz929NloczD4fWPlyd63gwj1MNhvQNhDs2W +YkI9HA+qKaZBJEdEqIfD/eHA0AcyeiLm4XgILJ44zrpXLPNwPMg0u0XMMvEi +1MPx8OdFhVT9mQhCPRwPTd9H9Ap6EM48HK7HuMgm0VpfQj0c7i/K65aaGUQQ +6uEwHwUvajgrFUWoh8P9/srDJ4/GRBHq4fD7suVM3X8HEerheLjz6/ztYRrR +hHo4Hpxe2irMTgsl1MPxwA9criyrGs08HA+extn3p3NRhHo4vJ8h6cuCZ7gR +6uEwPyVstNt7xYl5OB7e6s49+awynHk4HrZtM+8XuT6BUA/Hg1TKxqrPvu6E +eji8/3MqdU+f+RLq4XB+GRxoCNkUS6iH4yHDstq0I92PUA+H+c1krtyi7zGE +ejgcv8vp/R9WBxHq4TA/lMXP6vPFl3k4rKemXN9efFBEqIfj4Vt5nP+j7bHM +w/GgXvRljHpyHKEeDvcTpUmuQmYo83A8yEpfsnwjhfnsfx4O14OH2YG2/DBC +PRy+X6971uNuBBPq4XiQHzf85qIiL0I9HA9Hi9SKh+pEEurhcP6uk24tmS4i +1MPh/rg27fT4llBCPRwPmTlFRYaKQczDYX63aVx9dWg883A8XJ45sNnRyZV5 +OPy8PKP5vIUn83D4fdrGc6LCo5mH42FNY9DLt5pBhHo4zB/xx2cHDvEj1MPh ++j+S/OzahjuEejjMP2fSxSW5wYR6OKyPQlNKh5QFE+rheOi3TDNMy8uNeTic +X4ZPVaXnRhHq4XB/MGsz+l6dSKiHw/324MncNSHRhHo4rBd2V/mk8QmEejge +Dof/+nVLIYpQD8fD8y+X1lgGiJmH42Gir4VCn0NRhHo4zPfRP/uvPuhBqIfD +/DBvoL78Rk9CPRzmj/WSdQUJMYR6OKxvd32Y5TrZm1APx8O8FKlLwydGMg/H +wwO5Y6NX7IlkHg7fX9uGSd+l45mHw/Vhpec2vW8EoR6OB9faFapVV2MI9XA8 +PL01ZPOBVg/m4XB8dVrHLhqaSKiH4+GgoDBlvFcAoR6OB02n4t8rpoUT6uFw +fzEbEWsYFE6oh8P9KGjJufPbwgn1cJi/uWRJmxH+hHo4Hr4+3hG/MjyCeTjc +3947r7ZdEkmoh+PhQFmgcXxrKPNwWJ90HPJv3hlFqIfD8UvWULP9Hkqoh+Nh +vd6k+kOhvszD8aDSeeHVU5kkQj0c5pMxTyVuLIki1MNhPZ/8VVHqnDvzcLhf +H/VzikiJJdTD8TC3sE/VvekhhHo4PI88HKncJZFAqIfjIdRicplFVCShHo6H +xMNT7r1SiSbUw+F5aVVEyoKMUEI9HNZPkdu5FcMSCPVwWF+EK8eopwcS6uGw +njGWvnKzJpFQD4frdeOgz41/ggj1cDw0xB8gvw77MQ/Hw9l22z8S328xD4f5 +5U/6d4/ekczD8XB6YKH9L31P5uFwfuzp/W6tczihHg7H97Zt1pr7PoR6OFz/ +/cH8zKpwQj0c1tuDa6+lJ4US6uHwfHgle3Lo7QhCPRy+75xdp+OURIR6OB4W +1VnorNwYSKiH4//7/0H67h8TTKiH42Hq99P5MljfUw+H91MvV+/QEEOoh+Mh +76LSxwHNCYR6OB6I7Ik/5i88CPVwOD/GHbvfVy6cUA+H+/HFoVL79X0I9XD4 ++aHRy+b9SGQeDp/fYHGneVcEoR6Ohx7hKZeMF8QyD8fDq8e3VQKckwj1cHi+ +OjMmY8PQKEI9HObvoT10TXaHEerheFjoHWz/oV3EPBzOv4qqE3/OhxHq4XhY +Vdqe+eRCPKEejoeW6UeOOavHMA/Hg6+k52KLxZGEejgeJIYHZm+1jCXUw+H8 +LCo7TrA+ph4O89HR7aMv/U0k1MNhvSk+NPb30DBCPRzOb6OfO4eIogn1cDxM +Mb1W5agXQaiH+6/+bJ0ZEORKqIfD/SO8IdLG3o15OHz/hb02nJFPINTD4fxR +3iy+5uDNPBzO70MSwe6LEgn1cFg/aIzlVfuEMg+H++MPy04Pj3BCPRy+rysa +Zqs5R+bheNjbXnApKC2eeThc71cmfZbyi2YeDtdfdvwCMx1/5uF4mD2n/mRf +5wjm4Xi4tGWgQnpZFPNwPGhlPl8w5HoY83D4fQscJEw4d+bhsF6VcRg0wNuD +eTisRzenaFus+ufhMP+0Hd5+QiGeeTj8/VLX6/u++jIPh/XQ5FIzk4PhzMPh +/HEePmNPiCfzcFif2qqpHc+JZh4Oz2P61yw+uIUxD8fDHC29D8rfEpiH4yHo +lJvFOd1Q5uFwfw9qMB6aF8w8HOb3EjvlBDyvUw/Hg+TKZLmOmgTm4XD/cr+s +cfm2G/NwPBgt3rT1x8gw5uF4uFJza8oA1X8ejgf9d8Ep9qrezMPx8OuYYpDm +80Dm4XA8OvOqZ70MYh4O88flyCsnMyKZh+PhzQ6DnbVSgczDYT3oVpI/5nEM +83A4n+aOf7hCJ455ONw/jcfptX4XMw+H9f3PrQcy34YzD4fff9CyXDJezDwc +D+nhLxylpiYwD4f7h9UCiRU5iczD4fn542PDMbJBzMPh/ntF6UzQumjm4XjY +OvlBr7uNIczD8bClaMr2et9I5uGw/jUUNs38Hsw8HA+lPYWKGebhzMPh91t8 +32ttEMs8HA+6YcLosX6OzMPheJzcUjXGwYV5OB6K7ms/6VzuxjwcD6aqGhnj +XYOYh+PhlrKadUDPQObheFBU77lz/exw5uF4SLAtMd7nG8Q8HA99lL4UnR7r +xTwcD8WFLmYnFJOYh8PxPrRPvmKfiHk4HmZovCntPSiMeTh8/sGHpku5ipiH +w3zzYmpllWEg83BYD5cW97GelMg8HI7nyOW7HVcnMQ/Hw5jOttUn7scyD8eD +T59dO7+tCmEeDtdH1IqthpJxzMPh/BwVFrdrZhzzcHi+FxIk+R2xzMNhvt4w +/sw83WDm4Xhws9DroWefwDwc5qvkS/f8xycyD4fzY4rbEiWjBObheFi3X313 +5Hl35uEwf39fNDjEW8Q8HO4f5OJqdVEc83C4HxaoZ+tfETEPx8OXBSd9jQIj +mIfjoXrAFveUolDm4XgoW7/w7GiZUObheLCtkDzq3xjGPBwPdi9zj52dE888 +HA9H6qxXJLRGMg+H5webyMqaiFDm4Xiourdq7CL/cObhsP5SnPRbZ0AE83C4 +P+orTV3aFMI8HM4P+dC3icXRzMPxMFSIFg9dHM48HA9LRNfF6x5EMg/Hw/Q1 +p2+/loplHo6H4cnrHg36G8E8HObfv9eOh8kmMg/HQ93x5bVZA+KYh+NhT+63 +ZV9NRMzDYT1ytKRkzwYX5uEwv26vMpo+0ot5OB66wg5dX4HnA+rheIgqqFkz +C9839XCYfzoKL3jt9mAejof9Nx5plhyIYR4O97/L0UOGyYUyD8dDz5deHy2e +xzAPx4O0+ibX9cE+zMPh/hvh73i2KYZ5OFwPjo0RZzrDmYfD+lzx97BR//ne +/3k4HnJDb2me+PbPw+H8f/s25NWoOObheBixSPNdubMX83CYLz5s2cXnhDMP +h/v7+cldmfujmYfj4e8yrYfOx3yZh8P9MOHDvJtyCczD4Xodo32lc1Yk83D4 +/Wnea1P+RDIPx8OQydNsF8tFMw+H82ncx4RFmK+ph8Pz1Grr4b76YczD4fm3 +zmTetKhY5uFwPAws++nh/k89HA/DMl1snKbFMg/HQ5zykwz9zGDm4XjIuX7p ++YJGP+bh8HxxvmDDWMkQ5uFwPlkUfDzpGMw8HA8h+40Gaw3xYB6Oh499Wq31 ++jowD4fnRaexxXpDkpmHw/Ho0fquZp8j83A8WF18VzsM8yv1cFhfJFyPuqQe +xTwc1v8e/fx+lEYyD8eDhZmtzzG7eObh8DxvFNm0Z6eIeTh83h9mnno9E5iH +w3yh6XJEzj+MeTg879xemZ22Q8w8HA/mF5efHbwzmHk4XN9XM3xUC9yYh8Pz +5vRn+8rEIczD8TBf182x59Qg5uGwXjpslt72yYV5ODxvlSxQSD4Zxjwc//// +fwPq4XhIi/DZ0ZHwz8PxoLf9iEbjsEjm4XC/eJtxYaa/D/NwmI/vSNxeauHD +PBwPZ1rFARqFsczD8dA/oPTi/FlRzMPhes7zXHrUNYJ5OJy/Jjpre7dFMA+H +891gsWVqXALzcHge29DToc+6JObhcL0uPzTsflUI83C4X2rssIteGsY8HF6v +0tV9ZqSYeTisX90TzS6t/ufhcPzUfDQHnUxgHg73xxM5BpMKRMzD4Xx+46X9 +DvMX9XA86KyR8jRLEDEPh+/3Ze3AHD8R83CYTxJ1ri10EDEPx0N+gv3h+3j+ +pB6Oh8FGWzRUa2KYh8PzQHrt8ycD/ZiH42HHuY8nu7xcmYfDenPcSIfO40HM +w/Gg4ZRsdXlyBPNwPKQ2Lg006whjHo6Hn/P7yepFRTAPh/vn/uVdXblezMPh +9+vcUxsmdmYejgfICTO6fj6GeTicv4ZzljikhjMPh+f3m1J2FstjmIfDeuGP +S49n6/55OB5unulveXxfFPNweP4fJPVmVU4o83BYj8VPTjwzQcQ8HA+vp4hl +f/wMYR6Oh4fro543VMUxD8fDs9WtRRInRczD8XB5xue4RRdEzMPx0HF458eS +a/88HD5vXHldpRDGPByOt7bM8J9rApiHw/zQLnfj3oQI5uF4cO+aRy7u8GMe +DuvZKXE7XNfGMA+H5yX30PCuJhHzcFgvXylxyHwpZh6OhwX2V6ou7w1gHg7P +0xf0QncPTGAejocPi8/uXqYSyDwczs+fC46OWRnFPBwPHtZitdxF/zwc5r9v +7/i71cHMw2F90JZYdWt0NPNw//19Y9fNln2xzMNhPfJLUnneq3jm4Xjwav4i +/XBFCvNweP/CozCNKfHMw/Ewrk+JzYgjfszD4fu5daLqcLI/83BYz+zavVf3 +YxTzcJhv1b7WWTWGMw+H6+/ogpw1gcHMw+F6enU72f9dPPNwuD9MlUtXux7D +PBzOpyd7qm8uSWYeDus99y1OJ7CeoR4Of37mdykzX2/m4Xh4PLMgfeXBUObh +cH4cdtjyozaEeTgetsuVGAw38GQejoe+B8o0NbWDmYfD89c4rX2/8PxNPRzu +d4n9tJZtjmQejofe38hiv77BzMPh900pqz+mFMQ8HOaDlI6WHzdCmIfjIdxa +1ceqM5Z5OMx3a5LVzJ2imIfD+XSnqLpIM4h5OB46H47Xm+6fyDwcDxf1Dq05 +HRzNPBwPxvd65g2+Esk8HJ4/gm/uTvaJZB4O87/U/T5Wy6OYh8P8u1t++tvb +EczD4fON0DsRMz2UeTisx0JcT7R4hjEPx8PynbZD1h6MZx4O9z+Frwur+7gx +D8fD53tlJY0HYpmHw/NW9JbNjpVBzMPx8L7APTFMypt5OB5ObjhlUPguhHk4 +HkzcMpV3icOZh+PhWum5GJlncczD4XlA18mEk/RjHo6HCxZ7XB0Uo5iHw3p1 +0Zme3qII5uHwPOFxbNyW+mjm4XgQGX4vUrcKYh4O5+t7helJgph5OB64oRek +R1eJmYfD6+8pTNAeFMU8HA+798qcP1MUzTwcDw6qA1QfS6QwD4frffSyRr2C +aObheBilWjJo1qdw5uF4iL82REXvvoh5OFwfM7WsKjLCmIfDeuN2umLswkjm +4bAeGKHbMmaBmHk4PO+6lydH3Y1mHg7vZ/fPfZ9+/PNwuL8uLcu/UhzCPBzW +g7MMjHOrg5iHw/VgF7gooDWOeTjMd6KUKeU+EczD4fe1yyRsDYlgHo4HZYm2 +aQ0lYczD8eDyuOTsRPlk5uFwfAz7eUtnxTAPh/nghNvhQ3J+zMPh+WlnqjHf +lsw8HO4v/IxYrdBg5uFw/xzb795YfN/Uw/FwaemyAm/cr6mHw/UoI/v+0nQx +83B4XmrWs5+3I5B5OJyP5uXWdysjmIfD+rhS0kjfOI55OB58bw/+PLYkmHk4 +HkrkZY60FQYwD4f7S+OoZTqtoczD8RBmGvJXfWc883B4fy5D9PqoJjEPx0Ol +9hrjU+MimYf7r17ZaLVtYgJHPRzmb8dtPrK/gznq4XD//H3dKqhPEkc9HA8R +Pe7W/lZxZx4O6wu/mUEFM5yZh8PzVUafd/PnZ3PUw+H7jd7VtH50Ekc9XHe9 +RD0cvt/6+1f2V4o56uF4aLj4atY0y0SOejisfw/6NYlTPJmH4+HGd11vdYkw +5uFwf2jfUCgoFnLUw3Vfn3o4fL+Os7vCdiQzD4f1YEG13ouUAObhun+eejis +B6fMfHfxUAJHPdx/77fOd0BdFkc9HM7fU7Py76UmctTDdf8+9XBYDxxxuNBv +SAzzcFgfDVbydHTN4aiHw/OO5hkd+dspHPVwPGwWFzTqfMviqIfD/emQUcL3 +fC/m4bBe0h3qd/1iEkc9HA8SW6veLn7uxVEP999575SrXN8sjno4zPeqV3u0 +vE5lHg7zn9beoe3K/hz1cFhPh4Oqkqk383C4/xpGpaQrBnPUw/FgejVoeFff +ZI56ODwPHA7UVuDDOerhsJ7//VW7fZgT83A8TFJdONo9RMQ8HA8tXH3ckzdi +jno4vJ+6k9J9a72Yh+OhSG9i/DLZOI56OB56de0ouGOYyFEPx8PCyA+7+zz3 +5qiHw/ng8sPY7XsU83B4/or/7V5yRcRRD9c9/tTDYf2vtGejvEYg83B4vp6y +jqTuCeWoh+Oh9eZFg4U12Rz1cDzcHfFtQnlAJkc9HM7HHvU3ZppnctTD8bD2 +0sgIVT/gqIfr/j7q4XD/7elseelnMkc9HJ73pHusF5dFMg/X/fPUw+H6UjxX +3feNK0c9HA8GSsNTU6eGcdTDdf889XA8WE7yX+ClnsdRD9f9OfVwPLRrf1g3 +STqVox6Ohzv51+0kdiRz1MNhPgm3+br9mT9HPRzWK+brd/ppZnLUw/3332c/ +b/zw1515OLz+92lnk3/aMw/Hw4lj9cKAL/Ec9XC4/k+q2dxeksJRD4f57Xbe +q+KF+Rz1cN33Rz0cD/2sDq2d2iuUox5OgF1x/Nms2mTWH06AoTdEG+risll/ +OKH738/5n4cTwLh2mPe1olCOejgBTj64tHOcbgzzcALM/yIesCkslqMeTgAN +vsrqbFYO6w/XfT3q4QRIWS/hVW6SyDycAFd+GbpI6v/rDydAqZLG6oyydI56 +OAH8Sr+4DzQTc9TDdV+PerjumHo4AWRzi3r81hex/nAChPYse51dZ8/6wwlg +mtNL2u2OiKMeToCVf19Vfcf8TT1c9/WohxNAfo79Hh/1fNYfToBNioFC8ud/ +/eEEuC7lf964vzfrDydApv3a30Nvh7L+cN3Xox5OAOtLrTe847NYfzgBZm+x +cw3tFLP+cALo+KiIPO5kctTDCXBX59a+jin/PJwAl/X63TY8ksf6wwlwI/qS +lacom/WHE2BY6QbLePjXHw6/vy6sNDWEY/3huu+HejgBFE2t7JaZBrH+cN2f +Uw8nwNRA/VyPua7Mwwmwtl9SwkXzGNYfTgApr36vF89OZf3hun+fejgBqkod +0n3OpbD+cAJYNR6X22rqyfrDCTBxbcy+wMAIjno4ARbYVrdJm7ux/nA4v77P +dNlxJov1hxPgzeGE0wvksll/OAGCJT72XWgWxDycAL7L5u3hfMQc9XAC9NG+ +38/UL4v1hxOgUkH+75pz3qw/nAC9c03i/NZ7Mw8nwBKlnT2eKQay/nACqN7M +1VQozWL94bqfj3o4AZReTeilVpDJUQ8nwK1N+2pWJ4hZfzi8vmNXzdguL456 +OAGq9fXkarQiOOrhBHh6cG5SIGSy/nA4P565BlaNz2b94QRoyQuV/9s7hKMe +Duf3/alKT9ams/5w3fdDPZwADVk7b70q8WIervtz6uEEOFEUe3GegwtHPZwA +y08MuNTXIZOjHk6AIwq6d3drJzIPJ0DGslg7I/8o5uG6r0c9nACJErLjt4QE +s/5wmF/CcxSu7nBhHk6ARZMyNMWD0lh/OAH68uJZEbqJzMPh/NiuJDr0OZb1 +h8N8FBF2b82NBI56OAH6jbIUBQxPZv3hBDjuLzX8+h4n5uEEaLMrL0mN9WL9 +4QT4lfEhQKoRWH84ARxtQo/nTUpj/eG67596OAHsk/om6yZ5Mw+H38999u/T +L5F5OFyfLwoqU9eHMQ8nQEVkzK/KE8kc9XACbI8dZDLgoIj1hxMg3rgjt9H6 +n4cT4Nua9RmVb1I56uEEyPsUvcA3NZb1hxPg/Mkjr8qtE1h/OAHWScrXRRum +sv5wArR7W6V8LU5mHk6A078XXln0IYN5OFwPRsKtFiMP1h+u+/moh8P7H/SE +D7gXwTwczu/1VVKvb/mz/nACNC5q6FwsiuKohxMAZHPSpkRkcNTDCaDgujRk +wytn1h9OgJd9h9nsHFDAUQ8ngG1dH8Nbv0WsP5wAK1bP2rpEHMBRDyfAaOvF +64vxfEA9nADSC01vdqYmMQ8ngMTud8BNTmf94QQ42Lt4YsHEUObhBBh8VdU+ +akQI6w8ngFdca8R3hXzWH04AyxmpkfJfxKw/nACrH8y96aXgxlEPh/Nvy+Nd +zrLRrD9c9/hQD4fxzSp7u6AE5uEECG+fuun4NFeOejic/0vOknsz01h/OMyv +WZqOqdvzOOrhBAhcusF5lFQY6w+H4xWwo6TRIop5OAE2a2+KmnY1j/WHEyCn +9M0vg/Vi5uEEEL2+p68tzmP94QSQW3km3XxlGvNw3fdLPRzON1Wf57x/DOsP +J4BL65Is0z9+zMN1/zz1cN0x9XC4XkzPlStLRzIPJ8DhxJl9JvwUc9TDCXA1 +uF00WiGcox5OAJkpD9q2rfNgHg7n5+3Bq7ROerH+cPi8zuscRl3OYP3hMP9Y +pemWXCjgqIcTwH9B5PbIma7Mwwnwd86bGB2bXI56OFxPB2a8mm1WwFEPJ8Cl +p2rynsaFrD+cANzSHbNlMhI56uFwP9bctmDN3yTm4QRIWvs+wfZoAEc9HO4H +Le6SZ5xiWX84Ac74Oi6euCCMeTgcf8OXzfK2Qaw/HH5f+VXJuXIijno4AdT6 +rV2wqjGNox5OgC/96xr1fuez/nAC1OsOmj7NPp+jHk6AuH3PRZeOZXDUwwmg +ffHl2DdeoczDCXBH30v5ft801h8O643leh2aiTGsP5wAbnUV5447JTIPJ8D4 +4BX18ooRrD8cPq/qnUqFncGsP5wA57ifjVF78lh/OAEO3SpvHeUTx1EPh/M9 +5V1nk0U+6w/X/f6phxMgOmWp1dnLzszDdX9OPZwACZm7RkdLuzEPJ8CBK0da +V9TFs/5wmB+0lo3IseU46uEEiPj4YGTriTDWH04Aw9aRfYfGRzMP13196uEE +2Hc/MqbDOZz1hxMg/9vqnCnJKRz1cFjflFxdXJqZyvrDCfCzRthuZ+zCUQ8n +QJqncb8y2xTWHw7zFxhaH1aO5aiHw/1dt39glLMr83C43xkf/FFoHcFRD9d9 +P9TDYT3yaM55GQkf1h9OgEeRmtVaDbkc9XC4f8z7q2H3Ioh5uO7fpx6uO6Ye +DvNDxXdPcjqPox5OgK8JspM+mHly1MNhPZISO+JvUzRHPRyu//V2L2+9TmIe +DtdTWmfnlMOxrD8c1jPnx9WeWerM+sMJcP/y/Y+9G4JYfzjcH3/+fWmZH876 +w2E+uqWXNHdOAOsPh+OtJatzYBvHUQ+H+VF54+5YgWP94XC/97ssF7I4iPWH +EyB5dYfpSkk31h9OgA+6Sx+L9iWx/nACTOgx0HzIxTvMwwlwdMvMklUleRz1 +cAKMlPvZpm/gwlEPJ0Bd9jWFrrAs5uG6x4t6OAHmuLrtlN2XzfrD4f6ru33s +g9Ag5uEEOLuuc3RcTSLzcDi/tZOekEI/jno4AUpu+h75mpbIUQ+H+ab/kurF +UzNZfzgBzHvEDxrwOZJ5OAE+tZX3n741j6Mervt+qIfrjqmHw3p0vn2RrJ+Y +eTgBwtpMlxRKAesPh/NLYfCXPlH5HPVwApiYLZljoR3N+sPh+xjrMOmUegFH +PRyuD6PgzKedjszD4XrS/zV/9pYQjno4nM+nL5berolh/eEE4BUMQ/O3iznq +4XC8d50zOLylgKMeDutNr5fW+/LTWH84Afr3jdyt0JrNUQ8nwJOLvqqOMwM5 +6uEw321/fUEpOp/1hxNActD6zwNtA5mHE2CS6VCpUo1k5uGwPldOW2zzNIP1 +hxNgoeVzHyMDB+bhBIiSvnXSdW8m6w+H42/98afRPo71hxNgWtocT0EnnvWH +w3xyUL9uxIs05uEw/y+TCS57n8f6w+H1p3f02veqkKMeDuunp45Do66FMA+H +7/vGfLnFDZ7Mw2F9oRVv+TwjiqMeDusbI3HkNuMg1h9OgD9frHrPqU7lqIfD +8+BY/xS9p57Mw+F8euIzvtKqgPWHw3r9U/32G4/us/5wWB+umpqSPKyQ9YfD +8/C2h9X7tPNYfzjMp3dUZ/PBSczD4X7g/8L+xlk31h8Oz6+zXkyaI8pn/eEE +2PPqhsekA77Mw+H7uVv4cnOvRNYf7r/zy5Etv6ILOerhcPwCvavuaIpYfzgB +Zv36NJkoJjEPh/V7x9jXs9vDmIfD8ZM3MTytXcBRD4fr2fTEy8KMFObhcDyN +OmJ3nSzgqIfD+Zj39t6TugTWH657/lMPJwBxUi91b09j/eG6P6ceDs9nmx/4 +3Vway1EPJ0CWRIFV4ORI1h9OgM7Y6v1HRqey/nACLFu9XH0w1svUwwkw1+Jh +r5dpEaw/HK5/Uxk+rX8q6w/X/X3Uw+H4adW+1/2Ty/rDCbChZZt0Wk4O6w8n +QPGBn55ql/M46uFw/T/nB1fOSGH94XB93FWpjf4WzDycAHsnz4xRirrL+sMJ +EGLudUz/WiFHPVz391MPh/kje9SLTZ53OerhcLytW2bPjktkHg7HS1klsqgO +OOrhsH5oCPKy3p/CUQ8nQOvwsHYdqRSOejg83638eKZd3515ONwP5zjvduzn +yTwc1iOaMY9UA7M46uEEEPR12v0Dwjnq4bCeNk9tMWtJYx4O9wP9AJXltqEc +9XD/5+8j//NwOD7+g6fHZ2dx1MPheO4prFkxK4l5OKy3by0NmbAtkaMeToBj +44eZ1urf56iHE0Dxu6KcJx/HUQ+H89MTGtc9TuWoh+v+Purh8H1P3jXyp3sq +Rz2cADbpnIbiynCOejg8/8xcpy+em8Y8HJ63LRT3dK4u5KiHE6Bg9KZePmvz +OerhcP1+GhDgN4XjqIfD+TVW21vJ4C5HPZwA7/hxey46OjAPJ4Cm8WwTL7ts +5uHwfW86a7VqYDxHPZwAysHPYyea3+GohxNAfckPr9tfgjnq4bBevN//yhuN +cObhBEiXNiseqlLMUQ+H83+/V2TSvFCOerju56ceTgAnm2eHw7H+px6u+3Pq +4XD/85SV1TXM56iHw/l6dozSxrdijno4AUZY9eiYMyyTox6u+/eph+uOqYfD ++6v85mKRlcg8HNYb675wL7XFHPVwAkx91EdVHH+fox4O68cPSnFeSXc56uHw +PLd52KOSo9HMw3Vfn3o4rD/y1z7L2Z7MUQ8nQEB0veZizIfUw2E99a2qQv5K +Ekc9nABKldzz9c+yOerhBJiSuvZx1oZEjno4rO/yOypbdMXMwwlwAZ6WhWoA +Rz0cXv9pTYn2xHTm4brvh3o4fJ+9Fk7tv5vjqIcTQOwy7a/J8nSOejgB1vw5 +rj0w2Zd5OAH0PvRYbtm/kKMeToAt4wYPFemlcdTDdV+fejg8P4TIdaYtyuGo +h8PzGamYsnS9iHk4AZqH9Bz22DeLeThcLycmz9gQ5M48nAAqoX16XzvOMQ8n +QFlMyuPc/R4c9XBYH4RoPaxVT2YeToDM3DVh3vJZzMNh/ugaq3QoOI55OFy/ +HXzhEdN//eG675d6ODx/5z4632ISylEP1/059XD//b0radDOtAKOerj/8/fe +/3k4PG8ZdFVE/fZiHq77c+rhMD+FKVnWluVx1MMJ0G4wdcrl9kTm4XD+bTWV +Hnv9n4fDekh854X6j3COerju61EPh/uzXueS5iNJHPVwWL9vHq9TNOwuRz1c +989TD4f1Z574T2a/fI56OAGkrkdMt1fL4KiHE6DjVe6l8X9KOerhun+fejgB +NFb7rVmfns08HObPn7KjpZYnctTDCRDbNinqZcJdjno4PG/pFxTsz07nqIcT +wP3Qy2vX5yYxD4f7p2Fy7Zj4XI56OAEy+HMqV+fncdTDCeD14rNp2ZkYjno4 +AfJOLokQa6Vy1MN13x/1cLh+1JOqYj7GMw8nwCCtv1cicX5SD4f5tFmi4tuy +JObh8PzV+cJhm14JRz0c1oMbypfneuUyD4f7zWoZafN7HEc9nADPX14SkeR0 +jno4AYZbn02OlX3CUQ+H+VbvVFpejwcc9XD4/kort7rmFHLUw+H+Msn/5UGs +F6iHw3ritOv4u31zOOrhup+HejjMN7MOu5qPEHHUw+H1clwWrDlWylEPJ8Di +TtUycyMR83ACjOn34GCrUgFHPZwAs+W+V4IgZh5OALt71i0JfZI46uHw/Oy6 +YnvxvIcc9XBYf8g02itPzuSohxNgZi+5P8bLxBz1cAK8CPN+dzrfjaMeToCN +W0tGVD7z46iHw/PvxBl5ry4GcNTDdT8P9XBY7/tNsRg06hbzcDi+Xlfc+9jG +cNTD4f70y1VLyjeUeTgBzBb/fX52RTLzcN3Xox4O509Dze8Mtacc9XC4H4cZ +CcV98jnq4fD9RJtYvDycwzwc1n/HPFUbios56uEwvxc5CE6ZyRz1cP/n773/ +83CYP0r/dtVPdmEeTgDnH+/i24ancdTDYb4pU/PVqkjhqIfD+Sk70VHtezBH +PRy+jzctPaMM8zjq4bqvTz2cAJs/Weu1aIg56uG6P6ceDveval+jKzfjmIfD +emnCwfo7W/71hxPAY/nPux/nJjMPJ8AOq0kTX7sUcNTDYT1Ya3fg3tUnHPVw +AlQfOOhT5HuXox4Oz6e2tp92v3ViHk6AwsYdE06p5nPUw/2fv/f+z8N1x9TD +CZDmHXNh1ttY5uFwvV3uKbq/O5F5OJxP9lFHBkvGc9TDdf8+9XD4/GNCHm+A +Yo56OAFcdi9csW54DEc9HJ5PJtQ/qNr0z8MJ4PNI02B4RBZHPZwA2yOys8yt +HnHUw2E+PJ4fUu7ylKMeTgCtmZoWd8+IOerhcP6PHfyid14eRz0crg/5qXdE +w2OZh8PPA7r2uCQlMQ8nwLr3urcXrSnkqIcT4Ouc2GNVUkkc9XB4//0lK5O/ +5jMPJ0BTy5ctmTOimIcT4GqNwfd4gyjm4fD5I59+0rqVyTycABbktffThU4c +9XBYP83+MGbbXI6jHg7fh4NXllZUOkc9nAA3b9acOlCZz1EPJ0DfybMPZsy6 +zTycAB8nHzWRmebGPByeb5THbz/akMY8HO735odumSx+ylEPJ8A1v1XzR+Dz +UQ+H+7M2N3ipbgjzcAJYx3+72l8zkXm47vdHPZwAM8aenHvh5FOOejgBxnbo +27abp3PUw2G98FGldvrEaI56OMxXGzpUHgwq4qiHE2D1n4EXXR+WctTD4X6o +ZdWvJDaLox5OgO9RFcofJ8Vy1MMJEJRpPuuL2jOOejgBTv0NKws96c08nAD7 +y6ZFz9bMYx5OgJghnQ3vLUs56uGwvrlcfNAmNo6jHu7//L33fx5OgNpTOjYj +jt3jqIcTIFf9y5uVQ8s56uFwv3y3pizEJJ95OByvUbldAzKyOOrh8Dw82WOM +yoYnHPVwmC+m7i2cbZ/DUQ+H56PAaTe6rj3jqIcTYOfZtusNGgXMwwlwts+8 +7c+eAfNwAuzqf3vX1UulzMMJsMBmgMdIowLm4QQ4kmw2Jj7nPkc9nACe9dOf +6B391x8O852qU99Ld9I56uFwPMd6xTy5ncFRD4f1M+jOkaku5KiHw+d5rGgS +/SKDox5OgLVBzd98TLw46uFwve0csdOpVyrzcAJMOvOiokH0kHk4HM+WTX7J +vtkc9XACOHxxHLZe/p+Hw3ohxX7/X6GEox4O7zcBXilNKOeohxPgdZimbwd+ +Tj0c5g8t78Fqea856uHw+9UPwdvBpRz1cFj/9sjKGeaRz1EPJ0CU3N2r1h8y +OerhMF7maHf1bz5HPZwAl78cXTP7UQBHPRzuF6OCeiunZzAPJ8C9az8PaSSH +ctTD4Xo7f8eAv3SXeTg8f/WPVdgX+oyjHg7Pl1s+9rRp8uaohxPgkuWcrEMu +hRz1cAJ0DQzJm+2WzTwc1kO64xrnVdzjqIcTYNuHrZe/3hMzD4fr9fk8j+R9 +iczD4fNu8xh59lQu83C4/z9yHObqlc1RDyfA1qk7onuZ5HHUw+F4rd87OMwi +h3k4AZZGhncNtC9iHg7P1/FmJz6UP+aoh8N8t/dO6IpjEczDda8H6uEE4E/1 +q7Z0KuSoh8P6e83KGS77c5mHw583kDCDZZnMw2E9k3Rkuun6Mo56OAEORu76 +uW1qBEc9nACWu2Qi7we95KiH6/4+6uFw/auXnjuP75N6OMw/T63tJymVc9TD +CZBaMStuAinmqIfDfKdsbGCuImYeDuuFBY/Gp80u46iHw/z55tbW8uRE5uEE +kD35afIdhZcc9XA4Hjv9Nk40v8s8HMY/Z+77k5LOPBzmr3qttZP2ZTEPh+fh +sS7ycnwZ83ACaPdPFnW1FjAPh+s3Y+oN2f5ZzMMJ0KIw/6CCZj7zcFg/7ZrR +cHtwOfNwAoStcRwpN/0ZRz0c1td9Ez5rDg1iHk6AR58r7UzvF3HUwwnw94+p +Hbf9IUc9HNYbpy80xP1MYx5OgHllg+3EO94zDyfAqpkuYzWvPmUeDt/34vff +1xdUMA8nQHblxc/22yo56uEE6GmiXJ0Z/4CjHg7n9+b358fOqmQeDusNOa0f +01a8YR5OgJS509ZE2Sey/nAC1O83Gr7v6xvm4QRINPfXkb13n3k4nK+778+M +MnjHUQ+H78sh+nr/01nMwwlwp+r04EOGT5mHE+ChkZasYshbjno4nH9S6yum +vErnqIfD8/FlQe5BQSLzcPi+fAdq+xXlsf5wArzc3XOAiXch83ACiCSMZnau +KWb94fDnb0++aNXmxvrDCXDc1+CX08V3HPVwApj6fA/cMKmMox4O6yUJuzfD +4t4xD4frM2PClE36/zwcnu8sv7n3e1XDPBzuB15/A05aP+WohxPAddS+DWce +lDMPJ8DAAUvV7PbXMA8ngGSB78iVKtXMw+H+/KRhysx1WczD4fOO3mM3vl8l +83ACDPC5UDrBPImjHg7PH/1vKITKe7P+cJjfXEbpyPWvYR5OgAZpGdvwY3XM +w+F+8vSX1tqAao56OAECymMmOL9+yDwcXk/tw7y4eZnMw2G9vN1SZfSTx8zD +CXB7QbHt67Zw1h8O7/9br7udL/xZfzisb7wzjkUceco8nADT1S5PeKvzgaMe +Dn8+4InVbvu7zMPh+W2pl7nHtSqOerju/EA9HNazO8n92pUVrD8cnv+zdQbk +Fr1jHk6ANyrj9pRU3WMeDusvhWMTKqXzmYfD87XsN32r+mfMwwnwYP7cRdv6 +vOWohxOgqGlz73EXXjAPh+dls2tWM05+56iHE0BQ2RXff91njno4nE8KZ2Q1 +7MuYh8P6MPHYO68en5iHw/HtFe29s+o9Rz0crr+4zDXDv1YwD4f1vL9CgPfA +Vxz1cN3PSz0cvv+Dvn8UFj5m/eG6P6ceDuen/W7DM8OLWH84rDcUPx07fu8x +83ACXJ/Wq98Y/QaOejh8H3omyb+mfWMeDvONfyVX2NXAPByez4c8tVDdUsw8 +HOZXD/nELsNKjno4AXwdHYpGdzxh/eGaIXp91++xzfdYf7hmmL50bcG7kHrm +4ZrhhVfEohXV7zjq4ZrBOT1LpHznE/NwzeDq7Jgme72O/XupzfBgVHuH7Mlq +1h+uGczGKgUaO71gHq4Z/FrCuaUu+aw/XDPExjivcEqLYR4O74fPVAj/0Mw8 +XDOsM0pa5NoqMA/XDGvjbb1C7N6x/nDNsOp5wOrq4gTWH64Z1CeZvV9r+p79 +e6nNcKBjXdkUn+fMwzXDs1kr4k1Cq5iHa4Zv9zRKG55ms/5wzXD4Q712+8gW +jnq4Zqi19Zhf/bOOox6uGUzEazUC+vxhHq4Zjo+eflAh8xbrD9cMRiNr5E+3 +1DAP1wzWNy44rJjUyjxcMxTvHPfpyLCfHPVwzTBwxbNtLb155uGawT+kZl7P +OW3MwzVDuZJk9Zo9bczDNUPS2TLbE/c+Mw/XDIFXG5bFzqhlHq4ZlkxSyKos +FZiHa4Yc/0KpyGsSOdTDNcP9P3N1k/o2Mg/XDDLNftZ5hwX276U2Q3vu4U9n +kyVzqIdrhvGKD5dcvVjG/r3UZvhkofS+U5pn/15qM0T2LpEtiPjG+sPh+BVV +10X96JVDPVwzvL+ZaDNB3M76wzWD+SvDnnMi+uZQD9cM135slX6v2cw8XDNM +SS9fq/PwL/NwzTDYqaLndtNBOdTDNUP1V9JmvL2R9YdrhsawVeu8K3rmUA+H +1/84JPvCOPkc6uGaodfjaLVcF9kc6uGawfHsefs0pWfMwzXDtG/zJ88+LalK +PVwzdLy/N8/DRVaVerhmuLwhdcUrNYlc6uGawXRicu7I9RK5/w8qDbPw + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Hue[0.1421359549995791, 0.6, 0.6], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + GrayLevel[0], + Dashing[{Small, Small}]], + Line[{{-1.3, 0.}, {0.1, 0.}}]}, "Charting`Private`Tag#3"]}}, + "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, + "Function" -> ListPlot, "GroupHighlight" -> False|>|>]]}, + Annotation[ + GraphicsComplex[CompressedData[" +1:eJw0mnk0Vt/bhw1JRQMVSUgZEoW+RSVuc5KQJKWIUEkiGYqiRJIiRGVKyFAy +z8M2z/M8z1Q45zw0h3r3b63z/mVd63mc5+zpvj97rUvY4oaBFQsTE1OBFBPT +//4+9mcW/sFCIJkVU3PX9DfC/7M889L0//ja1JbeGlYCjWe/57l6gRdOKMkE +vFpBoMhJZ8GaRn6QDjuqeY2NQN9nDG4c1tsOXAzT5SMrCTRYp/1K5ssO+Krl +nLWOnUAVZSauilmi0BXz9NooZkNPLSfjtF2Q+yduR8YqAl1wu/DDdUgSXp8q +6vNaTSDBEnuzUAVpcP/QEXh6DYFODzBWGVrJginb7FFxDgJl3NfJMdD4D5RN +Wf79wgzbV0yXKx6AHbl8OfWcBOqa2aTxRlceVmyQvR6xlkAT5soe0y6HYPqK +lojdOgIZHeKE5/kKUFtmNgDrCeQN5XsiNipB8laXIK4NBOLbkXebWU0Z/B2f +HZvAXPnPMfyirwrcaIxnyuYi0Cv3Ed0vfapwUrQ414ebQLny4tav5NXhv3ud +dsYbCbQ1ui/DLkYDNvfMiu7eRKADE9Nsl3mPwk9p1qFFzE9n3kh7vtKCPt+t +IU2bCXROo/Bfrrg2FI7JHo/mweshfFyDs/w4RB4+xuLAS6DUIzXNd66cAI/g +i/mqWwgkPcBuw8yvB+ZzLvab+PD4z+9caLbVB3WNAPFpzMfvrWcTNzgJYlHv +hnO3EigrrLrjkaIBrPpZ/OIxP4F0Q3+qMfaeghm9Lh2TbXg+OVgUzMQMoTFx +jnWPAIFExH9Eduw8DR+ZVxT+xeyVGnJSR9wIAs/x32wVxONZ1j1cK3MGbmbu +k3grhOfrm+YRTWVjMOTUHnXcTqA7y7oKVafPgpyVeZiGMIHYWWa2qjucA74S +V13eHQRquXS8pCzIBBZ5Atm+YJb/ZbRKseA8DN1IKCrYSSAmlpiBrE8XANWW +OPqLEEhV0m7rLn4ziBHu3m0qSqAidLZDe/dF8LpDjEmLEQjt45D9W3cRrDpW +vGIWJ1C7zo/QFFtzOCq1Tb8D88DGKCdjbguQ8P6PPX4XXu+MC4p/CyyAY1i7 +xFmCQDpOV0yjrC8BKWfhpLUbj7emTuvgZktoDbgttVWSQNYyVrYN1ZaQ8Tlw +Yhbzdv0fB8+4WUGISuLrYikCjQ5uEBzYZw3Or9HJgD0Emore/sSIsAbjr92r +zPcSKOTmVao2+TIc1iHRPmn8fAX2AtlrV2BbPJvLChn8+SxxNnDvVfi7vG1v +N2YOty+Kk9+uwqjR/qkEWQJFTUd2SpXYQEXq8Yjb+wgUKvXI/6rfNYhfdenU +8f/w+Tz2u+PVWVt4ZH5njcB+AsnJb+crlrwOVwuel5GYxaf/1bUz2cHxjUmu +pQcIpKV0y7C/1w722JZKB8nh/bJVVqwj8wasr+qZviRPoMAd5kbDWvYwL0BF +HjiI92th1e7j+fbQ4bzyNPshAj2SmKyWlnSA7BYBzj7MSFZz7EmUA7zcdaAi ++TCBhp+Yy5htvAl37uvccVcgkN9omE3S45twvv+SrO4RAv2skdO0YXYEpf/c +PgspEuhhrcvtmDuOsN0/KHoes/ZpzwLd747AMpVkVKFEoOxGc+TgcAsmFcvW +vgACCbjwKa2hbkF1aG+ltTKBTL0D53jtnCCRotwOqhDIfn2E52vSCfy02P9b +o0qgf9zLqc/tneF6jODMAGaOmxdllr86g96fAzEpagS6O2+aO+jqArKnThh7 +qBOoRv7uH+l/LrDxg+X6kxoEutcgmfrDxxW+r3Cv3qFJoEWuGj+ZDbeh50Lw +3W+Yv54IUx16fRvyc5L3Vx/F9Suq9cmS2B0IX18+G6aF9/tiEP+zrDtw90rf +26vHCPTy0My752puYFbGOKugTaAZ5ubxlZ1uoLJ1Fdfa4wTK6xJ+SFi5g4ij +UO0w5i0c2aDx2x1WNsp5pOkQ6HODav3aZ3fhs4iu3IMTBLLbdLNXU+Qe1N+1 +Ik7pEoglo0uQUXgPPnS7x4nqEShNZe7k6tMe8Ew6xOQn5pG928WCKA+w933P +XadPoKXeCfEqDU8wGCuve32SQD6Xg8pcQzxh/+F+T1sDAikn7yuYmPAEnuB5 +eaVT+DzZts2y7b8Pv2dXUesNCdRr6iAz8vA+DKhvfzeGeYybw+JG930ojpS/ +kHkaz8df/3M5ux5A9A/dTd5GeD+4DHzNdHsA9/WsG4zO4P2X28p+peUBXEq8 ++2CXMYHYuo/Yt+/0Ag3mF4f+YJZvnFr51dULxM99YDScJVCAw6P4umYvWJ1Z +kRB5DtcH9w5RI9GHMMsxYHrDhECXPB3MX7o/hGbLhc0q5/Hn1F/ZwM6HkFa8 +uon7AoEKedY7KO7xhiAe4YeTmMO3S/6K9vGGWzcOKuSYEojkan+TO+oNRrV6 +C4/MCGRV76r+UMEHDgpfTjp7kUDxrH5FbGE+sPXOvYuS5gT6oG89rvDVB5ba +X/AuYxYTsAwQ038Ew5Ipzc0WBGr80BJRlfIISh9Wer+5hOvX1tmJLZy+EDs0 +cOSmJYGGjEnF7dd8wVvu61c1KwLtl2Z/OFDvC5cD1rzfbE0gTYWzAdqSj+HY +Z2GLT5gdqeWD1/0fg6TKIb78ywQ6E7TinBr5GNa+1m/1u4J/X+lmQ6O+H1AL +lx+dv0qgNaMq1quz/KDtuIfSXht8fuUtWX/zPoHMuNDv/zB3dSG3cPcn8GI5 +5UPbNQKpC8gmMsaegKtR1aVYW9zvlP3Mfx31h3Opg1udrhPopmqwZ8ZHfziy +6lubph2B4r6tHhbkeQqC5hyPt9wg0NufMQaK954CU8EO5RnM3fkrctd+egrj +3Id/FtoTaK1L20SA/jOovHby41MHAnXIR6ZXFDyDd5VXrMxu4v3UuvArUTQA +fAU8t8k64n49bhAEzwPAxjmsg+UWgRx6/jN6tBwAJ1o++nViblnwXKd8IBCk +d1WrvHMi0AqfgJFNtoHAdX/ol4szgVweO6xRjQ2Er33fUo+5EMi/6oRbTX8g +dO3jvMzviuvptIZgPPdzyH2yU5DAHODuPNKv/RxeTR7uKrlNoLo/P5KveD0H +N0UD/8A7BHqX22erW/QcLoReVbNww/M1J8nl//05AOX55z93Ah3K+u4lLB0E +O7ReprPdJZDK2sPp664GwYqY1Cs9mH90/3h4KjYIpn9XCyXdw/WvXWSWGgqC +WoPh7jseOA+9zW2Z2BIMye+/P9XxJNBcXaSgtGEw+K9YqyF4n0B6KaUVbQHB +YHdBZInCzP58xfuGhmDQz1HILHtAoMxXWqXbVoXAvvWnbIK9CFTeYE1VqofA +pis2wlYPcT/g2C9SeT8Efpbe75XzJhAvv6f2VhQCfXyvAlb5ECgncrNuzVII +FN5M0+zH3LWxYGvD4RcQ2VCz/P4RXu/BPRGit1+Ah8hI1l1fAl07IFXSnfsC +zO/+uKb3GI/30DXb4R8vQK177U5hPwJVjYYHHZELBVFp0f4FzG3MNtvmnUKB +3ffI88on+HzruX9fzA6FL6OntEL9CeRkfGv1hR+h0Hjo2r/LT3E9f0yqbZIP +g49BD3IOPSOQ1O4HgcKuYRA4++o6RwCBHpiW9T/MD4Ob6ukiQ5gHRQ+tU1oM +A8PI2oGPgXj+zjnwnFB8CXI/RoI8nxPoaubccLrHS9ii9/OYQRCeH7TrlG35 +S/iTsI5ZJBj//0ykqTvbKxhkEsv7jrnpNpob03oFJWcVb9SEEOj5k8XPEf6v +ICbDUOzVCwI1bClUSml9BV4ctkM2oQS6/dW8j3vza7Cy9Ao5EobzV7VuXNPZ +13C0+PXxdS8JdJlnwG8o6jVI8GSwjGLmBBNX1cnXwHGjLj/9FYGuOAkaL0mE +A1Ezau/1Gu+varvNHPbh0LL9l/jpcAJJ8jwPt8sJh/Tb60fEIvB6Cld1iS2H +Q3C7WOgvzLfvaKT+px4BzpJKJ+ojcb70P7rxxZMIMH54ekVE1P/y4OKcdkcE +HB6yLbwejfNDpr/4af5I2Cb38Ca8IZBN8/aPmZci4e+zcAmuGFwvk3uvWX+I +hNFPGaPjmMmxluPXv0dCuXJ9WNZbAnmMbztUqxQFca/GdH1icX9ltAq6+EaB +z8IvNuM4Am3a+evT7fYouHJ8Q7FEPO5vV155tG6LhuNx4rcWMTs+yGm9czka +9iwrSTa9w/mBTafaNSMa1hsZjUcl4P52/uTx+uVomP94/ZV9Iq5H5ufjc0Xe +QAe7t75qEs4z8bk9mdpvIPtiBPumZAKturY3o8/+DYTlZ5ZMYWZbt2+3RNgb +uM3d4JT7nkAFBrIH3ha/AZNr41KPP+D6Yf2yVn3yDShW/p44l0Kg36OtfRs4 +YkBIgCtc6iOB+ktVTFfuiwEW510GfzGP2+kdFz0bA5PNsLo1Fef1IpPQ654x +UC1+pjQmDdfH8ar9IwkxkOhp5+KYjve/1wz/nZYY8Ovz3quRQaDXyTtVlH7G +gO2+yCmeTJy36mpfSQq9Bd0nWRGfMZsU7uVXPfoWZCYbThVkESi2PKHg/o23 +wK04scY/m0CfHntdnwl7C99e/Cm7kIPXd+d6CffSt9BDct2WziVQ/aoX43Jf +3kL+UQkZ5jwCefJfCNjKHQvhb5Q/tWMWjPsgIq4QC3d/n4mKy8f93qA67Lxl +LJgZ3DjtXIDXW3FmqOBpLKi89+HUKiRQ6cTpOZXcWNi5IqqCrwjnIQn1vPnR +WGC7kH1nFnPsOUKufk0cfMpulC0u/l8+fHShYX8c1K2b/PyshEDrU9WFv5vG +wYfLi9EXEc6TNkYuRx/HwbNS7jP7SnE/VZkxLM+MA3u+3etWlBFoj6dkqtVw +HBjcVKnqwry5V9VNdnU87G8wdk8ox/3Q3Oij6P544BGx/+92BX7/V48VVc3i +4Zf7oxntSlw/LZcFHvnFQ39XVMy2Kpw317Sqf8uOh6K9OcYk5lVHBBIej8VD +1KOm9aXVBPrI8ffQ0bXv4P7oZPXzGgKd/eq7IHXoHVw6tHT3Ui3u721tVYet +3oFG0MYDB+oIZDbzJdH++TsQn909t7KeQLumRwKbi9/BanXV2F7Mke3opuHM +O5iNOHsuuQHX1x3Baiy8CdD03Z7LvRHnv/cXf/WoJUCqrm/tiSZ8v0uW8umy +T4DnCdEeQs34+ejX2J/IBHBkypWbx8xMVjBpNSSA0dlmorwF/160X0/RrwQ4 +mDEVF9JKoCfRmiZnxRJhK8eyiXUbvn8IUZ4ChomwdGnTxoPt+DxluSqufpAI +w0WS9as7CEQZdXlvS0uE0s1q9wcwa1p80z0znAhv7c4dTOnE8xFa/jSfMwke +1jhQ97oIdIt3t7yaQhJYb3/8Tr8b3x+vcSt/u5oEWrffXNjRQyAl94uRjS+T +QLI9d9M3zG5hP9Qaa5JgrWRLQ1Uv7t/Xs3Z9/ZEElNf0g7A+fH/iclFWEUuG +tsHlQ1f7CcT9e4tnzulkyDywef7wAIH+++swaOCdDC+eSSVyDuLnnz+jzZOd +DC6f1MyGMfumh5cwTSXDWWUTnrQhnJ//rtvLvfk9KLy62XR/GPfnhHtPtTXe +g8DC44enRvD7OmS3JTm9B6bjMQqio3g9vdwo6XfvYTw2b+EH5qQroQOD3e+h +cqklqXYM32856/zS2D/Au9OfLr4ex/ftoo755IMfwPfjX17bCZxXd91ia7z6 +AWzYeVoUJwm0bG5VsDH8A+hc3OOzfgrfRwbN2B40foC9+eqKY5g32/IN8Pz9 +ABu4z3/LmMZ5+qiGTLt0CizYOL5/+AnvV7aQ35nmKdBV4Wdh9JlAstGZkkXB +KZC77S3fri84v9vpFc5UpcArp/zW35g/790QCb9SwK259VHDDB7/YnhVwe6P +cEH8s1LkLP6+QajE+QsfATz/fbebI9BqrjfFOwM/gnAfT4oygeu10xlnroqP +wLpvryU3iddfyFhL9MdHmPLT4J/EvH2dwi4ziVSomTjfnk0R6Njb0DXofCok +H7n1+BGDQBcj/k6oB6aC/4snymfnCXRKYEUSoyIV7Mi3P3cv4H717oBu+c9U +0D9a8HEJ8xH/9ZODm9Ng35s2q+avBIrpj/OelU6DTb8/b3vzjUDf1gmuXKud +Bj9OMnU6fCdQrUSxmYplGvQm8z5R+4Hz2UC5v++9NChglVbd/JNAGQ/tHo2/ +TIOI85q/pzGrHPh7TC8zDTyyL6Tl/cL9MeVjc3NTGpivc7rs9xufv/OF680/ +p4HaZX/B83/w+TY3ZV/Jmg6ipbFdexYJxHjSmloskA7sfIX+/zA7njvG6nsw +Hb44tKu1LRFo3eLfRctT6dBQ/+XP22V8Ho6LhRjapUPKTuaMW39xPupdqDJ6 +nA4B7luuav4jUM9I6JNrceng0CW9fQsTiR7pqI0EoXQw3Hu05wvm0wXihY39 +6SD3yPRZITOJVt66ycf3Ix22jDppPGUhUbKj/jcXrgz4c/DpkikriUItCI0v +Uhkw+DwuU2YFic68clx1XSsDSmYKbVjY8PeFOOVYLTPgjVqHcCdmhw/Dte89 +MuBBxExv/EoSvY/nKrQOzwDL78yBLuwkura9fuX+3AzQ1OU7emwViZpHJd9t +7MgAiQSZv1tXk4g6ezaYjcoADiat7DnMkymOtas5MoEwNrMtWUOi1JTXsF08 +E1rSnXcGcpDoYN/cgpZaJqSvedZvzkkiVbNHg15mmRB8Kf75f2tJZC3g86PV +LROcioq02Nbh8b5hVZB5mQlnNnf+68asvoMvLiYrEw7ZzeYkrifR8tNhSdG2 +TOCvYbG7s4FEJW42jQVEJiwLbRXV4SJRwb52D4s1WTDqKjsowE2i6kQJ5W3i +WVDephVMYT7+wIv9s1oWxO2+qF22kUTK3PMtVRezwMfLhTl4E4lEzz0Izr6b +BVcGn+VZbibRt9WaOrmvs0D7wLsbcjwkEvQ89q0+NwuknhWLreIlkWfdS29G +Zxas+9Q51IfZLEbpj/hCFjBgLuT9FhKdc1DXcVifDe0vWXXu8pHI6mSGU6NU +NmTPb2XV20qiSvYA+0Pa2RCmva9gOz+J/q4alcu7nA23Y485LGDuc0kq1/LO +BpOli7sqt5FIX5RcO/s2GxRPu468ECCRf2Aqd3RpNgh9DAi9LEiikWmi3nI4 +G5jZE04cEiJRLmuizJGlbJgwK1nBsZ1EkfYDiqJbc6Aqr6twEPMuq4dzQgdz +IIGLuPlRmER/qiPlpIxywM9mxW7PHSTKkRDm0b6VA7YV/GMnd+LPm1d63w7K +Ad1t/73cKYL32zuNuwVpOSDjpK33HbPuiY5fnC05wN1svrJGFM/v+rh5eyIH +vondLn4pRiLHqWTzaY5c6PYIvGUjTiJmlz7V67tzIa83QfLILhJ1b9kewHYs +F17LovG1Enj/Nl1XTr2cC+5+3a9GMP/USNO38ckFswlCP303iX6MdOTLxeeC +yhG2VV6SJApLqHDaVJkLO19sQ4ZSJLpjdPUe60QusJH/OYvtIdGXUylNrCx5 +8Enz+J5fmNuynC9sFs6DumiLybq9JCpVeC9+UDkP3v+6HR4uTaJeY2kxW7M8 +eHryucF1GXweJKYN0u/lwY3kxNUgS6KJ2+kJ7FF5cJK1tHTDPhINlTkI3ijO +g/3ne1zGMTPvWpn+aTAPeLLJvVn/kWiDp85Zh6U8+LV25bT3fhIpHhfm4tyW +D/3WApFnDpBod55Ze65CPhSh/YYScvj5b8dCb5nkQ9QWHY5FzD0hHsaqbvng +6XCpvFGeRO2VG7iEw/PBov7O7aiDJPrNc61gQ2E+qO8MkrE/hPf/tku6XAP5 +IOae9EnlMIn+bWqs3rGYD6u7SqM2KpAoY9ZFQIO/AGb39J6ewnzgsLyOq0IB +NPlQnLlHSMR3tE+nyKQAUkdWVvoqkkhipRQfl3sBPD8o6HZOiUSXtywlO0cU +gOPzA/ukgESsjZuW5ooK4PSMzpdlzOe2Ka+8NVQA8mqWb1qUSUSma1Zw/C0A +vgi3MzEqJLIcp6SyBAth8VvQOkdVfJ7SmBWvQyEMn0iuUlcjEX+jMiF3sRBK +35W586jj/RpkL891vxDe/uv97zPmeM5Tm5diCuGhMWMmX4NEMQuJnj/LC8E6 +nf3tE00SSSfKOrJOFoLWGqGzF47i91V6Py3EVgS7L8ltkNYi0SXH9k4dsSLg +LDpRw3QMjz/DQt7vaBGQm6zutWOe5eZZ1XulCFqvux+I0yaR17l4ZTm/Isis +Dp5zOo7r4Z+a/rj3RfBC6H3sUR0ScWzc37qzqQhcXMvP8Z0gUZRd1NZMsgjO +tvVxzWI+5VOQabChGBR2z9cW6ZIoz+PwC5Z9xSDgtcrzmR6JDu9pySs/VQz/ +BoTkL+qTSHjdri3BTsUwtl+elD2Jz7vu1w+OYcVQ8VQ3ntWARDdrhuwt84sh +ftrqfBfml3+DLlgNFIMv3N2YcIpEL8SKbZ2Xi8HmZUi9qyGJGgTZXoYJlYDO +/Pv72qfx/Dty99WqlMBe7YqD24xIZHDhicQayxLYENtPEZi1yzd5mfiUwMLi +/Dt0hkRl+w1GihJLoNNwtelzYzw/X6fk9zaUQE7K9s2XzuLxzNr7pBIl8HLl +wcb950jUmhVUqbwBwR0zPa+VJvh9Qr7Mju1DcCHP+nAvZv673D+CTiMArnvz +Sefx/l+bNHjKFYGwzYtEtwskOhR49JVIOALWig9mJ0xJZPfXR5StBMEUfyWP +kBmuZ0t/73wfRVBza6CJgVmYfFv3h7kUkpoWHpZfJFH5iV+ZDzaWwhOxNUdC +zHG/vHnsNrdoKVz3EP5qZUEiY18znmS5UtDrPZgsf4lE3Fysvse0SmGfrL75 +aksSbZ9arGecLYVNfpe3DGDe8x/XYPS1Uvgxfq/lgxXeL56r84zulkKvQqjP +PWu8PxKSTTYHlEJBSIqi/mUSpfXnVwy8KYUIovKb8BUSvY1ZnEvKKIV7moPv +v2J+1v9fp0dlKVyM/mpRdRX3m3xR5wvdpaD6a83WMBu8n+uDW1Q/l4LIyR1t +V66RKIWAIek/pcCefMj3sC2J7p2bDRfhLIMvLCeB8zqJKqKMVgkLlkGDyZUf +Q5idolSERGXKICXLIyXVjkT9wdeGZFTLIGBtmOX9GyS6vz4Y1A3LwMH6I/8p +exKp/XJSNbMug1Ooql3EAffrJ23j913L4MCWocc/MBMmFgIf/MqA1+Gbcu1N +3A9OTZJDEWXwu47j1ytHEtlkchvyppbB4I6dqddukchnQ+Ix47IyKHE7bK3o +hPPCGdPGNx1l8KbzpMB6ZxKZN5K9jKkyeLDnaucoZhF2blutX2Vg6eP5JMMF +z9dPjwcJa8pBcyRM9aEr3j8T1JZ1AuWw62Dq79O3ScR2eYuUu3Q5rHlenSZ+ +B+eFW6l58yrlMPdl6PJvzNQpm0I7w3JoVv0u2OCGOW5Z5qt1OaSFc3ZHuJPI +9bwAj8ftcgj+tvOp3V0Sra12v8ztXw5OJxTUle+R6LZXs3BKVDmceWewyOWB ++7F/pYpeejkc+nc1YwJzmMyGyl8V5cBvfP9qtieJrm60iE/qLofltJfbH90n +kZGMzbj5l3IYWZ3WY/yARNm9PS7CS+VQZlHzbLcXifZmnbX4vK4CYguHNZYw +55dGRuUIV4D3ph9LTQ9J9MbgpIT//gq4cn1tVrQ3iR5sEv535WgFaFeLXHPw +IZEee/62E+cqQEroyA61RyQ68aDy3sHrFbDO9VTfJl9cv/8jhSU9K4DRahM4 +jTleYJRFNLgC2iUeHM17jOtNhJqg2LsKyHrw6u9jPxLdNSuz35tfAaEDadkm +T/B47Jh/KjZWgOv+Wts9/rjfi71PMRypgHNPR3b+w7x42Or5zYUKUJz+0d/6 +FNfXnK6oMLZKEIJ1QW+fkYjzd0x7xZZKYH4peuxWAM5n+XYSPyUrYYJxhEkz +kESxbKPRslAJVccMc3mfk+iC8qt9jgaVkPD2mt0XzKM7FYcLrSrh8eID0cIg +Ej0tvR7NcbsSrhm+HvQPJpHk3cabFv6VcCIlPdg0hESfef4YlkZXgvTKOm2Z +F//LY6GqopmVwG02yswSis+/j8rB59WV8C33Z14HZtZHr/at6K+E7g3r7ePD +SOSbf2TvPaIS8q6Kibu8JJH7k1rxf0xV8LpccVjrFYnelfbxP9pUBe78p19s +fU0iTUdOdp5dVWB6y1ZnDvOGTUtT7xWqQLnJi7UknET7bNSytfSqYIdYeEFA +BM73we4OcxZVsMIjw8E8EvcHVhXeMOcq+NRTt+u/KBJlekvEavlVQZ3M2MiK +aDxfh5u5mKOq4P3jX6HdmC+8rjYrTa+Cp+PrdRPfkKj+ZtJjn6oquKEgznYn +Bu+fz5z+p/qq4GSIUtHxtzjPu/hcEieq4D/itKNALIk+MpI5WZirYbPm9d0U +5iH3dQ8nNlXDz6iHY6VxJPr0Wr26YVc19P0MfxkUTyKd7aMtBUeqoUg/U8/y +Hc7Hhxwj0/SrISqpfqVcAol2XAmQTLGsBk+W8WL2RLw+PlXOaa7VYGHy+1Yf +ZoPKeLcC/2pQz9og9T4J14sEJNfwphrE1u6acE/G+WPd27jxrGpYZQ2vdd/j +fCs1UMZUVw0zJUYnt3/AefLJLz/RoWpo5LVbtYC5m/PRkv58NXy090YVKTiv +febe6MVWA8/rIpxffCRRcIp4QyFfDTjuyNpzORW/j7K+8OKeGjjt1jB5MI1E +cUM83CqqNSDfOR6+Jh3fv3jZXj81qgG+PX8MBjHH9cRmj9rUwKI315qPGbgf +mbqZH/aogaHhXWUembi/q258/Tq4BpC8suvJLJzvHBZMmBNrICbwjPTObBIF +SUfH2hXVgNcXu+lvmLn4oq+Pt9aAtapPZHUOrudCLjnnp2pAKzzS8GUuzn/+ +7+8M/a6B3d+yOGzycN7+i3Is19UC54nGcoV8vP7X5S8v7KgFMn7i9toCPH6x +EF8f+Vpo/ftHZgTz7eYzvMI6tZBxhvtzWiGeXzPWDeUXayEkTSL6QRGJXu/h +vXHVqRacV6sYGRaT6PEotyyvXy0YWxivFSvB6+3qZNAQVQsKhTcqf2JuOFHc +4p1ZCwKbHrnVIby/116J16ythX+2UfvCS/H9pWihZe1QLYxVZX+xLSORfG7f +8YH5WqgQbHqjVI7zaNrLzakr6yDeZfLMhgoSGWYmSzzmr4NHrYvrxjF/FvJ7 +eFWmDq5KbKzOrCRR08e0HfoadXD8we673lW4Xt4IY1I8Vwd7BlT2n6km0UJN +zlaZG3WwYf/Z2V01JJJy9rku8bAOFvzt3/7BnPPs7vddr+qgc+rR2cZa/H53 +uVP3fqyDHKXoDVF1JFJgKww9XFEHL8Nyam7Uk8hWeS5Rp7cO7jCa7qk04Prw +Zuu4JVEH549NHdjYSKIsIl/Ji6UelN4uzU1iPqalWJjAWw/bFzfG5TSRCMR5 +Ddul6oHFUNLEtxnf14zz2VhV62Hqgyr3uRb8e6wvGg+dqYcatnN1kq14Pd5u +jXe2rYckUwfPZcwvOAue5N+vhye5vvItbTifXBt3Zwmrh+sb3pBv2kkUosbi +dPJDPehdzY2/2UEib7tH9u/K6kG2vPm8eieJXARHrjH31MNG/umNPF0kKhx0 +umQxVw/fHZfrP2He7Jd7qo65AXoaNz3I78Z5wHT9ITneBigQlTr0pAf3+5lP +65OkGiDinhrjfC8+H5vedwqrNsC9nnMJe/twfeAv93pzpgEuytw0Zeon0ZHQ +F3yi1xtA9fHjze2YBW8+DUp90AAi428aYwfw/ejbhll42QArFfK8nAZJ5Ffo +I9Sd0gCfg1sOHx0i0a8CQ6mbFQ1QPzc9v2WYRM9hdO2mvgb4oPE3cQazI/G0 +tJBsgGdRmy8WjZDIobRM+eqKRnD4KcX7bJREvJwjftu2NsIpffVmszHcP920 +wrukG+FAkom37DiJTLkv2oVoNAIvi+MR1gmcn3Y/Yjpr0gi/z/l97cS821VQ +d6dDIwxkxiS/m8T5eYXPqa8+jVDMmW/uOoXz2j6BtXURjRBt1bpFexo/r0jV +JS6jEe6XfGrh/4T7XZbhM+/aRrjE+8+HwBz6ME3XdrgRNO15lNBnfH6pjCzj +b42wq27P98AvuN6uyyvSXtMEa3ZofLCYwfnJkP+S6vYmmLtz/tL+WZyf/OTj +QK4Jmjsct66cI1FSp7W7qk4TpEk9aevB3PCe5bO2RRMEeb/1TSJIVDV+acLY +tQluDeeDG4n7Xdy4je2zJjCSb/uhQ5Go5Gb3He+4JjgY+DlFkIHvAxKh6+MK +moD/yz9LBmb7RRfR2tYmWFbh3VY+j+v1rba8+ekmGHm9tyN4gUQHXX5WbV9u +grKvGn5WX0mUqqx07PTGZojVuaAi/w3fNy1/KwVININ3/K1fq76T6HyrW2wz +NMPlv09S+zEfr+V13GjUDMfOxFp/+EGirTOCyRdsm0EyrUDg3k8SpUuOq6c8 +aIa1q9s79X7h8zeQDiyvmoFh/uWJ8G98n3wzFHo+tRnaC5jUvmLWvp2lWVTV +DFkbt/yp/IPre8nD48KDzRBqK50eukiidcIRMU8WmsG1SvPKlSW8PklHNRZX +tcA5QVOhw8v4POd37LMXaoEjLk7dHH/xenH4W88caAHBVv+nQ5iFMgsHr+q0 +AJNEnHrqP7x/+VOekxYtMH6/cNGTiULma5Lvu95ugar+9gwDZgqJRiwmsge2 +QMJ/M1dFWChkdnSGNeJdC+3DUUhsoPjZgeIW2oej0E2PYo3Ojhbah6OQSLa0 +qOtMC+3DUWiVZEpIIFMr7cNRyEtbJeETRyvtw1Hoyz1nLRXeVtqHo1BigZ1z +5I5W2oejUG7EcemlPa20D0ehV/Z7HS4caqV9OApd2nvwcJl6K+3DUaj8zmNf +cf1W2oej0O93qucCTVppH45CZJpXyqJ1K+3D4fGo2XpevdlK+3AUasnib+u7 +20r7cBQqW50eqfO4lfbhKOS9oD1ZFtJK+3AUOtPIHnvoTSvtw1FIKoatN/N9 +K+3D4fcXt7gvk9tK+3AUulpxODK1vJX24Sh09+EHCdnmVtqHo9AP8U6R7L5W +2oejUAJvs7/CVCvtw1FI3ibHrJLRSvtweL4nEsP1llppH45CDQulSkPsbbQP +R+F8ynf0+sY22oejkMCvpox/gm20D0ehxb2/PEJ2t9E+HIWYPDI+SMq10T4c +hQTzeeSrVNpoH45CqiZKAuYn2mgfjkJGgaoX/hm30T4chbRkjnyPtmyjfTgK +rStVm1C1b6N9OAolOTiIfnZro304CkmLDOUHPGqjfTgK1V+KijoU3Eb7cHg9 +rza2TUW10T4chTy23TsZktxG+3AUClhfz6+R00b7cBRK9qre+6usjfbhKFRo +/dg3pamN9uEoNHpql7hVXxvtw1HIIThvldBUG+3DUWg1v57kAKON9uHwej9l +evpqqY324fB4FMYkz61qp304Cm0VZlspsKmd9uEo5DrjwTcu1E77cBTSpSwt +kyTbaR+OQjsO1EzelG+nfTgKjdnlv1RSa6d9OPx+Syfuceq10z4chabnQoMH +z7XTPhyF9v6J7/5o3U77cBS68dRfw+tmO+3D4ecVmQyfvddO+3AUUtERid7n +1077cBTq/fDDe21oO+3DUej+8njgTEw77cNR6OOa38V1Ke20D0eh67paHO/z +22kfDv8eY8T5WVU77cNRaH1181/Htnbah6OQocHOGJOhdtqHo9CfqlkTjS/t +tA9HIed/B/bIfm+nfTgK/fNbv1GIuYP24Sj07K03x/q1HbQPR6GZPfGbmPk6 +aB+OQh/K70h9F+mgfTgKqcdxn5yV6aB9OPy8ClfPiSMdtA+H16ssL29Iq4P2 +4Sj09PPQzz7DDtqHw+t74KtC78UO2ofD76vP6tVr20H7cBT69HxLQ79rB+3D +4fVcPsI98rCD9uEoJKd5x2gqsIP24SiU39T3gojooH04CrHGWjb/TOygfTgK +XfDa+Zc1u4P24Sj0X7/gTu6yDtqHoxBfmanCjqYO2oejUPu/GfX9fR20D4fP +G2eDotZUB+3DUah/zUoR0/kO2oejUGfT2+9Oyx20D0chtu6EtIDVnbQPh+uN +Af+p95s7aR+OQjHbWQdqhTtpHw6Pp9FS8/OeTtqHw/0hQCN49eFO2oejULZB +MpLS7KR9OArtTwmrO2nQSftwFDo7yZXqatpJ+3C43sxts4ux6aR9OLw+1kUr +Gp07aR+OQhzvvtr/etBJ+3B4/yZWpYkFdNI+HJ5PgQPlRuGdtA9HIXtz9Vjf +hE7ah6OQr8f3k0WZnbQPh99/n3bjPOqkfTjcH8bVNkg0dtI+HH7/hAl+i95O +2oejULjWbiJispP24Sikc3jLgz5GJ+3DUajrTUov73In7cPh8643Q55Z3UX7 +cPh8VjeVv9rcRftwFPp88IzBkHAX7cNRqOKhd/iOvV20D0ehDYfORFw93EX7 +cBS6ElxvkKHZRftwFLL1HCpaMuiifTgK1YY/7dUy66J9OAopy/TGhl7ron04 +CuXIF2ybdumifThcT01kVOQfdtE+HIWGF+TW+wV20T4chSRW1j8cjuiifTgK +pR+fit6f1EX7cBQylXho6p/dRftwFLqYFVMyVdZF+3AUOpJ+sES5uYv24SjU +GqxmEtnfRftwOC94FAUvTnfRPhyFXmhGWpl87aJ9OAo9vzbSXPSvi/bhcD8W +cm8S4uymfTgK1ajbmj3c0k37cHj+bBIfzIh00z4c3k/tuw4YyHbTPhzujzZT +twoVu2kfjkIDHV0gpt1N+3C43p2fDw4y6qZ9OFx/VkjfYLrUTftwFNK87d1y +40Y37cPh/cA3mzHq1k37cBQK+3qa95RvN+3D4fmtKvxTHdJN+3AUOrDEZXIk +ppv24fB85ZyQy0zppn04fF7LLvlJFnTTPhyFMl5rnY6v7qZ9OFyf7Bkh2zu6 +aR8O9x8hvaORI920D4fzxQFjG/65btqHo1Ap4+/i61/dtA+H+wHI/eFn66F9 +OJw3ShgWUVw9tA9HoTfF2/fvEOyhfTgK2R3Ou5awu4f24fD+nUhk3yvfQ/tw +FNrGN8mWo9ZD+3AUuv3lnCXo99A+HIWqN/3dXn++h/bh8PnnKDlkdLWH9uHw +eSP8Pkw49dA+HO4X/oYuNx/00D4chb5dXfOSJaCH9uFwvsgL5wwJ76F9OAq9 +P/uzXSyxh/bhKPRzjONLQVYP7cNRaM2LGh39sh7ah8Prc3nL0nRTD+3D4X70 +b/bbvf4e2oej0Lt06QNbPvXQPhyFTHxaCzK+9tA+HK6PBwq9dJl6aR+OQufE +Bp/OcvbSPhzuB45CPY/5emkfjkJOn+0vSIj10j4chc7/KxSs39dL+3C4307N +brGFXtqHw+fXZfbYep1e2oejUIpGfGqmcS/tw+H6/oxV66xVL+3D4f9XZ2xg +utlL+3AUUlx1elXivV7ah6PQsb38Uief9NI+HO4fhZJ3FsN6aR+OQvxWNxjv +4nppHw739+Bm/1PpvbQPRyFtM9kTzCW9tA9HobYgD+m0+l7ah6PQbNIb2Ys9 +vbQPR6GFNreTXJO9tA+H3/fLT/8KRi/tw+F69mBxzHm5l/bhKNQ3aKsruaaP +9uHw/JKyraM8fbQPRyFxExmrsJ19tA9HoVC3Exx6Mn20D0chF2O7UnbFPtqH +w/vtg8uDsmN9tA9HIYupE3ruRn20D4fHK9wlcvBSH+3DUShLZp7p+40+2oej +0PdQ77EM9z7ah6NQpq9TpcPjPtqHw/ViLjZBNrSP9uEo5H7+q+/C2z7ah8Pj +3aFpnZXaR/twFDJQvKfoUtRH+3D4eSZ3ORXq+mgfDu+XEZG2f119tA9HoYfD +px9XjffRPhyFNgvO7/On+mgfDvdv3p6GU0t9tA9HoXs/P53ctrqf9uEoJBPO +WjG1uZ/24XD+CV4tkLajn/bhcB4R6bvgJt1P+3AU2qh20uvokX7ah8N57qX+ +k03H+mkfjkJPPLMdx0/30z4czpurTA+nW/TTPhyuHwviQ543+mkfDp+/dMbZ +k+79tA9HIcfrLz7ueNxP+3AU+vp3offbi37ah8P96etUd83bftqHo9A+P+13 +4an9tA+H+1XOkrp9UT/tw+F+L9ibplHXT/tw+P7Dn/eJv7uf9uEodKvA5dPC +eD/tw1FIuGA+pZ7qp304Cm15xnQodqmf9uHwep2/5+O+eoD24fDvM2mEGPEM +0D4c3m9sey/K7hygfTgKDaZwf+aUGaB9OHwfIBokvhwZoH04XM9t9+2sPjZA ++3C4PvgItscaDdA+HO5P2lf2P7g0QPtwuD67fzpqbj9A+3D4vhTsuEbl7gDt +w1FoU/7EbWG/AdqHo9BE47oQlrAB2oejkL55g9Fk7ADtw1HoF57D6rQB2ofD ++6n/ZFNS8QDtw+H9fqXG/Wn9AO3D4ftlvWijQ88A7cPh9eRRzTeaHKB9ONxv +t8yrHJkfoH04fN/WZ7fc8XeA9uFwfpw3FljNMUj7cBSqmsy/xuAdpH04CkH1 +sk6vyCDtw1HowaefJaWyg7QPRyFjXTeUpDRI+3AU2pl9Tif4+CDtw+H7vt+V +S3eNB2kfDs/vV2eWK1aDtA9HoeXqM+Knbg7SPhy+jwYPtih5DNI+HB7/q+7f +u/0HaR8O5782nljeV4O0D4fz5fT1uhXvBmkfDq/v4Q82CxmDtA+H32ci5uEo +GqR9OArNJwtubmkcpH04fD/gXuAr6RukfTicF/RmA1OmB2kfDvcznT6XyK+D +tA9HIf8kv8anTEO0D4fXu6jJ/97aIdqHw/s3wD7nxtYh2ofD9XhC+ai5+BDt +w+H13supeGr/EO3D4fwUHv1CQ2WI9uHwenDU6h7UHaJ9OHxf4TGwljQZon04 +CnGuWtMveGWI9uFwPR8vTOB2GqJ9OFwfzf5rWvlgiPbhcH+fVdBafDZE+3B4 +vpLf8DDCh2gfjkK/XaXkphKHaB8O54+ppLj+7CHah6PQY7kJs9byIdqHw/3/ +6lur6pYh2ofD/UomPbNocIj24XD+/NZ7NPPLEO3D4f7XPS6U/GOI9uEolPo+ +7FAM6zDtw1HImqk06OWGYdqHw/WJV0QiUGCY9uEoVDn75M+j3cO0D0chSym0 +wlN+mPbhKFSv7K3hqj5M+3D4+5rxBfYnh2kfjkJL3NPmV02HaR+OQosy6w5Z +XBumfTgKqU1MKJq4DtM+HN7PO/fYGXoP0z4cnt+Y1JoTQcO0D0chq2oZraPR +w7QPRyHmNCdC+cMw7cNRqGm/Wvbh/GHah6PQ0fELkfurhyF/hncDJxMDHVt+ +HLu3Yxh2h8XO3sIs8OBJ1a7RYQhXk64ZwvxURIx5JzEMnIyCt5rMDMTQEzAU ++DMMdyM076ViVhTfX8TLPgKkVvvZLSwMxOu9R5570wiYfb9w4D7mscWGck7h +EWiN+bJhBnN9V9t59r0joKLrNGfAykAjm5hZWRRGIOMPU20hZn5H/syloyOw +M8E/VmQFA7lYjNv8NByBkFNbPJ5i/s3Ju2vBfATYmOLO/cBcZXjn85zdCDh/ +kJYzY2Mgqc7GpE9uI/DJuJCrFnPpUPPVcd8RMGY7SsisZCBTd2WxoRcjUJ/e +XvsKs4bj2GDP2xFQMDWNY2FnoB1KDk/aU0fgw5oZj2uYhblSpZuKRkAg18mk +EzNz39mamroReHaJWV5xFQMJKcjol3ePwL/1T7nfYfZ/wagpmhgB+6It5LrV +DLTln7FULmMExq7E1blgtjkq7pa+PAIGm2XiRzF7u3H2nWYbhYqyQs9jaxio +MMHpUzvHKOy3O3o+A/PL+JRPetyjEL+1Q56fg4E+Nwf1Nm4ZBZ4a040PMa87 +x5p/TGgUHjnOkHOY2c4NPaoWHYVfQs71pzkZ6EbJXzU1qVG42sj8rgRz+CmN +ObRvFPpdn94XX8tAAeXPPI4cGoXjonwXAjGvf563lAejUNQWd/A35nfCbywO +aI7CnnsymyzWMVB65o60dJ1RiNpdRNVjtrwkMLnn1Chs6Dna8N96BuqSuL6c +dHYU7nt1vIvAzDZE/hG9OAoL0mYP2DYw0Bdpj4EY61G4NDhzwQ6zfcafSIHr +o9Dp63yoB/PzNkWVV46joHGAZbMyFwPp9ohUbrozCjljTxmJmCtmnogGeo6C ++DO+Ri5uBpLYoGfJ8WgUXh6OT7iDeWKXtofP01FY/UnGawKz71djJ+aQUbgT +XGSqs5GBPnGc0XB/PQqzoHU4G/OmWSHyx5tROD/XsVlwEwM5fHpm55AwCk0v +zeZ9MOfu96iaTRkFJY3ZRgrz0kTDvFXWKKTOOycab2agsgm9hZGCUdgexfKw +DPPvf1NVZ8tG4bn2M7PdPAzEPX7lWkfNKLD85FMIxvx3Q+aYTvMoOMbG8yxh +VpqPEq/uHIUpPdkFS148P5Urj8DAKBgtFTU1Yc57XymQNzYKNYlaSXJb8HrH +ZjTIfB6Fg6c7H0ZjZvFJVk8iRyGJ+eLFVXwMdGWtzyPh76Ow9eOsggPm3hbB +4FeLo/DknAtvP2akomvNxTIGSytZv6puZSDzbOKf76oxuJ75rPk95slz3aZM +68dg2Gxr8iZ+BrqoPHbfZfMY6HG+876Lefjkp8sk/xiU5smaT2O+drZsvdWO +MZC1Kj6it42BBP8ddR3YNQZvuY5tycMsXHfqzUnpMdhY0vl1uwADFfEX+9Qc +GIOHNhdbHmO+eOj8bsUjY/CdZy55AXOK6mq/DNUxsK5w8TERZKD7chFx4sfG +oOcGq0Ul5r/zc64RemOgtS1AcY8QA72Q6WDjMhqDgtqtfKGYufzFtL3Pj4Gk +07tvfzHHuJeo/7YYgwjhfa2XtzPQqPHNb7ZXx2Btc/H7Vszjp/mMR2+Mwb07 +xx4dEmYghUd+dqecx4AS67J4i3ntSIRctfsYXOy4qMSxA/Ne8dSDXmPQ5jHH +dwtzyE6mnuTHY6Aq5fp9EHPsib8ftgWOQWYva5vGTgaa3/JL+lnoGIh4B3z4 +iHmhtcL0X8QYvJDl9+UVYaDpaPmD9rFjsHL43SVPzKPPJApHk8bAxW8ffMHc +7n1jWj9tDD7LlWw1EGWg0OMjeaU5Y3B24tiPAsy/vXVlZYrHoD6gq22nGANV +5wfpRleMgcIR8xR/zO3a99evqx+DD5/nfL9jZnWnbrq3joHAC1dLU3FcD29k +uMx0j0GAygrlGszrxaK3GQ+NARMZwC+zi4GcPf0uVk2MgcNr/p8vMcsqHVPd +NzMG45oJ7cwSDCT2N7U0ijEGp77u+2iD+ZlScP+an2NQGV3yuAMz04WaZ87L +Y3BAR9vqyG4Guhe6fXCMdRze/epSjsfsxu5YprNmHHjjzbetk2Sg2fdBirkb +xsH3JPHTGfMJs1N6wrzj8HvZtWMEc/qg73c/gXGwSV6RqiWF+0nJSulvO8dh +wCjQLx3zd483f87vHgcd1m3WW/cwkOYdiTNVMuNQnJqg4oU56NMttT3y47D3 +/H8Cc5hvj50uCVEch+hV6JfhXgZyMo+uXlQbhw3Z2p3FmMeVRUwstMfhvnl3 +qpg0A605lHunVn8cFtZaPAnALDuxW2TvmXGwLCCsf2GOzjtpFHxhHLqsb6ua +yzBQGvff9b8ujYPmRjbBeszdu1n0z9uMQy4K/L1PFo9nq8zmUvtx2GW7rSsc +87rBs6Y7Xcbh1ZbEtBX7cL3ROrnb5+44rKn6z/865g7+zy6fvcbBzQFd7sas +QlEa2n7jMCdwXA3+YyBDpcPB7wPH4UJ9t2Ai5seWL005w8ah2dniz4b9uD5H +DryzjRwH2El23cactrLLpjF2HNJabqePYxaLOJ0kmTwOwu5sT48fYKABhb3m +fmnjELTr+ZUszIJx0s8/54wDa9c2dQE5nAccdx/ULB6HW/cThXww80hShrEV +4zC1Z/8iiZl/t+70v7pxMOpH3WfkGehyBu+sSes41PgczyjFrD7Nb5HbPQ6H +/ut5KnGQgYja3drcQ+OQPGJxNQjzS6VNb20nxoHfn1RfxOzDmXil+ss4+B+8 +s93yEANx9mVHCDHGYXmSbakRc5TkWkXXH+Ng9/x5z4HD+DzZ3tVqXRqHEUWB +zCjMadNdxeKsE6A/k/iMXYGBZCqnwu+tnoCy0P029pi7udzHOtdPwD61Uo0+ +zM7Fl5/u5pmAWOq4sOoRBjoV6hLlsW0CNkX0LCVj3nnizsbOHRPgrXWpd6Mi +A4neUpoVl5iAH9/ITHfMuzyCRNykJ+ByzJ2AKcxhFeolTQcmoPfEymu6Sjgv +uO7MFToyAcf+PNfMxdyZs7TWQXUCCt4J7NgO+Lzejior05oAyVNJy76YPcK7 +W7n0JiDi3/6+ecy+gzcPm5+egLUfSrPOKeN+Oai8nGoyAR7GOoEVmL9tW7fl +r/kEMFb0XpNSYaB4lsTHx69MgHn6paMvMEd2DOi/tJuA9gvUjr+Y5fOcbSdv +TYDaGre/1qoM9KdAY0DabQKyclb2t2BOqN0ccef+BIheCso+qMZAammZKZWP +JiB0veDzGMwSO+fWrXs2AexFSbZr1Bloc/aTEqOQCXC9ckDLEbPMoGlh1OsJ ++LKpbOcgZqF52RXTbybgXJnOP3UNXL+l28KkEiag4Xpvfwrm+sxVTjdTJuDI +VsscHk0GyvSIe52bOQEp1dRzD8yfnOzZl/InQNDR7fpnzErnDxZA6QQECLEf +O3mUgcwGatIfVE8AU2OQSAHm+nszc5WNE+DgKsi0Uwv326xrNis7JmBcJHng +CWa+Fh6Jo30TYNh2IPcb5iLmXPFHIxNQdbcs6MIxXE8sea2qpyZAbvcJu2rM +2vMsoyvmJiChu/eYtDY+bz7qYaoLE7DFy1L0JeblyXePPX5NwGNpBhPzcVzf +0r5lF/6dgD8DboNXMVMOv7b+XDEJ13zZ89oxcwS758pyTMLg/uBgBR08Xovj +fte4JuHEmOCNOMwj3NJBcbyTUPI0WXvtCZxf8uebBgUmQfqwnJgzZlfdC0c2 +iUzCm+ky5hHMQ6kKg9q7J4Er+MTQUV0Gaoo0+uApMwkPoC8vDfObIpf32XKT +8HXWMoRPj4G8Qq70fjkyCZYvGTceYH78fEFWQG0SutTdj89iLmQdydE7Ngma +8+zihvq4Xgj9tLqvNwm5kcEsxZg/LbIqZZyeBAltoWHRk/h+ENqsOG4yCa9/ +JOc/w/yyWciSy2ISOGLlXvzE/PZqaSpcmQR3vXL7iwYMdGbDbaHrdpNALJ7Q +qcPMqc+d8erWJJgm9onvO8VAD14bWlfdmYQWQyvWcMxfNVYpMDwnQZl5fpjV +EOeNp9/3bn00Cekp7gW2mG0CJpXVnk7CjnOrQrswN+tH3rgWPAnBK0MclE4z +0MqCT/lBryZhRabQiQTMgS+fCOZHT4KT2ftdG4xwXorSjxiOn4RpDvkVtzHX +XPory/phEs7klY+MYe64dH5YLGMSai11C7XP4P7JtO/NsbxJOMTVH5qJOe+e +pvO1kklILra6uc2YgaQ5zM38KyeB32b+hDfmFTla5z7UT4I/z10JEvNqp0qr +htZJ+Fu+iu3MWbx/d4Z7fumehBs3QkYRZt/7wUkrhyZhlH970a5zDHTrwK2R +HROTcLL2fdhzzKH1rMJKXyah/Ja84x/Mc+mrrhtTk/CfcIXuJRN8P1M9Vu7w +fRLimnR3N2K2yn0i5Lc4CZvv9LMdOI/73X+hXjHMU+AjZj0WidkW7SVy2afg +Z/t80coLDFRylN+kae0UXPG4+/IG5odvhBvHNk5Bn+TqW72Yr3ixw3e+KdDu +DdFTMcX1MTAog337FBQ+3C6ZjPm5jq8on9gUSMl+WLnRDJ8vkY+hElJTEDkk +P+6GmbSpW3Fo3xSs86sonsRcdSba7ujBKfCQ03t14iI+32u+txsqTQFjvP9W +Dua6RwEy5upTYB5grS9kzkBni/7zsdWegg6FBUlfzE533rU760+B+ue77POY +Nd0+bPI0moLskNUTZy0Y6L3pmuO+56dATOVFSTnmY+8f3gqwmIIwYvtryUsM +9Lp5JODFlSlY9fqDUwhmFoHR8Nd2U3Bb8+DJZcwDbcfCom5NwcxChZS1JV6f +9WOeMXemwCRab1UL5kcjV8/Eek5B4/GBCXkrfL72JfLF+UyB4i9r9AbzxVGz +6lj/KfgYt/B6tTUDnU40PP82aAqETt5zvol5QUa7P/rlFAQurzYYwHxk1QqI +iJoC5uQXe9QvM1B+rMbjsLgpuGkkvDoFs+fF9tznyVMwwZIyufkK7gde1+v8 +0qbAMPVg6T3MLw/2Fz3ImYIqk8rwT//jkIHA20VTILdK30X/Kr7PBe9Xv1E+ +BYlZAwb5mP9sSey6VDsFfOaX9+6wYSDj+V9qZ5qnwG/t19VPMPu1fnl6rHMK +FvPvTX3FXGigmHG4fwpsrdeUnb/GQMeXslN3j07BEHdoRBXmoUM83nzTU6CL +hF332uL7w8XtsuxzU4CupZwKw2ycEprxdX4KZLYckma6jvO/psKqkZ9TEFNZ +ueYqZv3DrTJ1y1PA7aA/3Ya51YNXMoN1GrwEBssO2+Hf/9H+/dXqafhWdzky +FnPQ5coAz/XTYOX81ZXzBgMle6X8tNo8Dd07PAydMKtO6Ulp80/D0ZY1MsOY +Q9Zfl9ojPA15bqEcR+1xf7Cr/rFOfBokdu34lIo52VjAj5KahtedKeVbHBjI +keO/qeZ908Bx/1DUfcziqbUrUw5Og/ueqtszmFlORxOPlaaB7NM/feomvt/8 +dHlppT4NZj6DMkWYdd02rlTWnobWfVc4RR1xfknZd4BPfxpURr5+eop5SSNU +ZP70NGQ88aj4gdl96m97jck07DzIEW12Cz+fdw9Emk9DyGTonVrMJ/TGLjtc +nga25zuMZJ1wPSIGjqv/Hw33HZfj+8UB3B5ZIWSTjLKSQkmXQmY2yd57ZEXZ +oUhI9giVVKRBkqKTSlPapb2fed889/1EMvI7v9dzvr//Pi9f9dzXfV3nOsfv +/Xr2SJjjlJBx9zA3NyuT9zgoYVK5WaeWjri/tvhPlRyVsBW3Psp2YS73rJ// ++qSEpVovSszD3OqDe/ez5yTM/FvpoylH8L+XDr+8wF3Cnt/ffuwp5kdVl6L7 +eEpYv1n1y7scxf7+kOO92psSdrn+lPFRzFcXBYx8cV/Cmh536FyF+bBO+e5D +PhK2z/a2fLYT7p9pxavNAySsslHv40vM4oJVv5qCJWzR05DHfZ2xX7s5aHr8 +SwlLWGx+/Bzmt6vkk85FSZjJv492PGatvEOF02MlzP/5ovHLj2G97esyoFWi +hPVcUdYZMHeYnNUxPlXC3FrtUAw/jvPJ0AE+JzMl7GdY/UdPzIOjDYvN8iVs +x5rTPo2YeyRERKqLJay4fccTG0+owPyHs2lwpYTNjby9Ih3z9/rJyzZJJOzd +xiEmJidxv86L1OnNSdjoLqFdvDGPOxx4IEOQsIcx5srWp7AfzMvac7pBwrps +T0rai/nDW76V8V8JO62z2LcQs+fG9xOqW0iZEFd2YuppFYxp+Nv8Wjsp27hn +h30Q5g0ee7ZYdpay3N7fTbqdUcHoIWnrFN2lbHrSae1jmKWB2d9u9Jay1wc6 +cjWY5zRY6VgOlLJhA+8kz3PBepv3Jb1OX8rupA/xe+3y/38PWdLDw1DK2h8N +PTngLN6/aodvRkZS5qw/eaUb5q4b+BV5plKmzEoyVWEuinBd6DhZylafWNzV +/hzOq0t/5fW0krIMg3LuA2b9G63rXttImWXBjhTD83jeXm45tWSelIW6fPe7 +jtm+rND32yIpGzT2zKk/mGvH9J7vbidl10o6rtriiu87RHJqyBopa3HhzoTP +mEMW/50Qs1HKDprod5vohvPsn94HFm2XstrKUP4RZpcTjWMle6Rs2eXJqe0u +4DzjtWKf00EpSzJLfrIf83GZekwHJymbKFl8uhhzB90Le+6flLJAr/JV0y5i +Dso2MDwnZb3ZzonBmDt3vbzxzUUpc1d+79bDXQXxrxx7TrsqZb9vn/l6AnPn +mjnTM25I2Z7pndIkmIdVfFQsuydl5ao7/gsu4f4v8GtX9kjKFnjrn4nC7CF5 +/GCjv5TFzQ5bPdgD938fJ3/JMykb92PyJHfM6t2ywTvCpMzXN7m7GvPHpGfa +itdS1n3Bkm+rLqug7t8xhx0xUnbud3la4uX//75eU6VxUvY9YOfT0VdUsKaP ++clNSVK2demPM7cwn1z+0KA8XcoKm7ms+Yc5fHgzK7tsKZv1opPZ9qvY/3Tt +m/C5QMre2t/Vycbs4vosZHqplBm2Gaoy81TBPPmOFm+rpOz+y7B0X8yhl3Te +j5RKWcd1FgEdrmH/Frql7AEnZSc6pLgcwmx7XndjR1HKvr5ZsrYMc5exstnO +DVK2bnOFmY0Xzgfvr16X/JGyLO1dPUIxzzBOm7KohYxZv/+h6nUd76+Ti2dG +t5WxVztcPp3G3P9sTcjgTjKm37NzoBxzWrdJB926ydjN+LtnF9/Afuab1nVl +Lxlrs2/ouhjMdp96dprfX8aO9A0317+JzzOvZ2WInozJki16XsZs3SpRq/MI +GbM/lCJ8x+x1tPjSrtEyljZoacbaW3heB+psTDaWsckZFYHJmJ3WjfQYPEnG +gp12nTO6jfOSZ3E75yky1n9Yw7q7mP/+KSnIspaxKzkuk1vcwfm2XF4/dJaM +/TvZudcuzEPWx25wspUxh5H3xFzMlx9375e+WMaqCod+triL91WIn17fFTK2 ++Fx4kD/mQe4jDu1YI2MJRlPOd76H/fqw1V0iN8qYSVnK+iOY3237KTbbLmP+ +F5daVGKer/+m/5w9MtZrQmWv2fdVEKi/+Mq1AzJ2oXqXOhyz85Cz0wqPyFjj +lYbPfR5gf5bR0qLvCRnbOfnss7OYC+MvO65xkbESaWdXDnPQjWLB203G5t24 +t2GZN84XyX7BpR4y9n7qsCmxmA1yff17e8nYGD5cd/hDrAeDr5UsvS1jj+5O +qb+KeVXnEbZXHsiYtk1q5k/MfxSDGj/6yNgZcenzDY9U0O6XSfnvpzImPqx0 +TcOctb//77HBMrZp7u6N4x+roOHY9fkbw2Usr6FhygPM100XFnpFytiMJ2d7 +t/bB/RLa8caHGBmLXNjl+x7MS/kzZ7/Gydjwv/eyCjCnSLc/6Z0kY3eChgUz +XxW4ujt/t06XsfbLX7oFYt71et/hnVky5tzCclNXP+xHLZoP8cyXMWVIqqUz +5gdS6Z9XxTK2ZtWyPjWYDx4sbV5QIWOf21Z9n/sE+wfP20Y/amWMRezOjsCc +WpvtpqOQsbD1P4P7+6vgUvvpbcZ9k7HBnc5dcMUcfPnps7n1Mub1tsvmb//P +9eEHNzfKWMut99mKp7g+kQPWH2uSsUPdhvf9gLmftf9ez5ZyVhf78odBgAoi +f/719msnZ8t3WeZ4YX5nXMVFdJKz5F5pL35jPj2l7crEbnI2KXHZxc2BKviy +akRtdi85C3Ko2pyB2Tjpz8WyfnLWp/+eqROC8H58ZjFHOljOLqX+7PsI8+7R +j4d8GyZnfw6fa2j7DM/z9gqd7yPlbI+edq4D5rG7XvZrNJKz8s/3Q4ow77PI +NvttKmcLjg13t36O+/OLeudvczmLG/5qy3PMhsY5IY1MzozzLK10gnGeNdZv +9WO6nPmdTut3AnNCtM8O1Ww50xm9/GcdZqfHLcpl8+XsfFFV7vwXuN/Cm9ZV +LJGzH+f3hL7B3O2V7dfcFXK2zbjRfVAI7vf1AW5Ja+TsS/m5rRcx2+9OMHyz +Uc5mX9K2FjE7nbEv8N8mZ9ETH/RfFaqCrVaDL3rtlrORtcMbEzDvmpFjdWK/ +nD3wfJU3Kgz77QH6/7Y4ylmnKSzsJubDyz7DvGNydlKedqkJc8POCy7jTsvZ +t5vLt20Lx/pT2N5G57ycrbeuts7CXNhRq/33i3KW/XXPALOXKlh8cGpa7hU5 +s77f2OiDOX/35gth1+Xs1czz+Vqv8PctGW196Y6c6ddrhx/EPMR58Y9N3nJ2 +8/EDj1LM1qMu+Zn7yllb2xHbZ0SoYEHIlVldAuTsaOOraSGYYYpObdVzOZP7 +s4G9XuN6d8099DJMzlYuTv91CvOuFS6Np1/LWXrT8gIZ5vcWRQ620XJm8bw6 +fFGkCiorrxT1Ajl7Ybf3cjRm0w0rjSsT5GxAq1/bh7zBP88VnZ6myNnVsPPT +PTDXzeoSujNDzpqt6TroO2bbtK1Zo3LkbH97799rolQwbXVYKVcgZ9WvRxQm +YTb965vzrETOlmyMeDn2Ld5n/t/DtlbKWWLnqVfuYM6fvc5xUJ2cmcak72ge +rYK8gluDv8jl7Ok2uxk7MYebLgm//FXOeunUDMrFrDNnrr6VWs4uxO39MzkG +5zHR1ElskLPG3b8Kn2Be0D4txOePnO3s7fqq0zsV6G2KTZjfXMFKP3a96og5 +LCs1srG1gtke8N5ZgbnHAD9XXy0Fix1gYDPrvQr6dG9uPLuLgo1Njxgcjtnu +4L03fHcFe3xk6t/esXgfNA3t6amrYF31P31xwfxu38p5Rv0VzCXLLkKJefmp +rys+D1Yw9fGaq0sB61HVHfOdwxRss8G+Xe8xr7bRVrUcqWD5+b9shsXh51nV +4ej9sQpm4+KqdxXz0vSp2UYmCvZmTLemBswGFtt+JUxSsBEl3kXrP6hAd7Gp +uHSKgt11M3idinl0yIY3NVYKpmXy2tM4HvvFr76zHGwU7Fjl1N33Md8ODX3y +a46CcR6fZrZKwP7c3TLNZYGCrTFbMWQP5k492kS1W6pgn+tqmvIxX6t7t9tj +hYIxr33Flok471/pLe20RsHCLX+/DsB84nHO0MsbFExP6XpN+yP+/ecPh2tt +VbDrt7vtccIcNtlEeW6ngrWa/nBWNebz5bP3/d2rYIdVBvpzk/D5B9x6efCg +gkkevP73CnPuucxX0iMKZjfbqqRfMvYn94L32x9XsJTvnyLPY24nrVGknFYw +M98VXl8xrxFGDphwXsGeza/dY5eC9Z1N1vK5qGB9f++bHYe5/4qUF+2vKJhH +wG99g1Sct+FiWwcvBfu7xK2ZF+bFf0x75t1SsL3Nupf+wpzkdfaL6X0Fqwh+ ++GZTmgrutjOwvflIwRbaG17/hHlnTekB0U/BPrSO3GuargIWtGyWbaCCGb+0 +mvMQc1Dl7E/+wQrmtzZjaNtPKlBoO/z9HaZgOh3smztg7h1/snjBawVzfVNb ++gXzvBOjNvq8VbCGTQ5RVhkqiP409rrqvYJt1/5z/Rnmqsls95R4BSt657av ++2fsr+y6cm5JCjZnR/e5xzEHhazumpWmYDE9Hg2rw9wpu7C4R6aCjYo3bDE/ +E/uPhWYz7XMVzHtvZFkkZr/4icvuFSpY577Wbwdm4f59d7FlUYmCnUrOuHEB +c1ZB3cIelQqmOmjvIGCe+KKFxYJaBdswqG7uymx8/2W3ElxlCpbzyWF4Auaf +be0lMZyCTXP602JUDs4HWf98v6oULGLohfIbmBPLrH4O+K5gQ3O6R//F7FeU +XzuvUcFunXx0c2uuCs7tP7Dt6F8Fazty5P5MzKuXfz7p01zJjhZGzpuUh/OD +xWODlNZKJj9rPcIH89Wyh1u59kq2yuhzS618FQz4ftqkc2cl+1RqX3EA88rp +f6+N7qZkUy7WRZdgdvRMOzGnp5KFmO6/Nb0A66Hfox+b+yjZwOo/+19gLp8z +/vfxAUrmeeWCbc9CFeSstHD30lOy5pN1DE5hnvl8v6//MCU7IH3USob5eW93 +60hDJau5PrJy4Rfsly5Y7Egco2RLp76Jefv/XMl6ZBkr2UfO+rZeEfaPXebO +KJqgZBPufj5wCbO6XY+fFeZKFjBj5fx6zDeSlo6qtVQyXbHOYE0x3l+zPlTW +WSvZxYf7WydhnnOjq06djZL9mvO3ckyJCka9+ZNYNUfJdjVceHcbs0WimVgy +X8lK/XTuNCvFfij/1L3cxUpmu/DxwR2YrTu6vktZrmSxf0YuyMF81rOlXcxK +JRsb9MZwchk+/7XQ7c/XKpnPsmltnmBePtNKdWejknVrkVnVsVwFd77t485u +VbKzISvfH8Y8OFtctXunktWvlNwpx7zP/MyUxXuVbEvbA4dmVqhguEvOVdMD +Slbw6u+CMMwz2z5c1NNRyWauvziydyU+37j7Z+qdlCyqY4+2Lpi3O5wZkHVC +yQzePq5WYH48pd3woDNKdm/LqNglVSpo2Vd699R5JevQLeruO8yF1/MPL7mo +ZMdjpx0eWo33ncWlt/qXlYzfmbnwCuZvr2CT2lPJ1vZaNaoB8xIfw4NwQ8ky +EyRt19fgfBZ5VnLhjpJNdThQk4J5eJjLuwUPlCy8X1PsuFo8X0sq1N0fK5le +6sV79zD/2b/oUr6fkl0/3MOxZR2+D4nnmRsBStZKz2fRbsz+t5blL3yuZI6f +R43Ox9z1kN15rVAlkzpHtbOU4Odznu/54aWSrRg+vfYpZtOXYv3hSCVLzc2E +LlIVnBr9J3x4tJKZn151/yjm9R2GJBW8V7Lno6SOVZiPOw40OvtByfoVHVg8 +R6aCK/5BX0d9VLLL55tGv8J8tcSpWV6KkjWNc2/fT64CbtuszUc/Kdm+8h51 +5zC/epqh2ydLySrdfeJ4zPHN4vu9zVWyRRNHP1iuUEGjsmzvskIli6+JOgKY +tyqLOn0rVrLxntOXjFCq4NjVvT/PlyvZE4usMdcwT3JcOrpPtZL1kK/S+oV5 +49zpz5/XKZnrTWndRg7715QfDuZyJWuwOvghHbPwWv9EEqdk2782PTDhsf+X +u35eoFKyonvuR70xP5hZsKlArWRzZ/Zc2uarCvompk9e2aBk79Q+Y/dhNtgw +aFnJLyUb/Xh0hy+YRxtdDrFvUrKH895Kpn7Der4o3Sa/Oce6NE6PD8K8+cf1 +fvNbc+y0f5Z3NxXOk2sej0lsxzFh0WqnY5i3FQYdm9iRYxubpEtrMR9J2NEi +sAvHcp8dNLIVcH67dvdDj+4cm273r0Mk5v0J4pvTPTn2uuUl6QBRBUbyMbWy +3hwbFtYzwQ3zfP+2M+b359jt1b4PVZibPZ9QFDaIY+3aj3G2V+M8EHv4kbY+ +x5xev10Wj9kh8uStPcM5ptgwY9zIetwfTh3eJxtybFXn7I43MA9rUdB14BiO +fYpeLfuD2WzVnesHx3FsyjZZwpbvKijw7Wj10YRjId0PPfqMeUV7XldnEscG +xf1znvhDBZ+z1b3XT+bYtd2Xlj/GPGpxiXWQJcda9O5l3L4B6616jdc3K44d +/Ojb6QBmM+MJbcfP4Fjt/jHyYswmDwc8PjiLY8sGRCdO+4nzRkn2qvC5HEtK +m/E4GLPbYy0zbj7HJh7JPtajEfdz9NkJ+os5Fjhkjd1JzNPb/Vxsv4xjvbNk +xlLMm07pXb60gmPuxw91XvhLBZ0NYmuiV3Hs94hmiijM8UNcl0nXcmx3/qWP +g3+rYLyfaZX2Ro6Vnenl4475jMrt/MQtHJs/xu+4GrPjzklWq7ZzDIrHrFj9 +RwVvzrfofnwXx4zcosd/xPz3k0/j3b0c8xlv02XMX+xHq7LVEfs51q0yW3EL +8zjn+c0yDnHsrMeapH+Yv27J7V99hGPfJ8l9tjdh/b7Yb269M8e21h06kY25 +bXWda8uTHCu81sze/B/WaxdlZpczHJtl6WHihznzlly/9zmOPJwAPzc8ODfQ +jSMPJ8BaFsfruXPk4QTw9u26bshljjycAFm9bAsHeXLk4QTInjZ2Wd/rHHk4 +AfbVHirsdosjDydAcFbx6rZ3OfJwAqh/9q/9eZ8jDyfAsm2/d0kfcuThBEhk +RuocH448nAC2cU5HY55w5OEEWGR189fjAI48nABJplOPnn3GkYcTYM/ISaqN +LzjycAJ0STffwMI48nACxHxpnab7iiMPJ0AzEzuDb6858nACGH7hTsRHceTh +BDhVei7JK4YjDyeA86Gy5utjOfJwArRXRYwx/MCRhxMgLSZ1rpDAkYcTYO6G +YrvXSRx5OAGs3PwXOaZy5OEE+CTIJ5p84sjDCRDusrX9t88ceTgBHpgVJz7N +5sjDCbDCpNWOVXkceTgBeob61Xcs5MjDCeDf6ujWmCKOPJwAL0Omvt9aypGH +E0DL5n1D5wqOPJwAX1xe6ERUceThBKi1yO62vJYjDydAzi6Zql7CkYcTYMvw +Vy885Rx5OAHeBQgzDTiOPJwAN2dsjo39ypGHE8DDOb3LIoEjDyfA6iqpeaWa +Iw8ngN4EZ8vdPzjycPi8y6f1/v6TIw8nwE7rVmnOvznycLhf+p5c8PcvRx5O +APehBwKPN+PJwwlQkeyT+7MFTx5OgN4enzP3t+bJwwlgMDD6vrQtTx5OgIOL +hk1YqcWThxOgc69879SOPHk4fL8XLmSbduHJw+H7qWyR9bArTx5OgM9n2txp +pcOThxNApVo2YltPnjycAM++vDqVpMuThxOgvF3NA72+PHk4AZocn5481p8n +DyfAYssPQ7IH8uThBJj8+If7ED2ePJwAJ1ppvTqgz5OHE+B0Y+y92GE8eTgB +7poUTW1rwJOHw/Pj1e/JvJE8eThc3562CVdG8+ThBJjOj/HOGMuThxOg7U5X +o/bGPHk4ARra6R63MuHJwwkQuTfwpOMEnjycAMnb/0wInMSTh8P9zH/xKzDn +ycMJkNe/ZWLzKTx5OAGeWE+9ZcB48nAC/M5c1nu+FU8eToCyTe3n7ZvGk4fD +9UoaNPryDJ48nAA7uA0xATN58nC4n9Ve32Nn8+ThBIjSdy7OmcuThxNgQEPZ +9hpbnjycANIO5x+pFvDk4fD9jptw4tcinjycAJ02RDVrvpQnDyfAqoScEa2W +8+ThBLgYPK++5QqePJwAjx/yG5qt5MnDCbB39gmHxlU8eTgBMtwTBnxbw5OH +E+D73gu7qtbx5OEEiDe6sSxrA08eDtffPLQkZhNPHk6AwiG+f/y28OThcD0j +Td9c2MaThxPguNJYa+cOnjycAE6KjfUzd/Hk4QTgOl49pLeHJw8nwPvS0xcb +9/Lk4fDPdVoYZzjw5OEEKPEp3e19gCcPJ4CvT/GknYd48nC4HpuTbox35MnD +CeC4e+epxiM8eTgBWu671hDjxJOHw/Vd2uaP8zGePBzWkwk3Lk04wZOHE8By +axv/ryd58nAC6GwZMdPvNE8eDs+D4qPDUheePJwAZ6qeDWpxjicPJ0CbXX7L +gs/z5OEEOFB6rPMSN548HD5PXLM5Py7w5OEEGFHU1OaWO08eToBt/aZNN/bg +ycMJMDjqXrP0yzx5OAFCtHPN11/lycMJ4PbhrSB48uTh8Dx599M/7cWThxMg +KDQ1S+sGTx5OgAvmV39cu8mTh8N69XbyjR63efJwAqRXegfevMOTh8P/3vuc +cbd7PHk4fJ6eYHTpPk8eToAOwf18m3nz5OFwP/3cff7AQ548HN6POaeKKh/x +5OGwns0a6j3XhycPJ8BM/9GZL3158nBYLxx27O35hCcPh/fb7iAnR3+ePJwA +Xrdjv+U85cnDCdBjtHPmyECePJwAff1f9DoTxJOHE6AxwjA55xlPHg77hwUJ +pYOCefJwAkj8Z9vtesGTh8P6N8zT9GUITx4Oz+/pHY7fQ3nycALUPQrUNQ3n +ycMJ8CZsUN/9L3nycPh5BvofD3rFk4fDn3ervUV5BE8eDutHK70VXSJ58nDY +f9yMz7R4w5OHw/0dBT5bo3jycHgf9vv6yeMtTx4O602E3sLQaJ48nAC7Xhkb +fo7hycMJcMNTbS9/x5OHw/3QenJ5s1iePJwA97pUvNYBnjycABu+va7Qj+PJ +wwlQbXBr5bgPPHk4Aa5eWD7UPJ4nD4f3d+/PliyBJw+H9cAoz39qIk8eDu+z +bVPtLT/y5OHwfN1X2E1K4snDCbC+/tnjMck8eTjc32X2xoNTePJwAlxpn9VB +O5UnDyfA00OSkb8xazycAEs3OF6rTuPJw+HPX2k/OSmdJw+H+8t0x4inn3jy +cAJ07HTaziWDJw8nwOzZR5NXfubJwwkw9N6oI2MzefJwAkz7dWRLsyyePJwA +QojptQzMGg8nwOVZVo23snnycAIofB0frM7hycPhfqkLdxqQy5OHE4A/l3m1 +FLPGwwkwzCq47FYeTx4O98uIoRtt83nycLi/bvTq16yAJw+H95PRBq1QzBoP +h/2rWDFyZSFPHk6AdoMPnGr+hScPh39/4Y+W/pg1Hk6AH1vnRk0v4snDYT9y +e+H9SswaD4f3xfa650eLefJwAjQPlcs6lvDk4QT4e23KIm/MGg8nwCj3DzUG +pTx5OAHGbVz+6CVmjYcTQH9imcvEMp48nACtnCZejsKs8XAC9FPavDct58nD +4fl+wncNxazxcPh+tTu761fw5OGw3/3qrn8Ls8bDCZC/ZGZly0qePByux7Nh +7/Zg1ng4AaxfdXyTi1nj4bB/PleWaVrFk4fDft79ROsbmDUeDvvTS9nLv2LW +eDgBtP1i46dX8+Th8HzMMJx1G7PGwwlwjv2qq8Os8XACbH2jc9+ohicPJ8Dm +Ueu3HsGs8XACJBxJsInGrPFwuD4ZQyc3YtZ4ODz/0XutTWp58nBYHwxdV+3C +rPFwAszfuPT8Q8waD4f3bYv42AzMGg8nQIBWVJtGzBoPJ0D3/IGrB9Xx5OEE +qO9T834aZo2HE2C0Wmm4CbPGwwnQP1ff9yRmjYcTQPfqUb1bmDUeDj/Pypxn +QZg1Hk6AXmn9zd9i1ng4AVKybDITMWs8HM5jCWY7PmHWeDg87/Y1bbIwazyc +AIeCjAIyMWs8HN6nY7rMTses8XACtPi4WxmPWePhcH55MfFyJGaNhxPghcfa +0U8xazycADU7stKuYdZ4OAHsXx7f7IRZ4+EEMJq0pHE1Zo2HE2DC+OkXLDBr +PBzWT6up2rqYNR5OgKPbJnl+w/XUeDgBJnYd0DYBs8bDCTCyW81BL8waD4f3 +/VOn/DWYNR4Oz/f+PMOhmDUeDvv9M5IDMnzfGg+H+9/WLzgAs8bDCfAosqlg +I2aNh8P+tT2v6o1Z4+Gw/vzd2PgJ95vGwwlgGrns2zHMGg8ngPJDdPYwzBoP +h+ex/4VHGbifNR4On29chJ0DZo2Hw34437SxM2aNh8P3u6DV2SA8LxoPh/3S +0l71DLPGw2E9aLNtbg6eP42HE8C1Uem2HrPGwwkQZvwoUIHnV+Ph8P4e5hzs +gFnj4QQojXH0EvH8azycAAPnXrV3wKzxcFh/HsU2U2D90Hg4nIcb/rmuw6zx +cNjPtV6gzML6o/FweD6/BYyyxKzxcNj/Lmg7JwDrl8bDCTB24k7rjpg1Hg7f +16jPOnuw3mk8nADdRo6LS8X6qPFwuD4B16bpYdZ4OAFmeXz1dsT6qvFwuP8s +Zn5Owvqr8XACRN+4n9sNs8bD4c+TK4NXYv3WeDjsT9qZ2T/E+q7xcAIssDhX +WIb1X+PhBLCZlTmsN2aNh8P3t6ufzUK8PzQeDvvvjXtNzuL9ovFweL9sTlGF +4/2j8XB4vwwf41yK95XGw+HPK/fLbIFZ4+HwvjMfo9LH+03j4bBf25VTbI33 +n8bD4fyqd+vKarwfNR5OgGZXT2kdwPtT4+EE+LXhpu1ZvF81Hg7rW0b5ck+8 +fzUeTgCz85uG3sX7WuPhsH+CkVHeeJ9rPBzeNxOmdn6I973Gw2E/M/zZsHvY +D2g8nAAOSw4288J+QePhcH2bPb13HvsJjYcT4OHvefWHsN/QeDisXzcPdlyP +/YjGw+H6Bg6ttsF+RePhsN+5vu+QAfYzGg+Hz8/WxrfFfkfj4QTw6fsvrQr7 +IY2Hw/tgyPrLb7Bf0ng4nN+Lrra7iP2UxsMJwOZ5T16O/ZbGw2E/2ePO0IHY +j2k8HNa3FZ4fa7B/03g47CdTbvV58ponD4f7w/fdkPXY72k8HM6TL7tX9sJ+ +UOPhBDiyJGR+OvaPGg+H/f+eR3udw3jycAJcP/rLcij2mxoPJ8Duk7mx6dif +ajwcfj5dxu/B/lXj4QToun9xaofnPHk4PB82Qxb7Y/+r8XACGEuTXc2xP9Z4 +OHxfE+22pmP/rPFw2L+Gyr7ZYX+t8XDYL6+8oVfpx5OHE+BgyYG/m7A/13g4 +XN8d3qdqHvPk4fDPc0YHrsX+XuPhcH1OWDrm4zyg8XD4+XcoZDMf8OTh/n8/ +2v57jfOExsMJYNfgEjXwLk8eToAuNwO7n8d5ROPhBJi0q6SLBOcVjYfD/u6Y +WbA1zjMaD4fz8cYq6T2cdzQeTgC/leKHrzgPaTzc///9yMPMEucljYcTwPxU +pu1FnKc0Hg7vv4llfzMv8eThBEjqXTirG85jGg+H/dLxitELcV7TeDjsL+x6 +B1905cnDCRBoFRgfi/OfxsMJsOlImMM3nA81Hk6AnoXLX/c9w5OHE2BPTdzV +aad48nDY3yfo/NuK86fGwwkwdYZTC1ecTzUeDu/X4h53H+P8qvFwApx92C0+ +EudbjYfD+2DxneMph3nycDiPrc9Kzj/Ik4fD+bik1rd8P08eToCNZ5p1qtnH +k4fD+h49v3UNzuMaDyeAy53f7uU4r2s8nAALS8d75+M8r/FwAnwQ9Cen4Lyv +8XACZIp/NkVu4cnD4fwQoOj5eBNPHk4Azwv9l5zfwJOHE2Ddu4+9tq7jycNh +v99XZ7P1Gp48HM4TY80n9lnFk4fDemO605NfwZOHw/rXp3Dvu+U8eTis92cj +sl2X8uThcP8kTYiat5gnD4f9qsprcOeFPHk4vC8Smzqm2/Lk4QQY9D7M6exc +njycAKEdKrdOnM2Th8P1uJeYK7HhycMJML3Tbbg2nScPh+/ng6fBJGuePBzu +lyyldjHjycPh/upSc+jIFJ48nACvO71cqj2ZJw+H57nvzdAnk3jycFjfpqee +M5nAk4fD+rbMIxfG8+ThBNAq7nffZhxPHg7P34Do4pQxPHm4/89jT67ZjOLJ +w+H9WtUXwIAnD4f1N3HjRpPhPHk4ARqMYpyf6PPk4fD8TtveVluPJw+H9bHi +RdsjA3nycAI4BWc5F/XjycMJENS35/qJfXjycPj7tiRGevbiycP9/z7VO1mn +w5OHE+DOqqOvTbvx5OHwPOzsvPpMF548HH6+vmP2p3TkycMJIHoPrtfS4snD +4X3xdXztrLY8eThcv6KwqS6tePJwAlQ11LZ705wnD4fr87q/hbSJIw8nwGfb +oKJufzjycHjffi6oNGvkyMNh/9P7x8LVPzjycLjeVXYGzmqOPBzO86G2O66r +OPJweL/UGmgH8hx5OAHGR7D+UQqOPByet5E1VxOkHHk47M/G7tiWVsuRhxNA +dmK436cqjjycAP9CD1mnlXPk4bC+mQVOTyjhyMPh/t/eJ+jNF448HP7+Xfr7 +AvI58nB43ucNuuWVw5GHw/pav72/UyZHHg77sVErW636xJGHE+D7o4VzJqVy +5OGwXhcFfdVO4sjD4X02M1FVG8+Rh8P1mfJvQQRw5OGw/1VFdzj1jiMPh/3d +iRVDZrzlyMNh/Zaa3WoTyZGHEyBVN35TwkuOPJwA2bVLLx0L5cjD4Tzf1q7j +2GCOPBzuxxFjJGWBHHk4nIeKp+pe8OfIw2F9uv/DZ7QvRx5OgOVf3rh8fsiR +h8N6zPFvd97nyMMJ8Gdwy5kt7nDk4XAeithocPMGRx5OAI/Mo+uHXOPIwwlg +df2lMvgyRx5OgLbdTqaNc+fIwwlgsWTOn3BXjjwc7r8lbqdHn+XIw+F81vbp +8ienOPJw+PvtdU/2PM6Rh8N5beyiH2ePcuThcL9vTozlDnHk4QQ4nScpWLif +Iw+H9WHxGMuwPRx5ONyPYV3rtXZy5OEEeAbtfq7fypGHw/7E1mP2y40ceTgB +ehj2lDWt5cjD4XkcOjjXZhVHHg7v9+4GWu52HHk4PG8pzzxSlnDk4QR4O1h/ +ZYuFHHk4AbY0dT8wcR5HHg7nR5tfudtmceThsH+T2p26Pp0jD4fPWxpyMHoq +Rx4O5x99l7BSC448HM7Dn5eZ/prEkYfD/Vcf0ry7KUceDu//9917DB/HkYcT +4MGaDvsmjObIw+F57jugi5UBRx4O/75tfr3NUI48HPY7q2IGzhrMkYfD/v3D +qqvT+3Pk4QTY22naNIveHHk4rOd1TeZje3Dk4XD+bTPAsX9Xjjwc3m9WRvVt +OnHk4QSIPJcUoWzHkYfD9S448Ca9FUceToBLdim/nzbjyMNhfz5z1ZkTf5Tk +4QTIb/5h5oKfSvJwWE+be87vV68kD4d/XrXuZu03JXk4Ad6tTe0bqFSShxNg +sN3Wqq1SJXk47BcysusG1SjJw+E8Ig8YUVCuJA+H8+jP80GuxUrycHh/79Xa +blygJA+H51eZt6koW0keToBrJq4PjmUoycPhfTAlrmvvVCV5OOxn9IclhCcq +ycP9fx5bHTYjTkkeDufNb22L8mKU5OFwvviVabHujZI8nAAh41eX1L5UkocT +YPbzZRFbQpTk4QSIfbI1uSpISR4O68Udcx17fyV5OOxHJpy8l/5YSR5OgNwt +FfZmD5Tk4bCft+m02Pe2kjycAEuMo1zaXFeShxOgJu6acssVJXk4PP8f53nE +XVSSh8P7f5H35p7nleThsJ+PnHRo22kleTgB9rnlR786piQPh/Xw7shJvx2V +5OHwfmhVr5xyQEkeDp9/mDz/2B4leTgBKv2SvkdsV5KHw/5o++y58k1K8nDY +jwSNLdBdpyQPh59fMunWtJVK8nD///9bhl7asUxJHk6AV5+jItwXKsnDCbD9 +V0S3gLlK8nB4vw2teBJroyQPJ8AZu787s62U5OGwHvIFmysslOThBBhz28RL +NlFJHk6APisrRc5YSR4Oz/91f1dutJI8nADODQttpSOU5OEEcLsVZlM2REke +Dufb4usHPg9QkocTYLhHelZ0byV5OLz/sgw2+OooycP9f553GXK+i5I8HL4P +t6Bem7SU5OEESDd0nGLRWkkeDu/DRLih3ew/DyeA0bZ1/St/KcjDCeB/eWzh +s+8K8nC4Xn06xjuoFOTh8H2uyikbp1SQh8P1aLfB8Gudgjwc1oeZdwL8KxXk +4fD9vbBftqJEQR5OABN2YXybAgV5OLz/D7SzDs1SkIfDedwo7vSSdAV5OAEm +f7n1TfyoIA+H953l4SuX4xTk4XD/hM5YMyRGQR5OALlKvSritYI8HNaT6Xvc +p4YpyMNhfd72WJb8TEEeDuvBzROOc/wV5OEEsHX/MT7lkYI8HNYbl6ZBVvcU +5OEEsLF0n/L6hoI8nAD3mnte1L+qIA+H9eZ229ZXLyrIw+F8vUgRWn9WQR4O +62mH8e7LTirIwwkQPqr8evhRBXk4fP5A+ad2BxXk4XA+bLbMdNUeBXk4AXbY +DM4I3KYgD4fP23/RDWGDgjwczl/vZe6mqxXk4fA8CXzYoeUK8nB4X/bd3iZ0 +oYI8HM63E9Zfqp2jIA8ngOOXStZjhoI8nADPjX4MsWIK8nACvOwUMnG7mYI8 +HNbf0l7H3McryMMJMC94+teA0QrycAIIo2ZeixuuIA+H/VHE+M15gxXk4QTg +LAZtq+mrIA+H/d9O/Xt8DwV5OJxX3e3/il0U5OGw/t4s9lS3V5CHw/M7NnHp +t5YK8nDYv78wmS35KycPh+en57y9Xxrk5OGw3x04KvGjICcPJ8CAiT/nhCjl +5OGwXpzMb+FVJycPh/UuS6F0qJCTh8N6HLe+zdwiOXk47DcfbVg8KFdOHk6A +k869s1Sf5OThBGg3IPLUuyQ5eTj8/LrbNpyNk5OHw3kres3hGdFy8nACJPfO +iGkRIScPh/ObjsIk5oWcPJwAN+crK/cGyMnDCXDfrH1cfx85eTgBlF1P5iTf +k5OHw/6y+rzunhty8nA4D7mt9ep0RU4eDj9f6MypQW5y8nC4P4pd9KaekZOH +w/544xKzHGc5eTjcH0ebzq07JCcPh/VoWHpr2R45eTh8H4tbvNu1TU4eToD1 +8V8DFOvl5OGwPvQpS928Uk4eToCy6r56xUvk5OHwfJ7/HTbHVk4eDp93yWfH +SBs5eTjc366yg/2nysnDCfDL6nHgKTM5eTjsR27a9igzlpOHEyDhxJxo01Fy +8nD4eRXi7YtD5eThBFgT/STkywA5eTgBLiRAk56unDwcnq8s/wvbu8rJw+H8 +9DR6XpCWnDwc9ustNs+tayknDyfACZ/25/v9lZGHE0Bi0ePX/B8y8nD48z3F +Z8e/ycjD4X2xqssdf5mMPBzOj+tLPqRWycjDYb+5O2WEvFhGHg7v+6VzMlrm +ycjDCeDbWBDaO0NGHg77vzmSLMMkGXk4AbrOFI0mgow8nAB5zY5kWUbJyMPh +PPZQDLUKl5GHw/5sTV0meyYjD4f143q2kZmfjDycAENfTc4d/UBGHk4AmPvs +Tf+bMvJw+Pwdn5a3uyIjD4f7L+ntrG+uMvJwAhxzOPAj65SMPByev2HrZC+O +ysjD4fw6uutA1/0y8nACxP3oe99+p4w8nAhDBvdYM2KTjDycCHXON7aIq2Tk +4UTIbWXy+s1SGXk4ETbVX5131FZGHk6E7pE2o0xsZOThREj69nOp0lJGHk6E +YWdnJ3tPlJGHE6GDZ9nZuUYy8nAilDmuvlQ/QkYeToTWJfvK7wyWkYcTYbF/ +wolJfWTk4UTY26bNztxuMvJwItg6Sf12dJCRhxPh8cc+o363lJGHE2Fpi2Ut +L/yRkocTofkdW0Pt71LycCLsnxbrc52XkocToY/upp3dJFLycCL4ufw651Eu +JQ8nwra5M8TmhVLycPjz7rZ5dSBTSh5OhGyxZUp5spQ8nAhe01ob28RJycOJ +UFOSJwRGScnDiVBxiLVrGy4lDydChLGO4/ogKXk4ESYF6Fu89pGShxPhUt70 +Va3vScnDifBq7Ny8hV5S8nAiMD/twNvuUvJwIiiuORQWuUjJw4kwu2jWpl7H +pOTh8PnznOYtPCglDydCwFrJ9XO7pOThROhWcNA8YpOUPJwIuwdoWVWskpKH +E+GD3/XA1kul5OFEGLTm7/7h86Tk4URYnznh4fTpUvJwIpR0MR6zxkJKHk4E +s+Qavf0mUvJwIowxmXbi9CgpeTgR5o6ZY3lJX0oeToS0Ed+3XusnJQ8nwmrT +aT+9dKTk4URoajNBfbWjlDycCDn12SsutJKShxPh+5/+hsf/SMjDibAhecj2 +XfUS8nAipAZ+676ck5CHE+GMv+cYi1oJeTjc/4d03/YvlZCHEyHmzP2IX7kS +8nAiGItGernpEvJwIiT6iy0CEiTk4UQIM/9r5xgjIQ8nwkFtBz2rVxLycCLE +z9mzqe1zCXk4EdzzevdL9ZWQhxNB2Oht63pPQh5OhDVH9RotvSTk4UQ4tq1g +oPqihDycCIuWy+N9z0jIw4lgY3WlxtZJQh5OBMumXy71DhLycCI8Z9t9b22X +kIcT4cXtrtNM1kvIw4kw+pjp1gw7CXk4Ef5N79Fp4wIJeTgRtMy+TxJtJOTh +RHBw66c8YSkhDydC9IJ8/dYTJOThROj4ZLfUbbSEPByeVw9jkzZDJeThRLjQ +3rnj6X4S8nBYL3Tv7v/eXUIeToR2b2Sbt3aQkIcT4VxNojSnhYQ8nAj5fh6/ +zX/VkYcToaCNr89DoY48nAhtRuws+SurIw8nQrGx7bMVlXXk4UTouTWyS2hh +HXk4EYb30unUPLOOPJwIx18X+s1PqiMPJ4KYcTj/9vs68nAijO281a80oo48 +nAi9Zg3p0j+4jjycCEaHR/ax96sjDydC3+h/Hz3v1ZGHw/Xcqa+TeK2OPJwI +JlqD26gv1JGHE2HlstPe/U/XkYcTYRbLKpl2pI48nAh2P33it+ytIw+H50N1 +ccnZLXXk4XD/lA30fLC6jjycCJlRTU7hS+rIw4lwPjWxW/ycOvJwIgx80n31 +Z6s68nAizBvraVcwqY48HNaHgOo2xWPryMOJYOEbuatoWB15ODxP3WLc8vrX +kYcTIXKu7+p0nTrycCKktNbj3neoIw+H98l69cTgFnXk4UQIkuVY3WqsJQ8n +Qpe7pzscV9WSh8P7owpurZHWkofD++upXZ15eS15OKwfc7s1ds+vJQ8nQu+w +97my9FrycPjzJ4w88ja+ljycCOqUsXXn39aShxNBWew3cH5YLXk4ESr3bhrR +LaCWPJwIe3rPb57jXUseDutL65nBl2/UkocT4X2DycgZl2rJw+HvW9Li9M8z +teThRNg3wDs44GgteTjczy0bXi7eV0seToQrK1rfbNxSSx4O13vwh6UPVteS hxNhgc7wevMlteThsJ55TzycP7uWPJwIX2t/FO2aWkseDut/5m79pgm15OH+ -v/7+y91H15KHE2FVQ8iBnvq15OGwHpR7O3v1qSUPJ8LNTxcdBnStJQ+H5/2S -23KvtrXk4fDzVXwc07OphjwcPv+KRb8v19eQhxPh29Z1MX8VNeTh8Dys7+m8 -q6qGPBzev2efGOUX1pCHEyHq7+Ra84wa8nB4H3Ld7ngl1JCHE2Ha5k1zf0XX -kIcT4eTBXS2XhteQh8N6PXzPx6CA/74fDvf79RDX397/fT8cnqdUpxWzb/73 -/XB4X/7oN+GG23/fD4f1dK5Cr+j0f98PJ4KLzqTBfY/89/1wIqRFrh9vv+e/ -74fD+/913Kobm/77fjjsV97EeqXY//f9cHie3D7+/L3gv++HE+HUCKMDhjb/ -fT+cCKUfjnVYZvHf98NhP/S8V9Ix4/++H06Ede7HAh6O+O/74US4P3lI6IcB -/30/nAhHCq9WftH57/vhsN4mWVuqtP77fjgRymOSUls2/+/74UR4/enZxe4N -1eTh8H2anXAeyFeThxNBlpvnO7ymmjycCFmhc1uNKqomDyeCj+1pn1GZ1eTh -8P3c7XHC4FM1eTgRdihf3tN7V00eDvuHHq1+9QqvJg+H99/6t4/bB1STh8P1 -uunq0eBVTR4O+8c/wz9XeVaThxPh6O4Vy5MvVpOHE6HVrJJxz09Wk4cTYcK5 -IxvcDlWTh8P3HfJTumVnNXk4rIfimOQp66vJw4ngMeBbq27Lq8nDYf0O6OZT -PbeaPJwIvqFHHoVaVZOHEwFvg5ZOE6vJw4mwZd7tDMvR1eThcH+8GdK6+ZBq -8nAivF15Pwh0q8nDiTBUSxXj3LmaPJwIXbcNnGXcqpo8nAi76obPkjRWkYcT -wTy7U9ztb1Xk4UQYsLQ4alpdFXk47D+dH4zli6vIw+H7NN9scCOrijwc9q9H -5gWZJlaRhxNhRbv9L/PeVZGHE2FnjHqmQ3gVeTgRHu74vbtdQBV5OBFiyl/p -e3tVkYfD5/9re2CMZxV5OOz3I/6teO9aRR5OhBPtW5XanKgiD4f1ccCDpvQD -VeThsD811UpYtL2KPBzWy+gHY3PWVJGHE+HetEfTFyypIg8ngrfXjjYps6rI -w4kQnLL0KLOsIg/3//s21vvl+CrycCK8F7s46xlUkYfDej83RPvagCrycHh/ -WWht+dm9ijwc9tPWd53Xtq8iD4frOyln6cemSvJwIvy0n9gwqL6SPJwIhxbM -23JCXkkeDvfv2Ae+BeWV5OGwflx89XJUXiV5uP/3b4b3TqVUkofD8zXvvn1m -TCV5OHzelX4NfSMqycOJEGusdNwSVEkeToT+2v1Lnj+sJA+H80GqfPi3G5Xk -4XD9StpuGHupkjycCCO3jXfbfbKSPJwIP6aOCXh6sJI8HPaLWBLLtleSh8P+ -Nu51Wte1leThRJBHVxdbL6kkD4fvM2wg7zCrkjwc/v1Zc9t4TakkD4fzzL/Z -hgnGleThRGhr3GaNfHgleTgRTg908O3Qv5I8nAhF9SeaDLtVkofDfip75OGZ -bSvJw4kwyrtBJ+5nBXk4EVp25M59q64gD4fny3iRda/0CvJw+HwOC5aZvakg -DydC+yV9E5f5VJCHE0Hq/d1r9+UK8nC4/mtHVp84XEEeDvuThh4PL62rIA8n -whKjvkXXZleQhxOh2vrFA4/xFeThRDigmPP1cv8K8nAiDD5wquhM2wrycFj/ -4qu3HBDKycNhP3W4t9eaknLycLi+xXnnpn0qJw+H+6lr7gj90HLycPhytCs8 -/t0tJw+H+/tt1qd8l3LycFgPatyznu4uJw+H9XVv6+gDy8vJw4kQvtDsstnU -cvJwInzyN7H9bVBOHk4E5xjt9lHdy8nDYb01qk/a97eMPJwIfG23G3rSMvJw -eF4NQg5mZZWRh8PfF6tz0Cm6jDycCI2c7/3+T8rIw+F84xqnfn+ljDycCL+0 -lK52R8rIw+H7fOqznl9fRh4O7785Tq4n55SRhxOhU0y3Nh1MysjD4f33rJ/E -s38ZeTgRlr1YMq5n2zLycCL83eEi3FSVkofD+nX3jFGX4lLycCI86TXp57n4 -UvJw2C//ub/4R3ApeTisj34vZm+6XUoeToTtcWekaadKycPheh+dOMFoRyl5 -OOxv1v5lHotLycNhPb2v3YWbXEoeToTNX9+/mDa0lDwc3tdpq4fd6VxKHk4E -1Qb7s5KGEvJwOD9HGGaOqyohD4fr+dROxym1hDwczms6jmvevyohD4fnv9Tw -9W+vEvJwIjxuMBg28UIJeTisl5cmvNu7r4Q8HM43gt4p3xUl5OGwnyrPOp5j -VUIeDvuVdeOgybCEPBzu54bpi4brlJCHw374RV/LeX+LycPh/Kef77FHUkwe -ToT5gY+XXs4sJg+H9cvqw03/qGLycLjf05xWv/cpJg+H/Uhr0zeZbsXk4fA+ -9F0TVnGwmDwc1uuNB5Zwq4vJw2H9HdQi+PuMYvJw2J8qn3/6PaaYPBz2410H -Bjf1KiYPh/OmRd9dTc2KycOJsPaUQ+ff8iLycLifdv/zqc8pIg+H9cLg7Tjl -uyLycCLUnnmUUv6kiDycCAubEg5nXikiDydCff086w+OReThcB59vGty4Loi -8nB4X47busdjVhF5OBE+yzxrD48rIg+H9/1Q+xcr+hSRh8P59/i4vEkti8jD -iaCf6b+lJ/eFPJwI6WsW7RXyvpCHE8GxrPJXyocv5OGw/7/STffx0y/k4USo -mhuZc/DaF/JwWC+DX0yccfQLeTgRSjpyS3Q2fCEPJ8KtZ44mVbO/kIfD++/t -Zslz4y/k4US4cqTC8VDfL+ThRHhmo6c2b/WFPBz2Z+C8/R9XSB5OBPukWbUf -8wvJw+F9/azpoEtMIXk4XK+xg4daBRSShxOhefDM1k3XCsnDYX0d3E/v7dFC -8nDYn89fdenAhkLycPj5k/JmGc4pJA8nwnLtXXsrjQvJw+F5D+ne7lbfQvJw -uF4zCofMalVIHg7nw2fZmY1cAXk4EV7+G9fnWX4BeTgRIMm8q31MAXk4EUyb -W8e3CSggD4f3iUW45atrBeThRFgd2dpz7dEC8nA4z+/OSmu3oYA8HNazSZG/ -wmcXkIfD+V62b/RK4wLycPh+lqceaN63gDwczhtnnxYFtCwgD4frHdzoYMvl -k4cTYdz29JliXj55OLwf3rM9tz/kk4fD/TnprMrsaT55ODyf3SoqS6/mk4cT -wcQs1PbUkXzycHhfHd5sM3h9Pnk4EbRPnyuOm5VPHg7n037Pem8el08eDt/P -+PPdW/fJJw+H/QNfXOjfIp88nAgXPp93tFHmkYcTISnp8D9Jbh55OJzPtoS5 -uL7PIw+H90nBQu0R/nnk4XD9tS9GJV/JIw+H94nS6/IOxzzycDjvmHH3tNbl -kYfD+lAnUz+fmUceDt9n537PbI3yyMOJMH7w0PRvunnk4bBf/fF2j2fzPPJw -uL78Uy9TRS55OBH8O2TuLMrJJQ8ngrXOKPmJd7nk4US4G5gxYsiTXPJwOG9t -KjdPcc8lDyfC1h/3jBwO55KHEyHRxq6v7tpc8nAi9Ll8tuNHm1zycNgfbPfr -sWtsLnk4vH+0l8zqqZtLHk4E47U2kXHNcsnDYX/yfdZ+B3kOeTj8fessLw/M -ySEPh+trqNczKzqHPBzeB23b6bv45ZCHE2GjvG+SqXsOeTjsx/R8uyoP5ZCH -w37sQkMP3zU55OFwvjl/XbLSJoc8HJ6v+U23eozNIQ8nQurX2gk5vXLIw+Gf -72td6tEshzycCP1+Trq7SJ5NHg73I7f/pE5ONnk4rG+d3vsURWeThxOhl5dF -Dx+/bPJwuN8PG3M73bPJw+HzTfhhNvFwNnk47L/X/OraZm02eTis1zvTXAtt -ssnD4X5t6hL6fGw2eTjsR5pdeuCim00eDu8j6LphdfNs8nA433R+3N1MkUUe -Dvv3PdOTdHOzyMPhzx9p6PH7XRZ5OBGmuNxxqXqSRR4O5+VY/mXqlSzycCLc -3hlk+sYxizwczmsrNw4MWJdFHg7nYVnaufuzssjD4fNovXa+Pi6LPJwIo53b -9XTvk0UeDu+vws+73FpmkYfDfujBbL3eRZnk4US46vBwwtDnmeTh8Pe5z2dm -JzLJw4kQMXX2tmULMsnDYf+s+6bIaXAmeTisTwa/Pj5VZ5CHw3qwPGRu6acM -8nD///e9IN8+dzPIw4kQevSPuGFnBnk4PO+NwvaXFhnk4XB/zdQe17FLBnk4 -3K95ukf3VaWTh8N+4UW7XaWv0snD4flM15245EI6eTjc71ti2uetSCcPJ4L7 -uOfa60amk4cTQfdTulP938/k4fB9lCw6eDPrM3k4EUICHo9kfp/Jw4ngNHxD -dv3hz+ThsP4cOHrj9azP5OGwX+mo5XOm72fycDhfmR81sP+aRh4O98fCzast -PqaRhxPhcLvQHSNvppGHw/us8OiZYdvSyMOJ8MBCL2+0eRp5OBHW9JvnadUp -jTycCL+1v3AbKlPJw+F/HyfTufYqlTwc9p9TqienXEglD4fvd+bOG9orU8nD -4f6O3m67ZXQqeTgRCr+09UpqlkoeDtcjMCJ8Yl4KeTisj7MHfnwdkEIeDvup -JZP/Wh1LIQ8ngpvh1Hul81PIw+H9uzYo+bxeCnk47CdbTIQpP5LJw2F96/g1 -rFVqMnk4EVJe6OYUeyeTh8P9GW5jF7s/mTwc9v/Ftodfz0gmD4fPw+ZsiO6d -TB4O+6EXDxdm8knk4bD+N/9+tP5jEnk4rLfultqGt5PIw2G/vz9insPOJPJw -+L7bftmcZJlEHg7fr/nBa2O7J5GHw36Hu9sySJpIHg7Xe6X/z/HvE8nDiZB8 -wvJplkcieTjshx2WTj+1JZE8HD5/1uAOU80TycNhvTYYNb57l0TycHgfL5n+ -/WfNJ/Jw+D7KLrgJUZ/Iw+H5cVw96u+VT+ThcD3mO+v02/SJPBye17PVx+dP -+kQeToQR7rWPbnb6RB4O57H7/aO+VieQh8P5upNTszVRCeTh8D7LN4qouJJA -Hk6EZnXpnY9sSiAP9/9/Px8xb4hZAnk4/LwjdgTWdk4gDydCU+d269/VxpOH -E8HjZEZoQHQ8eTgRpqcV5AV5xJOHE8Gu1L1j3NZ48nA4z3iF3FRZxJOHw/Ow -Y95z0+7x5OGwH3JNuXpdHkceDucvz9ITrWLjyMPh+xevvbl6O448nAhtbK2P -Ge2JIw8nwvA/T9sppsWRhxOhu0vio3d94sjDiVD2r+m0v/CRPBzWs+BWfEDy -R/Jw2H/OqdaPf/SRPByeH9/GHQ2OH8nD4e/707Jp+vyP5OHwz291GxI89CN5 -OBGyBl7rO/pvLHk4nD/NLAyT82LJw2G/y5l6ngiOJQ+H6zVcvG17LpY8HPbT -U6yOT1odSx4O+/2t8y9YmsSShxMh3uxx47qOseTh8H1uNZ5gngLk4UR4tUG1 -jnMC8nAimCnCfxcYAnk4ES4t3XHrV0kMeTjcn6kJb+2uxJCHw35zydgfXy1j -yMOJcK0i+0us6gN5OBHGLL6pSvf9QB4Oz5/HgPSeyz6QhxNhgkdR04O2H8jD -idB/h6rn2uj35OFwPt62KGDNnvfk4US4OSjU+sGg9+ThRLhx6czxXnnvyMNh -P9SlrTzH9R15OBEuhs7onzH5HXk4fN6eHUPbq6LJw2F9eieaXHgSTR4Of/6/ -1TbT7aPJw4kwb6Hzsemdo8nD4TwyZ+Ye1/i35OFECC+/+rqT01vycCI8dVJ+ -LRzzljwc3lcjkyMktVHk4UQYkr/Bz/xBFHk4XI9FyXZ5i6LIw2E/GlCxL6Jd -FHk4rBfmG9xK4A15ONyfv0pt5hx5Qx4O98+D6BnNx74hDyeCJN18RHNpJHk4 -ERr2H/WY8yiSPJwIgYU7BpfbRZKHEyGsserke+1I8nAifH1/3VaS8po8HO6X -ce3Hrz77mjwc1ouCqPwBU16ThxPhe4VYOqYhgjycCOIxTuUeHkEeDvuPgRBt -tjuCPJwIf7J31JoOjyAPJ4KBU46uS/Ur8nA4H41Kajnw4SvycDj/nP+3qNPK -V+ThcF773j/ctucr8nB4PgaGZ1bmvCQPJ8K5UaZrkq69JA+H969hD60Wti/J -w2E/uDvY9brWS/JwIpytnXnRMTmcPJwIb64Oh/AL4eThRLiedapy2oxw8nAi -qKqdfUa3CicPh8832TxqX3wYeTicf34VlmidDSMPJ8Jau+0J/6zDyMNhfdP5 -bbigZRh5OHyfNWY31K6h5OGwn7R5GdlMK5Q8HM5Ddw9PSnIPIQ+H/QPv5TlL -O4Q8nAg7DWdaXbj5gjwczqMtveLO9H5BHk4Ez1aZty0eBZOHw/njvt7UqKHB -5OGwfxmmtP4R/Jw8nAhnengO/WnynDwc7s9fdx3gwzPycCJ8DvMKsZ35jDwc -/v2zK3Y/yQ4iDyfCIfugSZ9WB5GHw35X6vEkXBZIHg77b8WkabsOB5KHE6Fx -7Y8gdYtA8nAipKXNc5h3PYA8HN5354WxRwYFkIfD+7RZ5rnDYU/Jw4mwfki7 -TrOtnpKHE6G17+/t6hx/8nAisHUzJztu8ScPh/dTTtqI3J9PyMPh/XIw9EuH -K0/Iw+H5HLOxfrDeE/JweD+XPB7eK8qPPBzW79ijg/j5fuTh8M+1517zl/iS -hxPB9mTWMOtTvuThRBiV3M8rQdeXPJwINkt9n4555UMeDutXumkzl/k+5OGw -3qV03RKreEweToQVB4tvKF0fk4cTYT/zsW097DF5OBG+2WQ/H+D2iDwc9ncv -FtT1TH1IHg7Pl5H3lx8dHpKHw/785/W+cQu8ycPhfjjXZvbxW17k4XC/ryhp -ZlD2gDwc3h+pzWRpwx6Qh8N6l3UycPuB++ThcL2rjwstYu+Rh8PPL5195GGX -e+ThRJgzabPacsNd8nB4/p+e0ZdF3CEPh/XxwZ0Mb6075OFE6MBqojdtvE0e -DvvJcOHNlPe3yMNhfV8aeG+s7i3ycCJMHmRrNsXxJnk47Bc7Xju8s+AGeTj8 -+eeuGr+bdIM8HM4ffJ39eG9P8nBYLye9LC5o6UkeDuvptQdBQbuvk4fD/rpF -eWxooQd5OBFOLVg8iJ/uQR5OBMND/P207dfIw6nhY9m3p5PnXSUPp4aOPw5e -MDa5Qh5ODcefxm2NGuROHk4NbwrfnM7vepk8nBpux+fperZ1Iw+nhtU7Fp/6 -0fwSeTg1nNY30e7Q/CJ5ODWYb105/ksrV/JwarDwvLV6a6cL5OHUkN4U1SGm -z3nycGoI0A35LB11jjycGnKfuU7kpp0lD6eGmjm3VLnrXMjDqSFP7hgYcPoM -eTg1VFwy6ufw9DR5OPz9448b7vY9RR5ODe7y+bmtWpwkD6eGkB4GP9/tOk4e -Tg1aK+KP+FU4k4dTw7nGbXPS1ziRh1PD1kH9XOZKjpCHU0PGkpQ5Rk6O5OHU -sG7+NsWVnofJw6khddCwj44xB8nDqaGF/93Zfx0OkIdTw2vrCl2TMfvJw6nh -XoenH1Zx+8jDqWFFl42ff13fQx4Of56du8Eu213k4dQwYeOEwpwBO8jDqSF6 -tHXnFW22kYdTw9yX7ywHt9pCHk4NT1uN116mu4k8nBoejOi1sc+0DeTh1OA/ -bMhdo/bryMOpQTcmwYJ7u4o8nBoUm5wTIu+uIA+nhnmvbCMq/JeRh1PDJ7OA -Vm+qFpOHU8Mpt456V+YtJA+nBnYJSrakzyUPpwbJQKuT6vUzycOpIXzYmsSt -S6eRh1MD99jUOXkhIw+nhiHmgZF67yaRh1ODg6drTwO5MXk4NVwysQ9cGjWS -PJwa7BMahn41HEIeTg0eDQvcXO11ycOpof/Jrtq/mncgD6cGaVjB2JU2DZYa -D6eGVuLX2as65Ftecm8++EcLHsasN5R4ZU+13FWn+yXpfyyddzyW3/vAJZKk -QpEWoggtZNZz7J299957701LoqGkFBqiRJr4dCllpUVCU9rjfu47pFTyO9/f -c/68Xvncz32fcV3X+bze5/WeTYEv744n8yQMWdtZm/aV8VCQ8fLUmf5F21kb -DxsZhvJScGexhFlsgg1LiPGY3jqHAoVjn1TOCTqyxo0TLi/go+CRcZ3WDyc3 -1kDl3tARHC+OVg7YoeTNuvb71OpLcyl44Xlno+sJP9ZR29bhXH4Kvri/yLdL -DmKlne8vtp9HgaFHiOWjjjCWB+9XI1kBCs48nPdxcWcUS9uDe+YXjgtmv7Z8 -GRLLWn1N/GrPfAoevPdyp6wTWDyLNocfE6TgSUaLWlRmMutDkLFMxAIKWj4e -UC6eTGN13fJ8jhZSMK4wFKTlnsWqXZa4X2gRBbtn2XuuDcxhFcYWmbzF8Vie -vsnxo3msyN7TXFeEKNgz2/ib0lQBy3rNf9cKhClY22h1MSVvF0s540mEkwgF -3IWK5nysQtaSwa9r5BdT0D9pwRMqu4/1c+Psl39wfM5v5eByqRLW8M5lB+8v -oeDxgwsuM537WS1vNpudEKWAzdCFcrsOso5rmnBHi1Hwud7kuqd/KSvzgNcN -3aUUZPG7fw9zP8Ly/pYYtVicAvfGH6JLY46y9A32yX7A8eErk4/lTh1jra04 -8+raMgruGxkfCpioYM39+d+hXcvx+3eNWvQ2nmR9sRwwd11BgbTpkindqUpW -b8232etXUmAkmN3426qaVT+Lp+Ufjlc9MbnrcOMUq9hlecyjVXi+WoICmpXO -sGKalNZVSVBQt7W6bud/Z1l2801HYiUp8FDIKZZxOcdS9fc+bCBFQUyr8Q9m -bh1L/GaShdhqPD47v1ZY9Zxn/REt5v2MY6MiPrUzFfWsl5FnW5ulKdCo+LZG -Xq+BBV03YwtlKHh4XbzmlXYjq1LqqbzHGgqe6rTcrzS+xMpNod5sXEsBU9l+ -+ZRLE8u/n6dsliwFB2b5hi9NvMwyUlxh1Y/jLz3cv9dXXGGty1fmOy2H30dB -x1L00VWWwCvTmwnrKNA99M2WW/A6i63qE28sT8EfNDOy2v4G69G+ZMVlChTY -nDt6q6ammXXpU/HbrzhWWzK76Q5fK+ugTs3R/xQpKBYs9zsb8x8r4ShY71tP -QdqbV4nZn2+ynMafzvXeQIGI8KY2HdU2lqY5G5Q2UnDw0MgserqNteI0byLP -JrzfDIdaR+7dYv2bXrHhKY5rto+t2XjyNmvEQeX92c0UCMUKhI6ltLPaL5od -S1aigB6auaTrdod1eq6vrZkyfp+WmpXq+ndZO7xT5q1UoeCH4rP2L0odrODm -kltsHLcMeF2LketkmYmcS2rbgvdH/Qz3J5ku1vqwto37VSngawuv9ZHrZi28 -O/jBV42C1Be2tb+VeljfV9LHt6hTMORh+qtT/x6rP2GOPZ8GBdnvn+aMuvey -rjxcOX8Yx/4LQjb7ZNxnHZHb0l6rSUGJkvs7jzMPWCnZ5ilpWvjvpZg4ZuAh -y+2Z72aLrRQIR1S7HC54xGIpp36S2Ib3x1nl60vXPmZJFu4/8R3HP3Ys37Kz -+zGL+/05h3YWBR93tl78HtXHerftluAhhPfnpffc3iv6WR2lQ3cCtClYkNjH -O3qvn1VD06nqOnj89q0rzMp8wtptzKc8T5cCyeE//sZqA6zwylVfnuPYZFO7 -p8H4AMvy95bKC3oUmFp46+Y2PWVttt3ulKmP98upVf2CSYMskfN+C60NKJit -ea6f0R5i/eBJ61htiNdXngi3+oJh1qD7gfQJHDsY9S+lXw+zblytVekwouBf -WNsDqavPWOULb389bIz//q3I4PPi56z0oOGqYBP8vYkBb+SiXrA8bzHOWqYU -BDRcLFts95Kls2yukKAZBVzn9Gtqt75iycRKdL3CsTn1tHFy3WvWnF7VzAZz -Ct6du6HAvWCE9UnGQjVnOwVaYdrlkbtGWD3p/pStBQWNLZ5xJnxvWOefpp1a -Y0nBvlzpnEM73rCKNh50/YnjDP72vc7zRllRO+uEu60oqHY56VG+b5Rl8+Z2 -91FrCo5fN2r2EnvLUtF8lhVmg9fDnEi/i5VvWaIHvquxbCk4uuXHqr0b3rGm -vs6lF9pRkHjpzbmZ/96xnutLnnmD48jHvu3zrd6z/juu5t5kT0GoVp9s87v3 -rBOTFovzHSjIdaktEUz7wMq2DLjn4EhBTse+G/NFP7J8a9Jz5JwoCG40c/jv -0keWwaxDGr9xPGTbu2KNzSeWrMt55p4zBYHOMm0mE59Y/E3tZ4+74Hxpvvv7 -prLPrK8Czz0iXSlo43HyGtH+wnrgN7ZExw3n/wXzmt2/fmE1/Md/X9gd548V -qLb+yFfWflGpvHc4Vom69mzI+BsrLlJd66oHBQsN7cbf/PnGcuiyHNvhSYGU -ntjRh40US10q8JyzF57P11KxZ0PYrGUpGV4K3hTc7LssGbmWZv3tOyQ2jePX -GRv1FN/TrFcKFx488MH5uX7H1hhbhtWWdyf/pC8Fh5oSLz64wrCqXz7fGuNH -gW17u1G9+HdWvur4uJ4/BY7/Bh6LZH5nBe6bV7ckgILSwLXi3B++s0w+Sfl8 -xPHbMs1PqRZjLAUdDfEbgRQUpZ+em3d9jCV41OrR7iCcv6bn6K2UGWfRY4E7 -3ILx94GAp2nJOOuxWSZrQwgFYf1h0gu4JlhNp0p/zOA4N4q2D42aYB2avnD+ -cSiez9N+LX6jE6wkh7u+1WEUDC88JzZt/4PlcvHFsvhwCk6UnFqvdu8Ha+vc -iceGERQ48ZjCEt1J1ipvgV1LIyl4OVa6t7x5ksXVvFr7C451TA843VP5yRoV -1vzZEkWBmZD167MNP1l3Qq3r90ZToLfy22vlDb9YZ+4E+XvGUCDbuUss5sIv -1s6VWSs2x+LnnXTS9d8wxQpJONzPHYfHR754+aLGKdb2h/W7n+D4WkGUe/yW -36yNch06Z+Jxv7JZ99bhlt8soeyXvxITKBBtsp9J1vvDGh+euGiSSME3h9kP -V93/wxpQmh+4PAnXq9e1H3Y6/WVd2yO9isKxgVbTn+b3f1ll7zQHbiZT0K65 -+/a1uGlW6jabwuIUvN/0T7Gzef+x3EuD9XxSKdjMn6a04sg/FqKzfiun4Xyu -tR/tUpxhrTY+0sibTkG5dnjHvdszLJ7Ki0GDOH4QZ64Vu54LfZjqkDiXgeuR -sO1MeAoX6rJ59TQlE4+Xy5ICxy4uVFv3Y695FgU+0SNrNovNQoU8ggarsnH9 -L89V+RswC0W4y/ylcay5xIqv+dosZHVVq+lWDgXH2EvHQ/m5kdJC25ADuRSU -vVcyF3HjRouDQqT88/D+1Y4LaLzIjX62ZQ+p5uP6fk7lkBHPbDQsXrZvbgEF -mXO2Kww6z0YtMQ2Gz3A86iSW6t0wGx2/1zldtwPvz4MRTz/y8aBMmdeX03dS -MJhWXxTqxYO80ydDLXfh/ZDdNEHd4EF6TwWlpXZTUFn+zClqMS9as3HNszEc -x8rPEpiI5EV8O7eW3NmD18PTPJeUXl70ecTWuLQQ149YvVIe+TmoVyN0JnAv -3r/fjs4/uHMOqt+fc1WjiILVqsEC8p/moOKvZeEC+yg4ZTV/pNOYD8XoN8q8 -xLFwqM9gRC0fsjve9by+mAIxtZ5tkoJzkerk6/1ZJTjfVUt7voyai5Za/jSx -2U/BpNfjgrMDc9HvswtmyRygYJNg60ymFj96wbX2+g8cJ4k5Lw6q4kc3nbdF -dh6kwP5w8wLvefNQ5SW7tWWHcD6pvaoeFjsP5QqEvQwppeD2UrsXu1/OQ/5+ -uQe3HqaAf5aUwU1jAWT031GzBUco2PVwz2G+KwJonegl7hEcy9Z1mAetno8E -IrtvNJZR0Jt+4vCb4vmI6hyJyj2K6/sR75txXILooeQvWftyCsJ3dcyRjhZE -jckLX689RsFJg/E71KggOtC3tvQXjuu8Vwk9tV+AEhRY23uO43x+aI7by+4F -yCnPnudYBQX5C0qe8aCFSPNlWEv4CQq8WGMPt19ZiFao5sWgkzg/SPR1uYku -Qv+KytcJVeLx9jpXdspmERr5eGlkFMe2uw8IZO5bhG5r9xy+XEWB4p32/771 -LkKnyt5YFFTj8XWebcWeJ4QKxn7xOp2iwNp6rU+eiRAKMlv037rTOH+Gvzxw -cacQMjslG/cHx9vfnMqL6hJC66dZCvfPUPBK7L/+zrnCaKGDw2jFWbweQmQl -r5oIo+/14WVRNbgfzDo1d+seYdTPl2+lew735zFNCi73hdEVr2N8i2vxejAt -kRVaJIIO32i6+R7HmU3qR71tRVCy8L34a3W4ft7xljI/LIJcQ0cVd53H9WU4 -2+XxcxG07c7UW5cLFExH3Rv9LrkYSawUKlesx/lTaINjfcBixJ0gZ/MPx4qb -Hez4LixG7x4g/kcXcT8veSFhenwx6pB1bKtswOtpzMmlRGsJqsmKSIxtxPMf -srW8PXcJ2j2cv8HgEp7v0cknB3uXoDCl4+9Fm/Dvb3tXxSsqiiz2XD72Ccfz -+1acFPUSRZve3bNtvozn2yY+sKtWFAlvezuv8ApeH/9lHFg+KYomDv2+5X6V -gv2mBTcX6YqhQbZQ8sZreH5sD+XUFImhG0brNs26jterXnTy2+diqPyk9sc+ -HK/LLd96e91SlD7lWHHqBu5//a39DZKWIk+bSPuEZtyfChcWhncuRTp1BfON -W3B+S+Qy0xITR9I8Fe3irTgfbJDQuBgojnjdr6R8xfG96MV093Vx9PFK7+b/ -/sP90qwunsJ5y1D3gnefim7ifl7kpdCk2zJ0PvDPCS/A5zmdSxfmNixDRW3C -jkptFPTFeEfemb0cRYnLL+C5hfu9HqDWOS1HNjE6dwdwXHzQHTQuLEcq95zS -zt7G/cTGgpQJ7hVIVCZKObmdAv2/6IqL8wr0K23HF9M7FJw2MecNb1iBng1U -VK64S0GEtiyX4tyVqHXDVSc2jgMf8Wkd8VqJKnbcX9jWQUHPsdeml26sRNkj -7zpKOil49snlTorIKuSr8Tfdtwv/Xt6I1lj4KmSwX2TLlm4Koq+XGIh3r0Ky -X+W/zemhYKeyaTwjI4H49XWrh3CcMXnCIylbAn095uxSew/XT+23BxtfSaD7 -P6KE0nopuMjaZ2+xShJdtNjZtf0+7j/FBJYd3y6JSs6eyJR4gPdPuIy3Qbok -iuW6pvodx/npP2ONLkgiB+cH1O2HFLhEp5dXv5RE6pfenzr4CPdbToWSLguk -0DKBadeAxxQorRw0C0VS6K/vYhH1Pvz+qZT/YJQUetWq0MPfj/fDpNa1iiop -1LZEL/s5js8+FNh564kUqopwUb/wBJ/f/+ZIavOtRnmd0XTGAM7fy091LdNc -jQIkd52xekqBRIRFl3X4amScfNJ99SA+7/RsC3x/cjVS6Lu2eALHOQfnUQNP -ViNBhYf37g5RINe0rlKaXxrRuR9yDg9T0FXwrefBNmn0+MW0RvAzCuxeZzUO -xkijpi1Lvms+x+fTMaacVSONDhUp1sx/QcFGG+nHs19Jo8SPep6vcKw6+uyw -7GIZ5KztKtrwkoKZB1dVa01lkFZZzP3sV7ifyVWa2JMtg1aO7cqzfY3H78Rp -0fvXZRCXWaXWmhEK7lB678IZGTRafX1sEsfrXVZWR8itQXf+PjzX9YYC3k8r -yx56rUFn7D96HR2lYHmN4PySsjVoZ/0/sbC3FIzXF+s09a1BIXyiD7e9o+DX -PNlM1flrkbnX+oKF73E+blact9xwLdpwQ3/bGxxXVg3LemetRYuE3SYufcDn -wTydlfwta9FYSGxd3kfcT43ZbhSZXIsG2nf7OHzC5xfTF1WZm2XRtRVV4nKf -8Xo7m91qES6LyuJvPJrCsclwR1vWOVmU+uDRjntfKLjgOm9iyQdZ5C77iXX8 -KwVxZgVHF0jLIZQ18yPiGz4fu1n9CvGSQ1LDohe0KdwP9TlbK1TIodlKG/yE -2RRURUV+tnwhh97vNlj+DscaSRo8w8vWoc63bn1XaNxfHtF63+G8DtVujdu1 -g8Hj+fHJQ/Gydajw0B5t5+/4fDyI+PqG1qEIdtVP+THcXyjuf0AvlUdWRs31 -f3FcOtEaFOssj5ROPvZ/ME6BcmrhUvej8mjx1KcVJyfwetOpkbzwXB5NWnM9 -if6B8+vY/nbflQpoqFZsj94kBZRLrV62pwJqnr1Rd8lP/Huesm95qxTQMTfD -qQ84zmVWvvv+TgFlXnFvuP6LAsHZ6TsN5BSR94L4wN1TeH7yFgjPClNEeoGF -q9x+4/xlm3hbpkERrWmrHlj/B/c/ymrPGyYUEZ94S+EMju/cqjl+WmM9+hzd -p/f4L+4/omaZzc5cj+71fP5dNY371WRrmZ4769EF6VmX4v7h9dGXG/J73ga0 -L21psOEMBYVrPTwOWm9A0QMbJZdysYG3N0v7yJENyG6D0eBnHBtdD7CeM7IB -qe7wKGqZxYbURP/Hr2Q3oqUj8QZ7udlgsPoC1+qojei3+t6/HrPZMGxrLPHo -+kb0ouRU0yYe/LxFRVcnuDahm19aQrh52XCJZ2LxtTWb0Em9fqknOC77U2V6 -0XQTyjn2Zej0HDbcX2Kf+DJyE/L7Mas4kY8N/ccam3UObUKGFuJGJnPZUDdt -rvKieRNad3bTv2X8+Pfaa2ZfGNmEBLiMr3zDcchBZePLczYjyskz7OY8NvyV -M5EaU9yMHjYmSBcLsOGOqVJ9gO1m1Div6Jn3fDaU3pdZIJyyGR3wPV2iLMiG -z7v1vCZObkbxra3GvAvY8Nqtol2wazNyXPJk5imOjcWVHD3ozUgj4uvVmoVs -OFf4SeODqBJa3skdkbKIDTrxR3afZimhaYlla8yF2DA/gzfoWIASGkna/GKl -MBu6NUaYniIldPux8QEax9MrO/Q2X1NCp+S9TG+JsGEqXSfz4WslVJCbOOvA -YjY8z+++dXquMgp6UXTdbwkbirfcXnd5szIy3XImUlUU/7tr2sBvF2WkWPTf -2rlibHAs2fI2OU8ZLfj45OUwjhOUl0ZvrldGDPp2sG4pG/I9tY5LDSmjviOz -zdPF2dBAVeWbcqugK9+XzbZcxoZZYcj4vKIKOmyq1Cy5nA2+4mxuI0cVlFxt -Ej2G45MjSf3LclSQ618vuTsr2BBgWzooe0EFbbNPen1oJRsMT39WjBhSQRL1 -+0oDV+H5PsP9nZq9Bc3iO7tdQwI/v81d5+zGLeit500eAUk2hH3z1TrqugXd -vT7Q8gLHC66E/O3YsQWdFaJi6qXY8F7hcuX6y1vQ7hAe+azVeH18iDB+MLIF -hbUvf2Mtjb/n9xXBc4KqyGKF8hFpGTbU/ChecFNTFW2KN7X8gePzz8cDBYNU -kfAD7zmda/DzJED18CFVNLE2+b8ja/F6PgmHXdtV0dPM4rgQWTZEVdafdfmu -iq4PnVXYKofXR+fm3IMSaujoZhgVXIfHX+S5Ib+FGkrb/bTsNY4fvpBbeCNN -DXm+pawa5dlQ2Jv/q7pODels5Z2bq8CGziFjiZ5nakj60AqwU2SDLrpyQmGe -OuJlKyesXc+GzKzfh7o01NFHQ7P1v3B81UVlVWWwOuo+4fOuewMbhu6E2F0p -U0d1v5LLyzeyIe9ziR1vjzraa11iE76JDXyrd2uW/FZHkbU1/GgzG2y/S6x2 -VtBA1rPb2hYp4fX/T0DW3U0DqbgNJo7ieKPwuN/xvRpI9Ap7w2VlPD/zA36K -gwb6JTjnQ74K3k/v30z1MRroWcDK445b2JB9+uGOrtWaqBVU7NapsvHpPK/1 -t50mqlhqLvAHx7aKOk3BOzRRVrTv7V41NtTKKe9Y0qyJfHpSkivU2fA4NNb2 -3zdNpC+9f1OUBhsYHj5lGUkttDbt3EcdTTxeP15p77DVQvwDbRUiWng+FYcO -y+7QQl/XD9m/xzFfdosNb4sWul9Az7+2lQ17LmwrlKS10MXXc+7s3MaGu6Yz -HsnSW1GJ+qpUFxYbqnoK3y902opiS7YoKSI2oFgPzXeFW5H9F/PP0zh+6TyU -OHlrK1LT8zv5UBuPr7vpdcOfW5H4sVTHSh02nJJmlgwobkN/JvYviNVlQ8vC -77WVPtvQq+21d/X12HBUx6vk/JFtqO3MrTRRfTY0FW19++PBNlQ1M6T8CccB -6VHN6bwslOfEfLlhwIafqG+T/lYWCmjkq9pjyIasi9JeJrEsZDxPwtndiA3f -DIV99taykLyv6qKNxmz4sFLNatEoC81v3d7JZcKGgbei+n3iCLEX+2f04fj+ -MynHfmuEHoWnbTllitdDX1OVyG6EmjoOfIs3w/lJerH2gdsIHZKoqzYyZ8NE -TbyxzR+EEpNuu4hvZ8N3j9QQuWXayPnxsNDX/8VHrTI7VbWRlvz3rlYLNhxW -3BJZYKuNVubOzSqyZMOFyDJD/yhtNPNcQs3Lig2y8W+F/PZqozcqauzN1ng8 -uCJf5tRqo/a9Fqdn27DhRv7eK7c6tdHpD/5uAzjuXlNwRvK9NtqJ0kXO2rJB -+sKh1hPcOijkyMGeJDs2nClmz0aSOsj8e122qT0bVL5e3/lvmw7aYNquvsKB -DQqpwo6vXHXQoupnNIXjVycWpL5K1kFjf76fAUc2LHK8yjVzWAc9seP3KHFi -Q7Dm3Al0VQddvSC5xNcZf3/aL6/KJzroyBz1XhUX/H2fomylx3VQiqdl7hxX -Nux4bjV4V0gXuV8P0BzCcY9B+K+dm3QREsr4fs6NDWfPFN8Os9RFUiGHalLd -2bB2XqpOdIQumt1+3nO7Bx7/OaNZpXt10fvld0QlPPF43YgqfHFeF3XGPb/P -4PhqR2ekXq8uOnd/LO+2FxscbNNV733VRXvWztt60JsNrrwrv8UK6KHwTKlx -fx88vlxyx7Yp6CHLIfVaNV82rBldbCNnpoeUNlt58/uxQf+2pbhKqB5avDtw -6XMch6/XnPbao4cmRzMenvdnw4y0Av/FOj00pFVakBHABj2xSONVvXqo+eCF -bVaBeH19MOqo/6aHjlF3JqSC2BD76tteL0F9lGH4om4cxz2Xdtcqb9BHXifG -fe4Gs+FQpp6snKU+0v01b9nhELzf7mxYyIrSRzLWqx8HhbKB3885PL5EH/HV -auzUDMP5reKu+YNL+ugztzWaH47zvVDodeMn+uiea9DkSxxPNam2vPuhjy5c -zrxwMYIN6U/neVWJGaB9gof9siPxelwBjdkaBig6oH65bRQbTDMkW3JcDZAt -3O2TicZ/r/O94HS6Adqy9OWuSRz/+/1J4ssJAyQWPaHdFcMG5d2Xi61uG6Cp -boFfZbH4eaKTb4feGaAXq6Uvhsbh/bbAQCaXzxDdTNUM2BbPhi3SG9ws5Q3R -ySfWKxcm4HxooFy6bbshylkf/GQEx/vO3HuxPcoQ+RVk7bmUyIbWRl/NrAOG -yPD1Yd28JDb4zXe/8eSqIZJTvzhln8wG7/0L/MyeGaJ5JR0Nsil4/m3v6L+b -NkTfPr8MnMIx337wPyFlhB7o/lh1L5UNC2+qPk4zMEIN5fOfHktjw9J4+5K0 -YCN0YEJ6b0Q6G+IytjZU7DVC8du19LUz8Pd0zVJ/22iEHM/Y/BHKZIN9UIOS -6VMjpDETfOktjvv2G9f2/zZCy52yg69ksSGjAmoyJYzRdMMRyR3ZbOj9N6Nm -oW+MXvM3DDrl4PnR/eyNgo3RLZ/OIvlcNlxcYLXJtsgYVbe8MviLYzmpf+d3 -NRmj/MWTf+/nseG38aU3o0PGKChc8PKJfNwfBEgOefwzRqYdMqHRBWzoCvxe -Ni1tghQltq7W28GGTUbtm8DEBC1Ish1evJMNzXzrzlRHmiDmUUjxBxwLN9XO -rjtkgvrW5Rhd38WGLw53bQZaTNDlnLJ/u3bj7+f+emz1qAkqfd5wxXUPG562 -53zdP9cUJal0ha0vZEPl6U9GazeaIpe9r6VncLz9fHjLC3tTtO3D5LNHe3G/ -2O9oeTXNFEmgBfurivD8zB+d31RtimYdWWMSt48NHhpbp/t6TNFbZiuXYTEb -7NbvWSc6ZorumthdEyvB9bLm09E0cTN0tio04jOOC+18XebomKFdf3LWtOzH -/373X1RTkBkKtTv6ovAArucxTR9zi83Q9guNBzwO4vV+LaIz8boZ2jin23TT -Ifw+j4XF942YIWHPkVncpbh/HE543z3XHE1c+3m9H8cFdwO2yG02R08XLYw6 -fZgND3yrhWqdzdH14LWyiUfYkOw8mmOZY46O3t72yriMDf4SD0qW1pmjtOX2 -h5YdxfV2hteI94k58ogLM/+G4wciq+qWTJsj7fu5s2+Ws+Gm9okOk7Xb0eq1 -5c37jrGhYwtfVaXldsSTeSna+zgbzIK7DFYmb0cfB7vllCtwPRM1bW6t2o66 -N715zXMCj5fmJ76s3u2obtev0qc4ltw/rho4uR3tHV1oUXMSr/fDjZYxkhYo -UkuWN6WSDbcz3VwqTS2Q9UFWq1kVXr+q0p4TcRZImbKPXVnNhiTPdQGhJyzQ -EsNweRrHey12JM7psUA/K/LetJ3C9ZnftPzuhAUa/ll+ZP9pNvzQjx2ukbBE -rVZNln5ncD/nMaXRYGqJKs71zFE9i/sDz847z+MtURb36H98NWxY/aM1W6HS -Evm4TsUN4/hqdH3SiV5LpH95kWLdOTac6PJrVPtlidYKyr1Nq2XDn7sPxH8L -WaG5AeioRR3Ob/H5uV9krdCXmw7Wkufxfho4J05ts0K9YhFzx3A8Wco/MsvO -CtVH5UP7BTZ4NYU9kwuxQiXdxxIO1eP1Hn5ovk+WFYpdfXl94EU2PLLSTrlQ -aoXsU++9U2/A37NeRlbgghVSezJaPq+RDe3Lx0RT262Q+PrfNi9wPBBnv316 -2Ar9yReaV38Jr4+n4/dLGCv08pXcrcwm3N9KhB9T57NGoKadZH0Z54NI19bv -K61RZbHjRukruJ+Stt38n4o1yv0c8WECx2ODo/+OmlmjAN2C4x1X2dDmd0Bu -j481Mi4/bnfkGhu0X35s3JtsjeQnLguEXMf9XJF7RVUxzuXbe29r3WDDyL/Q -791nrRH79NtkwWY2SH3Nq58N1ujRv9+bXuO4z3DxsOVTa3TJUfhTQwsbNmtm -xdVT1uhgw7oTOa1sEJdalyfBa4MS+HUc7P7D/dWuYIHqFTbIycdJcO1NNrgr -lwpqqNggrZbIOz9xLC74b+8bMxu0cvGO1G7A9Vvly75jvjZoJqxCqbwN90MT -x0VDUm3Qm7tXPofdwvn5jsMa0wM2qH3V/ZOs22xQNDSHrXU26HTiO8dF7WwI -1W/4qNNug3Y8+rNgFMfzm+ornZ/boOB1Ih1Nd3D/eD6cyR23QWY58un5d/F8 -RwsOtgnYovXPdVQcO/D5IKDGaZGMLVqk4vxVrhP3cyK2STFbbdFYYVTVbxw3 -rl6h8dHOFj15v8O5twu/j8f8iohwW3SVdWJRRTd+38a1tXMLbNGRw1c7I3vw -+VkmyOtKhS1KYe5n6NzD9fh5Z3vcNVvkZvJ+i0gvG5yWqg0aPrJFrKq/397h -2Fu18rjCZ1sk+Ufk1NX7OD/XfhWX5rZD3HYKrjsfsGED16TF+uV26P15XWGX -h/i89rwMmarYoU5el26FR2wQ+lHLTt5uh855RGdN41hD/KdHa4Ad2nNtp9rD -x2y4Jmt4QCjLDoUvOsk+2ceGe0IuRclldsgy+NrpmH42iA2zbSYu2aHNtx+4 -6T/B672o+W12rx0SWf5BRHQA959W4XqrP9ihH7HTPR9xLGLaGDcwY4cGexfn -3HjKBp9L8inl4vaoeY2ixp5BnA+6Ex3ilO3RsQw9xm0Iz/+zTfO9ttujjEGX -sxuG2fBC5dNxj0B75LUpxoPrGa73m+QXRGXbI91du5b04ThaId3zYLk9khk9 -2Vv9HK+nPO+D967Yozla13PjX+Dz9Qnri0se2aNPBx5qGr3E8/Pm1qWYL/ao -59uH70tf4fVQL1k5yuOAzhv8q/mC47Lgp2n+Eg6oqGKJV+trvB/iDIz/aDig -6J+KYkUjeL8o9PCesnNAtlb6DzzfsOHgp+ZrnpEOaMs51/zNo3g9TQd6bdjt -gMS4Y7fOfovr+eXl3ItOO6Apl93jT3A8bbnsBE+bA3reVFl75h0bJPirNOY/ -d0D/zb/hnfQe54v5X/vXTjqgE/6Plpp+wPUhVTbKTsgRZd/8+HD5R7zfgnMX -HVJ0RL5iMwUUjpOGZa59MnJEhlGiLPiE92ebQoClryOS617/o/gzG+S5L0l0 -ZziieasNzvt8wfkx/N57+6OO6FuKm6/KVzY4Qn7zjyuO6EF/7LI533A9qPh0 -ouaxI2pQ3PN4EMdXj/04HEE5ov35VTvPUWwIUqo5ZcTvhOJe3UCpbDzfbT86 -ldc4IQe1x5Pm9P/+f8j92co6Tki9+NOFVQz+vdtLXQzcndDyzzN+DI5do5p7 -Q5Kd0LSO2Irb39lwuWCfe/UhJ/T66Ib+A2M4Xw9GLKAbndCtcYPd/uO4XxVe -92b7AydUbe6uozaB36d75yB8cUL5p+N+zf2B91+Qzbg+nzMK/Lfn4jMcr1fX -V30p7YxMHKsDzk/i/sxNtGqntjNSaGhemfGTDSZ9KepG7s5IkL/vieUv/P6R -K/8sTXFGjPfnPVJT+Dz/uvrrdKkz6mvm0hvHseiZCwKTTc7ossjS33d+s+Ht -0idusx47o9KwjY2lf/DzfGpHJNjOKOmuYVDQXzZEZvx3xFrABbms8pDQnGaD -jebBglI5F7Q1Mf6pwD/cPyhdrGMbuKBVjwr3vsSxUN9OfhdfF8S17pT+xRnc -L1gdqhzKckGj2S1/srho0GnSjQ+pcEF3n/VdsplFw/7OX/kLW13QWeUvwTLc -NHCtFR/oHHZBHB6OhicbZnwP/HRBHB6OBkt+LpWYJa6Iw8PREFoVYuin7Io4 -PBwNp+t2HAu2dkUcHo6Gm2VlajmRrojDw9GQW/1iaf1eV8Th4WgobivQoepc -EYeHo2FJTecl1OOKODwcDccfdoWf/uSKODwcfp/gC7Gr+NwQh4ejwcx//93a -NW6Iw8PRsC20yM9U3w1xeDganF81Wk/7uCEOD0dD3vCcovZsN8Th4Wg4uaFk -2fGTbojDw9Fg72/xaxe4IQ4Ph3/vxha53a/cEIeHw3+/XL3u+LQb4vBwNNgp -aufcWeGOODwcDSEspfp/Wu6Iw8PRwG33baO5qzvi8HA01K40nHshxR1xeDga -ZKYWqUsddUccHo6GDYxYW80Nd8Th4WgwvSZRqTfsjjg8HA07zn94/v2XO+Lw -cDQYPZWJblrqgTg8HA1zR/d471T3QBwejgYF6c4LUU4eiMPD0QAlqQ5hSR6I -w8PRYNxt5JZ6xANxeDj8fL47cPy6B+LwcDQYKuRk9w95IA4PR8PKJsGqFVMe -iMPD0cBq/SqVLO6JODwcjmeVz/qs4Yk4PBwNr4YO6IS5eCIOD0dDv4rxKHeq -J+LwcDQcOin97ny5J+LwcDSs0d5jFNLqiTg8HA0DD17O13rpiTg8HA3LK2JV -JP55Ig4PR8M6U0vPPQu9EIeHo+HukoGBRZJeiMPD0SB3nZo+sckLcXg4GrSz -rg+q63ghDg+H5yff2ve5tRfi8HA0DJ56fHKHjxfi8HA0/MmzKN4W64U4PBwN -H4ufbZ7O9UIcHo6G61N5+R0HvRCHh6Nh3xXnPWWnvRCHh6PhX4a3XsJVL8Th -4WgIe1J5wa3TC3F4OBqSf67oMR/yQhwejobAb4+PGH72Qhwejoas5FZx099e -iMPD0ZC+dsDcUcAbcXg4Gr5qCSlFrPBGHB6OhgO3fbqL1nsjDg9Hw7uc68I3 -WPhI9v88HA02PP8EKUtvxOHhaOgsXN4i7+2NODwcDbMkJ8VjYrwRh4fDcWak -fHuuN+LwcDSEywZ8W3nIG3F4ODw+Nlc8c854Iw4Ph8dvUiWPueaNODwcDfzt -N5yDur0Rh4ej4Y3UkpHPz7wRh4ejwVVtiVj8N2/E4eFo2DOS94//nzfi8HD4 -95sUSmsW+iAOD0eD0t/7L62kfBCHh6PhfZviALeyD+LwcPj7W3nTbur7IA4P -R8Owl0hfroMP4vBwNDx7Mn/QJsgHcXg4Gs5NnN6tkOKDODwcDZet9n0TLPRB -HB6OBsX1CX+njvsgDg+H8102TzN90QdxeDga/H+OyNC3fBCHh6OB72v91l/9 -PojDw+H9HrxglsAHH8Th4WiI33wsWvaXD+LwcDTcb/i+d/s8X8Th4Wi4wXva -Pn2FL+LwcDRYTRt3Xd3gizg8HA2rRcLfT2n7Ig4Ph/NR1PHzhra+iMPD4fH7 -5rPyuL8v4vBwNDjN01ObTvRFHB6OhsopmArY7Ys4PBwNuw45+T075os4PBwN -6/12Jjhe9EUcHo6GeaWdG1/d8kUcHg5/P++efRFPfBGHh8P5cYv+obkffRGH -h8P5z9Zb+/yUL+LwcDR4K20vdp7vhzg8HF7fFpF5iyT8EIeHo0G4R2HF481+ -iMPD4XwT8dahXN8PcXg4XE/OjStHOvohDg+H19u+q5fMQ/wQh4ej4exwTp9y -uh/i8HA0zH9bt1+m2A9xeDgapuqyx1ZV+yEOD0eD2KDV99VX/RCHh6PB4ZxP -0aZuP8Th4Whw4Z/uMnrhhzg8HN7vGT4ng2g/xOHhaNiu1CdygNsfcXg4Goa+ -7ljducQfcXg4GraKtN7jWeePODwcrqcXqxeYb/VHHB6OBto36ssxS3/E4eFo -yI+z8vjp4484PBzeD20Rwa4J/ojDw9FQ2j42r3uXP+LwcPh9S7l0tY/7Iw4P -h9fDt+uCtxr8EYeHw/VORCPc7I4/4vBwNFRMHPV8PeiPODwcrifCP96mffVH -HB6Ohqc7XKakZ/wRh4f7X31/XvFEOABxeDgabo/m9BetDUAcHg6v558upTaa -AYjDw9Hgdzvog4RFAOLwcDSU29bfnvQOQBweDudHa3n5wfgAxOHhcP75Nbj8 -1q4AxOHh8PoVu1h6+XgA4vBwNHwQOVXW2BiAODwcHv93Z6Wv3w1AHB4O1+cl -NcpdwwGIw8PRoHu7qOsNFYA4PBzuJ47qvuHhDkQcHg6/36szaZtFAxGHh8P9 -jkd5WaB8IOLwcDQsTvurepYViDg8HA0pstW2jE0g4vBwNDiO+LF1AwMRh4fD -33NZZM6J1EDE4eFw/dLOKOMpDkQcHo4GdCHybOypQMTh4fD6aLi44dv1QMTh -4Wi4tZd7Q8T9QMTh4WhYILzu1NSbQMLD0fCzdGRf0WQg4eHwfHC9pRUFgggP -R8NYy4fbTySCCA+H19utCr4ClSDCw+H3L25u1TYJIjwcDR5KH0Z4PIIID0dD -jFpPTF9MEOHhaCi6JhB9bkcQ4eFwP2Vt/mzXsSDCw+F8tU7+QmxjEOHhaMj+ -gj4FdAQRHo4Gnjsyu32fBxEejgbpkLCSYCaI8HA4fyw5+zeJN5jwcDT4Znn3 -lCwLJjwcrj9fZKaaNgYTHo4G9SWJO17rBxMeDs+X7rPYxS7BhIfDv6d2v9Um -MpjwcHj/qN3zOpoXTHg4vL75zT2+lgUTHg6Pz/f2JoOLwYSHoyGyqdrz3J1g -wsPRULbfyUv0WTDh4WgosDS/XEgHEx4O1xO5987zeUMID4e/bzjf8tCyEMLD -4XxStveA7KYQwsPh/LXCSabdIITwcDRMlGzjCnINITwc7tdO7V8jGh1CeDga -uhJr9t8vCCE8HA19tb0GRcdCCA9Hg6C9yTanSyGEh6Ph2xz/RIWuEMLD0SDR -7TPG9yqE8HA0LFyRc54aDyE8HM4HP/9Vv+APJTwcDQbdQoNPJEIJD4f7oQRa -7+mWUMLD4fXu1P1pxCyU8HA0NLLut094hxIeDtfz8DX9QkmhhIejQbb812L1 -olDCw+H1NhG8I/BUKOHhaOAVK5M72RxKeDi8fkWqJt88CiU8HA0/wo/Tih9D -CQ+H97f4SaHs6VDCw+H1mNri8kokjPBwNGQGT3fpy4cRHg73R+uiXC5rhxEe -DvezUcsE1zuGER4Ov7/3zKv68DDCw+H9tW/VA428MMLD4X7iT+zQ/aNhhIfD -9WzRrz8hjWGEh8P9Zd0JVaGuMMLD0fBokVtB26swwsPhfndi2eekH2GEh8Pr -N7bDTXN+OOHh8PlA1OA1j3Q44eFoSHiUHDGkEU54OFzf/+nNvWIVTng4Gkb8 -M2uPBoYTHo6G71t4bHdlhBMejobDvGdnsg6FEx6OhqZ+9frs8+GEh6Mhas4O -tz3t4YSHo6EjxHVuxbNwwsPh8VZOu3jjezjh4XC9/FNn+WpuBOHhaAi4ee6D -gGQE4eHweeTNphhdtQjCw9HwXH6KzraIIDwczocdV917/CMID4f3t96yG8vT -IwgPh+vl/kcz8QcjCA+Hz598uRuH6yIID4f7QcdBA4P2CMLD4f6jPFK7+VkE -4eFomGPyZYX6WATh4Wi4qDE6dJM/kvBwePxOs6MtpCIJD4f7Y+nq9x/UIwkP -h9+vdt/mnVaRhIejYXKftq1SUCTh4fB+LmAZv8+MJDwc7jeOL1hQeTiS8HC4 -v9RTqvK/GEl4OLw/dWW5lTsjCQ+H+7+2QAX+15GEh8P9Iitk+afJSMLD4frT -++hjIF8U4eHweTj35movkSjCw+HzjaDKf24SUYSHo0HF6/0Vd4UowsPhfudY -noCvWhTh4WioEb7dGqYXRXg4PB9a5l0pllGEh8P5CB4q7nWNIjwcDTsjfn6q -DowiPBwN3UZhf27GRhEejoaq2AGfV5lRhIfD72MwtJK7MIrwcPj5W5cqyB+J -IjwcDfWz1HY5nIoiPBwNhYFPVHc0RBEejobm0CKl1tYowsPRYLFlVuqPrijC -w+H+Z3HnAuWBKMLD4f4kL+lb3JsowsP9r/6eF26hoggPh8+j3ZOZvL+jCA9H -Q6znVyW7OdGEh8P90pzl8meFowkPh/P17CV+/1ZFEx4O96t3Al47K0QTHg7v -/5flR2+oRRMeDu/3+ayDK/WjCQ9HA1tloCffKprwcDj/eH/cOuYWTXg4Gnrb -f33yCY4mPBwNvy7vuT8YH014OLzfmiQ/W+VEEx6OBjd/Vc0HRdGEh6Ph9UET -sCyPJjwczhfKAwkDZ6MJD4f7BQcbT8/L0YSHw9+vpZ5ItUUTHo6Gtbp/WzPv -RxMejoY0Rmij6LNowsPR8Jf5cq/hQzTh4XB9F/5ZYjkeTXg4fB4wPpU5NhNN -eDj8/HMeB8rmxxAejoal0cX39MVjCA9HQ/V05dqJNTGEh6NB6vFU1VmlGMLD -4fNK8V8WPv0THg4/j0dwapl5DOHhaAgWK3z4zCmG8HD4fP50qK3CP4bwcLg+ -xVjdC4iJITwcPi9rWVBKmTGEh8Pr4azCmtmFMYSHw/XLVDt68EgM4eHw9zx/ -8/Di6RjCw+H8puSHCi/FEB4O9y+m4jfDIIbwcLg+brU2te6NITwcDXW6LqMa -wzGEh8P7/0ZW3toPMYSHo+HEioUbxcZjCA9HgzmfxYgAVyzh4WjQ2JJXyiMY -S3g4vJ6zJy1nLYslPBwNch8/zuOWjSX3sxm4m1l2d45KLLmfzUBcnmnGQp1Y -cj+bgQXb1ZVXWMSS+9kMiFpVjCq6xpL72QzsXH11t05QLLmfzUBl4rV1LvGx -5H42A02SQ/8l5MSS+9kMMPPNDQ7viyX3sxnYYmJws+VYLLmfzcCbmfG1787F -kvvZDBxNKkhfdC2W3M9mYPXSdW3ad2LJ/WwGpL3mUHGPY8n9bAZm2IbcF17F -kvvZDFRkCv379DWW3M9mQPvz7ldyU7HkfjYDJhJPK8PmxJH72fj7Ni82vCwS -R+5nM9Bh4tc9IxlH7mczINTwdp3lhjhyP5sB4Y4L/lVaceR+NgMr+V+kTBnH -kfvZDBQJFQTaOcSR+9kMHN5/a12Tbxy5n83AYpcqWBIdR+5nM+BupSmblhFH -7mczsCSpzOPDnjhyP5uBf9R9X7uyOHI/G7/fp7cqHWfiyP1sBkavv3+odTmO -3M9mwLHrudKVW3HkfjYDJUd7XZUexpH72Qyo9bYaN72II/ezGZgSvvhT7Usc -uZ/NQOC2UwFtP+PI/WwG2pXKD5jzxpP72QwMjBWnvRCOJ/ezGbh5KEsiSjKe -3M9moMfMN4VvQzy5n43H233TniqteHI/G3+v/EtLHZN4cj+bgX559463DvHk -fjYDP6nKb7v84sn9bPw+y8rblGPiyf1sBj6Hq2q/yYwn97Px/P7nGbx/bzy5 -n80AzwSXplF5PLmfzUD0Yu6GmZp4cj+bASM1k+6Wq/HkfjYDh/Y056beiSf3 -sxkoc1B/yeqLJ74SPJ/jVf28I/HEV8JAYf+Q3yMqnvhKGLBPv1pU8See+EoY -UNkrahnFn0B8JQw8vPy42kAsgfhK8Pu13Ny9ak0C8ZUw0Jp6adYfpQTiK2Hg -zsfMOc+1E4ivhIGzPL9Kb1okEF8JA/nfPjecdksgvhIGvt1fbVMckkB8JQxc -+hqelJGUQHwlDNw/dlAqqiCB+EoYUDD3MPM/mEB8Jfj7korH3asSiK+EAf/L -bDGXhgTiK2HgzGnNq843E4ivBMe8Kr1uvQnEV4LXz8kjjr7PEoivBI+vo4xD -+KcE4ithQH55yd2UyQTiK2Fgzlhp9R6eROIrYeDr+FfqhHAi8ZUwEGKmX3VN -MpH4SvD8bbG52bchkfhKGNgwqwN935pIfCUMvFtoISNilkh8JQx0PykNVndO -JL4SBj48MRX0DkwkvhIGxs8IC+6NTyS+EgY2jh8MaM1NJL4SBoxjE8TokkTi -K2FAccx55ZqTicRXgv97s5F4j/pE4ith4Ep4zary1kTiK2EgzN5yyfOeROIr -wetbKMpx1XAi8ZUwoHu7etTvYyLxlTBQXJp9uf5HIvGVMDDxoKLnz+wk4ith -YKSlaLW5cBLxlTDw9r/RGyckk4ivBK9HA/miyQ1JxFeCx79/7JjVtiTiK2GA -9bPz7QWzJOIrYWCbtbLXApck4ivB81/cujAmKIn4SnA+jv1MDyUkEV8JA3Ld -2/7p5icRXwkD6eFa6g0HkoivhAGu7T7lklVJxFfCAPhsWnOwIYn4SvD+ui7V -Pw+SiK8E15fAhqq8+0nEV4LzwyG7klkvkoivhIHXSXnHsr8kEV8Jzj/2l2/x -TCURXwl+nwyHf7v5komvhIEgm+eWi0WTia8Ev792Y9NJmWTiK8HjdVdfdpNy -MvGVMLAvlKvmtk4y8ZXg/NVtruxklUx8JQx8yi/uYDySia+EgXm2mzwLw5OJ -r4SB3d+LfsunJRNfCQOrNgqW9u5OJr4SBq5+4N8YXZZMfCUMeHON3l5ak0x8 -JQwoRTMW7VeTia8Ery+tokdRd5OJr4QB2XBhA6knycRXwsCN1X3nnowmE18J -AxJ5kn93f08mvhIG1nRYaOhzpRBfCQOL5M97cC1MIb4SXK+n84NhZQrxlTCQ -cVTWMVsxhfhKGBAPfCZjqJVCfCUMVA+8fyhomkJ8JXg9++2zH3JKIb4SBpqP -L2s6HZhCfCX4e8W638cnpBBfCQM2cc++GOenEF8JA+rehbDqYArxlTBw+fAy -759VKcRXwsDpFzd7+xpTiK/kf+PVONPQlkJ8JQxceLX2Z8nDFOIrYeCkh11D -/KsU4ivB9TUmVM6NSiG+EjwfS4+5GfxNIb4SBt47LjbdLJBKfCX49wy42BLL -UomvBH8/124DoXWpxFeC10vD8HZe9VTiK8H5tnAJz1/DVOIrwfmhz9v/h30q -8ZXg+ewdifjul0p8JQyMPbi0kolNJb4SBgY1JiO+56QSXwkD1xM6PX+UpBJf -Cc5/U9rUn5OpxFeCx0s3dTFvQyrxleB6P1TYtwhSia8Ez6/1bhmJB6nEV4Lr -sXEB36aXqcRXgvuVoJIkvW+pxFeC66VXc5zzn1TiK2Ggihacip6XRnwlDBx8 -Vsq9VzyN+Erw+hpzL6qVSyO+EgZOLAop61FLI74SBjyXtUlShmnEV8LAgWkf -KWGHNOIrYcDwku0RDf804ithwEmzJMc3Lo34ShiIqFz6cl9uGvGV4O//++7U -zf1pxFeC83Uo/ZSuTCO+EtzviW2Mkm5MI74SBvg2l4U7t6URXwnOLz8ke0se -phFfCQNetdd29r5KI74SvP4rzE/ys9OIr4SBRvn7C02m04ivhIHpBpknu+en -E18Jrk/Ht355sDyd+Erw+Pr+tViikE58JTi/5JrMuGumE18J/vt8vl81JunE -V8JA5i8x1UmndOIrYeBjkstVg6B04ivB9av2fPzhxHTiK8H9hsaXqK8F6cRX -gvPny2+ndErTia+EgWyHwgVHT6cTXwnOn+EVtROX04mvhIHh8cl46zvpxFeC -+9+OwPCG/nTiK2HAtadtr9DbdOIrYcCKetgfN5ZOfCUMcMt6b302K4P4ShjY -nMS6oyOUQXwleD982RhUJ5lBfCX4efv/yIhtyiC+Erzf9vj/zEMZxFeC6+Pv -jS8mLDKIrwTX50XLHwV4ZBBfCQOI9/3DZ+EZxFeCv1/cdMgqPYP4Shh4dITn -Q1dhBvGVMCA42PtD91gG8ZUw0LXZmQfqMoivhIF7Cz0WbGvJIL4S3N++2bvo -v54M4ithoECijA89yyC+Egb2b9/A3P6cQXwlDBz5OdVhPJVBfCW4n2w6s/PR -3EziK8H5RuLlZpelmcRXwoD+ez14L5tJfCX4PHM1c32sWibxleD1tF05mdso -k/hKcL6Lnqk44JBJfCV4vJmc8rUBmcRXgvujSpfQlvhM4ivB/X+glIBNfibx -lTBgtz4q8cvBTOIrYUD588vzeacyia8E14eymbOSlzOJrwTnZ6Mg/5vtmcRX -gusp77k37v2ZxFfCQANjJT4zmkl8Jfj8toGaWzWWSXwleH0l5TlIz8oivhIG -Oq+JZk/OzSK+Elwvf0Xl9CzKIr4S3F+MDjidWJpFfCUMXJTcwR0vmUV8Jbje -+t9NN5PLIr4SBvICH3Wt3pRFfCU4n4x+ePNbLYv4SvB+P2zY2Y+yiK8Ev4+5 -YuIFoyziK8H1qbef3mGZRXwlDNR/Ttzo65hFfCUMWCfbqCDPLOIrYYDf8djf -5YFZxFeCx8d3b8FURBbxleD6le/2aDAhi/hK8PqqQUNXM7KIrwT3SzdDj5UW -ZBFfCQPs1sXLE4uyiK8E9y+V4TZOpVnEV8KAW3iNtmZFFvGV4P0jN/l2xZks -4ithwOxNgSZXfRbxleD8fm6X7rsrWcRXwoBFueLvrv+yiK+EgWtPjnnW380i -vhL8vilzog/ezyK+Ega+XzuomDqQRXwlOD/+F13s8zKL+Erw+m3rOGr6Pov4 -ShhInGkxUaayiK8E90+tSeUrfmQRXwnu77Sli+ZMZxFfCQN/n41IfOfJJr4S -BmLfDFi8mJ9NfCX4/S+uEe9anE18JQzo1IylXV6RTXwlOB8peyRVymQTXwk+ -L9/ayb9PMZv4ShjY01GyIV0lm/hK8Hmq7fD70K3ZxFeC9+fm64qu+tnEV8LA -+lMLuc3Ms4mvhIH/am74atllE18JzgdPH1kqumUTXwmOeyPurPTLJr4SnH83 -nYeFYdnEV8JA/IWTiDsum/hKcH765aP7IzWb+EpwPbk3t+NTbjbxlTDw5dOZ -rhd7somvBPfby6yNHx/IJr4SBgw2LdPrKM8mvhL8e7MXX2upzia+Egb6Yiyr -Guuyia+EgVMBL7hrmrKJr4SBu/e7n1a0ZBNfCQPLTqxZUdqeTXwluD8a/vto -771s4ithQC/XdTy/P5v4SvB5uc48O+N5NvGVMLAr6FlS4tts4ivB/f6w4FDU -12ziK2GgdA19LGQ8m/hKGBBNy7/r9yeb+Epw/Vo8aOk5O4f4Shjc3n/WcBHI -Ib4SBmLE2rLtRXKIr4SBuuWea6yX5xBfCR6/w12rt0vnEF8Jni9qOtFEIYf4 -ShgY2j2z2lA5h/hKGOh92yulp5VDfCX4/JTgF6Otl0N8JQw43msXZpnlEF8J -AwtjPnJttc0hvhIGlvLe09R0zSG+Ety/ywXfVPfNIb4SBoR3tuxSC80hvhKc -X140H1WNzSG+EtwfvfOgt6TmEF8JAw5hFflbcnOIrwT3o0aRrlv25BBfCa4X -9h0RWw7kEF8Jrsfph//bUp5DfCX4fHd5wEC1Oof4SvB5bjKGV60uh/hKGOgx -9/qt1pRDfCV4fXXtXa3RkkN8Jbg+7vySqtmeQ3wlDPBc9uPZei+H+EoYMM/4 -fGNbfw7xleD3X+N/FD3PIb4SfJ4fv12t8zaH+EoY2LqV6tf7mkN8Jbjf9Lov -ZzieQ3wluH/tMTtp/CeH+EpwvzxuqW42O5f4SnD/4N7G3i6QS3wlDDwtzbll -JZJLfCV4vWql1tsuzyW+Egakvu9tcpDOJb4S3C+HHX/grJBLfCW4XwjdM+2m -nEt8JbhetKqzvLRyia8E9wOq+UW+ernEV4L77zbLbwFmucRXwkCwWYJ9iG0u -8ZXg/m5woDPcNZf4Shi4E22qE+2bS3wlDJQoX4S40FziK2EgQf+VdlJsLvGV -4PU93HA7NTWX+EoY+KUyo5uZm0t8Jfj5Z09Czp5c4ithgLc4VLXgQC7xleD9 -5rLl9K7yXOIrwesxp49/b3Uu8ZUwMLlP1Ke4Lpf4SnC8/EndgaZc4ivB+bL3 -xbvSllziK8Hj7ThL4Gh7LvGV4PNYltCK4/dyia+EgeTlL5ac7M8lvhJcPwQ2 -TlY9zyW+Etwv6A42n36bS3wleL/cPuld8zWX+EoYKDzm8Kl2PJf4SvB6Hr9t -fuFPLvGV4Pn9WV94cXYe8ZUwoPpt9HSjQB7xleDxWL22tEkkj/hKGJD5x3K9 -sjyP+EoYWDc2NnZVOo/4SnB+zZ3jel0hj/hKcP0y3FZyQzmP+EpwP/3O+UCz -Vh7xlTBQI7zKs0Uvj/hKcP92zHSsxSyP+Epw/+F+wrTVNo/4SvB+133u3+qa -R3wleLxMW3VaffOIr4SBFTtnnreE5hFfCQO6Et5KLbF5xFeC66XhMd3m1Dzi -K8H9gFHovBu5ecRXgutb5o4d1/bkEV8Jzmdu565dOZBHfCW4P/TdeaSpPI/4 -ShgIWPdctrE6j/hK8Hp1dvStr8sjvhI8f42NxnVNecRXwsCz2RUDZ1vyiK8E -rx+1h3yn2vOIr4QBDcOfL07cyyO+EgYo7U6b8v484ithoN2MDih9nkd8JTh/ -nVwlVvI2j/hKGNixd7b3nq95xFfCQG2imW7+eB7xlTDQOlR1PeNPHvGV4PO1 -9pVbibPzia+EgbX2612jBPKJrwTnp77LOUEi+cRXwsDRPRNbvJbnE18JPj8H -H4xxlM4nvhL892FaqhYK+cRXgs8b5/dl6CvnE18J7p8dVE00tfKJrwTni6b+ -Axv18omvhIHuVQJuMmb5xFeC+9mFmSeW2uYTXwkDcxc/dp3vmk98JQy49FUW -zfjkE18JA/f5S7aMheQTXwmej/3bLN7F5BNfCa7f7gZPB1Lyia8Ez3+cwb2O -nHziK8H93N9h+Wu784mvhAFT5YqxM/vzia8Ev0+komTp0XziK2Fglsyqxryq -fOIrwf3rC4HqmNp84ivB9VJ777jnpXziK2FgtbDGSfPmfOIrYUBzw+kz6rfz -ia8En9f3BvDI9OQTX8n/8pfQtQV9+cRXgs//ueqtv4bzia8E97uHIoRG3+QT -Xwnun97rX+75nE98Jbj+TJpVXfqeT3wluB6HiQyXTeUTXwleT3PkHbJmFRBf -Ca7nlSvFAvgLiK8En1/UUsXNhAqIrwTnv5EHLhvFC4ivBOebJ9VDwlIFxFfC -wK2AvOIfcgXEV4LPN/N/Zw5uKiC+EtxfOu49dV29gPhKcH79fffPEe0C4ith -IPyufG6ScQHxlTDwpFZH3dGqgPhKGAhp2bd0i1MB8ZXg84hcmZSwVwHxleD+ -Wf+NFTuwgPhKGIjI+VLdHVlAfCUMfIpXXXoqsYD4SnA+eGJQl55ZQHwleDzi -j7g47CggvhLcT9tckN6wr4D4ShiQK+Dm4T1cQHwluP+0WDL1rKKA+Erw+Av6 -cDWcKSC+Ega0DPzE8uoLiK+Ege0VpVqOVwuIr4SB6LcxIetuFhBfCT4PzI6p -/H23gPhKGEgVnv+q534B8ZXgfze6vvLoQAHxleD+lItyD3pZQHwlOL/flDi2 -5X0B8ZXg8/K6uwOzqALiK2HgwALrufcnCoivBPcz3qqbD/8tIL4SBri2fdzu -xbOD+EoYaBn5P5ruOyzH9wsAuAhZERFFZuIbWoiiJytSGiglMyGVtJfSrnc/ -rxWRkL23lHGsrIyUlYoyMurV+zyvGel3ftdzfP87F95xP/d9zrm/1+d6zxPf -YZ2zaF4Jnq+za7wbe2TRvBI1+MwwnXTOKIvmlWA9mh3YI35wFs0rUYPFqf33 -J5pl0bwSNSQEOwW2ss6ieSVq+HTmyqdrtlk0rwTza03YjNTJWTSvRA2HIh6k -TZqZRfNKsF71sctp8ciieSVqWHdwcOYlnyyaV4L9Uf/G6bFLs2heiRreHdKu -slqVRfNK8L6ce49pCM2ieSVqiI/bH7o3NovmlWC9aPgT5JucRfNK1HD9gaW5 -niiL5pVg/h50sKCYzaJ5JdhP97veOm5LFs0rwfuoUW0Xs51ZNK8EP//B5c8q -92fRvBL8fEknfKTHs2heiRouZPXbPP58Fs0rUUP/510y6y5n0bwSNTw9XT18 -Q3EWzStRQxv2U+KEB1k0r0QNc7rKk94/yaJ5JRi3HzxCXpVF80rU8Di+bYr1 -uyyaV4L9YJ587Yv6LJpXgvfdZz36JGiyaF4Jfp6LjV7Gv7NoXoka9HvGWkFr -Ec0rwf6luvWhRR1FNK8Ez9fk9wV/9EQ0rwTXc0/Egpw+IppXooamjVqbRg8U -0bwSNdx+Wev7cJiI5pXg+oavOLXCQkTzSnD/SGvWN9uIaF4J7h/RrqYNjIjm -lWC+aPP949DpIppXgv33PfP5ha4imleC+fntdueZXiKaV4L9hTirqGKhiOaV -qCF1FLN35XIRzSvB+/Ba3XZfg0U0rwTvOx4O1esiRTSvBM/vXWNTnQQRzStR -g/FoTQ2bJqJ5JXi++rbT6SUV0bwS7P+n7szZtkFE80qwPz7SdmO/bSKaV6KG -HplJXN5uEc0rwec1ffZp40MimleC67n9elnuSRHNK1GDU6C+e58LIppXgvXh -ucR0E4hoXokaxkwJ8OpyW0TzSvC8On2pSH8oonkleH+/ufBE01MRzStRQ3LJ -9/KQahHNK8F+7MzPqbXvRDSvRA3VuvktHg0imleC94tTFlrXNCKaV4L9+dFa -x1G/RTSvBPePO/cgp7WY5pVgv2exfWObjmKaV4L9nLHh5iA9Mc0rwfw48uCj -x73FNK9EDcdPrXMYO0BM80qwvk959m6rqZjmleDzK6or/DVKTPNK1PB19IdL -3mPFNK8E96uebv25iWKaV4L9zlflJL1pYppXgue1eO/lQBcxzStRg/npxAXX -54hpXgnWezM3o96+YppXgvv1s/OPID8xzSvBeqDap7q8SkzzSvA+Fqf43SVM -TPNKMH/1GWu8IFZM80rw8+65P/tgkpjmleD5tUrJ5jPFNK8E6/mI1DpbhZjm -leB92L6ZSdkspnklaihb2n9Xca6Y5pVgv/ZcX1tnr5jmleB+Hdlh1YwjYppX -gvnKzvR+5mkxzStRw58hOf/dKBTTvBI1vJovSmm+KqZ5JWqozen3cMwdMc0r -wftEQ3KX4EdimleC/cjhigm7nolpXokaesa7+pRVi2leiRrMPNouaf1eTPNK -1DAicrCrRYOY5pVg/Y15MGCBRkzzSnD9HUyfZjSJaV4Jrk/buQHHtCQ0rwSf -36zo52U6EppXgv+eP9H/e1cJzSvBej1gkL2BgYTmlWB/MU9lPtZYQvNK1BAQ -aMnPNpHQvBLcn8ldUlePkNC8EjXs7LmrKsNaQvNK1ND+T7uW7bYSmleihs6+ -i+tOTJLQvBLsp04Ws9dmSGheCX7fMs+fpW4SmleiBqOG//q98pLQvBLMd2mL -f39cKKF5JdjfRnVRcv4SmleihrNNs178CJLQvBLMn/njH/8Ol9C8EswvPh9j -muMkNK9EDSbLw+7+SZbQvBK8nwfUX/+VJaF5JZgP/IKXflVIaF4JnudjHfIb -NktoXgl+3jYvUt7kSmheCfYD8fXNT/dIaF6JGnxF83rcPiyheSVqWNzaHM6d -ktC8EjXo9kv/u/uChOaV4PuvDngoBQnNK8F6+7nBLOKWhOaVqOFA/UB97wcS -mleC9wEzg3TbJxKaV6KGNbcrYw0rJTSvBPtBh3WNP2olNK9EDfPWdn5f9lFC -80qwH7q+0etIo4TmlWD9KR4wKeW7hOaVqOHhVtg9t1lC80ow/xSujTLRltK8 -Ery/dF5SqOkopXklWD8qowNBT0rzSvA+Vnk3Q9RbSvNK1HD1Pz8dt/5Smlei -hpvuMxq7D5XSvBI1NDDpNk9GSGleiRo+e/Z7tcFaSvNKsF9dYFjjaiuleSVY -38QptjqTpDSvRA1bU7w/X5kupXkleH499zaEu0ppXgme74xV9kM8pTSvRA28 -1tGqMl8pzSvBzzd8zc11flKaV4L//sE53nSVlOaVqKFuVKr/wzVSmleC/ZnW -s17h0VKaV4L5Jfh0ux6JUppXgvmtQ2/LU2lSmleihtwP7Ta6SKQ0r0QNz0Xr -hr9XSmleCd6v6xK5+C1SmleC521Nm49d8qQ0rwTzS4cuHfP2SmleiRp29Ng6 -1+yIlOaV4Hr57b557pSU5pVgvZxuMm/iBSnNK8F8JO7f4foVKc0rwe8TIn0+ -pVhK80rUsP/cMrheIqV5Jfh5c/Iv25dJaV4J1uPySY8KXkhpXoka+my15Ua+ -lpKH46A8N2PA7vdS8nAcHNI3mq/XICUPx0HpaX7bOl5KHo4DwyNtaj7+/Dev -hIOzp6cOdW+Rkofj4Euro4Fn28rIw3Hw2838cM/OMvJwHFysvlEb0V1GHo6D -pOBFuo96y8jDcfBoimaEaX8ZeTgORltG2yaYyMjDcdBK/NL6oZmMPBwHfUx7 -9OpnJSMPx8HVNd1fBYyTkYfjwM/9nuiUvYw8HAdLHEb0+DFVRh6Og9eThseP -d5aRh+Ng85tTRbEeMvJwHORMOP/47DwZeTgOLH+YXFItlJGH4+DAdHX0YH8Z -eTgODPg2LZ6BMvJwHDjOdPPICJWRh+MgbPTVwFPRMvJwHAwdOnXGywQZeTgO -epreqWtJlZGH46Dh8bgpg8Qy8nAcjPRMnT+JlZGH40ArUjl84WYZeTgOjLJc -j0Vtl5GH40CSeOCNZLeMPBwHW6szbuUekJGH4+BgcbnnkWMy8nAc6Kri5efP -yMjDccDv9Q+8UigjD8eBeUXcp+sgIw+Hsd6e9jeLZeTh8PmF3rl2rURGHo6D -KYWP9S89lpGH4+C70/7m089l5OE4WD1wZNz+ahl5OA4sXrmkZ7+VkYfj4BjU -G6V9kpGH42DggcYJwY0y8nC4f3rbv/P4JiMPx4GX3SXd0b9l5OE4uF7pWthd -S04ejgP3oJc1qnZy8nAcNGpNSS3uLCcPx8GLRcHbcrrLycNxkPZp/NDA3nLy -cBywpxWDbIzl5OE4OB85S9ZqiJw8HAeXN3svuTVcTh6OgxWmG7aLzOXk4Tjw -/1hpO32MnDwcB27TDOxa28nJw3Gwxcckp9BBTh6Og3XqOo/VjnLycBx0zpzg -189FTh6Og44z2t2+6yEnD8dBU68hieHz5OThOOiaFZVisFBOHg7Xt7ai9IKf -nDwcB58HWwR4BcjJw3EQpz1vqnq1nDwcB5caR/hlRsjJw3EwsZPySu84OXk4 -fP5jF3kdWCcnD8dBSMeooVbpcvJwuH7Vp8wKxXLycBwcvcb5TWDl5OE4qMrU -u3txk5w8HD6vi6r5Ntvk5OE4eHrcr+eJnXLycBzMW+PybdA+OXk4DpY+zPyx -4bCcPBw+z8Wq3i0n5OThOPi01tdr5Tk5eTgOrJmjR0uK5OTh8PnJinqPuCon -D8dBjO7SLaJiOXk4DnaPjTepvScnD4fnx6EGxpTKycNx0MVq9bLMp3LycBx0 -q2rqXP5STh6OA9Mqv0KjGjl5OMw/O9YtX/JeTh4O18vHVHf3Zzl5OFzvPmNP -VjfKycNxIL2QNqPXNzl5OA7avflYPrNJTh6Og4Ce9m5rW+Tk4fB57/ctOKCt -IA/Hwc5LfTqUdlCQh8Pz4+0+6auugjwcB0Pcni/Q11eQh8Pn4Z7pbdFHQR6O -g5l1oy1nGCvIw3HgHVH01newgjwcBzrjvgQHDVOQh+Ngo8eBu9EjFeThONh0 -8dL3RCsFeTjcLx5tuWQbBXk4DpiH884lTVCQh+MgoVTiED9JQR4O98uLSDbU -UUEejoOHzg15fs4K8nAcOEfeDnJ3V5CHw++TVsWP91SQh8P8ul7Lsv98BXk4 -Dvru6jy41WIFeTisJ7nlxVXLFOThsN4sGNHrXICCPBwHhXsbuolXK8jD4X4z -/XzaO1xBHg6fd0bTz8ExCvJwHKhdNa8/r1WQh+PgePvD/seSFeTh8PWGfE0L -ylCQh8Pz3fWArYlEQR4O68nQrRkvFQrycBwshtyl0o0K8nCYf4ZKSmy2KsjD -4ffLH3P1da6CPBwHPuGRE9J2K8jDcaAqM5o4aL+CPBwHa/+0gkuHFeThcL+Z -/Loy+4SCPByub3rF+HdnFOTh8Py6x4wIu6AgD4f5+NqBjb8uKcjDcbBK3yE4 -8ZqCPBzWN3HPM3+KFeThOAha2ewfc09BHg7zx5/761QPFeThOPDN82leVK4g -D8fB4OOx1SXPFeThOBixpcVodJWCPBwHux7fOLelRkEeDvfX220Hvr9TkIfj -YLnf/C/unxTk4fA8fH2euV+lIA+H9dD90+ofnII8HAdmU/x3TfmuIA/HQZuj -xkbSJgV5OPy8KV9ePvirIA+H61F6qKpTG5Y8HD6fq0P7TWvPkofjYO96u5y4 -Tix5OA7Kdl2ZdagrSx4O139lps2THix5OOyfElfNaTJgycNxELvdPs+oL0se -DvuJbVX64waw5OFwf0QZnnUbwpKHw/rp+ijWbxhLHg7rW2DZ4tARLHk4rC9j -fy+Ps2DJw3FQP32gKHE0Sx4O6/+ivjcSxrHk4TD/Lb/ZI2YCSx6Og7GRXHSw -A0sejoNhj9d+WjCVJQ+H78/bBcyYwZKHw3qc1FE9yoUlD8fBqdlXE7u5s+Th -8DzEj9VVzWHJw3Hwy84x7+Y8ljwc5sPud//b4suSh+PgzumtJ/0Xs+ThMJ92 -ZkeMXMaSh+NA6ZS5Xb2CJQ+H56ncu+l4IEsejoOVHrXTAkJY8nAcTD/SFN83 -nCUPh/moU3J2SRRLHg773Vcum6PiWPJw2A9VTIg0TGTJw2F+05hZFCWz5OFw -/4Z+L56bzpKHw/WvjLX6nMWSh+OgspMyPF7KkofD9RnaJ60ty5KH4yA8j1si -3cCSh8P6ZPNDp3M2Sx4O+712OrFZOSx5OA5mR7Y+0pzLkofDfpopzgvZxZKH -w34z0dz95R6WPBzm120DLjkcYMnDYb5bFPt692GWPBzWs/peBc3HWPJweH5v -VDFzT7Hk4ThouXk4et9ZljwcB8ss/Ly5ApY8HAf9Dr6rtbnIkofD/Gal3y3u -CkseDp/HhPLXZ6+x5OE46J+j7Vp/kyUPx8GaDOm8vndY8nAc9D7k/Gt6CUse -jgOX3sMtQh6y5OHw/Hnq/FI8ZsnD4fOb89D98BOWPBy+X9SS0Vefs+ThOOi+ -ae+u0pcseTi8H/xO3lBZzZKHw34xtKpdbQ1LHo4Dz1F5TTVvWfJwmB8bjgVW -1rHk4Ti4/0ozp/QTSx6Og3vnvM9AA0seDs97fnHGoUaWPBzeH7yH3JHzLHk4 -zCddFscEf2PJw3Ggn7BEOe0nSx6Og8QPPbr1+c2Sh8PzYrhcU9fMkofjoHjM -ru3zWinJw+HrLXJquKClJA+H9Q2GBBq0UZKHw3rufahHhLaSPBwHzZGuH0ra -KsnDccDtl74d2F5JHg7X68GLdlE6SvJwHIj1jrsVd1CSh8PzU592qXsnJXk4 -Dr4alzsv7KwkD8dBDej/2dtFSR6Ogx8W10s+6SrJw+H+MPEsNOumJA+H+XfP -9BuBekrycHj+Wul/3N9dSR4O+69Po4fW9FCSh8P8vNAkoVdPJXk4fJ4nlnx0 -6qUkD8dBW7nfqngDJXk47Bd/7G8+0FtJHo6DbKfDe8r6KMnD4f3G5pNPk6GS -PByuR2mNcf++SvJw2L+v0+Uc+inJw2F/3E79cLGxkjwc9leyNgVr+yvJw3GQ -v+H2gU0DlOTh8PP/Ktp5eKCSPBw+7zYLd1wepCQPx8Fjj5E7HwxWkofjQK/+ -yJ6XQ5Tk4TiItvE59M5ESR4On4/2+eP1Q5Xk4TB/pE053WiqJA/HwX7XtNPq -YUrycBw4rfhx7MtwJXk47B+sB+359J+SPBzmE3GmstZMSR4Oz/O+A5HPRijJ -w3FgZ9HB9c5IJXk4Dm47mBsVjFKSh8P8Mz/vZb65kjwcB9phlRKphZI8HAcb -6oL+C7NUkofDfoF9WjDHSkkeDs/XqTxLa2sleTjcn+1cs7uOVpKH40BzKfDd -R4wFD8fBs+gEQxijJA+H93vXTjYbxirJw+F+fLJznJ+Nkjwc1mP9G/3MxynJ -w2H/vf7vu58YCx4O++mZVTIYryQPx0FmYV2PNFsleTgO/j4/GDvFTkkejoOX -RscLW01Qkofj4Ft86tMijAUPx0FR0Ol7YROV5OE4mFF3b4uJvZI8HPYry33s -nmEseDgO1n+sP5PGKMnDceCh6dZqlIOSPBzWA+U846cYCx4O97+HW5e4SUry -cBz85y4q6TNZSR6OgyeWG+cVYCx4OMy3JlonPaYoycPhffz9svKPGAseDu9b -RbOuJkxVkofD+2eCR1SXaUrycLj/17fmtmEseDgODqu/Wpo4KsnD4f1q8OWx -RzEWPBwHH6erm82nK8nDYf3rMiTzJMaCh8P+7dKXByNnKMnDYb9s+aH8AMaC -h8N8+XZvtrGTkjwcBwWYUDZgLHg47F/KSl1az1SSh+NgW0voxDUYCx4O+38n -2/cvMBY8HMYWtyczzkrycBycfHJ6bj7GgofD/flja5/WLkrycHg+fvdULsJY -8HB4nupunS/AWPBw+HyWOcm6zFKSh8N6cM2u6xKMBQ+H96NfE+xPYCx4OKxX -hxoMfmMseDjsh43qt01xVZKHw/5iSkWxCGPBw3Egu+q95R7GgofDfrC2k24H -NyV5OHye+ZuHTcVY8HAcvDie834txoKH42DO8ktTTmIseDgO6p7mT6rFWPBw -HLy5yVfruivJw2G+PjLbYDzGgofjoOfFVQ2LMRY8HN6/Xr72TcNY8HCYv44u -9N+DseDhsP+RyrSvYix4ODw/yl52FRgLHg73g3J/u0aMBQ+H9XnAx+VaHkry -cHh/25g1rxvGgofj4Mxfy5dGGAseDuuX7eaGwRgLHo6Dju8Wy4dhLHg4DrQW -TLo4HGPBw+F9uORTpCnGgofD8zG4Y+FAjAUPh/ux39zM3hgLHg7Xc1J8ZSeM -BQ+H9/nX5gW/8fMKHo6DXIPhvT9iLHg4vO++NGwuxVjwcBzY1xX5n8dY8HCY -bx/CrK0YCx6Og8s/as5HYyx4OOxfdzzL88BY8HB4333koz0cY8HD4f0kZkjd -H3xegofDfDmPm/wAY8HDYX3qlNBnG8aCh8P6qZsSsAxjwcPhfjx7edRwjAUP -h/39GHVgPe4nwcPh3z/w3OgwxoKHw/2jNdphOcaCh8N8PvDWs74YCx4Oz+dt -t1eluH8FD8dBn9yNnikYCx6Og9qRnnajMBY8HN5vvnsrnuN5ETwcnr/5/rMS -MRY8HN5fDk2M6Y+x4OFwPw4+2vEKnkfBw+H6/Yhp54Ox4OGwH50SuEqN51nw -cNh/G86ySsdY8HAcGKfVL9bHWPBwHNjG6XG7MF8IHg7vw2rpu+EYCx6Og1tP -ho0/gflG8HDYP3YpUFlgLHg47KcS2mmdwHwleDish60/hg3HWPBwGK8byezC -fCd4OHy/0kMre2AseDjsb15afE7FfCl4OKxvaVuKv2B+FTwcB6/On/nphbHg -4fC+vWJB6kXMx4KHw/OqWLW0L8aCh+OgZPTZTXGYzwUPx0HnwF5G5Zj/BQ/H -wY1ZQZphGAsejgMLXbbvWqwXgofjwP/zos13sb4IHg6fl3XhAn2MBQ+H9wP3 -xDBfrEeCh+OgvEhalof1S/BweL7b3Vr3Cuub4OGwv3mlG2WIseDh8P06zjw7 -G+uj4OE4+B60wC4L66fg4Tho0h7R4QLWV8HD4fn5vrPve6y/gofD+0TKrkhd -jAUPh/fPzgbdRmP9Fjwc5uOBX1SeWN8FD4f5JUyvSyTWf8HDYX59ujpYgf2B -4OE4OObOddyH/YTg4bA+6Uk/FGC/IXg4rLdRZlq3sR8RPBwHY9rf9CrDfkXw -cBzMTZv+oQL7GcHDcTCg4tCpaux3BA/HwaQRNWersR8SPBzeP5/VNFZgvyR4 -OA7ertqztAz7KcHD4fM9NaDDbey3BA+H9+Vzs98XYD8meDg8j4Wjv+3Ffk3w -cBzIHW7YKLCfEzwc9kNd/xyJwH5P8HB4Xxv1yt0T+0HBw+Gff1hlYo39ouDh -cH8+3DWsC/aTgofjoNPFDJ+32H8KHg6fb1nfgnPYnwoeDu9XGUEO6di/Ch6O -g0Y29qsr9reCh+PgdItzWU/sfwUPh/nYqvHFCyMleTgO3r0Mbr8V+2fBw+Hr -/S1dMAf7a8HDcZDaNOxFR+y/BQ+H5yEjLfYK9uuCh8PXO6meEIL9vODhOHD7 -lDLEUF9JHo6Dn01Oo67jfUDwcNgfd1/oswLvC4KHw3p0uXRvO7xPCB6Ogz1f -Lnbdg/cNwcNh/9wyNscO7yOCh+Ng2klfh1K8vwgejoNzZtO0l3ZUkofjYKZO -t3cqvP8IHg7r+cyXr6LxfiR4OOwvl9/Q/Mb7k+DhsL45NAxMxPuV4OFw/42O -CWhqrSQPx8GibqJbEXg/EzwcByvHiKLLWljycHgfH7ZXeu4PSx4Ov3/o2XvK -Xyx5OLzPp3S28v/OkofD83S/9VVzDUseDvP7HXW4Bu+bgofD/lNt5XQC76OC -h8PnfX/YpGV4XxU8HPbPmtE+XfE+K3g4vN93vcyeecOSh+Og4c3wt26vWfJw -+LycPni8q2TJw3EwaJL/y9AXLHk4vB9+6r72G96/BQ+H+VQaYBWO93PBw+F+ -2Fb9t+4BSx6OA5uLqldz7rHk4bAfvmXw+MItljwcB0kPqst73mDJw2H97Ff8 -PhBY8nC4/tO82l64yJKH4+B1n36WzQUseTg8P+cPrrQ9y5KHw/tZpvTAmpMs -eTgOshx9G3OPsuThcH10Tk28fpAlD8dBhO3w9a/3suTh8D55YNnHr7tY8nAc -DEtsM7n1DpY8HObn2Sdy2+ew5OFwPYbr/dDezJKHw/UNf+bWpGTJw3EwoufJ -fR9kLHk4vP+FzP5xX8SSh8Pn4xs15XA6Sx4O9+f4J6KkZJY8HPZr9SNvuSSw -5OE4GFsztUkvliUPh/Wyx+vBjyJY8nAc5PW8NSl9DUseDvOH7u3ZlkEseTjM -n9dPez5bwZKHw/2XvdApwo8lD8fBuE07R+gsYsnD4X0xdO7fTT4seTg8f3Lf -y308WfJwmP9atgRku7Pk4Tg4tFn9t6MLSx4O+7Pnbkkx01nycHhf0d37sXIy -Sx4O+5uZr8ePs2fJw2H+8f8RJhvPkofD+0ObOvbFaJY8HPaT+Qc39LVgycNh -vtjoEOdtxpKH48C1/ZnJsqEseTisn106fCkYyJKHw35nu1t8ZV+WPByu16Yt -dd8NWPJwHATf+WbZsQdLHo6DXn9SfXvqsuThOBCpfP16d2DJw+H+8d49rYc2 -Sx4O//7vGO12LQrycHh/366Tp/6lIA+H9+uOyXrlXxXk4TgIMeu66Fijgjwc -1osfn1OTPivIw+F5SHFJcnqvIA+H+7k5dHanGgV5OFyP6zm/b75UkIfj4K5H -5/jopwrycLi+87s/6F+qIA+H/eLVX5qr9xTk4TiIz9P/4lOsIA+H9dClsOgz -KMjDYT/oP9knokhBHo4Dw3u6dzVnFeThsL8+lNIh+ISCPBwHiWmtDasOKcjD -4f1uRPOvKXsV5OEwnzyrPbgnT0EeDt9fz2To760K8nAcpD9lwmZuVJCHw34n -7YR4vVxBHg73u+pbSGmWgjwc3te8cwa0T1WQh8N+ImNI3pgEBXk4DgafGfrB -N1pBHg77vX3Wv+NCFeThMJ8cfFvBBirIw3FQkVOeusNfQR4O758f9n7LX6Qg -D4f38dxmy13eCvJw+H4LRTabZyvIw2H+i/naNtVFQR4Ov1/1t9wVjgrycNg/ -Wk37M9lBQR6OA/eSnYMNbBXk4ThYUnC7+1trBXk4DibfSLuLFwHycFhfknZO -9zNVkIfjoP20elnPgQrycBxU203IuWqoIA+H/c7i4OBl+grycHj/SJvf7m8X -BXk4rCe+n1co2yvIw+H5XaetMNRSkIfD5xucvTa3SU4eDvfb5g3mvb7KycPh -6y9QH8pSycnDYf03PvpZXScnD4f3vfG3OY8aOXk47E91Z8DhCjl5OA4MOtrO -+V0mJw+H98GDx45NuS8nD4fPL+HCw7RiOXk4rBeWUecvXpGTh+PgcHab5fUF -cvJwHPA7RS+7n5KTh8P6vHqUgdVhOXk47Lc9hhg57ZGTh8N6/Cyvbl6unDwc -3r+uPo9btFlOHg7vJ4a6Txco5OThsJ6Nyfw5O0tOHo6DbyfF7xyS5eThsL9L -WZkzNE5OHg7rhybCQDtcTh4O1/eMzuKKQDl5OA52PEkP3b9MTh4O81mZi0vQ -Ajl5OHxeufd4U085eTisn5tX+1fNkpOHw9ffeXi3yFFOHg73W98/h0cwcvJw -WM9+1SbfsZGTh+Ng6fHawQss5OTh8PPOzNz0YZicPBz2gxFLygMHysnDcdA7 -ol3t+z5y8nCY70frX/HuLicPx8Gc2WZBNzrKycPh/aCX5qNJGzl5OLyvp/Ue -l/xbRh4O86GPq0+ZRkYeDs9P31mz+jbIyMNh/jQq11/0TkYejoPz4XtPbqmS -kYfjoJuBdODdJzLycPg8n3sF8Pdl5OE46LmyOqNHsYw8HPYzi5riR1yWkYfj -wHM6O2PiORl5ONzfO9Iapx2TkYfD+0zFzVDHfTLycJivIh0f2O+QkYf7fz3W -ame+WUYejgPVg+9GBnIZeTgOoor+6/YjXUYeDutr0KG3DxNk5OE4cChK35IX -KSMPh/X8wJMRK4Jl5OE42LyoYK+Jv4w8HAfJjEeral8ZeTj8PG/uO8jmyMjD -4fk39l1p7SwjD8dBUDfrqLLJMvJwWP8HbQhaZSsjD4f5r9sl55+WMvJwWI9V -Tb2ShsvIw+F+Md/5sHmAjDwcBx2PtURE9paRh+Ng4LE4nbddZeThcP/Xhcqd -2svIw+Hzk7ppH/z7z8NxEOiUGfL32z8Px8HxebIHLqp/Hg73W8zrQRvf/fNw -WK9b2oQ+rvzn4XC9KtPPty//5+HwPrpN82PMvX8eDu/fYR/HLLj2z8Ph/Wdy -6/D4C/88HJ7/XXXHlCf+eTi8f1RNqs/b/8/D8bCt3W2zfTv+eTgeZn4zD9uz -6Z+H48HDdeLlHOk/D8cDSM/riVL/eTgevrYODA2J++fheHCrH1HpEiolD8fD -a//KOYNXSsnD8dA9ybuKWyglD8dDGKOIvjBXSh6OB++Dq4fEOEvJw/FwDr58 -GDlZSh6Oh9ubu92oGiclD8dDnXNFQZq5lDwcDzOMvO4MGiolD8dDme/274V9 -peTh8PNIbk516iElD8eD4eyvZ0s7SMnD4edXzHVybyUlD8fDdK9e2ne+S8jD -8ZCvFf7BRiUhD8fDBfMD33a+lZCH4yHh4R+LVi8l5OF48B94bbt3qYQ8HL7+ -Hadxh25JyMPxEHlLo8NfkpCH42G2eHA36zMS8nA87G2c6h58SEIejgdP95KS -HTsl5OF4WJdimXF7s4Q8HA8LXv9a90kqIQ/Hg8+c4xfbpErIw/HQzrdmYq9Y -CXk4Hky7DtcZGCIhD8fDpf+G9xviLyEPx0PH7uKk/vMl5OF4OFbx07KHu4Q8 -HA+HZlnYtEyTkIfjIe3nl/Vv7STk4fD7/Pdn6lVLCXk4Hmpbhs7ZbCohD8fD -/VWO4NdPQh6Oh53W42XDekjIw/HQ1LHuUp2OhDwcD0WZdnN3/BWTh+Nh0CgL -T5evYvJwPLRPvn5d80lMHo6Hv79+7tz4WkwejocN/d80mj0Vk4fD9U/ZdO7S -PTF5OB7O3xz1ddpVMXk4Hloffn789jkxeTgeqvSvfJx0REwejofK7n32nd0l -Jg/Hg/qN6Yf+2WLycDwc/GN5Ok0qJg/HQ4RBVoeaZDF5OPz7lknq0dFi8nA8 -2OTEB6YGicnD4fNR18bfWSImD4fff+xQk/ZeYvJwPHg9vhps7ywmD8fDAKW1 -Z4iDmDwc7ofzOm+yx4jJw/FwRau814X/xOTheHh4auSPx/3F5OF4mMReynyn -LyYPx8MSjdndxg5i8nA8LH9kcV3zV0Qejod3Z0RRao2IPBwP5i9+1b3/KCIP -x0PxwDmGT6pF5OHwvJgF97hYJiIPx4ORq8mzbbdF5OF4iNq3ckXEJRF5OB5G -GJrcmXpKRB6Oh+aV3i26+0Xk4XhYY9K2W9k2EXk4zF8lNn/lrIg8HA9dLrV9 -ODldRB6OhyBneZo6VkQeDj9f8bdBW1aLyMPxsD9mzcmxfiLycDxMPWdt8chL -RB6Oh5ba9P1LnEXk4Xh4E3PcoJ4RkYfjYXjXtqKQ0SLycDxcXV73+/MwEXk4 -XO8VhTFL+onIw/HYD39peagnIg/Hg/WQv1vHthORh+OhsCpo+pamLPJw+PrT -b3TlvmSRh+Phzu6c71PeZpGH48E9Q95K+TyLPBzmowZHq6clWeTheNhqF6Ps -cTWLPBwPejMq+jufzSIPx4P2Esv3aw9mkYfj4ZHHrPf7crPIw/GwJ0Lb5K4y -izwcD72P2Bx6n55FHg7zR+Tj6N+xWeTheDhSX7yh4+os8nC4Px93b91jaRZ5 -OB46bIK7+p5Z5OHw/HtXf+nqlEUejoefLZnR2hOzyMPxkGteu5y3yCIPx0M/ -H8PrFUOyyMNhProWqCzqnUUejod9Yv1nmzpnkYfjYcLZZVsDWmWRh8PXH3W8 -aszXTPJwWH8q5hz88yGTPBwPfrIrrS5XZpKHw38/yK8++lEmeTgeLiZeWv3f -jUzycDz8We8pf34+kzwcD99Wgdu6w5nk4XjQscguMs7LJA/Hw+YxyeUX1meS -h+NB1jRyl0tGJnk4zF+j5w99GZtJHg7z74u7QUuDM8nD8bDF2CX6zeJM8nD4 -72VFzgvnZJKH4+H0n5/cY8dM8nA89Nr3LcTBNpM8HO63/ofuHByZSR4O68ma -Xm07DcwkD8dDQ2fn/1bqZ5KHw/P5cZH95faZ5OF4yIuMnN7ldwZ5OFzPoxec -533JIA/Hw+5+yzy212aQh+PhxudziyqeZJCHw37gRXOc3p0M8nA8OLpvODTl -YgZ5OB6yg59q1hzPIA+H+/+P9eLs3Rnk4TCfS03485syyMPheQoeceZxVgZ5 -OB6eXnx6sC4+gzwcnr+gwopvqzPIw+H+nBHv0rIkgzwcD4lat9q3mZtBHo4H -e3PP7lrTM8jD8TB6QX34r/EZ5OF4mNVpnkXDiAzycDwkQZhHRf8M8nDYX7QZ -UH21ewZ5ONyvazxe5rfNIA/Hg+R0K/ekn+nk4Xg4nmM9zas+nTwcD8E9f181 -fZVOHg7r6+SIe5rSdPJwPDwIvRFQdCOdPBx+/v+M96w9n04eDvez3bVkm0Pp -5OF4+FTwTefL9nTycPh+2t2m7FSkk4fD/ql6zVjnlHTycHgefZM/cxHp5OF4 -0GopWLFxRTp5OMyPZ7aeNvdJJw/Hw4vnJ57eck4nD8fDK8fs59726eTheLBY -oIb3Funk4TAf/1mdvXpwOnk4HjTb7/mpe6aTh8PPO+3BiBCddPJwPJjsmfGn -rimNPBzGWkYV81Vp5OFw/3mPKrn7Oo08HA99vWJfWpelkYfDfmxhk27OzTTy -cDzc3Hgt9Nf5NPJwPAx7X9fe81AaeTjcT53YqiPb08jDYf7a9Pl7szyNPBzu -j09jFjsnp5GHw/7Ss7DfpvA08nA8fOxy1/aFfxp5OB5iRxdfMZiXRh6Oh4w3 -fU7PdkojD4fPeyTTT2yXRh6Oh9SRhToXR6aRh+Nho3bvxI/908jDYX1/9yNV -r3saeTh8Pj21Bo/VTiMPh/317w/Lvb6nkofDetF/9dzwj6nk4bDeWS/8IX6Z -Sh4O60Xv9fN23E8lD4fn5b0q8eiVVPJwWG8b/SMKTqaSh8P9U6JxuJKfSh4O -97/P3sarm1LJw2F+uyUTQWYqeTgeQjc/0i+KTSUPx8OqPdt3nAxMJQ+H/dKo -ESP2LEglD8dDz6o7d9e7ppKHw/W+XJyU4JBKHo4HK6+0ecusUsnD4f7dEzLf -cUgqeTjcP8ZaG0x6pZKHw39/+2p7LZ1U8nDYzw12KH7xK4U8HA/LnI2fHK1P -IQ+H/e+ndvaJ1Snk4XgYOPl055mPUsjD8SC2e+/U41oKeTgejBeHtbw4nUIe -Dv997Wib7XtTyMPxYLDP8LdPdgp5OB5UUww9e4pSyMPx4NBtnNuDuBTycNgf -GmVoUoJSyMPh60/s72K9MIU8HA+jelguq3VNIQ+H9agTP1nmkEIejoe4lfu/ -WVulkIfjoTOzM+PF4BTycJg/smyb43qmkIfDv9/77Yre7VPIw/FQcazbgzM/ -//0+HPbzjdNtXT7/+304PE8RhoW1lf9+H46H/jeHzo188O/34XhYu6x3L234 -9/tw+HwfHeiw/uS/34fjYVrWtvFG+f9+Hw7Xs8uNk/kb//0+HA9zv7SKN834 -9/twPIy57rDrUPS/34fDfscqYdTwgH+/D4f9659C8/0+/34fjoeX37qcGOD8 -7/fh8Pt0yynYOuHf78PxkLJIPFd31L/fh8N+u4fh+pT+/34fDvOfeGMs3+3f -78Nh/+Ho3Gtp63+/D8dD44/p9ds+JJGHw/uxgdHUNfeSyMPx8MGgoytzLIk8 -HA9y5fABnZRJ5OGwfssOPi4LTyIPh/dttjQu2zOJPBzW35y2Fl7jksjD8XDd -4lan7kZJ5OEwXyw5MuRe8zrycHgerwdsWFezjjwcD0PS7geb31hHHo6Ha8ug -pGrfOvJwuJ+uTb2dKVpHHo4HNjs+ZmTQOvJweP9JzflaOmsdeTjsr25/9Qqz -WEceDvOBZ9N53R7ryMNhfbxlNfLQt0TycHi/859U5vAikTwc5p9R7648LUok -D4f90HNNp4AdieThcH/eWVb2IymRPByen6GTzTL8EsnD8XCvtMC827RE8nA8 -TFmu82uraSJ5OLwv2m/Y1b9jInk4/H5xD5m9DQnk4TDfNLqrhz5KIA+H/ar9 -nZIDpxLIw2H+K26uH7opgTwc9gd7ngbujU4gD4f9eJGr3wCfBPJw2P8eS23Y -ZpdAHo6H9Op9g/WNE8jD4X00pP0gWasE8nA8nLXXa93m7VrycPj5Gqa/ii1e -Sx4O3//BzMeqA2vJw+F+4cu/LZWsJQ/Hg9nACyueBq8lD4fPq6zOfobbWvJw -PLhZBOYUWa4lD4fvr7dy/Qj9teThsB/82ndy3vd48nD4fVrV3epaEU8eDr/v -77F2KRfjycPxsGvGziJ+Rzx5OB5c39xZ4p8cTx4O66dnrNMzv3jycDw8uyRT -zpgWTx4O6/OFFo+LpvHk4fC+HPD+0KiO8eTh8PkHBp/Nb4gjD4f9+t1qucGj -OPJwPIzX2jFbfiqOPBy+3hfT/tqb4sjD8aC426tbQnQceTgePvfvO/mbdxx5 -OB7+u6NdtsYujjwc9k8vC6rq+8WRh+OhfP/YpFWt4sjD8XDgcO6zj29iycPh -/s3s3yqwOJY8HK73V90+qgOx5OGw3hU9sQ+XxJKHw9fb9VXxKziWPBwPi5d3 -Nk1ziyUPh/XjRPmQrlax5OF48NTqu3+Hfix5OLzvHi+9M+pHDHk47P/vfD98 -vSKGPBz2k72PBfpciiEPx0OfdiOGavJiyMNh/tlQ/JtNiSEPx8OKKe87WPjH -kIfj4Uv3bmvKHWPIw/Fgs/SdU/zwGPJw+Pne9Ckc0jmGPBwP7WQplWVfosnD -Yf7IaFua/jiaPBwPlvqHztmejSYPh6+XJTryNTuaPBzGQytKT8dFk4fjoVvf -T1OjFkSTh8P19OtvOoGJJg/Hg8+x39ntB0WTh8P9PLfX2efa0eThsD5PHJB3 -9EMUeTgeaorfRGbeiyIPh5//COO9/FgUeTi8b/AWAU7KKPJw+HnL7ty0iogi -D4f5crOlYqBXFHk4XL9MzJvjo8jD4X3/cfbHrn2jyMPxMD94cVHXlkjycDwc -/aGM7/kmkjwcvt/++04DiyPJw/FwZUDJDOuDkeTheChdtmCrszSSPBwPCw+s -9AkMiSQPx0PIxPqjrEckeTjMJxF/zlwcHUkeDvNV+G32i0EkeTjsd/qzS4f9 -jiAPx8PkH0dnrnoVQR4Ov49aGXzqWgR5OMyX8659bLUvgjwc9ocHX9bME0WQ -h8PnE8pGnw+KIA+H533wwZK+bhHk4bDe/m7dWmoVQR6Oh1aPNtpp9YogD4f9 -ccOybUm/wsnD4f2scb1Du+pw8nA81Od6uG++Gk4eDp/Hufr6EXvDycPh/eH+ -5TEPssLJw/HADbB1jw4KJw/HgzLow8JhbuHk4XiYV7Ak5a1VOHk4vJ9beVYf -6BVOHg77zZasTVFNYeTheAjXKb3r8iqMPBz2Hw8Mdo28HkYeDvsh64W2vfeH -kYfjYabXqYudJWHk4bDeu4+b3ikkjDwc1m/d4a30Z4eRh8Pvq/+gg+nYMPJw -2L/PSs6aahhGHg7re/nJ3OC/oeThML9deBW8800oeTgeSh5uN6q+FUoejge9 -MOl9kyOh5OFwvzn67YljQ8nD4X2nQ92NFxGh5OHwvLmoPKZ4h5KH4+HkuMVh -hRNCycPx8MjL2tFuYCh5OOw3ncO+3mkbSh4O84P5UofiJ2vIw+H6nreo7Ja3 -hjwcrr/hFa2olWvIw/EQv3bTH85iDXk4zIc7FuiLmkLIw/HwfHHVepubIeTh -8N+bf7/cShFCHg7XN/Zg7dt5IeThsD517+JYOzCEPBwPe096j/5Vv5o8HK6/ -48tvZudXk4fD+hPZVB6XvJo8HH7fj7a9385cTR4O99eNMZ0Deq4mD4f5/Hvu -L52aYPJwPBzeN/6/W4eDycPh+ibUf9gZFUwejodvnQ4mZDsEk4fD/rFvvNXh -TsHk4bAfbZsyp/JZEHk4vN//bBz+X34QeTge3s3p0mrL6iDycDz4qSwHDBof -RB4O85/3nScl2kHk4fA+PcolYFNpIHk4PM9uTiYJuYHk4fD8DfH1SgsIJA+H -/XKlmePh0YHk4bD/z49guFaB5OGwHr/6IfN+sIo8HA9Ll0jianJWkYfD87VJ -x128YhV5OPw85+b7zrFeRR4O8wEfXmffahV5OB6m57hMcHkQQB6Oh1729QfW -bgsgD8eDS4VP5IOVAeTheHD+eKhh0pgA8nA8LBfxvhWtA8jD4X5TuHXZVLqS -PBwPP3bUOIfnrSQPh/fTMXf8IoNXkofjYdD1/3Zss11JHg7r0/6Rju91VpKH -w/ox6fvBuc9XkIfjQfP1YLdP+1aQh8P7y6CAO/mRK8jD4Xk3nWOUOmUFeTj8 -Pj4Zc7O6ryAPh/3oV304XbucPBwPt/W679c+tZw8HO6HjRlzE5OXk4fD/dsl -tGc/9+Xk4bD/PnzH+l3/5eThePjaOaGprNGfPBzmI4ugs5/AnzwcD22ZwKPD -lP7k4fD7BzAjlUv8ycPxYHt2d8oAS3/ycFhf7tt8rdDyJw+H+e9e9qNLZcvI -w/EQ+d1h0d09y8jD4f1IvvdzS+Qy8nA8ZMYawhLHZeTheChu/96kwWAZeTg8 -P3tGrcr/5Ecejoex8TrlaRf9yMNhfh256phS7kceDutBpNnku4v9yMNhP3Op -c+VIKz/ycDwwt49cu6LtRx4O71cOWUzC86Xk4bAfdP+zY8XhpeTheCgbOm3o -usSl5OGwHoliBt5wX0oejodOs9JejBmylDwcD7q1Fpue/VhCHg7vf5f679hX -soQ8HA+zKm7a7dm5hDwc9tPGqy48ilhCHg7re4mpr9mMJeTheCioagg633cJ -eTi8z5XW+b95s5g8HA9PGrMaCmSLycNh/T+3vFk1djF5OFzvz5dMMmoXkYfj -YUdnX02ibBF5OPy+L7ZpXtgsIg+H8aUlZ7LfLiQPh/vbyQAK2IXk4Xh4rzyS -P2HCQvJwWL/Xij+ZfFpAHg6fp+5W48jsBeThsJ4cGnPfdOoC8nA8VI0ZnTCJ -9yUPx8MFx6cF13b5kofD82F5q/0hN1/ycDz01e/79cff+eTheFhZ5qZ94vh8 -8nB4n2ptCw8XzScPx8PDB7pH5nWdTx4O6+NNn1kzr/qQh8N6l//rvwNhPuTh -8D6k3fdOyGAf8nA8bOo5/uDup97k4XjIGdLV1UHkTR4O85V1np2znTd5OOxv -ogbz17/MIw/Hw5siff5A/jzycDycKDO62OQ1jzwcDxmVevcvdppHHg7Pd3pT -fv1VL/JwmK8ntFySRHuRh+Nh9/zA7JwRXuTh8M9N8w/3futJHo4Hrw+DI3W2 -eZKH42FR8brgVR6e5OEw3xe6dp7QwZM8HP59L5uS5GtzycPxECF51X9c/Fzy -cDxUz/qQ5Gc9lzwcD3+YhsWtVHPIw+F6W8dbdz8whzwc9rsHO67eunQOeTh8 -vtZ/Ezf2nUMeDuvJi+xnbV7MJg/3//8fy7//tHE2eTh8ve7aho7us8nD8RD7 -gH3du8ts8nA89Ow1ON/vngd5OB4sFF3vDxZ5kIfjYdtV/1sLHD3Iw/HgzX/6 -2aWtB3k4HrrXGNyzuelOHo4H35Xdd75McycPh/vDKL/y+xR38nA8ON0a+Uam -7U4ejodfe1ea2krdyMNhPnXM2umm60YeDtf3gOrj/A2u5OH+f997cmmBgSt5 -OFy/bWsee+6YRR6OB3WbqgrHIbPIw/EQd1g/0+KoC3k4zO873qT2GONCHg7r -WcnDOPUVZ/JwPNxy7KF3x8mZPBzmo2Wy+m1PZ5KH4+Fp7qGDq/xmkofjIcZj -0bvRaifycDwMntndpnmdE3k4Hj7qbRhzQ9eJPBwPq41aQrN2ziAPh/XlDETP -tJxBHo6Hc9dDSjvfnE4eDuuFV0mbR/Omk4fD+2DTgQ3rGxzJw+F+rKpompvq -SB4On9cF0/N9+jiSh+Ohlh1nW3NyGnk4vP8PS/150GkaeTjsV+fZLIp8O5U8 -HOYH3bFPJq+bSh4O709jvl3RN5xKHo6HvBnh6z6fn0IeDvNxoXHIzblTyMPx -0Kb3g3f5msnk4XhY0DpvdObGyeTheOj6uOFwyOjJ5OGwP+w8Qbng2STycJg/ -dw0c5h43iTwc9ptPrU459ZtEHg77C221v9N1B/JwWD+7noxxC3AgD4f7Zau0 -94KuDuThsL99z755FcKQh8P6djzNpcc1e/JwPLTOP3HUs6c9eTjsZ0sPjtkf -NJE8HNZb3ZO6bW9OIA+H923zjDnhxhPIw+H9/eJ4/S/xduTheLjbtY1nbIUt -eTg8D/WddPVsbcnDYb0Nn2Z2IXc8eTjszzfZyFZrjScPh/uxtrSNZcA48nC4 -n26O8W792IY8HN6HB7OTa+1syMNhP7TqRciDg2PJw/Hwuu7o2lsGY8nDYT+5 -S7+pRDSGPBz222Huq6t/jyYPh99/w76ZTaGjycPh+oYN+zXwozV5OOzfvmQ+ -nrPUmjwcD9/fP+7HVlmRh8Pn2c2xT7mPFXk4zB+2+mrjCkvycDwElwyDsPmW -5OF4aN7Ob7xXbUEejodCo+HBw5ZZkIfD/p8dHKT/1Jw8HA+yd+Lt1R9GkYfD -+0XB2zaH/44kD4f3J9+p/WL6jCQPh+fh0i/7yeNGkIfjIc1FL0/H14w8HH7+ -lCqX2yn/kYfj4fevKNu1R4eTh+MhcK6T1cDKYeTh8PtH5Fee6zKMPByeh3Zu -hWOmmpKH42HdsdXDd6wbSh4O67dRquPHSybk4bA+Dz86q0fLEPJw2E9sv9TT -cNoQ8nBYLyPeL/mpGEweDuv7Ore7h6oGkYfD8zleenX4qEHk4fB5ZbqyEWkD -ycPxsEtiNye1agB5ODxPYS+1PMYPIA/HwzX9kAdnrfuTh8M/b/VgpNWffuTh -8PNtTzLser8veTi83xYsMHDYY0Qejod8WeacwhRD8nC4HgNG5oes7EMeDl/P -0DZuztze5OHweW9sq54/w4A8HA9nvs//HjOlF3k4Huyub12aO60neTgeFKc+ -RhS56pOHw3z56VzRjUU9yMNhPeDtFx+K6k4eDvPv2oNDFmzUIw+H7z92zor7 -Bd3Iw+H5/7ZtxJPiruTheNBvsyvGabgueTgNJD1Z+/ZZTmfycBqw3Dfjno9B -J/JwGjDot+bA8d0dyMNpwKIuR//UOB3ycBoYbrX7ll1VO/JwGpgavloyTNaW -PJwGam+WTnKfoU0eTgNvr3rVSLq1IQ+ngUrfjgEn3mmRh9OA+1nLuo03W5GH -04D+wJbXhkta7AUPpwG325H2NbOa7QUPp4FeWaf6Gc/+bS94OA3sbzQ5ERv8 -y17wcBrYHl/ee0PuD3vBw2mgpWRKSMc33+wFD6eBeaJVh5ImfLUXPJwGfq8O -3CM/wtsLHk4Dactnd3k4irMXPJwGqmIXPT4pbbQXPJwGlscNb5Ve02AveDgN -tN6vB3a+n+0FD6eB5k/Hc341fbAXPJwGOqu3J7288t5e8HAaqO528ez3fW/t -BQ+ngfLaGdeWnqi1FzycBo5mxNyYe/a1veDhNLBi0+uGszOr7AUPh+/3akKd -qn+FveDhNLDk8OTcFstn9oKH08BHx5ZXr9eV2wseTgNdD0iYeO3H9oKH08C+ -z+zLxSkP7AUPp4GtkeEmJ/zu2gseTgOfWt9dFn+w2F7wcBrIy93UaqrrdXvB -w2ngoOREBy7vir3g4TRg+vXM9irXQnvBw+HnGaZJv5d/1l7wcBpIjtvdtcv6 -k/aCh9PA+sJlT5T9D9sLHk4DI4b+MHo3fK+94OE0kH71xCYHnzx7wcNpYLxh -zxtvTbLtBQ+nAevFaUnrjVl7wcNpIKyfoVf6k3R7wcNp4LpN4KRQs3h7wcNp -oEh8W++pcYi94OE0YDJRet5l6yJ7wcNpQOde6ZJjXZ3sH9z//39foRX9ty3n -//8VMv9isUxr4PfWKjhekP8lyL0H8y+20fpT9/846H3vF7fbqODNuSO9Vi00 -YGbZW7A52irY8S7a+PZ9I8Z8y3THoLYq+PZ59hpbtwGMnnpR84R2Kqi6OzPH -4tMgRjMj+qxuexXcuOYbO/GsCfN0tzyoBuO5yTOivE8OYwqa9g46raOChWsX -fo+tNmO2zblUkdZBBcZXQhdn25kzCUfLlZ4dVeBZqdaZu9ySWdS2frppJxWc -TnE5P3uaNeOwqHXLT4yZAdp11yeOYQYV9Dl/r7MKnn7Wn7bL1YbR7ma5OreL -Ct4udUiqixnP1AXMGBKiqwKv8Z2Z9YV2zJ1riyuZrirIYK6PzO1hzxw2jNmg -100FfQZdiNOa4sDIIhRObzG+2RKxfYloErPm/r5W5/RUkJPw2vVTxWTGw+Ry -QWZ3FRTYmK7IsZnKWK97EuLdQwWGOytOh+yexvR8Xm/yn74Kxryta7vSYDrz -w7xN9W+M5Z93mSfnzGAqRIabHvRUwfxpF1sKTGcyF2stnXf2UoHFQOdpna87 -MztsnVqHGajgxITbD+MDZjFJG5cUTu6tAvPK9oFaRm7M0oaYUP0++P0XDOYf -BrszU6expnUYO6/r2tZ0tgczNG//qwJDFZzdcqs8a+JsRufH5c1iIxW4Zv+Y -oh41h/ns9tTFty+uZ6fWdouHzmXuH2xoM7KfCoaYft9RPtiTOa6lffEvxmkn -Nnm4mHoxyvlG4aXG+H2aXW3vWMxjws9YDc/vj+v11XGCo4M3M7fzzJqIASqI -b3a1K/b0YcYuX7pl2kAVtG/92XBq2Hymz5VYV4NBKni0zPnKtQ2+zO9eyraf -MLb56aUzsWgBU73mwKWiwSpo1Xp35dkPCxm4cyVCNkQFk81CDIcZLWZ2D3z2 -3yITFVwCn/KZ/y1h0uJVteZDVQBWnSz/3l3CLC/XztEyVUGZy/fsY8FLmekj -+rqXY1zZIy/Ku7sfMzzDuv2+Yfi8Ty+c+LfIj+n0auaV6OEqcIkKWJS3Yhnz -Zaxf1Iz/8PvevjtjXE9/ppSNG2FopoIVFsuDS275M6c/Kt/WYzzA/fu4eWuX -M5smHdx2eYQKaqq6GVdarWCit4EHO1IF73cOkHqpVjDemmc6S0epYFP4qsY7 -h1cyti5fwMocX9+ufZFlUADTd1/bGG0L/PN6lY9y1Crmb3PfUc8w7rT208R3 -X1cxNV6j3x+wVEFe3Y4nI64EMjdOOOfGWakge0SWbJUkiNmns2yOszWeT6df -5Tk+wUzW0viO/UarYKzNgD6XzVYzq4rWX/uCsWldy92yViGMc49DsVfHqGCG -feTcly9CmJHBV803jMX9Ymg5tPzMGqZr8fO6ZTYqUA5a6vVqRijD9WvcMWYc -7teLxf85F4Yy5dHtPNuPV0HW8He3zM3CmHOP+nWuwBgsHWuleWHM1mFjbhy2 -VcEr6VKLxT3CmfgUl/gEOxVIarYEHhKHMwteLrN0naCCH7fHOgZqRTD21ms/ -9p+ogvQ7MXG74yOYAbINOzmMZ3omF7l+i2Bavz/kdcNeBefuL4WwsEjm3cRr -XTYzKsDm0L5jYyRzK/vFzRUOKliUoWwwCIliDjY2rh03SQWhXXOTt32JYiQz -2lt3nKyClu7NJ9aHRjOrdxt/rsS4U/gSi2ZNNOPWNGb3sSkqSOQWFVTFxjCW -c2Z5J01VwW2bxCbzlhimx1H/rh7TVLCuxOzE98xY5pt2wq1Bjir4rXdbYtEt -jnm+cGPiV4w1s7ZMrt4WxxSePzz61nTMX3ml0j9D45ntXa/Xb5mB+/33BiPF -2XgmMaAif5WTCraO/7x//ZS1zOJrah+7mSr4rPXwTbsna5lJhjp6XZxVcOHp -wHTV8gRmSET/O68w7t3pHDPtVwLT7v7YpJMuKvhYMvleF0Ui83GI69jUWSoI -0Q9/4ThkHXMvcblqjqsKWp9+aqy+uI45+ixhr4mbCk5OavDo4JnEKMw3+f7A -+PWoAUM3NCYxoaIj3e+6q+DPi7emxdOSmdm11+9u81BB5soN12I3JTOjbV8m -B89WgcNhq6K3b5OZXhs5G/s5eJ6CH9e3HZ3C/KrXaew6VwUvFoVZvE5PYSqn -Dthfi3Ft905+a56lMJd32Cw844nr8Vc2//ywVGbnd1f9DC/cDzGVmjNrU5kU -txUlXvNw/xWUtg94lMosO5iYOsxbBW2fTQgtG5zGTNPaPL4JY5v779tpYtMY -0/lH1SU+KmDDsvbdfZjGdDhz48CO+ZgfEspNvEzSmfpOlYvW+KpgWXLY0q0J -6cxDf77npAX4541/LZVP0pmTlzs86L5QBRd7dQ2bODKD2dBrYPo7jLcPMPu5 -MzODiVwzzu78IhV80SvbVVCTwXjdceOzFqtg+b3Yqel2mcy4gSsP+SxRwb42 -kkv/nx9iGL9uidlSFRx1X/HGTpPJ/CnbbNCM8dB+/uxQ9yzmldmxhw/9VHD/ -6KPc4mNZzNX0mxm7lmH+Mqx/27uziNlTXTkh3F8F1d5fJg4IEjEZYzWaKctV -MNq8fXrlPRGzku14pOcKFTja+bAzzcSM08eBfh8wjmhsHrdaJmbMJo3vU7hS -BfM2aM+f8kXMdNnmXioJwPe3Dy+57y5hGvmVWQtWqaBjzaQVHc5KmMfOSfaj -AvH82vi3+WUgZc7szf7WgvHTp7B2e4KU2dx87OjjIBVM7Wd5UF0rZWK9ipft -CcZ65yBZ+nO6jJl/osowarUKwidvTD59XMZM0Pn62DFEBXu/dnhl3EvOGC/t -JO69RgX5P3bPnrhOzrQqGuTwGeNnhdoFXT7ImTfdbX9cDFVBl5jHb1l3BXMz -yOO4PEwF5TY7Tt0oUjD7bwYsXxyO+6mU/3nQhGVE/ZL7WkZgvX4zewOznmUC -o7eUt45UQdhza6+sZpaZ9ei45AnGj/hkXYcxSsZ82K1J+6NUoJ3JvtYPVjJ6 -KdU/Y6JVECMO6zh5j5LRVHw94RSjAlnxrLW3XyqZp1adVxrFYj6tm2a8r/t6 -pkA62FiFMZsQ/frlzPVMzjvbp1fiVHC36fvhgLT1zNqJs2XKeBXsL6gIdr20 -nlmYvWqK31pcrwYzPdm39QzTmNxknaCC8We/pQ0038AMmrH1VNtEFUzqYntK -d9UGRnv3iYDnGH9/9j19zp4NTN2vW/0PrcP8VzakvrF6A3Nn9qtn8UnYD+UX -PHrbeyNz+Mg3uUuyChru7jA2n7uRkWl3mWacogK3Y1dvPGY3MiELh/xpxLj9 -eu0jJSUbGffzdmeupargTM6Mq311NjFWXecEbkxTwfWSFY03p25i9AMCBy5P -x3rQafSQmymbmB9XU16MzVCBgVHyTEPYxFT0yWF1MlVwfkdP19t/NjEXw086 -vsT4aY8iwxLbzcyOktvNR7LweVeNzDWJ28wkDXl9NlGkgqAxI648K9jMLE38 -HuQmxu87Pij41ffNzJRnXQYPlKiguGb7hgljsxkTc5OXPMaPtQL7clHZTHvR -hPU3pXi+3RK+/T6XzXyqmTMjW6aCKO/IDgu/ZzP3xwe1rJRjPhd/maJvs4U5 -viH1/HiFCkb8l6ocGLuFUdbnrO7EqiB10bWX6YVbmPCpp4ZUY1xlMl7X/vcW -Zu6OO5XHlbh+88N6zZq4lRn7/fWG5PUqWHWm4dWppK1Mb7cfTrM34PrAsDnB -17cyTQd0tYZsxH//eceihLY5TFWroRe+YfwgDhpqZ+QwV3wmrrm9SQXrpb8/ -5spymN2n5w7N2ayCkt4X7Y+V5jBpnYKrA7NVEKdZWtG95zZmuX/apglbsP+6 -5br3gc82Zvrlbc66W1WwslelpDpvGzO81+nWNRh3ZnxjJ7/bxnRac7fwVI4K -AqKMvf8M386obteEpm3D/XUrpGen0O3MowE/TT23q8Cs1/rtIee3M6fiur4e -movPc2Dx06HN25mNZUOzf2IcFz/thPXUXCbazH7WvR3YX8qm99gszWW80z21 -c/P+3w/+bphZnsvYVgdfXL0T+4czMlNPox1M37Hp4cwuFQQ+HHD8zLIdzF/F -9uF6uzFfHn4RtOLoDqbmw+maNxh/qX3kvPrbDua6w70tZ/NVkPSm7/g79nnM -3pxa18w9WF/VpcYxojwmk//Z1nuvCvQH//wQV5bHBDh3uzx8H9a3gJyk0r47 -Gee9ppG/MY5IPV8av3InM7LZ3uzBfuwf2rrcij29k+nq5fUm7wDWtwUezvea -dzLc8dU5oQcxHy1dsK9gyC6mvH2G++RD2M/sK3h+ZuYu5tyS3Pb6h1WgEzTq -dEXoLmZL4Zkr7zFuq2v13/Atu5i47iVRBUdUUDTbckz+5V2Mb9CbEeKjmD9W -bL0z9d0uZuLNX2/nH1PBr5rSim6ddjP9++ltH3FcBS+vTlrUzmo30zp62Oy/ -GL8JcXM28dnNvHvIdCg9gf36Jd/s1cm7mVum867uPon58U3x6NcHdjMHk0Ni -Ik7h/k/7bBT/aDcjqcgYNe20CrYdHjzJ/sduJthqx/teZ7Dfunsnx6x/PuMq -PZv7EWPfi6OMJk/PZyzelcwpOquCPdcPFKWsyWe6T3zbUXZOBR/Eaas/b8ln -vm5uurbwPD7fwV2HJ1zNZ55/0YszL1DBPZ3Nb8Z+ymcKpw+30LqggmSjhaxh -9z3M9l0OH8owNt57dIip3R4m8de8vL2FWO9n39qywH8Ps3j2Gs/o/7F03mE5 -vl8Aj2xZiSRJZJSVvrK5NaxsMrPKXonslRmyRTaRUKSlnU477b33eKt3PCub -8Du/y/nzXOrtee5xhuvzXp8I3O+pioqIq57M/I2LxuxIDmLqlqnMQz3ZoDZP -4nWisB8ysgqTqj1Z27XBR5UYe67mxqV2esEag9PHfPjw//7wwtq0sS9YStf6 -pmvRHHTzszL4su4Fe7v119MNgP3kjuWHZl16wa7FaK4wjcF6aq6wiQt6wRx1 -jLu2ieVg5KnhfpsrX7Al+8wTCzDuVWxxbExHLzY2beXxV3FYD+2Wvxs81ov1 -NnT870g8Pv/9S1Mt1nux78cvKKwTMH9u+q13wdWLlRY8edYvEfvNTtlWn4O9 -WNSokJU8xh2m6L26VOPFnlzI6BaThPNv5z8TZ3V5yU5X1yfdTOZg1aeLzSMm -vmQbJ7ac2PgR63tOTuKkzS/ZjFs9zcxSOFivkL92vPmSDVUaq9qlcjCsoepG -5oeXrKOVhWcxxo9zYZ+N4iVTPlq12icN8+tAN8vW2q9YxhfHHsfTsf97s+F7 -keUr5rfg4sf5GTjf+YxwKXB8xW6+euqsn4mfD99rfj5+xZzUQsdJGLfi49Vm -p71iy1dlcnFZ+PeeuhZFfX/FJgTKXtzO5uDy05m2q4a8Zn07/7bdkoPzh75w -Ss/mNWvZqNVzQi7ep/eHp3Y885pVRg1P7ZjHgbC84Hw//9csppfl6TKMZ9p/ -XrCi8jV77rB6gm8+rod73NVwDW92LnmvcLKAg/3axuMtJ3uzLQMuvVxUiPPj -Ts3pn7d7s9lHPNYOLOJg2vENj9PvebPhuaFanzE+dverZXqyN+syPCstsRjr -9+73wz599WbC2YYzd0twfupxaLr5EB+WU/574vZSDjR/9DkVssyHBZn1kiaV -cfDfn73lS877sDvXRrzWKMfPX7PCunewDzvUaLm+EuOLAQ+j1WQ+bNV0297+ -Fdg//+k6SrPXGzb5/r6M05VYn1+dvGo94w3Ta750bmkVPu/e4BzvA2+Y2txn -kwdX436ePSaMfvmG1XqGNX/F2Hube1l54RuW0JLl/bEG51uNFFf/9m/Zy2WN -Gx7U4rwdlSf5THjLLr77o72rDvvVYfvbpm9/y3a07501tZ6D33abI3o+fMvm -bRjp0k2G80j5+rZn0t+yUeFWU2sw7rVLp6z3n7esu+aaz4EN2E/PmmGSO9qX -Ne9wenOuEc9r29s/gux8WUG8q/3yJg7GPA0aHuXmy0L7PdcZJsf+3WFhpCLR -l90/EJ79A+OmUd0fs+++7Fhm9oU0Bb7/r4eJEcbv2NqhTdMeK/Hnl7gbrVn7 -jrFTf784qDjo2MPjw6Ab75hBSW/f6Rzm6wMrDvaIf8fUTUdt0uRx//VXzh78 -9R2Tuc7Qrcd4QNfJw9Yb+bHkujW5wQIHc567d4I1fsxnyv5LF0QONjz6U2d1 -w49duXN5+iqJg6V6bbzFeD/mwD//ZtyM9eql2YK4b35s0ayIdy0YT7nSrb68 -lz8z9cjZnPmJg2elL84rR/szrR9N/Tw+c/C5a/92Xaz92dfFavl7v3Dw0ejD -evNN/qzYR/uy5Vfsz8rirlw86c8i1Edb9PrGQeA5hwu19/zZozUzfzRgbG72 -Z87CIH/mHLzWP+w71kffd5mZGf7MruuBra4/8P6tiexm1+TPLLde6b/mJ95v -u3Xt26kHsMExngUjf3EgXs72+6AXwNrrRF75i7HT6jnqFycEMPneXMucFg66 -/vrza9PSAJaWKv/5/Dfeh7lDbts4BDDfQa0C9//B/qi4OXH5pQB2/Xif7TP/ -clBU5X5554sAtrdg9IA+ajxcmGdZdQsCmM2oWUVyjJdFDI1MLw1g4y6suxbZ -iod2+/fp6HwNYH2qD8y42poHH6dFnw/1CGQ/J1xtWafOg7s9N0M+IpCV33wR -ZNKGhxX3nTrsnh3IohWRO1q3xZ/X1xinvimQeVjmGeRjvPdt5cc3zoHszCNF -sVc7Ht549Yjc8jCQbfrS6sah9jzsHJDabmxoIJu5QGfWnA48ZFYPf9kzL5AZ -vTL507cjD8KqVW5thUDWWW12sArjel+njx07BzFu5fpd0Z148PN9wAYMDWJZ -AQcH3ejMw4QSVfNsyyAW0OlaqZ0GDxbrL5SfXR/E3DZ63fyvCw9b9Fy+Zh8L -Ygeioma37Yrv66E+2eReEFvRK/9vIcZWA3VePHsfxCY6KENed+Ph99XK4YNz -gphucmuHo915iD62Iz2CC2K/9fsOnteDhwjTXGf7Tu9Z9eEx5XqaPCS9Npre -b+h7Fpcz203AeO6Zs+2bLN+zF8YbrGN78jBdU8pK3PCeuZw91MpNi4fBq8+4 -BZ94z7aVXwvb1IuHzx1nzgt98J5Zm73cM643D/1PzfmcGvqejbj2YUgHbR5O -pdw7L+a/Z10b8ytKMF7/bNrPoc3vmchUt9/04WH1Xqt5e7sFs9x76vNO6PCw -eXHggfQRwSxY6qu+sC8PCe2vO060DmZ3rU0jBujy8KdD9biwrcHsiOecvc0Y -lxzyjpt9PpjZtmwYltCPh0WD+S7K58Fs6rLDVXf0eLhyw0/zaUww03933X1r -fx6qGrjUTZXBrFX7V/Mn6vMQqv7aZEpLMKtbH92m8wAeHjuWTR3cN4QlhhVE -lmM8bPM5lf6EEPaqB7fvnQEPP5MejxuxPIS57mhjfGogDyFGBr2t94ewXfG6 -NYsH4b9ntjt/5FYIW9Dvv3uDDPG8vZxxIsI/hJkcsF74BeMF8/O+a2SFMM1M -u3bJg3F9u72QHLkQ9nnIkQ/3hvDgJPOxa+gcygqdb+zfMZSHVodKLHYbh7Kw -4lfDpwzjobDPgOtt54SyB2OgtosRnt+M3dP9toay466F96sw/jbDf9EOl1C2 -vo5bFGDMw9eqvPBxXqHMfErbDmeH83D3VfwBrYRQNuhOP7AZwcPR5dtPqteF -srb8fweHjORBvtQ3Q711GGucOXfkd4xz3h9c28sgjKU8ta9PGcVDzOQ3QydM -D2Nvvh95+HA0D8UrRw/ZtT6MXV18c8luE7wPRg1LAk6GsT0+rzuyMTzUHQl4 -1f5JGFusHhPT3ZSHiti9/fd8CGNj1xQdqsW41bB2AY3lYax3MD/q/X88dD81 -b9XeljD2vUu7hvNjeZg616CHRr9wVrpF7/EKMx6Mw9bnhk4OZ1Ew1sZoHH7+ -8xr3/bbh7EmfeZ1/YVx023mlxbFwdmrvxrj08TzkJnTvYfAwnNmnHj3yZAIP -P3rvjOgeGc6sBt0ycZyI57/fxgU9ysLZkOPejeaTePirlZ408Fc461gQ86Tn -ZB4ClYf0ZuhGMOXI4mUyjM0mjZ93eHIEy3ARNEKn8KAzq2RelG0E86tql3Bx -Kg9G7Ubo9DgewW5O6H9s9TQetvZp8Tn4KII53TQzHcF4UE/XalFFRbBlinny -3xiv7je93f6KCDbecpNH1nQe+ICZ8Z3/RDCdR8dWPDPnYVOtMOJ9/0j26/Ot -rk4WeJ/8W03dzSJZ5XyfRCtLHnTTp3PjNkSymJexx3tb4Xm95Ti+x+lI9vxv -8X9NGHtpLO3V8iySnVspKsJn8PCs+fWpb3GRbEtA++eXZ/Iw+vUYJ/X6SDa7 -k/6qtbPweae9adBvG8WMN47rPno2DxudcvPnDYliGlHzk9Xm4PsH2o93nRXF -eK3NJ3MxVmr27lC8LYpl7z5u9sKah7OrvaaPc41iQUluqgNzMR/+TC598SaK -3dF/4zlrHg+de47NHpQRxQ4djlutM5+HJw5P+gbxUWxVTkkPJcZLXSKClnT/ -wCYbSx+jFvAQ5jzpTmvTD0zvbIdT1xbyMGlkVljc0g/sb5n++A2LeDDoOqyP -24EPrGbseH7MYrzvCz69dbr7gcVfXeClvoSHfckVjpvCPzCvhs1rCjC+9+fW -2s1lH9hFdqLnq6U83BnyYdfB3x/Yjnu3Uw/b8JDWv+29u/rRbJ705rT1Mlx/ -J82Sj+bRbJR1/IR+y3lYsvayUadN0ay7Z6nAYWwdp3XW1iWaNf+SXsIKHmLH -LqmKeh3N8m06rru5Etfnk2z8qLRoFuI7oNfGVfg+SkcXPy6a3Ws3IX3sah6y -399KmN4d2NH1C8+2s8XnuS1X1pgCWxu2ZVIxxronNL/eWgaM9Tgpea/B89/F -u3zpYWAGO+68PraWh4k3Zt03fAhMPf7t+vnreHD44zK4bTQwmW5Cb/31mM9a -/hz9Ug0seX9ZhoixAf885WerGOad0XwubgMPcfO/B53pGcMuD+k05bYd1st9 -c45oDo5hu50NPm2252HlxfW9fcbFsIXFE3zGb+RBs4f6xTmzY5jpmEV2HTfx -MED2K1VcFcO0XLf2KcN45H89yp/ujGFfa09mvd2M5+VUx7DlJ2JY8WR3l5Nb -8Hy88rHtdT2GRdz2nbpoKw/+peHxZR4x7BGX8NlgGw/Pn/1SeQfGsJMzy998 -wvha6X/5zgkxbMPTT/aJ27HehA8+uLYwhll879T37g48z6luWRZNMcxw8cCc -bTt58OVYxeifMay9z8SLk3bxcHK18qGhRiyTt17MNHbzEP9keQeD/rEszXbb -1wqMDzwx1x9sEst83zv7+jnwUOq2s8LEIpZd73J30+k9PJzu5sasbGLZ3i3v -dJc68mD5/YDF+i2xbCkk5hruxXp9Oaf29OFYZtan4tJXjDlbe723rrFMe+/n -6R/3YT1YWs9XPIplP1I6f7/vxMOOIE0bbb9YVj5wkN/O/Ty4dH89Z2VsLIs+ -NmnL1APYL6xYl+6RF8s88hfrdTvIg106XyzKYtmZkdvzqzE2bK+5a/b3WLbJ -5dTlwEO4Xt+cz7zqFMdmVt21OHcYz0+d0KerXhwbNsHvx7IjPLTd2mfE8dFx -rNPNJP+hR7Ff2O8XJpnHMZW8YusPjIWlOyIdbOJYpsWX/mnHMH7x2+TTljjm -/1Cj8NFxHg6v0evtfCSOuX0edNXhBA9dko5v1bwSxw7Mn2w1/SQPR85mGvg+ -iWMrXi751cMZ6/GVBPOFAXFs4t/tgXUY3zXpnvA9Po7prjy9PfgUD9t72nt5 -F8ax3/73Blw4zcNykx21dvI4VtXRv2jlGR6Ci4sOGbTEsVj75GvGZ3kY9X6V -fVPXeOYZWTmjBePwmMdPQgzi2Xmtry0Z53jwWLLY6MrYeLZtd5f3T8/zcEbL -4O+2WfHMOslw514XHha2D+83f3U8G6E/ZaDlBR7mn0k4OWF3POt6eGmJ1kXM -3//xBsNPxTMxe8eNBoy99KpbD3aLZ7lGZ2aFXcJ888iy/5CX8ez9mft/Lrny -cGJ9rOOo8HjmXuYfbHsZ38eh1bep6fHs8NiPu0ZewXo/5I2vTVU8W321atBf -jH9N2nxzX3M8m9rwtTT7KubXkIInd9smMH3W9dbzazxo/HiWG98ngbW6N3jO -/uvYn4U7GH0bnsDqxClqM2/w4Nm2+ukYlsAS59iEat/kYe30+6ZOSxLYq+c7 -HeQYVw+aWhm5OYFd+nVmcOQtHq7G7H7a+UgC22nzoPyKGw/DT6Tvs7+SwOb7 -Britu81DU++fNjFPE9jodinWJnf+34+5WwwOSmCa66tbtXbH++9iPuFmUgL7 -HPotLA9j9Qv3TduUJrDC7t0cve7ycDF8yqiTXAIL2z5k6KF7PBy//HHoX7VE -9iBuauXs+zy8jCnRvaCVyI7rLrvT9wEPM5002vcelsjW7d81T4Vxd60W2ZvJ -iWx6xln16Ic8mO6wDJ69MJENHPIw4voj7O/dju9V2SeyNs6Be+0eY31QN9e+ -ezCRNRalDPvvCQ9B5408Z7smshSTmqo2T3G9JmX2aPUkkb259N29EOO1D5LW -xwQksqu13Ra89uAhdZ/3JZfERLZn8tC2R5/h+WnSuLK0JJEtvj0tau5z7OcP -uWwcyiWy/7hlTnqePLwTfTRat0pivWbuNhYwrjje9VydVhL79uRcTcwLHhof -WCWlDUtiJd8e3rvlxcO8AdVZEVOSWNSioIWbXmJ/PNHpsf+iJPbEO7XduFc8 -DNx2fbjvpiR2qnXth/avcX9cEg/6H05i9rY/9pdgvCTB61jElSRm9b77iDfe -mC9ewbg0jyQ2pMuwuuM+2H90ff6i9n0S67CFPVjwBvvbEWWxailJTBG9fPGA -t9hPXv7uOrgiiaVrO3RoxrhQ40LLIimJvXM8D/G+2K81afY82zaZ3Ux5dPDO -Ox7cfIemReokM6eB70du9cPnmb7I4NfIZLbsWFr9BH8eXlT01jS3SGbj82sf -dgrA+Uu77YOry5OZzsifS8oxflHkGVy9I5n9Ot+j07tArEfrjtlNck5mFZXD -Yp2DsL5b9HzwwC2Zwfjphxe/x/5ub7Ntq9fJ7NmNFaMHBfNwa/RTT4eoZHZW -7tDwGeMeOk9312Ynsy0WLo+TQjCf6x8KWSNLZrMfPra5F4r935U3Ryt+JDPj -z+877wjDfvsPhGzq+pFpzE+PmxyO+797/NbmgR8Z71V3pEsEvv+Q2xddxn9k -2X9+mlRhfCRzhbbBvI8scIVmk38kru969e5xGz6y2/5GT89E8fBgpPae7Qc+ -soMdzZfbfODhUrXmGG3Xj2yl/couQ6Jxvw8fWJL25CObHLkn4RvGafM/ZJ0P -+sj0tC4cSwE83122ec38+JH93fXE9GEMzi9RzVldKj6ymsRg+a5YHsaHlswt -kz6y+P4ZHtPisB/1v9fLr10K8zpUv6J7PA82QT5Gl3RT2IXsX11rMW7Sdz23 -3SSFbTfqmRSUwEPGO/+Bi2aksLlnjE+cT8R8ueeu2tTVKWxkmfnYFUk8NCeH -9DXZk8K6j12lHJbMw4iDLruNzqWw5iuOz39iHHLtxJdh91NYvuzCqvSP+Hwn -NP1GvUthIdOedn+SwsPktpHuk+JT2L27Icl7UnnYNV31el5xCjsqZpw0T8P8 -4NG3dhOXwtbMkZn1TOfhPRc+7WzrVDbteYuqHuM5s6dGvtJOZQN+9XwRksED -G6ptkzsilbW2GW57MRPntZXhbdUtUpnsrYXm6iz8e+p30ieuSGXJbVenDM/G -/Xje1+vgrlTmvW7vqd8Y39GIuBx+OpVdDr04PisH+5Odtcdb301lu7t78B65 -PNy2bH1g8dtUtnB7qNe+PB7OO1xwfBmbysbEZa6xyufhUP+qna2KUllP3Yae -vQt4iCw/sNFelcq+OP1ObcS4l2vo0pRWaawoXetMeCH2A+u6TRynncYiBo+Y -eLkI672isZv3iDT26KSluKYY74fWm3wDizR2smj1q1ElmB904856rEhjG0z2 -rVMr5WGK+x2dwbvTmMWlS71yMe6/7+otvzNpzLDWI92zDOejz92V7F4aazc5 -7OyBch5cI130C33TWJNb1qRZFTx8j7AZsS8+jaWqGqQ+lTzcZNVdtErS2NsZ -f14rMHbirsZE8mns2pNeG6KqeNgbEzt9e5t0tvfbCO1r1Txoa1S59uubzpYu -sspcX4P189jshwWj05mZt+35MbU8rNPc4HB7RjrTbu00Rb0O+yfjC2qrbNPZ -j9Wun/IxNj7cf8GgvemsLOiZz8t67J/buCz95JLOPmiE2x2WYb9mqtcl5VE6 -e7o5u491A35elMWhF4Hp7HR0Y5ZuI9a79zbXzn9MZxu1/7pwGLuf81+wqzKd -zXTsPQ2a8P4Kge9Xfk5nw1JGfrkhx3zbNSzKulMG6zRwxlt7BfZPNrobLQZk -MNXRNRvHKrF/ch3/go3LYJl5Tn3bqXjwzt9y3GJeBvMfcTmnCOO0N62brO0z -2K3zzy96czwk1m6sW3k4g+2vDGfHeKx3L2p37LqWwZaPz/k6T+Ahel/h0fMv -MtiEG02+/UWcB4zcu72IyGC68r+bRIwdfx0a/DE7g/021+4XJ2G+3p8TJjVk -sKoHo/LcmnmYcOhb4oDfGSz20wzXzZ948Js+bc6ynpnMc95a8/Gfcd7c9GPa -daNMdt5r//cOX3hYk33MM5Nlsq1/LvuVYjz3o7ZTz+WZbM4Kzy1vv/LQV9Hf -Z+2uTDbcP0Lv5DceAobXWvmeyWRdOubmL/yO968sgLW+n8lEO/llgx84T3pU -uK/xy2S5EWqWnzC2PvJ+ZlRiJnvfs8/PhJ+Y36PPzTUoz2Tuu0YHuP/ioavB -o2eXmzPZ4cSZ27a14P54z5rxq0MWW91/nf6k33ifw/NMHfWz2JRDBwo7/8H9 -6nxli8Isi/XPvnK1AmP9oMjy7fOymJrRCyu/v3h+dX1v8vZZrPZ05K9TagLY -dfI5ffhIFksszQ1c0kqAwY9+vW5/I4u9+k+x3bC1AOtnKdQfvcwiHk6AIWUf -rpl9yCIeToB9zh9m5OdlEQ8ngGHw6MGHFVnEwwnQYbjv7Rtq2cTDCXDW2vxV -Y+ds4uEEkJ88ONtcO5t4OAFeRzgcfDwwm3g4AUIfzR3dMjKbeDgB7juO2rt2 -YjbxcAJsHDVhUqxVNvFwAsQdvXRx6KJs4uEE+PHSYvUN22zi4QTg/c/6/tqS -TTwcvo/lrlPb92UTDydA1nvdnJIT2cTDCRDbMeDxvEvZxMMJcL7Zuj72djbx -cAKsSG/vOdEjm3g4AUY8a1sc9CabeDh8/qH2p01Cs4mHE2B7/KTHfnHZxMMJ -cOLcW6MxmdnEwwnwdWi+YXBJNvFwArzSzrwyWZZNPJwA43eErE8Qs4mHw/Wu -e/1wYUs28XACpDXHTKton0M8nID9qc6s3T1ziIcTQO97RuDf/jnEwwnwa9R3 -59vGOcTDCaDmHPh2+Lgc4uEE6B/ee3yieQ7xcAJY2E7Ts5ufQzycAMtvWKz9 -uzKHeDgBZptM+fJ0Uw7xcAJ0jbGss3DMIR5OAO+9ewc3HcshHk6A0YYV4dcv -5BAPJ0DqxidPJrrlEA+H+7k9PUf2JId4OAGc+51cfNsnh3g4Aa53S9WdEZJD -PJwAPmeTRn2PzSEeToDILZcu+mbkEA8nQPXSYUM3l+QQDyfAXrewDvqyHOLh -BOiou3B4mZhDPBzu91W1q/dbcoiHw/eZXDN8dYdc4uEE6GvQtp2eVi7xcAIc -Vjjr1OrnEg8nwAJh0ybv4bnEwwkw0Cy5ft/4XOLhBKhxCL83zTKXeDh8vpb5 -JzUW5hIPJ0CDyt2tfHUu8XACjPrpVfhuSy7xcALsuXplxtl9ucTD4edF2Vau -OplLPJwA5vMMn5q65hIPJ0Dx26/nu7jnEg8nwOnftTcUz3KJhxPgXacfH1J8 -c4mHE2D3gtmd34TnEg+Hf0+sOngtMZd4OAG6JWX+ccrJJR5OAJslg57ZVuQS -DyfAz0Sl7Qx5LvFwAhz8azZyzJdc4uEE+Ovarad+qzzi4QS49vx8525d8oiH -E0Ax0kurlU4e8XACvI07OuKLYR7xcAJYvdBcrDTJIx4OPy/+8Km6KXnEw+F+ -xYaFVczOIx5OgKtNFd9KbPKIh8P9Nfs0uXhDHvFw+LyL1M8W78ojHk6Axpt9 -0koP5xEPh/v5e4pm1bk84uEEGDfz6HLZjTzi4QQIzyi5wz3KIx5OAHXPTZnf -XucRDyfA2rOD/qgH5xEPJ8B/pf0HacbmEQ8ngE7suskDM/KIhxMg96/CamxJ -HvFweN800qbOluURDydAaad2huukPOLhBMjPeP7lwO884uEEaFv4yv96x3zi -4TDfLNFd+qZXPvFwAjwboF720SCfeDh8n/RNM5tG5hMPh/Xh+gy3jpPyiYcT -IHiJD4yYmU88nABjfe+mLF6STzycAKvqe/gdXpdPPBzmG1U/h2c78omHw/3Z -EtUm/WA+8XACdH75yfH7mXzi4fD8vk70H3I9n3g4XE89s7jlD/OJhxPA0c7K -8+KrfOLhBLjo/GVxVFA+8XD4/KbW6RLkEw+H9aHWsrtRej7xcPj8r+p07Yvz -iYcT4OFsY+5RfT7xcALMm9TnTImYTzycAAUevsXav/OJh8P7vlDBr+hYQDwc -3s+kjLj7vQqIhxOgacKKJRUGBcTDCRB/7vzDgaMKiIcToPvEFY+2TyogHk6A -bW6pSwJnFhAPJ8CuUxVRLUsKiIcT4OPDq8Wz1xcQDyfAdJNiT/edBcTDCRAy -PqJfw6EC4uEwn9qamI8/V0A8nACVzeO6ud4oIB5OAKN2qecqHxUQDydAwFzZ -07HeBcTDCbDO6Ny6K8EFxMMJsOH9s2hZbAHxcAJMCZgQPT2zgHg4AbLdLG0f -lxYQD4f9gnOU26+GAuLhBLgz8/Fm208FxMMJcHNnVWbU3wLi4bAe6x/P0Nco -JB5OgGSrXevP9SkkHg7Xb8frMwrDQuLh8DzlDjNbMqaQeDisjztk+yOnFhIP -J0BZXgEbYl1IPBzmuzWS263lhcTDYf5pM3qP2sZC4uEEmHnkfNaePYXEw+F5 -0FEGVh8rJB5OgLuflmkvvVhIPByub2Lkz6TbhcTDCWDW0sN2yrNC4uFwvULm -jwvyLSQeDu9r7EbX4RGFxMMJEPhg9jKvpELi4TA/OYq3B+QVEg+H9Ud/4azH -VYXEw2F/YbZyh66qkHg4AWLEP78efC8kHg7rARv3U7dtEfFw2G9Ei/ZPehQR -DyeAx4cBYwf2LyIeTgCHSWE7XxkXEQ+H57fudftR44uIhxOgn0592xDLIuLh -BDgiX72JLSoiHk6AJK0/A1LXFBEPh/e/c/TE5duLiIfD+8a5vq07UEQ8HNaL -KzaH9p0pIh5OgM/bO91rfb2IeDjsL8Ieatx+WEQ8nABvVn3LHfK6iHg4Ab7V -dJZHvC8iHk6ATneS5y2KLSIeDvdna5+Whowi4uGwHv1Vfj5ZWkQ8nAAvA0ab -9WksIh5OAFuX7IjAT0XEw2F+NIs8u0CtmHg4AVYPKb+q1CgmHg7rgZN+0SWd -YuLhBDjQ5LjWaEgx8XACrPkb2T/VtJh4OKy3MmWfXayYeDi8v4eUc7rNKyYe -TgDfGV5+QSuLiYfD/H5NffaqzcXEw+HvW4nd1fYVEw8nwNQOyzq8PllMPJwA -c0bpjlh8uZh4OKwfkcOP/rpbTDycALqb94gvXxQTD4f13S3zytKAYuLhBLBe -P2Z+q+hi4uEEyLnlPNo/tZh4OAGU3h5jNhQVEw8nQHPOscU96ouJh8PnlX+7 -Ei8WEw+H+ezMr5qDv4uJhxOgpHzXguGdSoiHw/Xlx2RX9y4hHk6AobYmm+8O -KiEeTgD3Y/M7LzQpIR5OgEMrHWLaTy0hHg7P29tDZ2LnlBAPJ4C9bP7C48tL -iIfD9zUoMJywsYR4OAHem0hqX/aUEA8nwBf38zWBx0uIhxMg6OKBhL2XSoiH -w3yh8nw1xr2EeDgBjq/5dLH5eQnxcPi+A2duee9XQjycAEumnpx6KKqEeDj8 -PNsTGpNTSoiHw/NSZZjzt6CEeDgBzlUuu5RYW0I8nAC9+kumV4QS4uGwfmsX -pS1tKSEeToCT3xoX9+tYSjycACYP1eNlvUqJh8P+x62jnv/AUuLhsB8xLFl7 -bHQp8XAC9LRcfHbWlFLi4bCfu7fostacUuLhBLh8Ktipdlkp8XDYb3ZYNynA -vpR4OMwfzUMrTu0pJR4O71+AuGrx8VLi4QRw2n3n3cBLpcTDCfDpT3Px5zul -xMNhffokK0x+Xko8nACmrtYvH/qVEg+H9SqkxcoxqpR4OKz3/Yv9Z6SUEg+H -849uWKNuYSnxcALsjzjU2FxbSjycAAYRkm+qUEo8nAB9rqlN9GwpJR4O92vN -SZfjHcuIh8O/rzbj9vLeZcTD4XlrO2rDmEFlxMMJUO6r2aRhUkY8HM4DXJqR -fEoZ8XCYz3eZDkqaU0Y8HOYHl/65nsvLiIfD+mS9beyZjWXEw2F+Pt44y86x -jHg4nJfcnDqZnygjHk4ArfC6IwauZcTDCVCX3vV267tlxMMJsMgubXm9Zxnx -cAJ8xzVM8i8jHg7PU+niDO8PZcTD4Xnflnz8amoZ8XA4X6YOTt9bVEY8HO5n -b4vw5fVlxMNhve0jmU+RyoiHw3l7UftNA/+UEQ+H/aO0Uq9j53Li4QRIrA/f -KWqXEw8nAEv6Pa/YsJx4OAHONH6LjhlTTjycACsXHAPvaeXEwwkwKHj1PLe5 -5cTD4bzvum3jiZXlxMPh+n462Hrb5nLi4QT4nbRi6NJ95cTD4TzqVp41zbmc -eDh8//uFP4yvlBMPh/1fTm9P7fvlxMNhf9mwO6XNy3Li4XB/J73d0RxYTjwc -Pk/ds3PVUE48nACST/9eWenlxMPhfKDZrBNdUk48HPYLC5U3fBvKiYfDejav -5NDjT+XEwwlwxds1/apaBfFwuN9RGVdOdqkgHg7P73XHkD19K4iHw3xcN32W -3dAK4uFwv0dpTF06toJ4OOyfHj69M8O8gng43I/OHxdMWFBBPBzOK72XbBlu -W0E8nAAaHTqV9t9WQTwc5vPayFeaByqIh8P8uP6/jHZnKoiHw/qunDz717UK -4uFwvXw8eosPK4iHE+DH4RHjZK8riIfD/kPm/aI0uIJ4OAEujatbnx1XQTwc -1v/tzzcnZVUQD4f1yiQgKKq8gng47D8/F88KklcQD4f1r7BW3+drBfFwAvi9 -uTvxmXol8XACbFGLuXWveyXxcJiftA2NbuhVEg8nQILy8s8LxpXEwwmwaQS0 -OTW+kng4AVKnn59x2KqSeDj8+ZleEY6LK4mHE6BFs8Fu+7pK4uEE+GXSdaL9 -zkri4QSwrKubanu4kng4PM+DRjrYnK8kHg7X95lf8vxblcTDCbA5yWT2rKeV -xMMJ0Mr/ADf9bSXxcAJkjLUMnhReSTycALNq1z4em1RJ39cWYc7vS56j8irp -+9oi6J25nDisupK+ry3CVcMhrQZxlfR9bRHEhXo2ej8r6fvaIkwdOjZKu30V -fV9bBO3zI8dralXR97VFqPmVFqdhUEXf1xYhtSBnTftRVfR9bRGqtFqpt55c -Rd/XFkHXSTeoZVYVfV9bhEP2tTu+2VSRv0SEHxraw5rtqshfIkKizdEmlUMV -+UtEGJGf7t14rIr8JSLEVGRur71YRf4SEdYdnz6k4k4V+UtEmOFUU170vIr8 -JSIMnLb3cq5fFflLRDDo4Tc6I6qK/CUitCpZlZycUkX+EhH0J5ssiiusIn+J -CFfuiMlRdVXkLxGhz9+VI0LFKvKXiLBj1tBjAb+ryF8iwvljGiXL2laTv0SE -yFcHGnM7V5O/RIR7Xr6NCzWryV8iQlPmreL0PtXkLxGh62r18Dn61eQvEaHt -6ooLSYOryV8iwp7oP5aWI6rJXyLCw6UzVGBaTf4SEa7HXXOeMrGa/CUidLsZ -1hLGqslfIsJLAw97s5nV5C8RISBooH/AvGryl4iwaaNe/cil1eQvEaHAaPdv -71XV5C/B56ngfw7eUE3+EhHko53Lnm2pJn+JCI6BPx/r7a4mf4kIN3Ommt93 -qiZ/iQgLigwTtI5Wk79EhHjF5cE3TlWTv0QEo+4LN3W+UE3+EhHqhlk7u1yt -Jn+JCBc/rTzQ6nY1+UtEaOy8YsbxB9XkLxFBS6nPf/WoJn+JCHsbrznsfVVN -/hIRQsc6Jyp9q8lfIkJLXZq0+X01+UtEiK1b2FwVUU3+Ejyff2WJq2KryV8i -gmbttp15ydXkLxHhT/egmnmZ1eQvEWGa9GRoUn41+UtwfRLaTWFl1eQvESHs -TYJeWE01+Utwvz0D00yaqslfIkJrFx8rb76a/CUibOvicsHgSzX5S0Qozurv -dv9XNflLRADzBVt6tK4hf4kIdsHc34sdashfIkL96sJ1at1qyF8iwobpNacP -9aohf4kIlYsbt/K6NeQvEWHnqthumwfWkL9EhP5/Zx0uG1ZD/hK8PylLPRaP -riF/iQhRuh9cks1qyF+Cnz9xjfHUKTXkLxHB16Kja6BFDflLRDg97tGLoXNq -yF+C6yepDj9aWEP+EhHumOS17bG8hvwlIvS4MsT6/Joa8peI8Ox4tNUP+xry -l4hQvXLf513ba8hfIkLtMp2V1XtqyF8iwuQLrg5LD9aQv0SELlWPxiUdryF/ -CcajhvpNOFtD/hIRbg9SK/K5VEP+EhE85/952+9GDflLRJD6fB99zb2G/CUi -NGfHr/v7qIb8JSI0PB0/wdGzhvwl+HzXjCKrvWvIXyJC7vk9DYv8a8hfIoL7 -3KqwmJAa8pfgeTu/YIzJhxryl4iQFH5rwdP4GvKX4O9bn+7WNbWG/CUiqB8X -9h3PriF/CebDPYGHFIU15C/B+z/kab+VFTXkLxHh4CnXDYl1NeQvEWHMtDkW -pooa8peIMOSPX8wTsYb8JSJcm+ZW2ulbDflLRFBbm3zt4O8a8peIcNJ9QHmN -ei35S0Q41t4pdl6nWvKXiKB8c2tqaPda8peIMH/90oUG2rXkL8H8U37xi6te -LflLsJ5Etxv9eVAt+UtE+OLs8XONcS35S0SYedRoRaJJLflLRLjVuN9y5Pha -8peIcKRmWfTtqbXkLxHhgN3TpF+WteQvwfMx3dDW3rqW/CUidJoYevTjolry -l+B61BkbjlpRS/4SEZ6GLV7utraW/CUi+Gv+6fZ9Yy35S0QoNG69aM2OWvKX -4Pv0NekV41hL/hK83+Wr1g06VEv+Esw3sxcbu5yoJX+JCHm6TYeaztaSv0QE -c0GYYe1aS/4SEWymTXJ7c6OW/CUiXNp0b53G3Vryl2B+flz2ctfjWvKX4PO1 -K9iR7llL/hLcz0fLvIf71JK/RISyyaPsXP1ryV+C9/vF6JtNIbXkL8F+wMl4 -wswPteQvEaH3cMHGM76W/CVYz40XNPxNqSV/iQhbA7WVttm15C8RwapB1z60 -sJb8JSJwH42tNStqyV+C9W+a1vNddbXkLxHBReP1tiR5LflLRNAoCX6kL9aS -v0SEJ8O7TD38tZb8JXifdp2Ynd1SS/4SfN+Ggg9D1evIXyKCSYLs4cmOdeQv -wf3pcbwmv1sd+Uvw/H/YetW4dx35S0RY6n7oiXO/OvKXiDBo/tGe+QPryF8i -wuD905RDjerIXyLCMOdbhsdG15G/RIS78VbRGWZ15C/BfuHwoFD9KXXkLxEh -P6Sly16LOvKX4H098iQ2dnYd+UtEcH5YmN1jYR35S7C+le+bZLesjvwlWC/L -p//2s60jf4kIn/t17fPHro78JSJ4tX59ae62OvKXiPA4r2zRPYc68peIMD7s -4K76/XXkLxHhZ8SMstHH6shfIsKrj70eHT1dR/4SESz9g3wTLtSRvwTr8SBV -167X6shfIkKv4MvRy2/Xkb8E17t8XeSTB3XkL8H+SRrTpsGjjvwlmL9H59wd -8aqO/CV4v4M6HNjnW0f+EhGCnF88CA2qI38J1vMDju1bwuvIX4L1dM2ECBZT -R/4SEdaXJQecSaojfwl+3kmFKiG9jvwlWG/f79zRLq+O/CUi6GT1NppVUkf+ -EqxXrUKHXqiqI38J5pNN2puTZHXkLxHBWmpd3UZVR/4SvG8uVnctmuvIXyLC -7/qXl5y/15G/BPOb/+fgyD915C8RQdj7ve+3NvXkLxGhs9vx0DGd68lfgu9r -P9d1Z4968pdgv6w5+tYL7Xryl2D/Ei5llOvVk79EhMML1k7RMqwnf4kIFX6T -y62N68lfIkLG4+VvT5nUk79EBI+oQ2+Cx9WTv0SEs7e3Fcun1JO/BN/nZvMY -Pct68pdgf6peFbJwTj35SzBf6H/bfHphPflLcD9+qU8LXFZP/hKcD9wzp9ba -1pO/BO9zpv6mHvb15C8R4fn2GD+2rZ78JSKs6H5Ef7dDPflL8H4v0gy8v7+e -/CUinHlgsyXxaD35S0T4NKPDZPFUPflLsN+4+mVU3wv15C/Bfvt6/XTLq/Xk -LxEhc9HjPTvd6slfIkK7iMbwW/fryV8iwo17l/uHP60nfwn2S08WPar0qid/ -iQjJG/+MUX9bT/4SzMcb11QOCawnfwnWTzVTjzlh9eQvwf7t5MyDO6PryV8i -wujOduuvJNSTv0SENiGzV79NrSd/iQgdDyRsTsuuJ38Jnt9BD0/JC+vJX4L3 -/bSbd7uKevKXiLDfbH/VwLp68pdgf5CqbjBNXk/+EhFUAR12rxTqyV+C85nF -nLi9X+rJXyLC5tDL+q6/6slfgvXuP/ezz1rJyF8iwi4YxYW2l5G/RIToWbq2 -GV1k5C8R4ZyHQXpNTxn5S7D/PNuefdGRkb8E8+ONW4HtB8jIX4L9/byLg3WG -yMhfgvfL8J270QgZ+UtE4HektJloKiN/Cc53K546zJogI38J3u9OX3JtpsnI -XyJCyoXrJnZWMvKXiLAq6j+XXdYy8pdgPT/6MvfgIhn5S/D+HnurdWq5jPwl -IrxZ12nuxTUy8pfgPPzm3P7r9jLyl4jwILPq+p1tMvKXYL+tV/3wgYOM/CVY -H3Pm3H2yX0b+EtyfbjWnnh2Vkb9EhAtV21d4npKRvwTvl+lrnRcuMvKXYP9b -vT7J84qM/CUiLHtts+b5LRn5S7BfNLEufXpPRv4SEaZ0aMMePZGRv0SEcM8Z -l+6+kJG/RIRTG3JDb/rIyF+C9eDs7hRXfxn5S/D+TSiNOhMiI38JxrfLbhyJ -kpG/BOc5t7FWe+Jk5C/B/N/ndcHGjzLyl4iwUvpuuSJTRv4SEVyz5Vfn5MvI -X4L5YcnUwEmlMvKXiDC3JdjPuFpG/hLMRxN7n9dpkJG/BOeHDQPGtFfJyF+C -n+/rHvhJkpG/BPv/mZM7VH2Tkb9EhEWTsk1SfsvIXyJCtrP28ED1BvKX4N// -mvvlfscG8pdgv7c14fqpbg3kLxHB56zvt829GshfIoKFbOEIa90G8pdgP99t -94iRBg3kL8H64JD0tevQBvKX4O+v1HMVRjSQv0QEp87/yTJNG8hfIsJQv4/t -fCc0kL8Ez8uyp9ylaQ3kL8H55tuhe5utGshfgvtzrGe76dYN5C/B/sXX1Exn -UQP5S7D/m+FuKC1rIH+JCMdlf3KTbRvIX4Kfrz2SPbZrIH8J9ssLa7bu3dpA -/hLMR1zZXKvdDeQvEaHVxAp5L6cG8pfg+drsNb3hcAP5S3C+u/F5QfDJBvKX -YH6Kde159lwD+Uvw5xuHXl3o2kD+Eux3ay5H9L3RQP4S7O/3H3xQf6eB/CUi -XF/8arjvwwbyl+B91Krctf9ZA/lL8PxYlq6Z9KqB/CX4+Qttf/5520D+EuzX -7gywigtsIH8Jnndb+YRzYQ3kL8F+PH9/kVV0A/lLMN/qnunfJqGB/CVYTydn -a8SlNJC/BOeTwf2fncxqIH8J1osI49KJBQ3kL8F+Jf59yKfSBvKXiDDp61Gz -t9UN5C/B+eLz5GUbGxrIX4LndV6Ilo6qgfwlOA8ceL0vQ2ogfwn2g/nZu099 -ayB/iQix4Vwb098N5C/BemP/YVxt60byl4gw6tvvVjc7NJK/BOfzK7s3T+va -SP4SEUYOSl2v6NlI/hKst69zhNs6jeQvwX7km7nWNP1G8pdgvs0vTpMZNpK/ -5P//H7K01xXjRvKXYP395CiYmDSSvwT7LztuZb5ZI/lLRCh577Lo4ORG8pfg -vGrzM7+3eSP5S0QwvN1WFjyzkfwleN8CNzsvnddI/hLMxxVFz4XFjeQvEaF+ -lM4C1xWN5C/B/X7X4DxobSP5S0R4t+T3uEj7RvKX4DzborNv8bZG8pdg/T/x -Y3TD7kbyl+A8c2vlniNOjeQvwfvR9GlU5yON5C/B/e9zcffDk43kL8HYO8fI -+Fwj+Utw3upx1T70UiP5S0SICzrY2/J6I/lL8N/rrK0ybjeSvwT7napExbIH -jeQvwfNf6Nmh4mkj+Uuwn2/weGTv1Uj+Ejz/fY94Nfg0kr8E+5VdTQbb/RvJ -X4L1LcmnuyK4kfwlIsj+HnPcHtlI/pL//z3t6Y0xjeQvEWFt30knNyY1kr8E -79vyJ0aVaY3kL8F5cqia+YqcRvKXYP/TQzc+s7CR/CW4fi4+76zKG8lfIsI8 -+fbW4TWN5C8Rwe+y1ofhjY3kL8H+zW9zxSNVI/lLML+c72Ov0dxI/hLML6Ob -5hz91kj+EpwPPlx3a2hpJH+JCDNMU6cubt1E/hKsXyeXzIpo30T+EhH0zta9 -M+jSRP4S7M81Jzhd0Gwifwn2M0InN6V2E/lLsD9M791lgV4T+Uvwfeb1rn43 -sIn8JZjP2yR06jqsifwleB4Pl17eObKJ/CV4X/W17JNNm8hfgvP/+uFXDCY0 -kb8E56UbpR2OTm0ifwn27y1lhdkWTeQvwfm2Uv558Owm8pdgf7Mh2u7I/Cby -l4hw1aNnv7QlTeQvwXr1znOg7som8peIMMB12P7ta5vIX4L9+pA13ULsm8hf -gv3Q1u/NatuayF+C9cEwVM96dxP5S0R4bbjk2s19TeQvEeHooLOWRYeayF+C -/VmG+hTdE03kLxGhKO7qwbVnmshfIoL37VLp8YUm8pfgfJHs+bb8ShP5S3B+ -y3vupXOrifwlmA8MbpbZ3G0if4kItl2Hzb/2qIn8JVifFAN+JD5rIn+JCB1+ -jq389bKJ/CVYn/fq/Rr9ton8JSJ8O+a2wD6gifwlIriZLSq6FdJE/hI8L34a -t2Mjm8hfIoINd/osH9NE/hIRPjZue6GT1ET+EhFcXI9+sUhrIn8J9hPBew7s -yG4ifwn2o1NaDbpR0ET+EhEeNTa2BJU2kb8E67dTeavCqibyl2D/cOOuydf6 -JvKXYH9Zn3NBS9FE/hIRLne0ajdGaCJ/iQhvr770mfu5ifwlGH8OcNr0o4n8 -Jbg+If03HPvTRP4SEfpZeDncUJeTv0SEkO+/H3t2kJO/BPfftEb1vouc/CXY -z01tvzpBU07+EhGKbYfV52jLyV8igmlSy6WKfnLyl2B99Jli3WggJ38J9u8j -PQYJQ+TkL8H7vK1K68twOflLcB7ZGdjvh4mc/CUi7JmSM/GXmZz8JXg+iz/t -+DVJTv4SEYxNc9/9YHLyl+A8a2rY5quVnPwlIsRHPNsuzpGTvwTvl0fryqYF -cvKX4HkL+LO+aqmc/CUiaAbN5/NWyslfgud9w6sLSWvl5C/B+rEr3jjUXk7+ -Evy806sKvbbKyV8iwhZzg0u3dsnJX4L7PyPX/MReOflLsN/ub/h380E5+Uuw -/1iWCfOOyclfgudxx8UzY07JyV+C+aeo40yt83Lyl+D90ejU8cslOflLRFji -ND0175qc/CVYf3ZtuujvJid/Cf69pSMtLt+Tk78E88PRJV83PpaTvwTz04jL -npOey8lfIsLCd9dmd3slJ38JzptTtepr3sjJX4Lr3SNvf6C/nPwl+H4rz/w4 -FSwnf4kIH6aUOM6PkJO/RITq6msl2iAnf4kIZnarTavj5eQvwX/Paz7y8qOc -/CVYb2Z389uRISd/Ceb31C3ZI3Ll5C8RwXKNf7mqUE7+Evy8389zfcrk5C/B -eub1xX9LtZz8Jbgec9YfHCCTk79EhPxCd4NiuZz8JVifzJYGXOXl5C8RQct6 -rqH5Jzn5S3AeazY70vxNTv4SXJ+Oqe+etcjJXyLCwI3R8QtaKchfgv1hdkrI -j7YK8pdg/9bf0+V5JwX5S0To27OV6ZxuCvKXYP1wehDK9VSQvwTrwZ/BvW/0 -UZC/BO/fntXzTPQU5C8RYbkzvzLTQEH+EsxHNfcm7RiiIH+JCGtmdhfVhyvI -X4LPY9v58MPRCvKX4M+nTc8xGasgfwnm1ylbf8ZPUJC/RIQ+S8yabaYqyF+C -/d07u9A6cwX5S7Bf5J/PdpypIH+JCHf9/F78tFaQvwT7c9dpqWcWKshfIkKX -Xu3COtgoyF+C/Z8sateVlQryl+C8f02nsctaBflLRDjhkTv4qp2C/CX4+2+e -DO20RUH+EnyfyWOV53YoyF8iwvnKOXt+OyjIX4Lv39890MlJQf4SEfLOZQU1 -HlKQvwT7kwdv9646riB/CdaHxjrFx1MK8pdgPyMN7z/uvIL8JZjf2eROzy4p -yF+C/cHKj74drynIX4LzNlxq73hLQf4SvI8tZr3z3RXkL8F+8dbZYrOHCvKX -iHC/g9H8O08V5C/BfqiufF+zp4L8JSIw72Wz579WkL8E62P1nHSvtwryl4ig -6O74+5e/gvwlIujEnSxdGKwgfwn2TydG2D8LV5C/RISI9NFu4gcF+UtEqJnM -dk2NU5C/BPurFT1UF5IU5C/Bv/duTY/sVAX5S3D9c4pKe2UpyF+C/ceiibNW -5SnIXyKCZ9z4ZQ+KFOQvwfMbdUm9pExB/hKst4WyRb2qFeQvEWG8b+spC+sV -5C/B/a9wj3dpUpC/RITv7Vc1RKoU5C/B+SD773NeVJC/RISECvPv/b8oyF+C -f7+koH7eDwX5S0Q4t3ff1sO/FeQvwfOwPPPks1ZK8pfg/DDFw+hjWyX5S7Af -rniyRdVRSf4SEfp/OTW2a1cl+UtEWG31++ZITSX5S0Q4eCP1hHVvJflLMB96 -Pv26qa+S/CU4r1r/9+t4fyX5S0TIXT3F9dZAJflLRJj1Zu9zryFK8pdgftFx -tQgxVpK/BPuli1O2J4xSkr8E42rWK9tUSf4S7B+7zZ1RMk5J/hLMdx16fa+a -pCR/iQi3k2xG1E9Tkr8E69fs2GqZhZL8JTiP3e6hJZupJH+JCCNCWxJqrJXk -L8F+OGFic9kCJflLsB8qcH6Qt0RJ/hKsFxouUR+XK8lfgvXlhvqKyNVK8pfg -+9/02/ZmnZL8JZivZpmL9+yV5C8R4Z6wR3V2i5L8JTjv5jTb7tqhJH8J1vtJ -p6cucVCSv0SEoWdyr5vtU5K/BNev/ZPFvQ8qyV+C7zfm4enPR5TkL8H+yvF0 -/+wTSvKXiOAxtcNQ79NK8peIoK7beN/5vJL8JVhP3QoOLL2kJH8J1rspl8MN -ryrJXyKCEAQbP91Qkr9EhKXPjJ3gtpL8JTifhZxtuHhPSf4SfF7/M1ELHynJ -X4L3a2nVp54eSvKXYP+1d/HlAk8l+UtwPxpunL79Skn+EhG83JcVLHqjJH8J -9rv7V5zv5Kckfwk+39EFN2IDleQvwfoX2Pz5QIiS/CUiOI9sCRgaoSR/iQgb -Og9KKvygJH8JzqMH9U3OxirJXyLCNS9vfkSikvwleB/Kjqjlf1SSv0QE1dbZ -mw6nK8lfgv3Sy4w+fbOV5C/B91eL6xeepyR/iQg/lBUOy4qU5C/BfkhZ0kUo -VZK/RIRj1x2+n69Ukr9EhAkHbUb2rVWSv0QE+7lWb97IlOQvwf7141fHSXIl -+UtEkIINTySplOQvwf5f7pK5UFSSvwT76VmFGws/KclfIoJuQtrk1d+U5C/B -emc3YFnZTyX5S7C+mVx9t+qPkvwlmM8Xp80saKUif4kIm7669VvQVkX+Epwn -13qMSuigIn+JCFuLvI+N11CRv0SEQ/HbW7/upiJ/Cc5vN+/H9uqpIn+JCHvj -m0NP9VaRv0QEE/mo+iYdFflL8Dx7tZ+xQE9F/hIR1N6MK/EfoCJ/Cc4D0Qee -djdUkb9EBMeQk+67h6rIX4Ln40jnD8nGKvKX4LzeurCH/igV+UtEmGh7z81p -jIr8JSIUPtcwTxyrIn8J5u+OXB+tCSryl4iQmfNJZ8NkFflLMF8sKbPwnqYi -fwnm209rbwnmKvKX4Oebjmv/3wwV+UtEGPukv4fTbBX5S3DeKMuxDZirIn+J -CBc8Ok1ULVCRvwTPc8TZcYZLVOQvEcGqw/clq5apyF8iwkbngVcvr1SRv0SE -rkbRdRG2KvKX4Hkc5LKscZ2K/CUi/OdpVtPdXkX+EhFOixfOj9+sIn8J5vMd -E8xtt6nIXyJC6PnWPY/vVJG/BOtd+rMf9x1U5C/BfrQm59P7vSryl4gw5ugC -tYz9KvKXiMBvztOrPaQifwnm70v95n4+qiJ/iQjta2Uu6idV5C/BfH1GmdXt -tIr8JSJkucsNdc6piIeT4Lvdo3P6F1TEw0mwjsVwA11VxMNJ8Ph5j/WDrqqI -h5MgW3t+0YAbKuLhJMixHL1M101FPJwEe+r3F2m6q4iHk+Btduma9vdVxMNJ -8Om7Xv33hyri4SRYtvXXzsYnKuLhJEhgJp9yn6mIh5NgfsyRw5EvVMTDSbDY -/M5Pj1cq4uEkSDKbfvisj4p4OAl2D58g2vuqiIeToFvaJDvmryIeToLI4rap -fYJUxMNJoDZ2hZEQrCIeTgLjYtWJuDAV8XASOJefS7oVqSIeToKj+ytabYhW -EQ8nQUfx/SjjWBXxcBKkRqbMleJVxMNJMNeudEVwkop4OAnML3gtPpiiIh5O -gnRJPn5suop4OAkCzmzpKGSqiIeT4NHE0oSXOSri4SRYObbNdtt8FfFwEvT2 -8/ysUaQiHk4CrzaHt0SWqIiHkyDw3fQPW8pVxMNJ0Gnmh29dq1TEw0lQfMZX -632Ning4Ceqn5Ggur1cRDydB7s4m8XODing4CTYPDfK9IVcRDydB1CtplpFK -RTycBHdmbIqO5lXEw0lw5What8WSing4CdbUNE6q/qQiHk6CgeOOTtv1VUU8 -HL7vckudL99VxMNJsMOiTerRXyri4fC86J5c+Pu3ing4CVwH73t9XI0jHk6C -quRned9bc8TDSaBzJTNrb1uOeDgJjPQjHja254iHk8Bp8ZBxqztxxMNJ0FW7 -4HGKBkc8HO7vxYs5Zt044uFwf6pbZz/pwREPJ0Hm6Xb32mhxxMNJIIrLhm3t -zREPJ4FPcZBzUh+OeDgJKjvUPRqoyxEPJ8Gfgy9PHtPjiIeTYMm02EE5+hzx -cBJM9vjqOmggRzycBCfadAraZ8gRDyfBqR/RD6KHcMTDSXB/bMn09kYc8XB4 -f271ezFvOEc8HK5v7/nx10ZyxMNJYMWNepwxmiMeToL2O1xMOppyxMNJ8K1D -n+PmYzni4SQIcXh98uA4jng4CZK3tYx7PYEjHg7PM1fsWTiJIx5Ognw99YRW -Uzni4SR4YTHd3YhxxMNJ8Ctrmc4Cc454OAkqNnact8eSIx4O1ytpwMirMzji -4STYrrKLfDWLIx4Oz/OnW1+i53DEw0kQZni0NHcuRzycBP2/VWyrm88RDydB -Y+fzT8WFHPFwuL9jxp34uZgjHk6CLnZhaq1sOOLhJLCNzx3WZjlHPJwEl97O -+6y+kiMeTgKPJ5yd2mqOeDgJHOaccPxhyxEPJ0GGa3x/YS1HPJwEXxwu7qxZ -zxEPJ0Gcye1l2XYc8XC4/pP8yiI3csTDSVA06HmL52aOeDhczxCz0ItbOeLh -JDiuNO20YztHPJwERxT2n2ft5IiHk0ClcX3/wN0c8XASfCg/demHA0c8HP67 -VmvTDEeOeDgJyp6V73q8jyMeToLnz0on7NjPEQ+H67Ep6fZ/Bzni4SQ4uGuH -849DHPFwEqjvufkt8ghHPByur027lqPHOOLhMJ+Mu3153AmOeDgJpm1p58Wf -5IiHk0Br87BZnqc44uHwPigSHW3OcMTDSXC6xmdA63Mc8XAStNvpuezteY54 -OAn2lR/ruvQCRzwcvk+MmvXXixzxcBIMK/nTzt2VIx5Ogq39LK1Mr3DEw0lg -EPZALe0qRzycBO+6503acJ0jHk6CC7HhknSDIx4O79PjfoanbnHEw0ng7ZeS -3ek2RzycBBcnXf968w5HPBzmq/DJt3vd5YiHkyCt+vHrO/c44uHw5x+fM9V8 -wBEPh+/TG0wuP+SIh5Og89t+z9Uec8TD4Xn6vuv8vicc8XBYH3OdS6qfcsTD -YT6bPfjx3Gcc8XASzPIamRX4nCMeDvOF43aH3i844uGwvu3yPnLQiyMeToJb -d6OF3Jcc8XAS9Bp5NGv4a454OAl0vXy1T3tzxMNJ8OO9cXKuD0c8HPYPC+PL -B7zliIeToMFrzoqdvhzxcJj/htwwC3zHEQ+H9/fU9oNf/Dji4SSQPX3dxyyA -Ix5OglD/Abp7Azni4fB59L2OewdxxMPh57l3nFL5niMeDvNHm4Eru4VwxMNh -/3EnLmtKKEc8HJ7vMHi2JYwjHg7rYT8+/Uo4Rzwc5pv3Axf5RXDEw0mwM8jU -ODOSIx5Ogts3Pq2SR3HEw+F5aDu5Ui2aIx5OggfdqoK1gCMeTgI7IbjKMIYj -Hk6CWiP31WNiOeLhJLh+cfngSXEc8XBYv3Uyp7F4jng4zAcm+V7TEzji4bCe -bZ2+aloiRzwc3q+HihUTkjji4STY8NnHY1QyRzwcnu+KVaYGHzni4SS41jG7 -c/cUjng4CV7ubxj+C+N/PJwENnYHb9amcsTD4eevXjU5KY0jHg7Pl9n2YS/T -OeLhJNDocmrFmQyOeDgJ5sw5nLw6kyMeToLBD0YcGp3FEQ8ngeXPQ5vVsjni -4SSQ3pndzMD4Hw8nwdXZ5j/cczji4SRQPD/4aE0uRzwcnhdZwJH+eRzxcBJw -57Kul2P8j4eTYIj52wr3fI54ODwvwwbbzy/giIfD83Vbu59aIUc8HNYnE7tO -fhj/4+Gwf22uGr66iCMeToIOBvucWxVzxMPh7y/6qu6F8T8eToKvW+aGWZVw -xMNhP3J30cNqjP/xcFgvtsneHC7liIeToJWfvEmjjCMeToLfN6cufozxPx5O -ghGusXVG5RzxcBKMsV/+NBDjfzycBIbjK86Mr+CIh5OgzZHxV8Mw/sfDSdBP -OfODWSVHPBze7xdcDz+M//FwuL/du7oaVnHEw2G/y7saumP8j4eToGDprGr1 -ao54OFwPnyFRuzH+x8NJYBGkEZqH8T8eDvvncxVZZjUc8XDYz7ueaHsb4388 -HPanl3OW8xj/4+Ek6O4ZHWdVyxEPh/djhvHsuxj/4+EkOMd+ymQY/+PhJNgS -qvXQpI4jHk6CTSM2bDmE8T8eToL4Q/EzIzD+x8Ph+mQMnvwD4388HN7/CAeL -sfUc8XCYH4xdbHdi/I+Hk2CBvc35Jxj/4+Gw3raOi87A+B8PJ8GrTmHtfmD8 -j4eToGeB/poBMo54OAk+9637YInxPx5O+h8N9x1X0/8GAJyUiKgkGUmDSLRT -yTlSkpSVVUQZISKyV2aRUMieoWUkM4UnFaWkvfce956rc0o2v+f3us/3z+fF -9957PuMZvu/XgQmdIv1VGEs9HA8aBboRBzCWejge1M/s0r6AsdTD4e9xz4+N -wVjq4XgYkqlh/QpjqYfjISPXIScNY6mHw3ks1Wr9J4ylHg7vu1tD71yMpR6O -h20xRlE5GEs9HNbTiQNnZmEs9XA8yLzfKErBWOrhcH55OOnUC4ylHo6HhyHL -J0RiLPVwPDSsz80Mw1jq4Xhwe7Jv9W6MpR6OByNL1x/LMJZ6OB4sTO2P22As -9XCYP22nKqljLPVwPOxaaxn6BddT6uF4mKQ8Uj4VY6mH42G8SoP/WYylHg7r -feTuIg+MpR4O7/eWQv3RGEs9HPb7h5q3tuJ+Sz0cnn+XOw+iMJZ6OB5uvvhb -vBJjqYfD/rUv1zEUY6mHw/zzZ+WPT3jepB6OB/MXC7/sxVjq4XgQvUvMG4Ox -1MPhfdQ4fjMbz7PUw+HzGT9b7Iex1MNhP1xk/mMAxlIPh/s7R/ZIDN4XqYfD -fmnBkC4WY6mHw3zQe+2sfLx/Ug/HQ+APUZAnxlIPx8Njk5vR7Xh/pR4O6/eY -PQ/8MJZ6OB4qk3acFfD+Sz0cD5qzzrj5YSz1cJh/br7t0Y75Q+rhcB7+9i9w -BcZSD4f9nNwcUS7mH6mHw/v5JcqAwVjq4bD/nSPvFIX5S+rheDCc5DOtP8ZS -D4f7ZfBZ1RfzndTD8aAy3jj5I+ZHqYfD9YkKs9PGWOrheHAMkVzfgflV6uHw -/NnM+PwB86/Uw/GQeP5qgQrGUg+Hn9cmeuCO+Vvq4bA/6WPldgPzu9TD8TDH -5mhJFeZ/qYfjwcExZ8xQjKUeDvdvwwiHuVg/pB4O+++Vm8yOYH2RejisL6sz -OuKx/kg9HNYXvYl7KrFeST0cfl71nRwZjKUeDuud9cQOXaxvUg+H/dqG/PJp -WP+kHg7nV+0Lp5dhfZR6OB56nAlQ2Ir1U+rhePjpFe5yBOur1MNhfsuuXhSK -9Vfq4XiwOrZq9GWs11IPh/0TjE+4jvVc6uGw3lhMHXAD673Uw2E/oxc75gr2 -A1IPx4Ofq3+Ps9gvSD0crm+PyCvHsJ+Qejgebvxy7tqG/YbUw2H+Cvfv74n9 -iNTD4fpGj653wH5F6uGw3zm3eds47GekHg6fn12eIo/9jtTD8XB7+L/MOuyH -pB4O64GO56mX2C9JPRzO72Vn+pzAfkrq4Xhgna9PXoT9ltTDYT85+NJoTezH -pB4O89uS0PcN2L9JPRz2kxkXht19zpGHw/MR8VrHE/s9qYfDefLJoNoh2A9K -PRwPO10fzc7C/lHq4bD/9725ac9jjjwcD+d2/WRGY78p9XA8bDxQ8DYL+1Op -h8Pfp85yvti/Sj0cD8pb5n/sd58jD4f3w0Fn/j3sf6UejgeTlvRAa+yPpR4O -92vSYu8s7J+lHg7717jWL4uxv5Z6OOyX3c9r197hyMPx4F+x9c8q7M+lHg7X -d/31gIZbHHk4/PP8CdHLsb+Xejhcn/3MjiKcB6QeDn//+vbWGdc48nD/r48u -/57jPCH1cDws/nY4QfMyRx6Oh4Hh0YOO4Twi9XA8WG6oGNiM84rUw2F/t9fq -wTScZ6QeDufjlXUtV3DekXo4Hu64C+8kOA9JPdz///0oxIrBeUnq4XiwDshx -OYHzlNTDYf2bVPUn5yRHHo6HD0NLHFVwHpN6OOyX9tVMmIvzmtTDYX+xeOiD -E4EceTgeom2jU97i/Cf1cDys2vnY7wvOh1IPx4NayaLnww9x5OF48G1IPmMX -wJGHw/4+VfWfN86fUg/Hw9Tpu2UCcT6Vejisr+WDL9/C+VXq4Xg4ckMl5QXO -t1IPh/Vg/qV9Gds58nA4j3nmphf5c+ThcD6uaIyo3sKRh+Nh5aEeig2bOfJw -mN8TZ8s14Dwu9XA8HL70K7ga53Wph+NhbqXp9SKc56Uejod3vO7kDJz3pR6O -hxzh96oXazjycDg/RLWr3VrFkYfjIfS4husxL448HA8rXr8f4r2CIw+H/f5w -1dXTPDjycDhPGFpPGraUIw+H+cbcJ5RbwpGHw/w3rGTT60UceTjM90ee5QUu -4MjD4fn5YJHgPJ8jD4f9asdZrQFzOfJwWC/S/vbPcuHIw/Ew6s3j3UdmceTh -eIjrV+s9aSZHHg7X40paQbMDRx6OB3vFixBmz5GHw/15FzrOchpHHg7PS65I -qZzlyMPh+RrYsG3nFI48HA/PFZ8sUJrMkYfD+zw8PO6uJUceDvOb/cejZhYc -eTjMbwtDCsCUIw/Hg0L5iKsOxhx5OLx/IxPLMyZy5OH+P4/dDXMw4MjDYX2t -Gw4wjiMPh/k3beVKMz2OPBwP34yS9tzV5cjD4f21WyevpM2Rh8P8WPNQfqcm -Rx6Oh90PcveUjeDIw/EQM1zNc9Iwjjwcft+atBehQzjycP+vp9oHmlQ58nA8 -XFq667m5CkceDu+Dz4BlhwZy5OHw9w2fuCWjP0cejgfhulaXggJHHg7rhcS0 -0VGeIw+H61f2eOphWY48HA913xr7vOzJkYfD9XmuYdPyV0wejofPLjFlKr/F -5OGw3n4urrX6ISYPh/3P0O65y7rF5OFwvesWj9vTKSYPh/N8nMv6cx1i8nBY -XxrHKUVzYvJwPJg+YzUS2sXk4fC+jW84k9oiJg+H/Znh+rWZjWLycDy07te7 -86lOTB6Oh39x26ZlVovJw2F+s4q2T60Qk4fD879uWMzLUjF5OPz+Dbqbo4rE -5OHwvjuPunA2X0weDvNr1zqN3Tli8nDYjxm4yy79JCYPx8PXm3OdLD+KycNh -vi6LkSh9EJOHw3o2I62jMUVMHg7XZ8q/Oc9ATB4O+9+OxH4Br8Xk4bC/279E -Z/orMXk4zN8tVhd6vxCTh+Pho3rKqtQnYvJwPOQ1Lji5N05MHg7nefnF/Q0f -iMnD4XkcO7G5KlpMHg7nofKp6sfvicnDYX662n17QoSYPBwPi0pfHv58Q0we -DvOxmHvlc1VMHo6H31q9ZshcEpOHw3no2cpx4efF5OF4CMnZ5akTJiYPx4Pt -uSeiB6fE5OF4kFc5kGkcLCYPx4ONq9Pv+EAxeTg8f65BByccEZOHw/lMPnLR -3QAxeTj8fjf1A2r7xOThcF4znNd9ZJeYPBye99Vpb8XbxOTheDhY2Fw8d4uY -PBzmh/kTmce+YvJweB4fK3cp+IjJw/EQC32+e3qLycNhf+ISMvPJSjF5OB4G -66u1/l0uJg+H93G0VoHDUjF5OKzvg8YpBC8Wk4fD+5YRG5LhKiYPx8MrLV13 -mbli8nA8rPk7aOskZzF5OJwfHX4WrHUUk4fD/q1lccA5ezF5OHzeykf+iVPF -5OFw/tE9/LjSRkweDufhzwvNf1qKycPh+et61HOQuZg8HNb/N4MG6xmLycPx -cM2j32aLCWLycHifh48caDtOTB4O/3uXoi6H0WLycNjvLE3SdNQSk4fD/v3d -0jP2GmLycDxsUrSzsxkqJg+H+bzpr7XhYDF5OJx/e4/coaEsJg+H9c3WqKu3 -opg8HA8vjn54JuojJg+H61289WWWrJg8HA8nF2f8iuwhJg+H/fmMpYf2/xaR -h+OhqOe7GXO+i8jDYT7tGTp7RJeIPBz+ed2K8MYvIvJwPLxe/nF4tEhEHo4H -rcXedd4tIvJw2C9k5zWNahCRh8N5pC1qbHG1iDwczqPfj8UElovIw2H93qSw -zqRYRB4O76+ocFVZnog8HA9hZoHX9maLyMNhPZiSrDz0o4g8HPYzumNS49NE -5OH+P48tezw9WUQeDufNL/JlhUki8nA4X/zMsVnxUkQejodHpssqGp+IyMPx -MPP+wmdrHonIw/Hw9q53el2MiDwc5otL1qpu90Tk4bAfsThwJeuWiDwcDwVr -atysronIw2E/76A4P+KiiDwcD64mCYd7nxORh+OhITlMtOa0iDwc3v/3ziHJ -J0Tk4bD+z7u+Wu2YiDwc9vMvLLetPSgiD8fD5qCixKd7ReThMB9eHm/5a4eI -PBzWB9ku0ZStIvJw+Pxj2or2+orIw/FQe+fD12frROThsD9aN3NW2yoReTjs -R2IMi9VXiMjD4e9vtrxg5y4iD/f//98y+uT6hSLycDw8/ZzwLHiuiDwcD+t+ -PlOJmiUiD4f1bXTN3bcOIvJwPBxa/Mcnz1ZEHg7zIVe8usZGRB6Oh4kXzc62 -ThKRh+NhmHutIDYRkYfD+3/uXqB4gog8HA97vs11aRkrIg/HQ9CFxw5VOiLy -cDjflp/b+nmkiDwcD3ohWbmJQ0Xk4bD+5Y7zilAVkYf7/zx/WOfYQBF5ONyP -oJghqxRE5OF4yNLfMcVGTkQeDuthGpxX6vGfh+PBaO0Kjdqf7eTheLh3yrAk -9ms7eThcr2H9U/w62snD4X4uza8yFrWTh8P16OOlL2lqJw+H+WHGpah7te3k -4XD/HrotXFLRTh6OBzP2uGnv4nbycFj/t/aZFpfbTh4O53Gj5IOuWe3k4XiY -XHrhi/C+nTwc1jtm++lTye3k4fD8xE330ElqJw/HQ1tH59Jnz9vJw2E+sfcN -nvq4nTwc5ue1t1rTY9vJw2E+CN+/w+leO3k4HlyCu00zbraTh8N8c/jvKNsr -7eTheHBggqc8P99OHo6HKz1DT+ieaScPh/nmorzcmRPt5OFwvp7XHtd1pJ08 -HObTfqbBCw+0k4fjId6g+lz8rnbycPj80W2f+vi3k4fD+bDHQvOlvu3k4XhY -76CVHb22nTwcPq/GvPO8Vzt5OJy/3rQGmy9rJw+H94nnHm9b1E4eDuvl8HW9 -4+a2k4fD+dbC82SjUzt5OB52lNayg6e3k4fj4b5Rt44t204ejocnio8mrbNq -Jw+H+bdyyN5g03bycDw4P7CXRE1oJw/HA28wIyxZr508HPZHz0xXF2q1k4fj -QWwzam3D8HbycNj/+ehe4Qa3k4fDeTXY7Y8wsJ08HObf8PLQzr7t5OHw/hqm -LfjSq508HPbvD81mNv9pIw+H90fNeVPptzbycNjvahqkvefbyMPxMHLSd6dH -ojbycJgvDhTJnG1qIw+H+S63XeRX00YeDvNxsmfvWWVt5OGw37zpNX9UQRt5 -OB4O7Bma2/GpjTwcD31Gvgh4/aGNPBz+fvW1XkeS28jD4byV6LF9emIbeTge -0odmJ8k8ayMPh/ObartZ0sM28nA8hM8W1W6KaiMPx8NVq77JGrfbyMPxIFI+ -kJ9+pY08HPaX9cfUfc+3kYfDeSho+VnF023k4fD3xc2YGhPURh4Oz0f5Ye2p -h9rIw2F/vNLVKn9PG3k4PB+7/h5dsa2NPBzmozFZcq2+beThcD/my7zesLaN -PBwPnimSqHbPNvJwmB+GVX1c7d5GHo6Hqvrh2uWubeTh8H4e+/XYyaWNPBw+ -r+vnHS8c2sjD4fkObPXXmNpGHo6Hn7a3ogOs2sjDYT8S7jK4yqSNPBwPqfud -Es0N2sjD4e9tFy6eGN1GHo4Hj8S7j0pHtpGH4+F4KvzVVm8jD4f3K/fe8XXK -beThcH6KTHSOUWgjD4f9uszqWU292sjD8bD/dt9jI/60kofjodlm8M/Z3a3k -4fDzQ4XYfV9aycNhvVg68NK91lbycDg/ela8+1jXSh4O+82NGWPbylvJw2G9 -X+CU3auwlTwcDxE/iuOGZreSh8P+z6k5V/9DK3k4HpRnCEaToJU8HA+FPXbm -Mgmt5OFwHrshxNnGt5KHw/7MoymHjW0lD4f541yekdWdVvJwPIx+OrlgwrVW -8nA8wKzYlxrhreTh8Pn7R1b3Od1KHg7P34dXjl8CW8nD8bDXb2t3bkAreTi8 -f2NWtD7c1UoeDufXCcqagVtaycPxkNw9/KqbTyt5OAF0tAZ7jF3VSh5OgKY9 -59cIS1vJwwlQIGv2/OWCVvJwAqzqOuO8y6WVPJwAg144GJg5tJKHE+DDl+8L -REwreTgBxhyZmX59Uit5OAH6hVYdmWXUSh5OgKody052jW0lDyeAXMXm6kta -reThBJh/L3W/5bBW8nACbOrd26dApZU8nAAuu1vurO/XSh5OgFvvhxn86tVK -Hk6ABTILex3/3UIeToCel1z0lb62kIcTYIvd29vnuBbycAIMU1/lo9LcQh5O -gDuHfx4NqW4hDyfA2lnThZ4lLeTh8PMu9366NaeFPJwAeUKvjOr0FvJwApy1 -kzNxSG4hDydAQ0UhH53QQh5OgJptbB/5+BbycAI8M1Hd4RnTQh5OAMsoXZvn -t1vIwwlwstB+qdyVFvJwAjw1nFU492wLeTgB2DtK0ReDW8jDCdAe5ldSdriF -PJwAM8scVw3Z20IeDp+/cLfzXP8W8nACRC1vPnd0Qwt5OAFUiv2tn61qIQ8n -wMaRCrY1S1vIwwnw7s65aLkFLeThBBjl8WeLnnMLeTgBPHMsbtjbt5CHE6Bi -oMlED5sW8nACWKU3aG8xayEPJ8BEM7v9Bw1ayMMJMGuiE3NSt4U8nACZY796 -h41oIQ8nwDJzu+9nVVvIwwnwt7dF55n+LeThBMjvyltyXLaFPJwAX39r6O/7 -3UweTgCvdJ11G7qaycMJ8DH6y6BF4mbycAIcuhc60aaxmTwcnv9t6q80KpvJ -wwmQdOjqs58FzeThBDARjLQLsprJwwmQdk+QiUptJg8nwGPrP4t3JDWThxPA -X8lP2/ZpM3k4AVKcfFfJ328mDydAcOHQER8jmsnDCcCvvO4SeKWZPJwAHru0 -fzBnm8nDCbB3bbFm54lm8nACzFvUlhJxqJk8nAAOtqcbXHY3k4cTgPn783CX -XzN5OAHus+siLqxrJg8nwMOLynZmns3k4QSYsNfcO3txM3k4Af7ZD1ZcOaeZ -PJwAClZfLQWHZvJwAvgFjRDtZ5rJwwmQOKdIV86imTycAP3vbmwJmtBMHg7v -a4iJWe/RzeThBDjed0//gyOaycNhvlC/vOXroGbycAL0edm62rtfM3k4AY42 -pLXkyzSThxOg6E7IL+ufTeThBCjuHXH7Bt9EHk6A3mN9Kv60NpGHE6DcxCV2 -SW0TeTgB1LxfDIwraSIPJ4DeEFXFnjlN5OEE2Pe85M7sD03k4QQQsrcXXXzT -RB5OAMMB3ncqnzWRhxNgiKPOQI0HTeThBDDaPn6Y250m8nACDE/89z70ShN5 -OFxPH13VtLAm8nACmClo9e483kQeTgD3hQevaxxsIg8ngCObW2G3s4k8nACL -v99OWbOpiTwc3o+OE65H1jSRh8PzU6UZem1ZE3k4AXIS/u6Od20iDyfAsY9p -KilOTeThBNC8O2jZZ9sm8nACOBuGLi62bCIPh/khqr53uWETeTgBbCJebCgb -00QeDu+TSlJQoUYTeTgBXsyKWJal2kQeToAMOW3xm35N5OGwnnh2Tnog00Qe -ToCY1nzbCz8aycMJMPDywX77OhrJw2H9qIMLHi2N5OGwfkUubrKubiQPh/lj -lsqPQUWN5OEEGPr4TUFrViN5OPx8i/E7X6U0kocToDPDsOnYq0bycAKIyu9o -zn7cSB5OgNpNq8aqRDWShxPAd+jsnvnXG8nDYX6Rm/Hg1PlG8nACvPlmNn76 -yUbycPh9rjIHvx9qJA8nwOaR1x9E7WokD4fnude3J/M3N5KHE+D0ErnwH2sa -ycPhemu9W3BtWSN5OAHmqOp1Wbs2kofDfHZ90vaimY3k4QSQNHaXbZjaSB4O -83/ORt2/Fo3k4f6//vcWhUxoJA8nwNJvj7aq6TaSh8N8UH19z7VhjeThBDj/ -/rjfSOVG8nB4308EL7om30geDn9fzbuJan8byMPh8y+Z9+tkVwN5OAG+eK94 -+6e9gTwc3gdPtT0b6hrIw2H9PXLXqKikgTycAAl/Jjdaf24gD4f1UKxy8Vpa -A3k4AexWr5r1M7GBPJwAB/w39FoQ30AeDvO1nu+7mKj/3g+H5z3sUdCv6/+9 -Hw7vU+buJTPP//d+OKyX3SMszgX/9344zKez2rXLDv73fjgBDqtaag3f+d/7 -4QTIeuFp6ub73/vhsP4/T1l6btV/74fDfuVl8rWPbv+9Hw7vU/C777/m/Pd+ -OAECxhpt1Xf47/1wAlS+2dtvoc1/74fDfuj+kPS9Jv+9H06AFSF7o26M/e/9 -cAJcmawT92bkf++HE2BnyenaUtX/3g+H+TZ9GtOh8N/74QSofpue2avnf++H -E+D5+9jjg77Vk4fD/bTav0eTqycPJ0BrQWGEXkM9eTgBcuNmyRqU1ZOHE+C2 -y8HbBjn15OFwfy4N3j/ufT15OAHWi55c1k6qJw+H/cNg2Z9D4uvJw2H983x1 -q29UPXk4XK/zQaHfrtWTh8P+8bfep7qz9eThBNi1ccmijOP15OEEkHWsML5/ -oJ48nAAWR3d6BW+rJw+H+/3oe8san3rycJgPhYkZUzzrycMJEDryi6zKonry -cJi/o1Ru18+qJw8nQETczptxtvXk4QTAatBr96R68nACrHG+8JmZUE8eDs/H -Sx25njr15OEEeOV+JQbU68nDCTBaoePtngH15OEEUF6r6WgiW08eToANTXqO -zT/qyMMJYJ2nmHLhSx15OAFGLihPsGuqIw+H/eeeq4ZceR15ONxP69XjzuXW -kYfD/nWnc4z5hzrycAIs6bPlSWFSHXk4AXzeds7wi68jDyfAjfW/NvaJqiMP -J8Db6qe616/VkYfD5//jsnXi2TrycNjvP/u35HVQHXk4Afb3la102F9HHg7z -48irf7O31pGHw/7UXCFt3ro68nCYLxOvGuZ71JGHE+Cy3U37Oa515OEEuH5t -fe+PjnXk4QR48HHBLpapIw/3/3qbfP2JaR15OAFeCwP3aI+rIw+H+X7WI6Uz -I+vIw2H9slFY831QHXk47KenXdqzvG8deThcX8v8Be/+1pKHE+C726Rvo7pq -ycMJsG2O85r9bbXk4fD8Gl6NKK6uJQ+H+eP40ycGhbXk4f7fv+lfDvhYSx4O -75fzFbect7Xk4fB53e98G/6sljycAMkmoh1rYmrJwwmgoaRRcf9GLXk4nA8y -2/S+nKslD4frVyHvZXiiljycAOPXmgZvPFBLHk6A7qkToyL9a8nDYb+IKbFq -XS15OOxvU55nKS+vJQ8nQFtiffk011rycLifjzU5P8da8nD43zvO6n1tSi15 -OJxn/s3UTzOpJQ8ngLxJb482vVrycAIc1PSL6KdRSx5OgLKu/X/1VWrJw2E/ -lTd++wz5WvJwAhhc/6aa8r2GPJwAvfqLj36pryEPh/fLZN60Idk15OHw+fzm -LLR6WUMeToC+rsM/LLxdQx5OgJbrX69tPFlDHg7Xf/n4+v3ba8jDYX/ybfCN -EytqyMMJ4Go0vOzMzBrycALUT3t4NdS0hjycAFvbnSQnNWrIwwmgtTWg7JB8 -DXk4zH+p9Wu28tXk4bCf2j70mkdFNXk4XN/ywqN276vJw+F5Ui4YqxtXTR4O -N0epJvTfpWrycHi+X+W+LzpcTR4O80FDSG7kxmrycJhfN8klbl1UTR5OgPi5 -VietplaThxPg/T0zl1/jqsnDCbDnrVLfhEHV5OEw3xp1pW/+U0UeTgCuUeWc -dksVeTi8r+Me+efmVpGHw+9LVvXfnVhFHk6AH+KIKxp3q8jD4XwTlNL5+lQV -eTgBfiqIghbvrCIPh/sZeduT86wiD4f1z2l30AGnKvJwAii+Vendz6yKPBzW -v9gRzWc1qsjDCbDwoauxmnwVeTgB/qw/zJ/vqCQPh/nr0iGjgeWV5OEEuDvE -8vvR1ErycNgv/74yv/tBJXk4zI93Hs5cdaGSPJwA61IOtWQFVJKHw/XeNcnC -aH0leTjsb5b/YUPnV5KHw3x6RWmgeHIleTgBVkteP7QbXUkeDut11rIxFwdU -kocToMPL7UjztwrycDg/P9PPMa6rIA+H6xm5WHV3ZgV5OJzXVHd4vH5aQR4O -73+l/vNf1yrIwwlw69u4MZMCK8jDYb48YZG0aXMFeTicb3jtgIglFeThsJ+q -zt2Xb1tBHg77lRXG8Fe/gjwcnudv9vP0VCvIw2E//HA44/ynnDwczn+6RaG+ -zeXk4QSYHX1rwcmccvJwmL9s35y/l1BOHg7Pe9buZa9vl5OHw35EzvxlTnA5 -eTishxEej2v8y8nDYb5eudVVvKycPBzm31EyD75OLycPh/2p6P77XxPLycNh -P66s+eDvkHLycDhv2gzf8LdHOXk4AZYH+A341VZGHg7P08Z/t7vyy8jDYb4Y -98pYlFRGHk6AxkM3P1bfLSMPJ8Dcv2nbc06VkYcToKvLedqbHWXk4XAevbVh -cvSKMvJwWC+NvX1DHcvIwwnwqfVs43bjMvJwWO9Huz1cMqyMPBzOv/uMCy17 -lZGHE0A3594aNXEpeTgBsj3mbeILS8nDCbCjqvbnxzel5OGw/z+lon4rspQ8 -nAB1s17k+58pJQ+H+fLBw0nTd5WShxOgor/YVdWrlDycAOGxO8zqZpaSh8P6 -92p1832TUvJwApzaWbNj2/BS8nACxDpod1rLlpKHw/4M9qz7Jy4hDyeAW7pj -47uiEvJwWK9j//offltCHg7Xy1BrtG1UCXk4AXo+mCH390wJeTjMr1ojtF/t -KiEPh/357KUntnqVkIfD359e6KjvVEIeToBFShs21ZqUkIfD+/5oUJ/w4SXk -4XC9ppfoOMqWkIfD+TA2L+eHuJg8nABP/hkPiy0qJg8nAKRbK7u9LSYPJ4B5 -z2mpvaOKycNhPbGJZ56eKSYPJ8CyF3Jnl+8qJg+H8/zG3Kw+XsXk4TCfWb74 -GT+zmDwczvetmye4mxSTh8P9WZS5tefwYvJwOG8ciSyL6lVMHg7X+8EPPxdx -EXk4AYzXZc8QCovIw2F9eM36XnhTRB4Oz6flkQ6ryCLycHg/VWpqK08XkYcT -wMwqziVgZxF5OKxX21c7aHkWkYcTQOng0fIUxyLycDifjogdutq4iDwc7o/p -sUFyw4rIw2H/wJWX3JMpIg8nQOCnYzscRIXk4QRIT9/+r7mgkDwczmdrHh8O -el1IHg7rSfFcpbH3CsnD4forHU/IOFVIHg7riejayfU7CsnD4bxjJb6ssKKQ -PBzmh6bWzvszCsnD4X4OGBHrYlRIHk4AU63R2V/UC8nDYb/a/cr3bM9C8nC4 -vlzkNfP2AvJwAtzrl+NTll9AHk6AaaoGbfuTCsjDCXAp+vNYnbsF5OFw3lpV -bf0xpIA8nADe3ZeN/LYXkIcT4IPD4uHqywvIwwkw7OSR/u8cCsjDYX+w7s7g -DYYF5OGw/ii5OqqpF5CHE8BkucOLlB4F5OGwP/nquMWvLZ88HH7fCuakZn4+ -eThcX31ttdzEfPJwWA/k++gevpNPHk6AlW3D081D8snDYT+mHaEs2pZPHg77 -scBvgyM88snD4XxzLKzZ3SGfPBzer9l/wwcb5pOHEyBT0miRPySfPBz++Wa5 -ytAe+eThBBjx3fLSvLY88nB4HsVbDqjm55GHw/ym+Pp2WWIeeTgBhlyzGXz7 -Th55ODzv203EPiF55OHw+Sy6rSZtzyMPh/23x0/l3svzyMNhvvbJCipxyCMP -h+f178C4+4Z55OGwH+lx4uph9TzycFiPQNlrWc888nA43wy4NciqPZc8HPbv -vvbp6gW55OHw88frh/5KyiUPJ8CUwxcP193NJQ+H83Iy9yTzVC55OAEu+MSY -v9yRSx4O5zX3lZpRK3LJw+E83Jp19IpjLnk4fB6F53vCjHPJwwkwYU8ftZBh -ueThsH6VfNoQ3CuXPBz2Q1dnag8tyyEPJ8BpvxsWo+/nkIfD7wuZzVrtzyEP -J8CzqTPXLpyTQx4O+2f1l2W7tXLIw2F+GvfzXWTnZ/JwmA8WPZpV+f4zebj/ -//teTMSwS5/JwwkQt+u34OXzmTwc3vcf/LonNp/Jw+H5mqFk3H/gZ/JweF4L -1XdtrssmD4f9wsM+GyqfZpOHw/uZrT7JNTCbPBye9zVv+xYuySYPJ0CI8X2l -FeOzycMJoP4+e3fXn0/k4XA/Kub5n8/9RB5OgEdRt8azdz6RhxNgt55XXtf2 -T+ThMP9s3XXuueMn8nDYr/RXuH1o+CfycDhfWe8a5ybJIg+H52Pu6mU277LI -wwmwvU/c+vHns8jDYT0r2XVozNos8nACXLXRLpxgnUUeTgCPEc5nbRWzyMMJ -8EupVOxVm0keDv9+SqvqmaeZ5OGw/5xSP/ljYCZ5ONzfGT7nlNwzycPh+U5c -57JmQiZ5OAFKSuWvpffIJA+H6xH9LH5S4UfycJgfZ2q+ex71kTwc9lOuk//Y -7v1IHk6AYP2plytnfyQPh/V3eUzGMe2P5OGwn5SZBFO6M8jDYX7rL3ksm5lB -Hk6Ajw/V88uvZ5CHw/MZ77A4eUsGeTjs/8tdtj+fnkEeDp+HdfJKHJpBHg77 -oYc35uZw6eThMP/3/Lqr6106eTjMtyGMkv6FdPJw2O9veebs55NOHg73W750 -dTqTTh4O99fa/4zhoHTycNjviC/1imn5QB4O19v93nfT1x/IwwmQsZ+JzA39 -QB4O+2G/BfYBaz6Qh8Pnz9XqN9X6A3k4zNfjDEwHDfxAHg7rsav91+8N78nD -4X5UBQbzCe/Jw+H92bHM4M+p9+ThcD1m71Edseo9eTi8r0fq9822fE8eToCx -IY03zyu+Jw+H89gVjQRJfRp5OJyvFXf38EhIIw+H9azI6FnNqTTycAL0aMoe -sHNVGnm4///7+VhnHas08nD4e8euj24ckEYeToC/A/p4JjWmkocTIPTA57io -xFTycALYZxUXxoSmkocTYHFlSP8U71TycDjPXHt0vsMmlTwc3of1zvfNB6WS -h8N+KOjj6bC2FPJwOH+drdwvm5xCHg73Xzjz8vSFFPJwAvR2mbbXyDeFPJwA -er8j+7TbpZCHE2DQ4Q83k4alkIcToOrf34P3+Hfk4TCfPZDlojLekYfD/tOp -Xjf15jvycHh/In6s/7bjHXk4/L7fvf7az35HHg7/PFxF58Hod+ThBMjVPDN8 -wp9k8nA4f1rZ6GcUJpOHw35XbH52/4Nk8nC4XnrCBZejyeThsJ+eYrvPclky -eTjs971nBzJmyeThBEi1uvVjRf9k8nC4n94mFtYfgTycAE+9OlaIdwN5OAGs -2uN/FesDeTgBTixYH/6z4i15ODyfmWmvFp96Sx4O+01Xw24J85Y8nABnavJK -kzvekIcTYOL88x3ZEW/Iw+H9Cx2ZrbbwDXk4ASxCy/5elX9DHk4AjfUdassT -X5OHw/l47bwoD9/X5OEEOD8qbtrVUa/Jwwlw7sShfUMKk8jDYT80UL4tPyiJ -PJwAx+Oma3yenEQeDp9XrX9c345E8nCYn5IEs8C7ieTh8PP/LXOwd0skDyeA -89w9e+0HJJKHw3nEaYZvUOor8nACxFeffq64+xV5OAEid4skJRNfkYfDejU+ -41lzYwJ5OAF0irzuWF9NIA+H6zEvY3HhvATycNiPRtVsftYngTwc5gtrr+AK -eEkeDs/nz0oHp50vycPh+bmaOL2n4UvycAI0Z1uP7dnygjycAN+27Ap1uvmC -PJwA0SXrtaoXvyAPJ8DjH3UHXiu9IA8ngOR1mEvzx+fk4fC8GPc1XXbkOXk4 -zBfFCUUjpzwnDyfA1xqhcuK3Z+ThBBD2ijtC4p+Rh8P+QxMSrTY+Iw8nwO+8 -9Y3mes/Iwwkwbne++uH6p+ThcD4ySO+leeMpeTicf479m6fo/pQ8HM5rXzXi -XdSekofD+6EZn1Ob/4Q8nABHDcw90s88IQ+H9Vd/sIKMyxPycNgPbnwQFKbw -hDycAEcaZxzfkRFPHk6Al6f1ID4wnjycAGG5AbV20+PJwwnQUb/n9gTZePJw -+HyTrRM2pz4mD4fzz8+SCoUjj8nDCbB88bq0f9Mek4fD/Kb6S39Or8fk4XA/ -G6zOdQbFkYfDftLhyYseCnHk4XAeurTdMj3kEXk47B+4a2cdlR6RhxPAR3+G -beD5h+ThcB7tdS3l0NCH5OEEOCubc8Hm5gPycDh/XNGemjD6AXk47F/GiKZ1 -P7hPHk6AQ4PPjv5udp88HJ7Pn5f84E0seTgBPj2+9shlRix5OPzvjyzZeDcv -hjycANvcYizfL4shD4f9bkvo3fjWaPJw2H+3W9pt2B5NHk6AH8u7YzplosnD -CZCV5eznHBZFHg7r3THecOeoKPJwWE975Bzd/jiSPJwAnjp9FGfaRpKHE0Au -4te6zvx75OEEYFfMmLxjzT3ycFif8rPGFny/Sx4O64t/XGm/U3fJw+H9nLiy -S0v7Lnk4rM8Vt/SGJNwhD4f5O3nXKG72HfJw+OdKs87ca44gDyeAy4HcMdMC -IsjDCWCQMeJamnoEeTgBHBZERE58eps8HOavbPMeh2ffJg+H+e6j8prk9lvk -4QRY4l9+ThR0izycAFvY2y5yY26RhxPgi0Pe/ZHBN8nDYX/3cE6TWuYN8nB4 -v4yul3b3u0EeDvvz72HDU+ZcJw+H5+Fo75n7wq+Rh8PzvqSix7iqq+ThsH5k -9mjNGnOVPBzmu9wD0eu2XiEPh+tdv4+XSb5MHg5/f8vMnTcGXiYPJ4CT5epO -xusSeTi8/5GHdFufXSQPh/nx6sXP1xUukocToB/bkLhq5QXycNhPxvMvp7wO -Jw+H+X1B9GVD9XDycAJMHuViNWXHefJw2C/2P7Pdp/gceTj8/KOnTZIsz5GH -w/mDa3IzvX6WPBzmS8sn5cW9zpKHw3x65mpMzMYw8nDYX8tUJ8eVhJKHEyBg -zvxRnH0oeTgB9LdxV7LWnSEP1wnvqr5ETnY+TR6uE/p3+weamJ0iD9cJ+yJT -vBNGhZCH64SXJS8PFimfJA/XCRdSC9XPygeTh+uEZevnB3T3PEEerhMO6pop -9et5nDxcJ1h7u5uWygaRh+sEm7Phy7wVA8nDdUL234R+b4cdIw/XCVHqjz61 -GBwlD9cJBbFBk8R2R8jDdUKDU3hHwYrD5OE6obBtR3TUwUPk4Tqh5oTRCL/I -g+Th8PtN9+lvjAggD9cJIW2zC2RlDpCH64RHg8d9T9qwjzxcJygsSd15p2YP -ebhOOPpjrVO2x27ycJ3gPWrE4VnNO8nDdcJn149ORrt3kIfrhBWz17afUttO -Hq4TMkeNebfjrT95uE6QuXdp5h+/reThOuH5tBp1s4lbyMN1wuV+kW+WijeT -h+uEJQNXfvoZ5kseDj9vcci4DS4byMN1gsVKi5L8kevJw3VC4oRpA5b0Xkse -rhNmPUlitGTXkIfrhEhZU6WF6qvIw3XC1bFDVg6z8yIP1wn3xuhcMuq7gjxc -J6i/TbMRv1pKHq4T2lftSXtxaQl5uE5wfuryrObeQvJwnfDeKkr2Zd188nCd -EBDcX/uU81zycJ3AnoCKNdmzyMN1QrOm7YFOzxnk4TohfozHB+8FduThOkF8 -y3xPxlyWPFwn6FhHv9BOsiQP1wl+Z4PUxrWZkIfrhBNmbtELEsaTh+sEt7Rv -oyX6OuThOiH025zgIDd18nCdoHFAWelnz37k4Tqh5XGxobvDN0bq4TpBVpDM -XNqviDkR0lOrW4aDiZ76zdfypjIbmtRL03txsEouqFBB04FxYYzOXJbl4EDV -3cgCJRfG8OIMhw1yHKSpas7y3zGfUe5Y/semNwfjr7WaxSguZjoddzwbIM9B -ruP9yV+XLGOKbp/aUIux6hZT7yATL+blz7vaT/pwULkizXDpzdXMFdfXZUf6 -ctDuUXlswe51zL4HBaELFThwWO4zJ/fDRma5nGiGXj8OInMUWlTT/Zipy2X+ -fcc4sFfNnCoff0b75dAXmf05+Nzk6cHN28HIKhn7XlPkoPBA0iS/gN1M8zpH -3U0DOEhqOWca2r2PyXi3ooIdyEHn+NJ1kz0OMrHDdp5VVuIguOfCFWPWHmZC -/E/PbMBYOGo/8/qVo8zmT/d6PFfm4GQvR7HJj0Bm3ug3LwNVOBgTPzduz9ET -jOmBwk1LBnEgE2LgLM+EMINLRKP1VTko6J4tu0HvDPPNsFfVL4xjVmuUDNcK -Y8qODzufPZiDvM8P3f+ln2WS6oxn3VTjQNLxJWTsifPMdeuZMluGcND2aGbC -ijUXmIBznq+mqXNwsK8Hv9HjEuMl3umnOpQDj/ivaupbrzD208/oNWN88Xl3 -3ti715gxNyKrXw7jIHuGY7h31w2mz7c34SeG4+/PqJ/9Kf4W0z6nyHnpCA50 -nAb/mPbjNvMpWtxrggYHMxQPxf+ce4d51FM26S/GIwtnvl/06i4T6j58a+5I -3K+kdd6JJpHM1qcm4yI0Obhvc+f+8TdRzIL+TrX+ozhYPv5wqK57DGOxxuvi -dC0Otr52/NrR5z4z9O2u2UO0cX2Oi27MzXzA/FILlWvDeMZp+UmRNx4xVZuj -XifqcGB1Qzxa3+4xAxlv/UN0OchJGBpdPTWeua1VrL98NAfFtknZtx2fMEf2 -cHWGYzjouJ367K77U2ZNgezlnnocnOu5yld95zNmhsGIuQUYt2fK/Jxw4zkz -7pip/L2x+HvG285Ry33B9Kt2ertjHAfTwsWuMooJjMRi5XZHfQ5+sf9qtRe+ -YnLP7DYYNp6D+TFX3kVHJzJPWkMbRBhPGtzraZr8a+a8bfSVNwYchCpeXR21 -9Q2z4wrMOzOBg3111TsPtb1llnQW9/GayMEgFaNkW4tkxtpZAiaGHJwPr+35 -5U8yM+Ke3E5ZI7xvDqWva7PeMX//jJhYjHG0izDa8FYKU7vIrCnKmANl/34b -hD2pTGrcrGu7TTj4UvrvybRlacy9PqtcZ5ni70mK1rC0f88Eee1R0DDj4KtB -eWq7yQdmfWLYOwnGSUWeL7eOTWdmDYrZlWyO9+PRP5lW3QxmwsZkw7MWHMgn -+8auHPuRGfi+pHnVJA72VrrG/jTJZHiNL9fNLTkoXe70Pd0+iynY0XuhvBUH -h5qKD9d7fGKe52j0L8N4zQAf45UHsplLY81TY605CDPxaFwe+ZnZc8h5z77J -+Pe1OrZ1FOUwy8pXGc+24UBl0x33i4G5DGO6t1VzCt6PKNME9TF5zKiQszd5 -jL8GDTc//jGPkWmKWZTKcNBy/HUc75fPNE55pxjO4v180iTjNaKA+XChNM17 -KgcDdubL1WcVMNFfvuy1tMX1OzMu5GBAIRPsKG+qMI2DUWW/1jhOKmJ8b49s -r8B4plHqiumdRcycn+a3H9px4DTba9qRp8WMsavLkgB7vC93RxYo7iphBj1Y -PXDedA56WccUdEwtZb7K7vug7YDn6+ggGcsBZUyJx7n9XRgvmlGg/qWmjHn1 -ItbswwwO/m5M/qz1opy5OjBFdNER/37DoJKK0Apm/7qyiPUz8Xl3eteN9atk -VrzrcJvsxIH347jLqguqGNthfZQVZ3HQI8Y+OtammtH118yoxtiZK47vHlfD -9P5kEfDYmYPGmFfjZQbUMq26sy0Ou3AweePUq5tP1DKZ+9dwrrM5iE9asW2m -fB3zoHjf3dFzODhzROdweFAdc9rw/NJvGB/om3rKTaGe8Tt+X+XjXA7uuN9a -fvVMPTO/LuXjlXkcXE+Ykeg5pIExsy4/uHE+nofem1fH3W5g1M7xkxhXDq6Y -fx15amIj80PU58vABRzsfFIX8+9NI1NhPyqyDuPNeatS+89tYt5cn+TxdCEH -Gybn6yU2NjE3u2erHlvEwRH32DDFfc3MoTneWYsWc3D4w5lX/dVamFXR+w+P -XcLB+vhZi948aWGm9wy3+olxqeunEaPntzJ67g86stw4WOummzyzq5Xp+zQ1 -6ro75kvnYN7ochsj6lexfPNSDpJll3jWTm1nPq8WBtsuw/w/QCHRQ9TOPH7T -N1vFA/PHCDb20SURc1ZN62gjxmZ+L8tLHcXMts2Wk18s52Cgw4LOul9iZlHG -HCFoBQdadkOu5MRzjKXW2hg3T9zPGi3/KB8JM2zPAc/xXhy8zX82avOYL8zv -/PAhfzCuOWBoZ9D0hake//Dz55WYnx8F2Wx17WCSj6Ydu7WKg/CnO+M+P+9g -7lRV2GxdzYFrauqMR0N55phFZ6fdGg4W/y3KGxTAM2vPKNwf7M3BhbVjhso0 -88zMVq2VLRg3XLZu3TtbYMbbWg19tZaD0/vv9TmaIDCKV+bmBq/D/PWnt52G -bifzRVgbtGw9Ph/0W+EU1snkzQpgJvpwsLFgo86AHl3M07sXvv7D+Ijfl4Ub -/LqY8D8PH+RtwP28tzppdX0Xs2vR+1V3NnJQNjBmyJ+FXxn3uMph2305uBl2 -d8KkrK+MTZ+uPIdNHCyRdYLB07qZkV79Tqhv5qBKuHDqamI30yNRe2o7xrZO -55ZkmX1j6lWsvyX5cTBLeV5N1ONvTNqGeY9ObeHATkNcYzrxOxOZtm7Niq0c -6KWfGLL14XfmuMbBEcb++Hm3lkxbM/EH47PjYoHMNlwf/dDhSvE/GJecR8GF -GL8M9PPYbv6TMRz7wTZyO/YrxtPeXUz6ySgfqvq+cwcHak8X/ttt94vpLOuK -m7mTA/GiXjkjs38xRSb91w7fhfWqJrb5+JLfzMuTOiM5jKdPfvorsek3c7nR -uujtbg5SrYNTXm77w+ydMj8kdA/eN/u7kkNyfxmPC+vtVu7lwLjvPpMRl/4y -7JeDP033YT6ffJY9YfCP0Xa8FC+3n4OrU30/ZKX8Y2Rvx60rwfjzNufJ/hN6 -sM0/PmjGHMB6pOL6z3dPDzZjfnXxngBcL/fBgYszerCx97+ecj7IwcottaON -h/RkQ2QVp488hPX/6hGz39492U0eur+/YGw9eK584sue7NwXk5++O8zBNYl6 -54a+MqzJQFefc0c4uNxk4jxomQyrus5Ha81RvL9Tt3nHx8mw35IPlVocw/oe -YxY+Q7YXWzb08pk+gRwE9HYZX+LWi03a+tihHOP6JUP2ej3uxV7PSv9zPwjv -5/lNxS3ysmyAbs2z/cc5KNn36PQGT1nWa3/3hjkn8D4cetrFvZJl7YoVdbSC -Obh9tXyJn6ocO9pwdLmAsb9+z35dm+VY+eM2YWkn8TwUH3Xf80mObat1dbwQ -gvXD3+6CrH5v9pPVhn9rT+H9FV/pf/54b/bR2cMvrE5zoG2xvp9+a282VHTZ -t98ZDu7O7V+b7ijPbrWP163CWGXDypJNsfLsgusZFY9CORgyKXPKKMU+rEV3 -zdmDYZjv7uisqPLrw6rP+TZz/lkOuj3zAqOK+rA/owb01D3HgZHi638Bk/uy -lT3GJHzFeNcQN9V1EX3Zt25TNqef52DhxcQBXgoK7O0nC8ZcDsd8EvvCcqO/ -Anuk38YqnwscpKgvqAyuUmDXrD5y3uYiB317ak1/69iPnfHmyqwBlzg4kXPy -ovzzfuw4tScytRjr3f/gvE67P9tv88dX8Zc5+LT/5sW60P4sl17rd+QK1vdL -Xm+39VBkc0Z911t4lQPfEx9662xRZON3D6wZc42DW9M707h6RfZc/pgL3zG+ -7zVSuXjhAHbHeMYl8zrm8/Dey6o+DmCXHF0oe+0GB8cGhJXLsgNZ66qNSb43 -OfBkhByX5wPZERZHt7K3MD9o5mcsU1Ni/56+Ok75Nq63Z8zlu/OV2NqWJ7X1 -GLsGn+sXcEaJTZmaefFZBAcGaalvxJ+U2LuX62YH3sH1des1V6KgzAYK3+WW -3OVg3rwxK4/OVGbXzVJ6M+4e5k/fqnNxx5XZWXf1tv3C2KXu7lG/DGV2wh9m -fHYkB9VD3hSk91FhBy5aVH8jCs+Dj96oFzNVWP6R72W/aOwHD97tY3NShS2Q -PzZ3Wgz251ufjnfPVmGfe16TV43F8+AUpqesNIi9+Orp2yaMA55aXvFyHcTu -Vsna/vI+1s80Ly3ni4PYpRvqDU48wPpSdsg9r2IQOyXtR4P7Qw7++GXV86NU -WU0N5asGjzB/Kk9c/MhblZXZMXb+X4wNjBctkH+oyjZ+ZvvmxmE/P+rhjj+d -quwHvcXJtx/jeRKWuIdNHsxGH9y00z8e99/H5mrqkcFscNmxidOf4H7Xdxee -/zSY3WhyvUntKX7/lMYIOTU1dvbJZ9daMe6fP+KWmqcaa9SY5Zr4DPd7/va1 -GbFqrMqUBoWQ53g+3hw4N7xbje0K//nO4wUHZ50C3ypNG8KWSJR3G77E/XEN -Pxx9egj7asY4o54JeF7ttuxuqBjCXr01tSUf43FHrtqkjFNn9/9YfOPuK+x/ -18xbM32XOrti/uaFOxKxP1UJCfFNV2dt7wf2d0zC/Lazx6zJQ4ayOrI3Uoe+ -xnwwUdMqbu1QVs7j+R4RxllbVL98TBjKtjz/ZPzmDfZLPTNkQxSGsR8HNLae -fov9/KAq5e5lw9gHa3/d9ASc52yfPOzzeBh7OlllsUkyB/lbvTan9RrO+g3V -HyD7Dvu9TODGLRnOzt9q+74I49DzHmD1cDhrlrVkX1QK9hOGgXu6ZEawarp+ -prtTObD/zT53dxvBft8X1O6UxsG9mc5yvo9HsOVFN26PeM/Bpql6PQz6aLCv -J75YIsF4ba785EueGuyNoOyByR84yLxW4/TklQZ7qLbxQ1g6B+Wt7ml7Bo1k -V1n93r8qA7/vaO1kwXckO/3sIHPzjxxsSQibPvTjSFZPpC/uncnBcVOn7R26 -mmxf+2l3SjE+0H1z+a5Dmqzompt7bBbWz6kN5+OrNdnsr37K+z5xEMecWTh7 -5Cg2bvbxDJds7D+H9Bt23WUUGxZ1M0DzM94fX12v6ftHsf49XlrwGB/b/81/ -xsNR7CK3z1xKDgfuW/ZfvVM1irV80nT3fC72W0tCRrkP0GKH9fuz1DuPAxON -klkbWC329yrVQZb5+Pv3cmtK/LTY6tfjM/sW4H3onvzyRoQWmzzY7lAFxlE5 -/Y6/K9RiIza5Wz4sxPn99+FRU+W12aPpW74cKML8PfxuxjBrbdZ71InIucUc -aG6anTHPV5t13H3LQ7sE553MKWubbmmz4/NfqnZhfPi8AldUqM0qjs/Jel/K -wdin427r9NVhvxxpPnyxjIOMQHHm5yk6bF7lH6v15RwsqDkYX7JVh31qPpi3 -rsD5VOi4ykTrsOGnDaL7V3JgOF8nr1e1DruzxW5FNcYW9eUX9VR1WbepS9Ue -V3Hw7/MLi1gnXXby5a3Zh6qxnzli0nXykC6rIZw46lqD63fznlp2gi7bY9bt -yaNrOUjj7Bp9O3TZ+jsJQjfGE9w17mwaO5pN+50Tk1HHgVyrxuUcz9Fs5MIW -zyv1HAyPVuwfdnk0e/zR3yEbGzjofBRq+zR/NOsjr5YzpZGD7wp6ARb9x7DO -nhMCBzZhPk40UBjuMIad+Mp+Sh3GtyPK9LwOjmGVVJZ1PWnGefCorUbfpDGs -4ON//2gL9lOCq+Gg7jFsUWrwykWtOL84VUYEGOuxL0dEDB3bhuct6tDr2b56 -7OXtr3J/YDyz7EPywRg9du/n3KCsdg4eLlXoGtysx3rotTLXRRxsmxV4ZYDO -WJY9+O/rJjHOx8vmfvfxHMtqlak9nMphP5TvNm/8jbFsL5OJq1UkHET4bW6b -UzmWbQqePrwRY6tdVrJlw8ax6Q3L8p9/wf7y0uSmD27j2FibbSeCOnA9Wwpz -hl4ex4aEn5zqxuN8XMLK55eOYzdJIr7pC9hfGJz9/EVdn507I/HRb4wvdL1e -5++mz5rcylvzuZMD070h6h5X9FnVH60jbnXhebONHvWwQp/tntejcMtXzK/C -2dRVGuPZ0tghJ+26OeDcY+0OrRjPJvYynDb4G37fCr0GuYjx7LVlDj+aMT7S -odHIN45nA557PE74zoFir/3Hp481YL0GbF8b/AP35+gAlZ4bDVi7tSEjl/3E -/OW6M0X3sQE7OvlO0YRf2P+YTqp43GXAyg9NCvmHcdq76Ov3rCawbVvy7fJ+ -Y//h13NWr4AJbFZm28+IP9iv7p6nm5k2gX2o0/PJtr94PvKP+PxUmMie2ae+ -3uEfByFjli8/P28iu6XIcJR6DwnIfTo49dKlieyCiTNK2jCekeA9r3ftRNYi -aPnppJ4S2LtzTV61niGrXrt9+ikZCUzXfthD28+Q/Wl56vfyXhIoc3XUzE0w -ZCvD7j41ksXPUzr9oquHEfu2PclHRk4CT2S7VF+ONmJv2RVoFWJ8+VeEU5yT -EXv4Wnvpvd4SyB68cGfVZiN29deeoTvlJVBwLT7RNtyIdZg9dMbMPhK4/8fZ -rDLRiB0XZfR3WF/8vtToXg9rjdh+PRyfizH2OW/q+Ky3McstWbHxrYIEfo+d -qSUYGLM58Tt0QvtJIM3J5JG3qzEbr3C63Ku/BC5k6w5Q2WPMnlt1L8xUUQJt -wXaeXbeM2e2vXzvKDZBAzbIbqYoZxuziwYX/ijF2HGqyePkXY9Zqk+hF9EAJ -xIS0WjWrmbDD02U27VGSgO32S8H3GBP2j+aw0c7KEuh/QG7dNW8TtnaXcaWG -igQ+WtV2ZJ42YVPyHM99wfiPxgc745cm7F19T6d3gyTwY79tQE6NCRt4ZGfP -c6oSqDj28d29PqbsusrTCasHSyDUPGXcM2NT1sk8crOFGv750n1FP91NWYPT -b8b0GSKBxWHmDbuPmrIDWgqryjDeYaq+xfiRKdvBis/fV5fAsRWTr2uVmrL5 -l3o57x8qgcdcxDEnGTP2OT+s15xhEui5kXV8YGDGXnQySRw1XAKrhkpkZiw2 -Y3ffmblFwPhW7a6CYYfN2KW/PcemjZCAt+uFEr2HZuyUhbtqwjUk4HCvzWBT -qRmr+ejMhbUjcb8jZXiulznbUz7KxUoTPz/ZwzbK0JxtWPFWtt8oCWwUr5p8 -Zak5+z6hKKkS4wHPfX5/CDJno5S5rY+0JNA0/tntCc/M2WAfWf2D2ng+mjc5 -fq41ZzemDq+bp4PP8/O5YoyiBTt7hOklHV0JRH8NHfDW2oI12u405yvGDyo6 -1yqus2BVPnv1Th+Nn6cJFhfDLdiuMbvfXBqD5/kWXFyaasEWB4Ru89GTgN/t -R1HuvAWbUBo13mYsno904yPnNSexV4yhXnEcrv+gCoe+syex+4KLL9dgnFM5 -duCrfZPYFQ3c3Hh9CYR8Ovb9zv1JrK2NXJ8j4yWQXuqomVk+idUJHwELDCQw -jX1+c7yCJSsnMd0xZoIEAg7+DM+wsmRbHGZN+I7xC3ezkbfXW7Ifb65s/DhR -AqVpPgueX7Zk73/fffWqoQSOtoUtkMu0ZE/NC5vvayQBee1g67Cfluzm2Oi+ -rLEEXHlNbbfxVuy8XsnJSiZ4/v/20/NYZsWaLSvZWY+xoUrn6uunrFi155KJ -z0xxf/p7fxsKVux3xd7Nx8zwPjXV/cjvsGLLvTWuLzaXwKF7OUEZ2tbsazBb -MM5CgtP50dc/F1izN9Sd+/3C2NXA9un6IGv24JZVKZ8mSSB2rGnQ4ERrdmXm -nt03LCWQt8Hf9a/YmrXXOWvkZyWBDll5U91Rk9kx+2JabK1xvb5WTw1yncz2 -LUq+MWgy7qdB6UW9oMmsaELpwiaM5Q8lzZdLmsxmB37p/9JGAicfTgkZ9WUy -G1fTO+34FAm8d/q3fLeODRtmOXKvOyOBiMyQpoFLbFj/MHMTA1YCrP9y68YQ -G3Zhu3PbH4yr3Ep3dr+zYSfZrb6VMxXX18MpweGbDTv02t7Ft20lcFenY3CR -wRT2V9fZAf7TJJA0kI+9vXIKW+0S+97eTgJXbD3DHlyawiZHvtunZi+Bp6dt -Gr5+nsJG/Cs1bcXYe79f4n45hj26pKP91XQJfGPzjextGNY7Xj7ipIMEDsbp -eM70Z1hHBU03jxkSEDuorDwVy7D6qyyUDB0l0Kwxaa5SPcP2f+2S3mOmBIoa -1Ozzh7KsRHXNgXyMs8u1FhfMY9lc333md53wPOQ/jRgUzLJPP5wTb5+F+UlH -deq5FJYN17x/Z4azBLqitzvO/8WyO3eluA91kQC/fK/P2GFTWbe8MmXR/+Mr -cwPSLaayk/X5jNezJXDRwHxzoOtUVuNIn4On50jg4ebLDmv8prL/KjQnec6V -gN72BuXVp6aydWaTJMbzcD16bK46HDuVTT01+16v+RJ4dezU83fpU9l7zWuW -FWH8cXRg5Kimqexxdv+gKFcJ6DwMf31Txpb1uXQ+c9cCCUSGSnqxo2xZZ/7+ -IaeFEjATJRz/O8WWneiUajlikQTG71VZXL3UllW6U/6Fw7j65oC91bttWeEX -HwmLJaC0+EWPfxdt2cIFfZeHLZHAeus+XewLW/bFw1GDV7nh8+/77nm70Ja9 -1Nvyk5k7Pl+rn6tOpy27Z8WcI72XSiCoYm7Je+VprEeCt3UpxpnTfb8fN5rG -ssoH+JhlEoiKDE3ZOGcaq+UTHr3XQwJjFPbabtk0je2V+mCFy3Jc/971By+c -msY2DU9T01yB6/XKL6TywTQ2fVtFdgfGLz6kb7b7NI2NyRaOpnhKYJHrfoss -0TT25BgFm/NeElgqpyH272fH+gZoda5ZievbY+y1KePt2DmllrGTVklgdL3q -/LGz7FgT47lefVdLwD5lzlCzDXasavBa9QqMfSdY//E8acd21x/IebBGAv90 -xveNu2/Hlk6+EHjAWwJ2QzY7jvxkxyaefzhl7lo8X80zPjwS27HXuLQurXUS -8K8Wn/JUtGcPOFTe78Q480lwrOlEe9bzZufK9+slEB5gpzd2jj077bvCsIs+ -eN/SJg5k/OxZ3Xnaees2SKDvajff7WH2rHys1XHrjZjfbrx3/vzEnm2Tmcf2 -98V8r7whwbHQns1auq67CuMfTy2SGr/asw+fBTyM2ySB/cUKnhFDprNnFC+u -PrQZz+MIiD9kNZ3d4v1ouKufBJwOjEo6vHQ66wrv83W34N+35QPv7Z/OmqtX -nejG+O/PVs32m9PZIVu6pmZslYBp8LPQuSnT2R8f+32/7I+fp9bdUNo4na3U -1onbsA3v24DpukfkHdi3e629p2yXgLnOxGVz9B3YW4XzNAbuwHw43fTCFBcH -9vCE9YW1GJ+JzKp0+R9N5x2X0/8+fiNbqBCy98gM2ScjaWjRTnsv7b133dOK -SCh7liIUl5XNm0LKzEio+5wjJEm/6/M71/fP6/HOfZ/7vF6va7wfz9fjGbiW -cUtPFJ2NUEBFseuSxG1rmbVvd65KjVSAW/9NF5+eX8tMXXSmzSJKAc5bB7gZ -1q1l+m65VTQlGtd/w801HzvWMk1fXnu2YdxrK7jvG6fHPFr1c/T9GAUMvLLw -SayuHlO0p//zvFgFDAuz2BLrrcds+zFBEhCngND4ZUX5Ej0mbP3SNTrx+Hvu -dF30oViPsTps3q6SoAALr6J5Bs/1mMWd3mc/YFy1dd3x6j96jIZ1kve5RAXE -58PRhDHrmI6iXWMzkhTw4F+ntvGadczbPkU11sm4Pqu+ODPe65hrLrel01MU -cGaA6ZwN0nVMYfkb3b8YTx3372RWyTombfCvvw9TFfBn3dn69y/WMV7+yqX7 -0rA/8Bj7wuHfOsbg1kTfoHQF3PHkczsm6DOaY5aNX52hgDl6N+aAvj4zIHJD -7eBMBVzqNe1w4WZ9hnvsI2/AWLXkePcTO/SZqmnJeheyFPDVstL8Wbk+U5qc -+y8rG39/t29549/rMzkvi87ZiRTw/Ebyt629DZjI+Xf8ZooVcOBQo97k2QaM -reTthE6M15/0L39lYcAsb/hV91iC/WK1lcn5WANmDDNga4EU16f/+/4lhQZM -112T9ENlCnBYvKyj6p4B84Fb1mWtXAEbZ4qmDf1uwFTqbyxT34L18mjj7tjh -hsyRAt+ALxiLN7ra9lxpyGS1J08q34r/vfJfYImXIeO7cfcr8Tas58Eln1Pk -hsz6U8XbHLbjfi8LuB1xwZCZ3fOuwZwd+DxPVIfL3hkyqo7vunbLwf6xNvzT -3d5GzI+y1gvVGKdXeiyYOteIeT5oYOChnQp45FqoctzGiLngPXlKxC4FRNm8 -TzZJNmJ2X1/+Zl2uAtzHPNoy7IQRE6thsWPEbqy3nT30ejw1YhxC/YyaMH6k -NvrEkA4jRudhSvcrexRwRWffLf3J65nxk/dckuUp4NaCXgUHTNYzSglng5z3 -KsDQ+47uqKj1zOeau1O18rGeDTW4VFGwnrk7p/6t0j58X0saeyU+WM+cyPqd -8xzjsVtbFnr+Ws9I3g80Prof9/vOYpPgscbM5qVTekQfUMD1BHvbAwbGjNn2 -FRWGBbh/F05w/BFqzGg1W4SMKlRApOM0D999xsyQtf7TWYwlxhkRPe8ZM635 -qfVXD2J97mOwp/KHMVPbumfX1kMK+LkmpPboGBOmwrTExO0w9nMObYuLDEyY -/GP3ei48gv2B4+2bL8NMmMRu7y/3OqqA8T8rkmYcMGFc7NpCazE+H3Q6ct8D -E2ZN6SDNE8cUsO+OW7H2bxNmsvLUD7HHFdBe+Wj4HxVTprcHs9v4BOa3sLSU -r1NMma9XLM3GnsTz9OzY8OblpswD9YDe3zH+ldPnXdeNpszpwDS4cUoBTiV+ -dVN9TJktd/PCd5zG/e6/o79LoikTMr50pucZBTw21Yk+lWPKWMTc/7ioCH/P -zIlT+p0yZbSfvt/Tt1gBNzS+D425YcoMn/nH/BXGz0It1nfUmjLtaSp9T5/F -/fG85eEWzpR5/WbqtYQS7G/H+Oct6mXGgLZOpFkp5oPNdhX8KDPmgNxq9oRz -2E9N2DD38nwzJuVLQMMPjL/XvP+329CM8ViVvvfWeQVcdds2VeRixqzbs3fj -rjIF6Lz+XCyJMmOm/yjt53MB+znppvwCOeby9Q+uL72ogHf/fPm7R8wYxaEP -UcqXFDDuW+rp7mDGPP73Z85bjKvWDq41eW7GnLVSbSwqV8DcJYmhp5vNmO1F -0/YlVyhg+LhpqWN6mDPhfVZabryM/VWWd7/CkeaMtYu18uQrCtiklaO8eL45 -s7R8881WjIcr/5PUG5ozowZnxNwFrN/zv8ryXM2ZTr/8eXuuYj/0Y+9Qnxhz -pr7y3Be/a5ifb1pOMthmztwY/XD/iusK0FxrBMtOmDOHIj5aDbqhAN81RZ9X -3jBnMh63D3iPcf+S0wdsXpoz3tPUbpXcxP7xpD+X0mLOGCZPj0urxPUOUq65 -2m8DM/PlyvlWt3A+8DhqPWjiBmbQfJtvU29jP6e2ITJ42Qbmuziw4A/GxeNH -Lv68cQPz9FOGzYM7+DwO/fMD/Dcw51fsG5R/F5+3ePLx3ukbmF07z9/efA/n -54leTufyNzDR3MP4lfexHr+8fSO0bANjr/9pgdoDBVgP065Z+3gDs6Lgb9NH -jJ0XHtg748sGZmy72sHzDzE/H/82fEK3jUy3jTPsMh8pYFaXX8YzNTYyn06u -UrX9D+e1l7mMwfyNzO0etndnPFaAys/jiqj1G5ljDkGJHRgvHt7qUOGxkRGV -ZWr/90QBZVPWblNJ3Mj4D9qv2F+lgPsqttKo3I2MiXfZoeBqBajXKsx/nN3I -zL3+yH7NU9zv0ksfkh5sZNQ0GtSGPsP+09R/9fiGjczPkI57nzFWMygOfda5 -kal5MDj54nMFuJydHr1nuAVzaZLmYlEN5oO7EZahWhZMXvxqzv4Frn/dnP5O -6y2Y+BrbI7NqFfBqfuNeB08LxmlOsEOXOqz3c6YPCEyyYFZlZQ2pwjhoRpzj -9j0WzMT3+x8UvsT9lOq8/f45C6bn0gspYa9wvt5ndmbIYwumcdt/S/Re4/rU -Xzsb/NWCudfUwA97g/vh9NgD75UsmZO6/45+xTjX+3ms+xhLRpo/xKniLZ6H -UN117YstmaBWTXXpOzwvM+71OLjRktlguuaRY70CtjdeKnPcbMksOGaXNvc9 -7qcOT6dZ2ZaMereQZd0/YD0v1eg26JAl02ab3fIU4w6TEfuUrloyL0sOHD/8 -UQFj+hQs7v/Skrnc/6Jz5CfMF/2/VU/+Zcnsc388zKAB60PMlMCNKlZM0pXP -/2l8xvPmnTJoh6YV46remd6McWTtxLJGPStmbeDQFdCI5/PqDA8TVytm6t2Z -P+VfFDC929kxd+OtmL7jdU+6fMX86H//k8VuK6Yp2t51/jcFWEHapZ/nrJhH -1SEjejZhPchv3Hf0iRVTpCl6UoPx+byfOwOarZitaQWZx5oV4DXv6EG9PtZM -6JuLTIwC1/vqz9tak6wZS+0nv4zY//3/kIfdtVZaM4vkjadGc/h914fZ6m6y -ZjS+dLpxGNsFXnrgE2XNdKxUH3mdV0BpumxT4Q5r5u3uWdXbvmO+rgkYwBZb -M9dadLPdW7BfVZ1Wv/6RNVNotGml9g98nruZNfDVmkk7FPq79088f17mLWt6 -2TCe/0Rn6jCeuWjNwtcTbBh9q0KPk7+wP7MfWpCpY8PMKLo0Kr5VAfpV0Yv0 -Ntkwyn2qnpr8xuffPKp9WLQNwzl/EY1rw3n+beG3jhwbpupSl9UtGA89fKrf -rxIbplRt2J+bfxTwYdhT+65PbJgcv9nFOe34eS7H341R2DCRlWu9vP4qYHP8 -5V1m/WwZ29EOY5Z0KMB8yfb0nKm2zLKIsOf9/mH/MO/MCYWuLTP6sVjyGmOV -qsw+tq62TJdpB9ec6cR+wXTHgReJtsz7pPL2xC4srCxZFeaTb8tU1lWdNe/K -wtbbv9MGVtgyR7S+ek/sxkKXycOf3a61ZQQejoWnszpdt7XaMgIPx4JJny7z -g4fYMQIPx4Jvgc9aNy07RuDhWDh0IiPP28yOEXg4Fq7k5monb7ZjBB6OhZTC -V8NOS+wYgYdjQX41fWXzCTtG4OFYGHL09lnmnh0j8HAs7P3vjv+hRjtG4OHw -ebxPhYzuZc8IPBwLhu5bK49PsmcEHo6F5b5SN4M19ozAw7Fg86bYrMPFnhF4 -OBZSa3tKbyTZMwIPx8L+WVtG7N1vzwg8HAsW7sa/s8CeEXg4/L6LC6Zmv7Fn -BB4O/15j0Ym9HfaMwMOxsFFTJ/nmyE2MwMOx4LNi3ul/SzcxAg/HQreNTbON -7DYxAg/HwvFRa3ufit7ECDwcCxPbBi0at3sTI/BwLMzi1K8evbiJEXg4FgzK -xhxYXbuJEXg4FjJONrzkf29iBB6OBb3nE4NKhjkwAg/HQu/3IufMRQ6MwMOx -MGPC7VOB1g6MwMOxAFtiLP0iHRiBh2Nh3V09+5hdDozAw+Hn97oJey84MAIP -x8LaGclJ1S8cGIGHY2FUiXLByDYHRuDhWFhR8W1c1HBHRuDhMO66p+uXxY6M -wMOx8ObFtpV+to6MwMOxUD1/3ftuMY6MwMOxsGP/hI8n9zgyAg/HwiQdkZ5P -hSMj8HAsPHv0uv/S146MwMOxoJEfMn/MP0dG4OFYmGZg4iga6MQIPBwLlUOe -PRs01okReDgWpl5o7tg3x4kReDgWdBIv1Cxa6cQIPByuT5qZ60szJ0bg4Vio -Ofhkf4aLEyPwcCy0pxrLl4c4MQIPx8Jned3cjhQnRuDhWLjQlpp2a7sTI/Bw -LMjO2YhyDzkxAg/Hwr9459Xh550YgYdjwe/pgVP2t50YgYdjIap15D2jF06M -wMOx4Nn0ZNfaL06MwMOxkBhVMdzgjxMj8HAsxE1+ZmTVz5kReDgWvi1VmRcw -0pkReDgWtl13uSud6cwIPBwLH5MvqF5cgSPZ/+fhWDBX+qfcbOLMCDwcC7fF -GuXTnZ0ZgYdjoevYX8ODg50ZgYfDOGHz9BspzozAw7HgP8WjadQOZ0bg4fD9 -mJ9zTD7szAg8HL6/X/NTuTJnRuDhWOhz46KN111nRuDhWKgfN+TdlzpnRuDh -WLDTHqIe1uTMCDwcC6J3qf/6/HNmBB4Ov79kRs7RgS6MwMOxMO/vw9em41wY -gYdj4dNVzWfdtFwYgYfD31/RI/bKGhdG4OFYqHVSq0qxdGEEHo6Fuqf9a8y9 -XBiBh2Ph2I9D2TOiXRiBh2Oh1FTWpCx2YQQejgXNmeF/2/a6MAIPh/kuSekS -e8aFEXg4Ftxb301kr7kwAg/HQq9vp5f9rnZhBB4Oz7v3gK79GlwYgYdjIWxu -XtCU3y6MwMOx8LCIl6zv68oIPBwLF3scsogb6coIPBwLph3r7pyf5coIPBwL -49X8P7XpuDICD4f5KHDvybUbXBmBh8P31+Qyaq+7KyPwcCxY912t3RHhygg8 -HAsH2qDNI9uVEXg4FrJ2WLvV5bkyAg/Hwky3zHCrM66MwMOx0Dfn9uw311wZ -gYfD399DJAt46soIPBzmxwVrdvT+7MoIPBzmvw3OOifbXBmBh2PBed56uU1/ -N0bg4XB/G29OHTTGjRF4OBZU780Y+WSuGyPwcJhvAj5Y7lnjxgg8HNaTYy1a -m63cGIGHw/0mO3/WyMeNEXg4Fo7UJldpxbkxAg/HQv8PJ7ZOlLsxAg/HQtuJ -pO+jC90YgYdjQb3GlB9/3o0ReDgWLI+5SOfcdWMEHo4F2z4dd/ReuTECD4fn -Pd5lvxfrxgg8HAvr51Wpbevmzgg8HAsvvmWMvz3EnRF4OBaWqVXcV5rmzgg8 -HNbTM4UDjJa5MwIPxwLrGvg1z8SdEXg4FtJCTR1aXdwZgYfD83A1wNsu3J0R -eDgWcm5873s3y50ReDh83pwuq3T2ujMCD4f7oemC8rUid0bg4bDeqS32N7zp -zgg8HAv5P3Y7vq1xZwQeDuuJ6s8Psd/cGYGHY+F5hm3bhE53RuDh/lffX+Y/ -VfVgBB6Ohevvk6ulkz0YgYfD/dxqm2O+xIMReDgW3K57NYwx9mAEHo6FPRtO -X//l7MEIPBzmR7Pp02vCPBiBh8P887tG41qWByPwcLh/1c/klO71YAQejoUG -tYO5xcUejMDD4fv/eGTChUoPRuDhsD4POap1p9aDEXg4FlZdl96pb/ZgBB4O -+4ndq+qVunkyAg+Hz/fmcOzcoZ6MwMNhv+OwJ9dzuicj8HAsDI79u/DICk9G -4OFYiJ5SuIEz92QEHo4Fq3duilWenozAw+HvKVXruS/GkxF4OKxfOvG5SnJP -RuDhWGBObT4SctCTEXg43B9FZ2Y1XfBkBB6OhWuSbrMCHnoyAg/HwgDVaQfb -6j2Jh2OhNeedTPrLk3g4XI8uH1jNfl7Ew7Hwvbzh+tMxXsTD4X67lt8rfb4X -8XD4/PJLFTr6XsTDseAwr+GdkoMX8XAsBGvfC64K9iIejgVpWb+gYxlexMNh -P2VmVJeV50U8HOaradNPhRR7EQ/HQtJXptHjlhfxcCwo3ZyY7frSi3g4Fib4 -+G3x5ryIh8P8MeTI38ge3sTDseCa6Hxvywhv4uGw/nyd2FYy25t4OBYWDYnI -eLvGm3g4XK9VdSGDbb2Jh8Pv035YYb7Zm3g4PD/a9512p3oTD4f7u4+Rw7dc -b+Lh8P3wN0p0z3gTD8fC5pJCx2M3vYmHYyF3q7XT0Dpv4uFYSDcxKhWz3sTD -YT2Z+smmfw8f4uHw99WmmewY4UM8HOaTXMm2KXN8iIfD/DXSeuINXR/i4Vj4 -sWV5Fy87H+LhsF87uHXS0CAf4uFYuBNxdOvDdB/i4VioOv5AV5rnQzwcC8oW -+sutz/oQD8dCU0/3iBl3fIiHY2HMXZfvvd74EA/HwsCRySebW3yIh8N80Pqv -8FUfX+LhWNC9q1LzdIwv8XDYD4Wzq58v8CUeDve79d3Gd4a+xMOxULzi4Y0f -zr7Ew2E9959UrRLpSzwcC1P2/B68SOpLPBzutx/eGZ4HfYmHY6GHeu7U/Zd8 -iYfD/atW8Kv+sS/xcCz89N/Lan72JR4Oz/fw/SpJHb7Ew+F+jCm3faPmRzwc -CwneHXfWTPcjHg77o2mBtqU6fsTDYT8bOEJ5ppUf8XD4/M6db077+xEPh+dL -NvrR4lQ/4uGwn2gPefFwtx/xcFjPBv1u9yn2Ix4O+8sT+xaq3PEjHo6Fx4Ps -06++8SMeDvvdHyO+RP70Ix4O92/ILfsl/f2Jh8P5YKjuW6UJ/sTDsRD+OCrg -xWJ/4uGwvv9b3fucqT/xcCy8c084vtvTn3g4FvgFShuy4v2Jh2NhZ48jnYk7 -/ImHY6GketHppJP+xMOxENgzw150w594OBZu+dj1zq/zJx4O37dW7JmLvD/x -cFgv20+YvOkdQDwcCx5XjjX0GxtAPBzOI/VzgldpBxAPx8LL6W1sknEA8XCY -D2+d33TPPYB4ODzfq0dc1IgLIB4O6+XWx51h2wOIh8P5s1fK7NoTAcTDYT9o -VaOreyOAeDjsP/Zs1rlUF0A8HAs99b+OXPQ9gHg4Fs4sfv/iSp/NxMPh+zuk -CDIet5l4OOyPJxR+ali0mXg4fL7jsrmZppuJh2Phl0xnwzyvzcTD4XlOX7Hu -U8Jm4uGw39g7YMCBnZuJh8P+cvW8Avczm4mHw/O5ako3rdubiYfD/u+q54w+ -bzcTD4f94gofjcZfm4mHw/rz4PFnz16BxMPhPJxyZbyTWiDxcDjfKM+/bD8m -kHg4FuY7fTq3aUYg8XDY7+Sl9nPVDiQejoWjqtcr/FYHEg+H67HU6E60SSDx -cJiP4D9NiV0g8XAsZAa0NhZ6BhIPx8JdPb/2KyGBxMOxUBDyzOVNQiDxcPg8 -ui9GdRMHEg+Hn79s2IzpuwKJh2PhdFftLMuDgcTDsSD2fLowoyiQeDgWLvlK -51VUBBIPx4Lxgq4xP+8EEg+H/c/g2wO0ngUSD4f9SWpkU2h9IPFw/6u/J1XL -mwOJh8N59O6vhB5/AomHYyHE8du8jT2DiIfDfqmnxvQjqkHEw2G+7j7E7d/o -IOLhsF+96fHWZkYQ8XB4/l/v2X1RO4h4ODzv/VdsH7UmiHg4FhTzn91LMw0i -Hg7zj/PnZd/tg4iHY+HBjd+NLt5BxMOx8LtU9LAmLIh4ODxvJWO/mCYHEQ/H -gr37wiWPpEHEw7Hwdrs+mOwJIh4O84XWs/BnR4KIh8N+wdLc0bE0iHg4/P1L -F0U0Xw0iHo6Fyav+ViQ8DCIejoVYTmX20Log4uFY+Mt9vV/UEEQ8HNZ31dYt -Ji1BxMPhPLDuYML3ziDi4fDzjzlsy+0fTDwcC8OC5PfXDA8mHo6Fwo4Dk39M -CiYejoVxT9oKjswLJh4O5xX53xU4/RMPh5+npNw2wiiYeDgWvNXF/9VZBxMP -h/P58xdX892DiYfD+hRset8jOJh4OJyXlxo3z0sIJh4O98ORGZO6i4OJh8P6 -ZaATVLMrmHg4/D0v6/87cyiYeDjMb/PcGPHZYOLhsH8xGH7FD4KJh8P6uMzM -wOxBMPFwLJxYZft+cW0w8XB4/i8mpk5uCCYejoV9IwfOVm8JJh6OBaNexu/6 -dQkhHo6FxQtSc5SUQ4iHw/2c9Muk64gQ4uFYmPr5c99uU0LofjYHlQm5lT3n -h9D9bA5CUw3iB64MofvZHAxYv0hrpHEI3c/mYKhp/ntNuxC6n81B5vjz2Su9 -Quh+NgcHIsqm2YaF0P1sDkrGvrgcnhxC97M54Pob6e6UhdD9bA4W6OteKc8L -ofvZHNR3tkz+eCyE7mdzsDsyPW5QWQjdz+Zg/LBpV3VuhtD9bA4mOPVsDn0S -QvezOehUrO126k0I3c/mID9B5V/jtxC6n82BzpfsN1PbQuh+Ngf6Y54f8OsZ -Svez8ffNHby2VC2U7mdzcEvf7W7n2FC6n82BStGHaSazQul+Ngeqt065FywN -pfvZHIzq8yq6bV0o3c/mQKqS7rnRMpTuZ3Owc+u1aSWuoXQ/m4PBtgUwJCiU -7mdzsMl0yZTY+FC6n83BkMhchwZRKN3P5uBf80PXjbmhdD8bn6/xw/xbh0Pp -fjYH7y98+m9paSjdz+bA6s7LeeeuhdL9bA627H5gN++/ULqfzYH2g4p1Ja9C -6X42B22qZ1q1v4bS/WwOPJcf9LjaGkr3szm4MW/PNqMeYXQ/m4Nn3+Wxr1TD -6H42B1d2JI4JHBtG97M5uGfoGt1rVhjdz8b3vWmOqGBpGN3Pxt87/bXJSv0w -up/NQfX0Tbc+WIbR/WwOWpsPNGW5hdH9bHyeEXuuagWH0f1sDr74L9SpTwij -+9m4vpcdvbdKwuh+NgdKP7os0dsTRvezOQga3K2o82gY3c/mQE9b/275+TC6 -n83BDtGllJibYXQ/m4Ncy0WvV1SFka8E17OloLrHuzDylXAgrn7h9rg5jHwl -HFjEnZfmt4eRr4SD+ZKhJoF9wslXwsF/pU8KddXDyVeCz1d+JXv0pHDylXBQ -EXO2a/u8cPKVcHDzc0LPlzrh5Cvh4IjS75wrxuHkK+EgrelL0SH7cPKVcND0 -cLy53CecfCUcnP3mHxkfGU6+Eg4e5m0fF5geTr4SDmYYORi6bw8nXwn+vkh5 -y6aCcPKVcOBeqlC3LQonXwkHhw8tOW9zJZx8JRj3mP/A/kE4+Upw/+zfZeVa -F06+Eny/VhMt/RvDyVfCwXSNLZXRv8LJV8JBz+85hSKlCPKVcPCt5VvzPtUI -8pVw4GO4pqBsbAT5SnD9FphfqZoVQb4SDmZ1vcXwyyLIV8LBx4HGE9UMI8hX -wsHdpznei2wiyFfCQcNTA2VnzwjylXDQclhVWRIWQb4SDma3bPeoSIkgXwkH -60LC1dktEeQr4UDzu82oSfsjyFeC/97wXZjD6QjylXBwzv/o6D0VEeQr4cDP -wmTIy3sR5CvB/a0SaDW6NoJ8JRysul743u1zBPlKOJDnJJWe/hlBvhIOfjzK -v9fePZJ8JRy8K5eON1KNJF8JBx8uv7+4b2wk+UpwP+pOl/6aFUm+Enz/1d/z -TJdHkq+EgxWttz+cMowkXwkHy820nAbYRpKvBNdfXjEw2CuSfCWYj0O+sC/C -I8lXwsHUu8v/rUqLJF8JB3H+SxcVbYskXwkHXda77BlbEEm+Eg7AZc6k7UWR -5CvB83VhXHVfiCRfCdYXz6KC1IeR5CvB/LBj45auryLJV8LB28jUvKSvkeQr -wfxjUXpNqS2SfCX4PPGW/7J7RZGvhAMv85cmg4dGka8En1+nuGT/xCjyleD7 -qlwzZY5WFPlKOJD5djl6fWUU+Uowf9010rI2jSJfCQeNafJbnEMU+Uo46Lth -jqPYP4p8JRxk89I/02OjyFfCwejZyjkPsqPIV8LB+YY+s4Nyo8hXwoFzl/fX -hx2NIl8JB/OCOOMb56PIV4L7a6n0cWBlFPlKOJjir6o77mkU+Uo4uDi+6tjT -91HkK+FgTOrYv9l8FPlKOJh0y3jxmi7R5CvhYND0kw5dBkaTrwTrdUeaN4yK -Jl8JB/G7p1glaUaTr4SD4Z51E9cujSZfCQeFzz79p2wQTb4S3M9uMosX1tHk -K+Hg0t4RJYc8o8lXgr9X/e6nsPBo8pVwYB5a93VdWjT5SjhY5CyG0dujyVfC -QenOEc6tBdHkK+Hg0KsrD6qKo8lX8r/3VdxZdDWafCUcnHozuXXLf9HkK+Fg -v8PGorA30eQrwfoa7DvVvjmafCW4HsPy7HX/RpOvhINPVoMN5vaLIV8Jfp9u -F8WYETHkK8Hf3yVbV2VaDPlKcL8U1a7vsSiGfCWYb8VDlP6ujSFfCeaHKmf3 -nxYx5CvB9XzwLoB3iyFfCQffH50dxYXEkK+Eg5rFvwL45BjylXBwIfy2488t -MeQrwfzXptPcvj+GfCX4vlbFDO5RFEO+Eqz3L8RVgyCGfCW4vmbZE8c8iiFf -Cdbjdem95ryOIV8J9iteWyJXN8WQrwTrpdOlUJv2GPKVcFDAKrcF9Y0lXwkH -2+tyukmGx5KvBPfX903S41NjyVfCwb5BPrn3tGPJV8KB44irY5vXxpKvhINt -HS7jVC1jyVfCwdqzG3Ytdo8lXwkH1ku2JLuGxpKvhIOAA8Ney1JiyVeCv//v -x4NXtsaSrwTztS/7nD0QS74S7PfUZwdOKI4lXwkHvebm+ttcjSVfCeaXn2Mf -bPkvlnwlHDgdL8t88CaWfCW4//ON9vdRxJKvhIPi6Q8H6nfEkq+Eg46iiU+z -+8eRrwTr095lXx9pxJGvBN+v61/jITPiyFeC+SVFv3PTkjjyleDfp/X6fVQ/ -jnwlHCT8Vl/4yzqOfCUcfI60Pa/rFUe+Eqxfx0+G7YyII18J9huLvwZ+S48j -Xwnmz9dNB1fmxJGvhIMkS/GA3YfiyFeC+dM///iP0jjylXBQ2/IrzOxmHPlK -sP+95elfVB1HvhIO7O5dlah8iCNfCQemzf9Vh36PI18JB92mOC+r6xpPvhIO -5kauuLlSJZ58JXgevs72OjE2nnwl+Hlb2yeqz4knXwmeN5F7ayoTT74SrI9/ -Zr/6YRxPvhKsz4M0Hns4xJOvhAOmx6f/6vzjyVeCv3+4wQvTuHjylXDweJdS -wx1xPPlKOFCuefBzVV48+Uo4uDPXRglOxJOvhIP7Ax0GLC+PJ18J9rf1kkGX -78WTr4SD9DG5vZi6ePKVcLB1/Szu+pd48pVwsKu17da6tnjylWA/WXI483Hv -BPKVYL4Z83qu7bAE8pVwsObTavg0JYF8JTjPnE+YGaKdQL4S3E/rtaK66SWQ -rwTzXVBn/jbLBPKV4PvmkvdM9kggXwn2RwdsfcvDEshXgv2/57h+5mkJ5Cvh -YOPMwIiv2xPIV8KB1pfXJ1MPJpCvBOtDbueRsaUJ5CvB/Kzn5X7lRgL5SrCe -9jhWv6k6gXwlHBRxpsM73yeQrwTnt1nNvQu+J5CvBPdXZKrlhK6J5Cvh4HbZ -0KRfvRPJV4L18ndg8r1BieQrwf7i/TPrfcMSyVfCwZmxGd3CxiaSrwTrrXtl -nOHURPKVcJDq+fjO+DmJ5CvBfPK+of6PdiL5SvC871x7u5pJJF8JPo+RZsQp -vUTylWB9elDNZpgkkq+Eg9NfIma7WiWSr4QDsyjz+YxjIvlKOOhjlfdXwzOR -fCX4flwl6W0BieQrwfqVZv+4JjyRfCW4v44yL87HJ5KvBPulK755OemJ5Cvh -QFExWCNCmki+EuxfDvibW+ckkq+EA3v/ozpL8hPJV4LnZ+qvDyMPJ5KvhAPD -+vQlXU4nkq8E8/uxrFUfzyWSr4QD4z2af+5cTiRfCQdlT/McT1cmkq8Enze6 -Z9D2h4nkK+GAL9uuGfMskXwlmB8vB8ldXieSrwT379Vbuw0+JZKvhIOIznJ9 -reZE8pVg/1QRuWfkz0TylWB/pzNB2rMjkXwlHPytezeGV0oiXwkHIfXPjF/1 -TyJfCT7/mUnD7wxOIl8JByuPfo8tHZlEvhLMR1oOkQcmJpGvBOfla5l9ZJpJ -5CvhQHRry6y4+UnkK8F56urOT77LkshXgudz7gVNuzVJ5CvhYObBgd0MjZLI -V8LB5aMXXZduTCJfCeaD549NNO2TyFeC8YOAm6PckshXgvl3zkkY6JdEvhIO -wk7tZ7qFJpGvBPPTb5dVP2OSyFeC9eR+71uNKUnkK+Hga+PhO69ESeQrwX57 -hNm6J9uSyFfCge6cEatv7UkiXwl+X/fBZeWFSeQr4aAq2KSg+EQS+Uo4OOjx -qtvRkiTylXBQ+fDu8/zyJPKVcDBi36SROTeSyFeC/VHt38eS+0nkK+FgdYpd -S1p1EvlKcF4+YZQU/zKJfCUcZHnVRUZ8SCJfCfb7tcovAr8lka+Eg5xJbJ5P -SxL5SjgYGptW6daeRL4SrF+Da0wcuyeTr4TD9v7LYtt+yeQr4SBY/WqShVoy -+Uo4OKHhOMlMI5l8Jfj+dt4Zv35CMvlKcL2aOyL0ZySTr4SDF9md49dqJZOv -hIMHHx6MW700mXwlOD+FuwXrrE4mXwkHVvdvqK4wTCZfCQcDgz93WbYhmXwl -HAzrcX/JErtk8pVg/z7V+8oi12TylXCgmlmepe2bTL4SzC+vLu1eGJJMvhLs -jz46sAtikslXwoGlX37agpRk8pVgP6q32W6BKJl8JVgvLG4FLNiWTL4SrMdx -Oy8v2JNMvhKc70qf6S4sTCZfCc5zv4J7aJ9IJl8JB/eMnP5olySTrwT31x3J -+MXlyeQrwfqY+TVmyY1k8pVwoFTqprTsfjL5Sjgwiv9ycXl1MvlK8Pknue9m -XiaTrwTn+ZbrhSs/JJOvhINly5qrV39LJl8J9ptOD6eubUkmXwn2r/cM969r -TyZfCfbLLSaLDLunkK8E+4dNVxXr+6WQr4SD5znJ10zVUshXgvt1aczpDRop -5CvhYBwvKbGckEK+EuyX/fY+spmRQr4S7Bd8RR32WinkK8F6UbFohdPSFPKV -YD+wME3qujqFfCXYf181afIwTCFfCQfehuEWPhtSyFeC/V3Ns9v+dinkK+Hg -ZpDByiDXFPKVcLBF6wyE+qaQr4SD8DVvdCJDUshXgvu7tuh6TEwK+Uo4+D2/ -c1VCSgr5SvDzj+yHZFEK+Uo46CH3XZi+LYV8JXjebBccytqTQr4S3I/JVX0k -hSnkK+Hgl2yoi/xECvlKMNZ4emJbSQr5SjBfPnj1Mac8hXwl+L6tuvbbfSOF -fCU4jyWqjNx7P4V8JRxEabwasr86hXwlWD/6zf5V8DKFfCXYL6yquXToQwr5 -SvC8XN/vfPRbCvlKOBDnWTYeb0khXwnu55brRqfaU8hXguvbelp8pnsq+Uo4 -WNj0/lBxv1TyleD7GD85p0QtlXwlHEz8t8LunEYq+Uo4mPb9+/fzE1LJV4L5 -NaWn3YUZqeQrwfq1dvmWi1qp5CvBfvqjzbZLS1PJV8LBUdXRjuWrU8lXgv1b -nsH3csNU8pVg/7Fpn0HFhlTyleB5X/XSvcIulXwl+L4MKlZWuKaSr4SDkZmd -L8t9U8lXwsGqMc7zykNSyVeC9XJt3qpLMankK8F+QM+378WUVPKVYH1LyMgo -E6WSrwTzmf2xsnPbUslXgv2ha+aukj2p5CvhwGPayynFhankK8H9amPlevpE -KvlKcP2Ki9edKEklXwkHdd3znx0pTyVfCe4f7f96HbyRSr4SDhavbX21734q -+Uo4aNa5bb6nOpV8JRzcMGQ9cl6mkq8E89f+0epbPqSSr4SDDEl3Z9G3VPKV -cHA8wnBVWksq+Uo4qHhRcCG+PZV8JThf65y7FtE9jXwlHEy2mGkX2C+NfCWY -n6pKk73U0shXwsFu0Y8FThpp5CvB+dl7e7DVhDTyleDf+y1daDwjjXwlOG+c -lMWv0UojXwn2z5YL9ZcsTSNfCeaLkupts1enka+Eg7uj+9lPNEwjXwn2swMT -9g3bkEa+Eg56D35i198ujXwlHNhWHZB2uqSRr4SDh322LPjuk0a+ElyPrcuN -Pwanka8E6/cm3efPotPIV4LrH6p7/1ZyGvlKsJ/7Wzu9LDuNfCUcGGjlfz+8 -NY18Jfg8mzXH5uxOI18JB10nji5OLUgjXwn2r6/6FQYfTyNfCdZLHUmL49k0 -8pVwMF518X6jS2nkK+FgyaxDhxddTyNfCc7rEg+liffSyFfyv/ylUjagKo18 -JTj/pyyq+F2bRr4S7Hd3BKi8r08jXwn2T5/WlN77kka+Eqw/vwwLzvJp5CvB -euynVpvblka+EtxPPadbJnZNJ18J1vMDo9Q9+qSTrwTnF+2Y4YYq6eQrwfz3 -7pHt7OHp5CvBfPO08IXquHTylXBwzSNV/nNqOvlKcL7p/yehZk46+Uqwv7SS -HLywKJ18JZhf/1S279JJJ18JB/6V01Mi16WTr4SDp8dXLrIyTSdfCQc+5bJh -C6zTyVeC88jU3HGqTunkK8H+eU29qcIznXwlHAQkfy28uzmdfCUcNIYtHHYw -Ip18JZgPnuqeiEtIJ18Jvo+wXbaWGenkK8F+2vzUhFmydPKVcDA1vZtSj53p -5CvB/tN4SFtdfjr5SvD9K7t0KTqcTr4SDpbquqmnnk4nXwkH6/NzllqdTydf -CQdBH4J9pl1JJ18JzgPdgw/8qUwnXwkHMar939x7mE6+EvzvehdG7X6WTr4S -7E+7NG/yep1OvhLM71fG5C34lE6+EpyXp1U+69qcTr4SDrYNMOv98Ec6+Uqw -n3FeOHfn33TylXDQZfnn9U5KGeQr4aD83VO7qf0zyFeC56t0szWrlkG+Eg5s -1k1ZeU4jg3wlWI/MfdSiJ2SQr4SDOcWHHyyfkUG+Eg5i/fR9umhlkK+Egy8l -V75cW5JBvhLMr++C1iWvyiBfCQfHQh6mrDTIIF8J1qvhS3M7zTLIV8JB/NEJ -6RU2GeQrwf5oDKsX6ZxBvhIOPh5TejXPO4N8JTgv591jmgIzyFfCQXTU4cCD -kRnkK8F60fTX1y4xg3wlHFx/OHe2SmYG+Uowf48/WlYpyyBfCfbTo653i9qZ -Qb4SnEc16pVn7MsgXwk+/1H35y8PZ5CvBJ8v4YyN6HQG+Uo4uJAxasfi8xnk -K+FgTI1yesPlDPKVcPDs7OtpWyszyFfCQXfZl7hlDzPIV8LBhoGShE9PM8hX -gnGvCZqSVxnkK+HgSXSPJK2PGeQrwX4wXxLz4lsG+Upw3n2uNjy2JYN8Jfg8 -5azl6PYM8pVwMHhI5Dzolkm+EuxfXnc75tA3k3wleL5WfSr7q5JJvhJ8n4Uh -9rnDM8lXwsGfbV23zx+XSb4SDm7X1ds9mppJvhJ8v8EexR5zMslXgvtH9G5L -h3Ym+Upw/2Tu/7OVySRfCeaL7r8aJ+tlkq8E++97s20vGmeSrwTz84c9hgaW -meQrwf4iK+NS7aZM8pVwkDyLOejpnkm+EpyHYwb0/OGXSb4SnHfMdF7Hh2aS -rwTP793RU3rHZpKvhIPR81veyVIyyVeC52tkz95DRZnkK8H+f82+3N1bM8lX -gv3xiR7bRu3OJF8JB2rpCXz+gUzyleB66ZmfHX0sk3wl+D73XK/KK8okXwkH -+j6DTYdfyCRfCdaHmuwp2yGTfCUcLFjtZal8O5N8JXhe9RW1qY8yyVeC8/vN -TWf+PMskXwkHifd/VQe8ziRfCfZjJb/X1H/MJF8JB68HFHSaNWWSrwTni+I5 -Xa+1ZJKvBPvzk/VrZ7Vnkq8E948p/zC3Wxb5SrDfm7NnW/e+WeQrwX5u9Igd -vipZ5CvB/Djz6H9PhmWRr4SD08XxOgvHZpGvBOv76ucfd03JIl8Jrt+lhott -s7LIV8LBj/mfK6wXZpGvBPeryoBv55Znka8E+50f8pUqulnkK8HzWnnwso9R -FvlKOJh9Ns7++oYs8pVgvZ9hojHMLot8Jbhfvxq2+rpkka8E60HzoebL3lnk -K8F5LErarhyURb4SzF/DF462j8wiXwk+b+ED86MJWeQrwfM7Lynne3oW+Uqw -nmsmNyyRZpGvBOfhFR1M0o4s8pVwUOU8Zn9lXhb5SrBfqxms1PtgFvlKcL/O -7OO97kQW+UowXy2d8iD9bBb5Sjj4OzF3+o2LWeQr4eCNbWZSx9Us8pVwUJ87 -6tGCO1nkK8F5oilR2e+/LPKVYD9yvHbZ/udZ5CvhYEi0sU3V6yzylXAww6yH -U7dPWeQr4UAzdILxnKYs8pVg/Y14ONa+JYt8Jfj+daY8S/uTRb4SfD89Nnqd -6ppNvhJcv/XhNVW9s8lXgv/++5kxvwZmk68E6/XY8SvU1bPJV4L9hVXz7IWj -s8lXwoGXz9zv5pOyyVeC+zNROdlfM5t8JRzsG7L/VZpWNvlKOOj1t2fnniXZ -5CvhoL+dY8OZldnkK8F+qqhSdm1dNvlK8PdWWfx+bJJNvhIONJqmj3pjmU2+ -Esx3KY7tjZuyyVeC/W2Yspx3yyZfCQelf9a/aPXNJl8J5s+CxU/ag7PJV4L5 -xaYxoiMqm3wlHExyD7r7NzGbfCU4n3t9u96WkU2+EswHLn7OP6TZ5CvB83yq -T0HTjmzyleDzdn+R9D4vm3wl2A9Ef+t4VphNvhIO7DKt1G4fzyZfCQeO3WbD -ueJs8pVwMGBU6r8DF7LJV4Lf7+/1SATZ5CvBevu1aUbIrWzylXBw5Nu4wdYP -s8lXgvPADPXUJU+zyVfCwebbLyNHvMwmXwn2gzrxbGt9NvlKOLCK6f+pqjGb -fCXYD13fZnmCzSZfCdafyrErk35lk6+Eg0e74MDGjmzylWD+uRgTNklJRL4S -nF/6O11s6SsiXwnWj5fhPqAiIl8JzmMv76ZlDhORr4SDq9NdepuMEZGvhIOb -putY1cki8pVw0MSkaj/VFJGvhIOvFqPebNUSka8E+1X7Ee+Ml4jIV4L1LStp -Se+VIvKVcLAryfrrFT0R+Urw/FocbAo2FpGvBM93mveKiRYi8pVw8L3ryVdV -diLyleDzTdt8M95FRL4S/PcPz32f4i0iXwkHDbOS3R5tFpGvBPuzrs+HBoeL -yFeC+cXvbE+1OBH5SjC/9Rk2tzhFRL4SDvI+99xmlC0iXwkHNZnx0z7JReQr -wfm6IY6P3ikiXwmet83dG5XzReQrwfzSR7lv/kER+Uo42Ku2a+OMEyLyleD7 -cjlw81yxiHwlWC/1JlktvyAiXwnmo6wxfa5fEZGvBH9PgKhmdaWIfCUcHD7n -Ctfvi8hXgs+bW3B5RZWIfCVYj6tX/lf2QkS+Eg6G71rCz3wrIh6Oh+q8tLEH -PomIh+Ph2GANW5UmEfFwPDw++313/HcR8XA8jDjR/V3j7//zlfBQenbNZNNO -EfFwPCi6nPQp7SEmHo6HdpPZx4f0FxMPx0P56xv1Iapi4uF4SPBzGPDfMDHx -cDz8t7pFc8oYMfFwPMyfG74kdpKYeDgeumTVaT2aISYejofhU9SGjponJh6O -h6ubVd94LRITD8eDi+m9zOIVYuLheHDS0VRrXSMmHo6HtyunRS82FBMPx8OO -98WXIs3ExMPxkLvs/JNSKzHxcDzMbZ1U0bxJTDwcD0f0uPAJbmLi4XhQ/969 -08JHTDwcD2sNTMzSAsXEw/EQNP+qT3G4mHg4HiZPXrOuLlZMPBwPQ6bcaehM -FhMPx0PTk0Wrx2eJiYfjYaZFsu1KmZh4OB66hsqnbdohJh6OB40M41Nhe8TE -w/GQHXfkffYBMfFwPOx6nXYr74iYeDgejlZWW5w4JSYejocBzdGS8yVi4uF4 -+H7QzefKRTHxcDzMro36ch3ExMNhrFLY62almHg4XL/AO9eu3RcTD8fD6otP -Blc8ERMPx8Mv/cMdZ2vExMPx4D9uZtTh12Li4XiY88YoNeeDmHg4Hk7BN42U -L2Li4XgYd4Rd5seKiYfD/TNsxUezn2Li4XiwXFoxYH67mHg4Hq6/NL6o2lVC -PBwPpr5175p7SoiH44Htujq5sr+EeDgeXjj47c5VlRAPx0PKl8WTfYZJiIfj -QXZWOl57tIR4OB7Oh64Xd5koIR6Oh8s7rJ1uTZMQD8eDx5StezJnS4iH48Gt -8eUSvQUS4uF4MNFVX9ptqYR4OB522kzKvagjIR6Oh3iuwcx/rYR4OB76py9z -GWUkIR6Oh77ret6+ayYhHo6HP0MnxgVbSYiH42FgRliS+iYJ8XD4futrH19w -kRAPx8PXCXO8LL0kxMPxEKVktYbzlxAPx0MFq+mSHiIhHo6H5f3kV4ZFSYiH -w/Vf6GB5JF5CPBwPAX3DJs9LlRAPh+/vdfGMi1kS4uF4OHmNd1kmkxAPx8Or -dJW75dslxMPhepU322rvlhAPx8Oz0y5DzuyTEA/Hg9Vmo5/jD0mIh+PB+VF6 -69bjEuLhcD0dm4d1npEQD8fDlxg7S89zEuLheNBiTp68f0lCPByun/jSMM2r -EuLheIgY4Lwzs1JCPBwPBxZGT6q/JyEeDs+PzjtY8FhCPBwPyvP8XdOfSYiH -42HQqz/9q+skxMPxMOWVy0WNdxLi4TD/7I13d/okIR4O35fNlAEHvkqIh8P3 -PXxh0WtWQjwcD6ILKeuG/pQQD8dDz/eN1QZ/JMTD8eA1ZIVJTKeEeDhc78N2 -ZUeUpMTD8bCvYnifx32kxMPh+bE2XfljgJR4OB4mmtTYDx4sJR4O18M03XrO -cCnxcDwYNMyfu260lHg4HqxDLn2wmyAlHo6H3osUfr5TpcTD8bDN7Mjd8JlS -4uF42F5e8StunpR4ONwvZj34RG0p8XA8MI+sziUskxIPx0Ps42yd6JVS4uFw -v7wIlQWulRIPx8Mjw6Z8F0Mp8XA8GIbe9jU1lRIPh78n5dX3xRZS4uEwv27p -OneMrZR4OB5G7u8/oYujlHg4rCd51ZWvXKXEw2G9sdcces5LSjwcDxcPNg3K -8pcSD4f7bcrXs9bBUuLhcL3T/vyeECElHo4Hzrjl7dcYKfFwPJzuddztVKKU -eDj8vIk/UnzTpMTD4fkeeGTJpGwp8XBYTybvSquTSomH48ER8pxF26TEw2H+ -mZx9X3uXlHg4/H0FC66+zZMSD8eDTXDospQDUuLheGiu0lg+/rCUeDgeYv52 -gYrjUuLhcL9NartifkZKPBy+39TaxR9LpMTD4fk1jdAMuiAlHg7z8bUj29oq -pMTD8eA9WMcv7pqUeDisb1lDSv5WSomH48HXs8Mt4p6UeDjMH38fxDc/khIP -x4Ndvk2HQ7WUeDgeJpyOfH2/Rko8HA+aOzs15r+SEg/Hw/4nN87tfCclHg73 -14fdR359lBIPx4O7i63C9IuUeDg8Dz9q0g83S4mHw3po+sW/lZcSD8fDjNVu -+1f/khIPx0P3k6M1RH+kxMPh8yYp6h7+kxIPh+/j8bFX/brLiIfD9bk6eZRu -LxnxcDwc3LI0N6qfjHg4Hqr2X1l/bKCMeDh8/57p2k/VZMTDYf8U573hj7qM -eDgeIvesyNcYKSMeDvuJ3a8GLxorIx4O90fYiFKTiTLi4bB+Gv8X6TJVRjwc -1jefKsdATRnxcFhfFra7R82REQ/Hwze9cZlx82XEw2H9dxh5I3aRjHg4zH/u -N9UilsmIh+NhYSgf7qcjIx6Oh6lPYr7Yr5ERD4ff/32p17p1MuLhsB4n9OVm -GcmIh+Oh2Pxq3CBTGfFweB6iFw5o3iAjHo6HtqVr829ayYiHw3yoenf6TjsZ -8XA83Dm7q8jNUUY8HObT/jLNma4y4uF4kOun7+E8ZMTD4Xmqtv5z2kdGPBwP -nmb1ul4BMuLheNA78Sd6ZLCMeDjMR/0Sc+6HyYiHw373jdGOsCgZ8XDYD9Uu -Cx0RJyMeDvNby4w5lxJlxMPh/g38VbkxVUY8HL7/l5HzvmbIiIfj4WU/eXC0 -SEY8HL6fycNTeshkxMPxEJzPO4m2yoiHw/qk3dq7f46MeDjs93r2jszIlREP -x4N5aLcTHXky4uGwn2Yq8wP2y4iHw34zbrZpXaGMeDjMr7vHVugckREPh/nO -IfLtgeMy4uGwnn0bWtZxSkY8HJ7fG6+YjcUy4uF46Lx5PPxQqYx4OB5c57hY -82Uy4uF4GHX0Y712uYx4OMxv8wYPiroiIx4O12NZ9dvSazLi4XgYk6tk/O2m -jHg4HjaniaxG3pERD8fDsGOGbXr3ZcTD8WA0bNqcgEcy4uHw/Fn0bpM+kREP -h+u34ZHp8acy4uHw+8Kc5l+tkREPx4Pq9oP7H9fJiIfD+aA9cevL1zLi4bBf -DHzVs/6djHg4Hixm5f9590FGPBzmx6ZTPi8bZMTD8fDgTcuGx19kxMPxcO+c -dQk0yYiHw/NeUJl2jJURD4fzg/XEO5LvMuLhMJ8oO0b4/ZQRD8fD4Fgnue5v -GfFwPMR9Vhs0vF1GPByelxHuLQ0dMuLheKhcsH+PVRc58XD4eQ76TRe6yomH -w/oGE33Uu8uJh8N6bn1MLURJTjwcDx2hxp/v95ATD8cDf1j0YVwvOfFw+L4e -vugZ1ltOPBwPWSqnTSr7yImHw/PzLaVCtZ+ceDgefoyuNtzUX048HA/vYPDf -g8py4uF4aJ1z/f6XAXLi4XB/TLK4OGOQnHg4zL+Fejd8VOTEw+H56zK48bCq -nHg47L++zJ/8Tk1OPBzm502TYocOkRMPh+t5xqlRf6iceDgeekhcvKPV5cTD -Yb/YerjjyDA58XA85OgfL6waLiceDucb7S82f0bIiYfD9/H43egxI+XEw2H/ -Hj+A1xklJx4O++Oe3CPH0XLi4bC/EncvixkjJx6Oh4Ktt49sHysnHg6fv+3S -vuPj5MTD4Xp337T38ng58XA8PDGbue/hBDnxcDyofDtRWDdRTjwcD+HaNsc+ -TpITD4fro3T+9LfJcuLhMH+krD7LTpETD8fDYeOUs9xUOfFwPOh7tJ5STJMT -D4f9g9b4wi/T5cTDYT7JSpfXz5ATD4fn+dCR0OeacuLheFg6p4/xnZly4uF4 -uK0zW6Nslpx4OMw/tvl1BbPlxMPxoBT0Mls0R048HA9bG3ynB82VEw+H/YLs -WdmGeXLi4fB8FefP1dKSEw+H+7Oncc7A+XLi4XhoqfD52IixwMPx8Dw8dgQs -kBMPh/O9cT/trQvlxMPhfny6b5GLtpx4OKzHg2+Mmr1ITjwc9t9b/n38jbHA -w2E/bfBKDIvlxMPxkH6xQS1liZx4OB7+1RyNXL1UTjwcD3Uapy92WSYnHo6H -n9HJzy5hLPBwPFzyPXsvaLmceDge1jXc2zlphZx4OOxX3G2WPsdY4OF42NL4 -rSSFkRMPx4NZy6Aus3TkxMNhPZBbjX6GscDD4f43M1GOWiknHo6H6aaZ94ev -khMPx8PTudusyjAWeDjMt5O6FpmtlhMPh/P4J9fqRowFHg7nrUvrr8aukRMP -h/NnrFmYsq6ceDjc/1u68bsxFng4Ho5zP+ZOWisnHg7nqwmXF57EWODheGjU -4zpm68mJh8P6pzwxvQhjgYfD/q1C8XDmOjnxcNgvz/1cfQRjgYfDfPnhYM5o -fTnxcDyUYULZirHAw2H/UvXYqJuBnHg4HnZ3Bi7fjLHAw2H/r7/k0wuMBR4O -4zm3VzGGcuLheCh6enZjAcYCD4f7s3XX8G5GcuLh8Hy0D5E7YCzwcHieGm6d -L8NY4OFwfVz1xcrr5cTDYT24tnSgE8YCD4fzUduyFWcwFng4rFfHmtTbMRZ4 -OOyHNb7tXm0sJx4O+4vVtZWZGAs8HA/iq9Y772Es8HDYD9b3G9DHRE48HK5n -wY6pazAWeDgeXpzO/RSDscDD8bDBvWJ1EcYCD8dDw7OClfUYCzwcD+9vfn89 -wFROPBzm6xPm6osxFng4HoaUezc5YizwcDh/1b21S8FY4OEwf53c5FaIscDD -Yf8jEitdxVjg4fD8yIcurcVY4OFwP8gP92QxFng4rM9jG927msmJh8P5bVuG -1SCMBR6Oh5J/c+s0MBZ4OKxfS3Y0TcBY4OF46PvRUTIVY4GH46Gr/cryaRgL -PBzOw/e/hE7BWODh8HxM6HtxHMYCD4f7cdTG9GEYCzwcvs+V0S/7YSzwcDjP -v51d1o7PK/BwPOSpTxvWiLHAw+G8Wzei4zHGAg/Hw4qGS27nMRZ4OMy3j2D9 -LowFHo6Hy63vzodjLPBw2L/ufZ5vhrHAw+G8+5+N0jSMBR4O55OIiQ1/cb0E -Hg7zpRW/6iHGAg+H9alf7PDdGAs8HNbPAUlerhgLPBzux9LLs6ZhLPBw2N8v -4Hy+4X4SeDj8+yM1GscxFng43D9d5+u4YyzwcJjPx916PhJjgYfD83nb5M1j -3L8CD8fD8LxtFkkYCzwcD/UzLZbOwljg4XC++WUtrcHzIvBweP5s3dbHYSzw -cDi/HFseMQZjgYfD/TjhZN8reB4FHg7fX2tETxuMBR4O+9HVPt4cnmeBh8P+ -e8T6eakYCzwcD6NTvjkOxljg4XhYEqXC78d8IfBwOA9zoo/TMBZ4OB5uPZ26 -+AzmG4GHw/5Ruax5DsYCD4f9VGzPrmcwXwk8HNbDbo1B0zAWeDiM42cy+zHf -CTwcft/jY55qGAs8HPY3dXO+JmO+FHg4rG8pOysVmF8FHo6HN+dLfltiLPBw -OG972CeXYz4WeDg8r1Jv55EYCzwcD/fnl26Pwnwu8HA89PcZqlGN+V/g4Xi4 -sd63ZSrGAg/Hw5wBspExWC8EHo4Ht68OO+5ifRF4OFwvrYv2gzEWeDicD0zj -guywHgk8HA/Vl0RV+Vi/BB4Oz3fPW/FvsL4JPBz2N28GhI3AWODh8Pv6GpSa -Y30UeDgefvnaL83A+inwcDz8UdLscwHrq8DD4fn5tW/kJ6y/Ag+H80TS/tAB -GAs8HM6f/dUHzcf6LfBwmI/HKZotsL4LPBzmlyAV5VCs/wIPh/n1mb+fFPsD -gYfj4ZQp3/cQ9hMCD4f1SUX0uQz7DYGHw3obNqPrbexHBB6OhwW9blpWYb8i -8HA8bEzR+1yL/YzAw/EwtvZY8WvsdwQejoeVmu9KX2M/JPBwOH8+f8fWYr8k -8HA8fPAudK7Cfkrg4XB9i8f2uY39lsDD4bx8zvxTGfZjAg+H5/Hi/J8HsV8T -eDgeJDo3tKXYzwk8HPZDA/+eCMF+T+DhcF6b9cbUAvtBgYfD//7Ze5IW9osC -D4f789H+qcrYTwo8HA/9ytNsPmD/KfBwuL5VI8vOYX8q8HA4X6X56qRi/yrw -cDywssgfxtjfCjwcD2c7DauGYP8r8HCYj+exL15oyImH4+FjnV+vXdg/Czwc -ft6/x/YbsL8WeDgekv9MfdEX+2+Bh8PzkJYSeQX7dYGHw88r4pYFYD8v8HA8 -mHxJmjhisJx4OB5+/9GfdR3nAYGHw/5YdZONB84LAg+H9ejy44M9cZ4QeDge -ChXlAwtx3hB4OOyfOxfmLsV5RODheNAtstN5jPOLwMPxcG6GrpJzXznxcDwY -9B70sRnnH4GHw3puUPcmHOcjgYfD/tL9Rks7zk8CD4f1TadpXBzOVwIPh/tv -foTXn25y4uF4cBiUeSsE5zOBh+PBc0FmeFWnjHg4nMenHhSd+ysjHg5/f2Dp -PXmbjHg4nOeT+s9z+yUjHg7P04NuV2e3yIiHw/x+hwtuwXlT4OGw/+Tm6Z/B -eVTg4XC9H0xd6YrzqsDDYf/cMt9mIM6zAg+H8/3Ay7KS9zLi4Xhoej/tg8lb -GfFwuF76n80+vpQRD8fD+JVudYEvZMTD4Xz4RTXmJ87fAg+H+VTkNS8Y53OB -h8P9sPv1v4aHMuLheNAub36z4Z6MeDjsh2+pP7lwS0Y8HA8JD19XD7khIx4O -6+eoyk8+ICMeDt+/rmWPC+Uy4uF4eDt81NyOMhnxcHh+zh/1XFIqIx4O57N0 -0ZHNRTLi4XjIWGvH5p2UEQ+H76d38fLrR2XEw/EQsmTalrcHZcTD4Tx5xLXx -x34Z8XA8TI3rvqrbXhnxcJifzc/k9cqVEQ+H72OaSqvSDhnxcPh+g5+b/JHL -iIfjQXNI0aHPYhnxcDj/BZi3PsiUEQ+H62MXtvp4qox4ONyfi59mJiTKiIfD -fu3bzFtGsTLi4XhY+G7NH5VIGfFwWC/V3k74L0RGPBwP+UNurUzdLCMeDvPH -gNvmc31lxMNh/rx+1uK5h4x4ONx/OZv0Q1xkxMPxsGj7Ps3eDjLi4XBeDNz4 -b7uNjHg4PH8Su8vDLWTEw2H+69zplWMqIx6Oh2M7uH99jWTEw2F/VmOSEKEn -Ix4O55UBBxtfrpIRD4f9jcHbxYtWyIiHw/zj1hokXiwjHg7nh+4NshfzZcTD -YT9ZcHTryDky4uEwX2zTibKeISMejgfjXiWrxJNlxMNh/VTuoygbJyMeDvud -PSbRL0fKiIfD97V9Z8MvdRnxcDz43fk5t6+ajHg4Hob+TbYbMkBGPBwPmc12 -LsP6yIiHw/1jfUBXTUlGPBz+fXuEUs9OKfFwOL/v6Z3PtUmJh8P5um+iSvUP -KfFwPATMGOhwipUSD4f1ovVrcsJXKfFweB6SjBL0P0mJh8P93BFo3u+dlHg4 -fB/Xc9tv1kmJh+Phrln/6PBnUuLh8P3aqj4c81hKPBz2i1fbWq7ekxIPx0N0 -/mCFTaWUeDish0YXL30FKfFw2A+6rbIJuSQlHo6HEfcG3G0plRIPh/31saQ+ -fmekxMPxEJfSbcSrY1Li4XC+0+xoW31QSjwc5pPn9UcL86XEw+H3q0ya3L5L -SjwcD6nPmCCDbVLi4bDfSTmTtUUiJR4O93vzz4DHGVLi4XBes84d2ytZSjwc -9hNpE/MXxEqJh+NhQsnkz3bhUuLhsN87pNUeFSglHg7zydEPtTIfKfFwPNTm -VifvdZMSD4fz5+eDPwscpMTD4Tye1zF3v7WUeDj8vk2Z2jvMpcTDYf6L+NEj -2UhKPBz+vtc/8zzWSomHw/5xnu7fVTpS4uF4ML2/b4L6EinxcDw4ld1W/aAl -JR6Oh1U3Uu7iIEA8HNaXhH16LlOkxMPx0Ev3m3jIOCnxcDy8Xros9+oIKfFw -2O84+vm5DpYSD4fzR4ptz3/KUuLhsJ7YffWQ95ISD4fnN15JOqKrlHg4XF+/ -nJi8PxLi4XC/7dg6e+gPCfFw+Pn23LGMZgnxcFj/R5/8yjVIiIfDeW/xbd7s -nYR4OOxPB6yD47US4uF4UO+7ZEN7lYR4OJwHj546tfqBhHg4XL/YC49SKiXE -w2G9mBt2vvyKhHg4Ho7ndHf/ViYhHo6H7/sy61SLJcTDYX32n6U+77iEeDjs -t80maugXSoiHw3r8PL/BKk9CPBzOX1drohx2SIiHw/lkxIBn9lIJ8XBYzxak -/zbPkBAPx8PPoqyPOokS4uGwv0vyzJ0cJSEeDutHS4i6UrCEeDh8vyW9HWt9 -JMTD8bD3aWrgYVcJ8XCYz6qMjHztJcTD4Xrl3fs+xUJCPBzWzx3+bq/WS4iH -w8/fd/xA5loJ8XC430b+Pa7JSIiHw3rWVp94R1tCPBwPzqfrJ9jPkRAPh89r -kL7981QJ8XDYD4Y4VfuMkxAPx8OwkJ71n4ZLiIfDfD9/8BVrVQnxcDxsMJ/h -e6OvhHg4nA+GtjRO6i4hHg7n9ZRhixLbxcTDYT60MbapahETD4fnZ+T69SOb -xMTDYf7UqB7s8FFMPBwP54MPFu18JSYejodB6qJxd5+KiYfD9ayx9Pr+QEw8 -HA9DPF+nqVWKiYfDfsbhT7TmZTHxcDxY6MnWLT8nJh4O9/feFFb3lJh4OJxn -am8Grj0kJh4O81Xo2ocr9oqJh/tfPe7ac/YOMfFwPDQ//KWhLhETD8dD2KXp -g1pTxcTDYX31PfbhUayYeDgedC6l7swPFRMPh/X8yFNNDz8x8XA87HAoOzjJ -TUw8HA+JjFmX13Zi4uHwed4/0BFvEBMPh+d/tJ2nlqGYeDgefAdphVWtEhMP -h/V//FZf7yVi4uEw/w2qMPw9V0w8HNbj5j9DE6aJiYfD/TJ736OOsWLi4Xjo -e6ozJHSYmHg4Hsadiur9YaCYeDjc/w2BEv1eYuLhcP1EJkpH//0fD8eDj356 -wL+f/8fD8f+vhvsOq7l/4wAe8siMZGVkZ0VDojxHVqQoGmSmjLS0tKS0T6co -9PSzMrLK3rPHbYtEtsgoW+Z9ske/+7nOu/7r0vme7/fzvT/3cL2uD+2emF7s -8K7Gw0m8hT/uvOJZjYeTel1dJ/D6gxoPJ+v1IPFwvZs1Hk7m0dXqrxaXazyc -zN9Bryymnq7xcDL/DKsdHHW0xsPJ/t/wYlfmnhoPJ/NH2dDKdVtrPBzT6r8u -9t6SU+PhmMZ87he0KavGwzGNH/f3v6vSajwcE6UdbqaMr/FwTFW1fQIDIms8 -HJNjZZ8HDoFp8HBMj2c9cO4yNw0ejkkvdlLZp2lp8HBMQUOWhh11SYOHY5qU -59813D4NHo7pEL1/aTwsDR6O6eI/Tc+WDUyDh2N6YV96JKFfGjwc0+i2boWd -u6fBwzHdmLLmy7F2afBwcj+qcyPsmqfBwzEZTKg6WFI/DR5O7n+pi52TVho8 -HNMot5bahV9U8HBMubWCX1q+U8HDMR3tt+3z+qcqeDim6Ku/TLTuq+DhmGZ1 -Or1mUokKHk6uX2g3MP+CCh6OKfSCWocLVPBwTBNSuzQ1P6CCh2Pa/GGEk1++ -Ch6OydWpqChnvQoejikmzjTp4j8qeDimqY+/x7xOU8HDMbk77z5RJ14FD8f0 -15Qnf7eMUMHDMRnp9tTpFKCCh2Mq6NWzfddZKng4pgZ6qbGGk1XwcEy7Sr+Z -NndSwcMx5Y81saweqYKHY0r49n7ZU2sVPJw8T69fI06ZquDhmMqruzv/Y6SC -h2O6Ms+WPNur4OGY1psPSu/RXAUPx/SjwYuCFzoqeDim48nWLjl/UuHhmDr3 -NXF1qEqFh2Oqt/jMGfXrVHg4pj/fv61f8TgVHo5puWHFh963U+HhZP3jsg4V -XE6Fh2M6fK5v1chTqfBwTLW339198VAqPBxTmf7JV0N3pMLDMT3Qa7Pl4IZU -eDimjxVGLw2zU+HhmPJ+me5PSEuFh2MKaZVS/8niVHg4+XvT2I/9w1Lh4Zgs -V0X5xPumwsPJ+/lYHlXokQoPJ88/oHu3em6p8HBMbtdP+SnsU+HhmDpmmrsG -2KTCw0k8HNapyLZIhYdjOlnrZsujvVLh4Ziu7jP+et0wFR6OaWhGQfIz/VR4 -OCYPde9LH+qnwsMxzb5mckb9RwkPx/TsgHLBR7USHo6p373vL56/UsLDMZ3v -5Gxw66ESHk72S2+/5iduKOHhmNqO63Zn9UUlPBzTgi1z54QUKOHhmPoYdCsc -sU8JD8f0e+6k6iZblfBwTPO71W16Y7USHk7yV5HlnyUZSng4psYFda8OS1TC -wzH52i9J+BihhIeT+zv/ufP//JXwcExbw+fvHeCphIdjGnHI3OSamxIejqm6 -PHGrh70SHo6pInx3q8ohSng4pp66dZUB/ZXwcEynZr/4+aaHEh5O1nvOsXCP -9kp4OJZ++H311WZKeDgm865/Vg74SwkPx3SszHfU/36kwMPJ9Ued1f30PgUe -jqlw46ovw5+mwMMxOSUt0cq8mwIPJ/nora3Z7aIUeDimldbhmc1PpcDDMTUb -XWpofzAFHo5J28P0+cK8FHg4pmvjxz7fsjYFHo5pU4h2t0uZKfBwTK13WOY/ -T0yBh5P8EXo97GdECjwc047K88sb+KfAw0l8Xter3XxmCjwcU/0suqTvmgIP -J/t/0sP3unYp8HBM36qTw7T/ToGHY1rbr3w2m6TAwzG1dzc4U9o1BR5O8tFp -n8zjrVPg4Zi2pOrfyWqUAg/HNPig10pvrRR4OLl+391lFlXJ8HBSf0qd8369 -TIaHY/JMP6n174NkeDj5fGfPyrBryfBwTCcWFfj3OpsMD8f0a5nrkruHk+Hh -mD7PI8eY7cnwcEw6JtnHO6xLhodj+sdi8c2jy5Lh4ZjSfxhvcEhKhoeT/NV/ -cvf7EcnwcJJ/713ynemXDA/H9L8ODmEVM5Lh4eTz6cftpzknw8Mx7f/17dN1 -22R4OKaWWz4H2Fglw8NJvBnmF+YZJ8PDST2Z37Juw07J8HBMbxvZ95qrnwwP -J/vz1XTFv/WS4eGY1oWGjmr8MwkeTtZz51H7ie+T4OGYNrb3Gr+mPAkejuns -m0PTS28lwcNJP3Dvd2SzwiR4OCZbp+X5w08kwcMxZfvdVs/fnQQPJ/H/y3xG -9sYkeDjJ52nd+HBWEjyc7Ce/PgeupyTBwzHdPnE770VUEjyc7D/fY6Wf/ZPg -4SQ+R0c5VHskwcMxLap1oV4dlyR4OCZFP1e9WqOS4OGY+k+tDP4+KAkejmls -w4kmb/skwcMxxVLQ+FLDJHg46S/qdHx4Si8JHk7idf74+7l1k+DhmFT7tZxi -vyXCwzHtXmU+0q0yER6Oya/Fz1NGjxLh4aS+Dgu5rC5JhIdjKg486338bCI8 -nNx/rw6bFh5OhIeTeLY+vdgyPxEejun1kc8679ckwsPJ92k3Hb5+aSI8nPRP -D+cPsI9LhIeT/Thl8ZtPIYnwcEy1qo/MWTEnER5O8uOBlfv7uSfCwzHdu7vn -9gX7RHg4pke22XcnKRLh4ZhMpn6k5yaJ8HCSj3/5Z/t3SYSHY1Kvuez5sUUi -PJzc78jiPgE6ifBwTN02jf714kcCPJz8Xqtt6eR3CfBwEn+T+hZdepwAD8fU -zi3ivvmNBHg46cem/Wiy6lwCPBzTuRWnA78fToCHY+rx/EU91/wEeDiJp4YZ -ZTvWJMDDSf7KevPl95IEeDiJj9cWM+wXJ8DDSX/peqx9VnACPBzTq8aXrO7N -SoCHY4rof/5kq4kJ8HBMSRVt9k+wS4CHk/dtPKR9qnUCPBxTvPExnRPGCfBw -TCu0Wy96ZZgADyf1/dnX+GZ6CfBw8n5a1OoyQDsBHk76658vZ7t9iYeHk3ph -6O8S/CoeHk7qnfm0r6n34+HhpF60XjYx50o8PJzsl+fvFu08GQ8PJ/X2w6yQ -I3vj4eEkforUNidz4+HhJP7dN384lRUPDyf57UK6kpLj4eGYAv+5pn88Ih4e -jmnepjU5e33i4eGkX+rbp8+mqfHwcEwtygovLRsXDw8n6/3v+dhom3h4OCYz -t4SJXmbx8HASv5sCJtt2jYeHk/jpUGt5t5bx8HDy+Yun6tXSiYeHk36ui835 -e9/j4OGYvOw73NpZGQcPJ/3v678Uix7GwcMxdRq2v9GYa3HwcEyp1s/tmp+O -g4dj6jAjqPre/jh4OPl8eX/LNZvj4OGYWm0x+OmeHQcPx/RuuIFrC2UcPByT -TdOBjsWRcfBw0h+2TVLH+cbBw8n1/zZ0MJ8WBw/H1Le5qVf5uDh4OKlHDXlY -uk0cPBxT5Nytn83N4uDhmBoNWZ90r0scPJzkjxSr35Et4uDh5O9bP53Tul4c -PBxT6a6mxQe+1ZwPJ/38h1FWDm9qzoeT/RRicKz8Qc35cEyG57q7hBbXnA/H -tNCrdUttqjkfTt7vtW31l+2tOR+OaWTK6kFtc2vOh5P1bHx2b+6KmvPhmFze -a0UZJdWcD8dkccZmQ35Yzflw0u+YRfft6V1zPpz0r7+O9dvqXnM+HNP9z433 -dLSvOR9OnqfpqiMrB9ecD8cUNz3VpUnfmvPhpN9ubrAszrDmfDjJf6krIrhp -zflw0n/Y2recWbvmfDimD19HVa5+GQsPJ/Nxq7Yj5l+OhYdjetmqwbghu2Lh -4ZiWZPbs2DAzFh5O6nd63vUbwbHwcDJvZ5REZrvGwsNJ/V1V18RtYCw8HNMZ -kwsN9drGwsNJvvDY0fXy7xh4ONmPZ7yXxzyJgYdj6ppwxa/f2Rh4OKbTXlRU -tiUGHk7i6fSIi8nKGHg4pozsqHBj3xh4OJl/4ldVlYyNgYeT/upilVuQSQw8 -nOQD1x+HmzSPgYeT+njBzDj/8yJ4OJnvZg29YXNvETyc5J++z07ePr4IHk76 -obvqht45i+DhJD4LvW58jV0EDyf7p/uw3kmei+DhmC6XHOnXdOQieDim4bN1 -vq80WgQPJ/OiYvkGwwaL4OHk+SKvDtn8NhoeTvLNB6eP3a9Fw8NJv6ooLNq2 -LxoeTvLf+d+V3bOi4eGkP9h022dzWDQ8nPTjx8d5dnSPhoeT/ndX/NvV1tHw -cEyJD7d00e8QDQ8n82hAvc7pWtHwcEwHFc1q13m6EB5O7u/tqEcR5xfCw8n3 -F4+5/m7bQng4iRe++XmmaiE8HFPvTkfn3PZbCA8n7+vGC8Vox4XwcEyOJj6r -jpsuhIeT7282d1kf/YXwcNIPVrUbtu5LFDycPI/Wiwu6pVHwcPK8PwdYx52I -godj2jB6/XHOiYKHYxpXUegxa3EUPJzUT9cIuzueUfBwTHcK0jNHj4yCh5P6 -fLR6/AmjKHg4mZe9n+f3bRAFDyfv38fvYO7bSHg46dcvPVzS6lokPBzToFo5 -E5bsi4SHk+u9NzLUzoqEh2Naeqll0+iwSHg4pjeG7YZ9nhQJD8fUq1D7xnzr -SHg46Z/uHymrbB8JD8d0c+uA2HlakfBwTNu2r73zqiICHk7iN9lQy+d8BDyc -rHdVkzbvtkXAw0m9O35LEayKgIeT622oWvrdLwIejmnG7EZGCY4R8HBSP/bc -7KprFgEPx+Raq93WHP0IeDiZd3eXFPb9Gg4PJ/1/4ZftZ0rD4eGkn2y9y8e9 -IBwejqnNX326q9eFw8NJ/ll+/mdGXDg8HNOc4c/rm8wKh4djeq/XdP5N23B4 -OCbLmc/sonqGw8PJ/VW0Oda1UTg8HNNf6XEPbrwPg4eT/JFUtyTxehg8HJOp -fv4hq4Nh8HByvRTljqrsMHg4+b17acn+yDB4OKam7V6PWDA1DB5O1tPT0Gjw -kDB4OCb3XT+z63UOg4eTeHZpefCudhg8nNTnvzuu2/lyATwc05PzFaHJlxfA -w8n97xgyafauBfBwMm+wibdd5gJ4OLnfG4XnzEIWwMNJvvzHdGkntwXwcLJ+ -yZI3By2Ah5N5/3r2K912C+DhmCb7zTiuWx0KD8e082tmVIuKUHg4+b6tV+w6 -nQ+Fh2M62bFotHleKDwcU4nX1JX2aaHwcEzTts119wkIhYdjCvi7cmfG+FB4 -OMknIb8OnOgfCg8n+Sr4Ysb7VqHwcNLvGGbM7PEzBB6OadjXnWPmPQqBh5Pn -+Zjpt+90CDyc5MuJp19pbQmBh5P+MO/+k4nKEHg4eT+BGWGHfUPg4WS/d8kr -aucYAg8n9fZn7dppZiHwcExa11ZY12oZAg8n/fFbr9Wx34Ph4WQ++7DM5q+H -wfBwTJVrxzv9cyoYHk7ex6HKyj6bg+HhZH648q9FcUowPBzTp45WTmG+wfBw -TJm+L6f1cAyGh2OaeMQj7qlZMDyczOdmrg+3tQyGh5N+szola8GPIHg4pmCd -kksOj4Lg4aT/KG61wfhMEDyc9EPm06xabw2Ch2Ma47bvRCNVEDyc1HungaMa -BgTBw0n9btJTS39CEDycPK9+cX2jAUHwcNK/j12cMsIgCB5O6vvNvWv9/gTC -w0l+O/rIb31FIDwcU9HVNW0fXgiEh2NqFpR2pduOQHg4iTdbz02RGYHwcDLv -1H9x9l5IIDyc7DeHd+OHTwqEh2PaO3BG0LHBgfBwTNfczG2tOwXCw0m/aR9U -VVg3EB5O8kO/mTbnb82Hh5P1PWzyoOm6+fBwsv4GJ2stmDsfHo4pamHWr08m -8+HhJB/mTNVX/giAh2O6O6NsmeW5AHg4+Xy/L/9qLQ2Ah5P1jcgrfzoxAB5O -6pNeY9vyTgHwcEyb907q/73SHx5O1t/2/ufeh/3h4aT+hP64GbnYHx5OnveV -VeunY/zh4SS+zlo08m7hDw8n+fzL2u86T/zg4Zi2bxnU68J2P3g4Wd/oypfr -F/jBwzF9bpgXnW3jBw8n/WO7KLPtDf3g4aQfrRvn/OCOLzyczPffPvTslesL -D8f0zLmx1v/8feHhmDzfmXbsPMgXHk7y36TCW0XavvBwMk/3dfDOKvGBh5P9 -7GjXLXqtDzyc7L+uU9wSvH3g4aRfftDbdnt/H3g46f9zQ4Z80vKBh5N6/Ohr -+qTiefBwTDM9VJFPVs2Dh5P9laXjlDpnHjyc3M+hyVOczefBw0k+4OAXCq15 -8HBMo1Y5DHYo9oaHY2qpqNy2cLU3PByTQ6l7aPFcb3g4JvtX+W+HWnjDwzHN -VvKU0tre8HASb0sdG2eVzIWHY/qa88Q+eN1ceDiZTy0KPUP95sLDMXU+0ytn -tdVceDipT1uNbZ/rzIWHk/ox9Euey9058HBM6qq8pq+3zIGHk/mls3dhbugc -eDjZ70bObeOHz4GHk+dxT3JJ0ZsDDyf9aJU+7S+fDQ/HdLGZ3lbtfbPh4SQe -ViS5LFo8Gx5O4rdxYIv2TrPh4aT/3l5o/sxwNjwcU1Wj6B83PsyCh5N8ZOJ7 -8DXNgodjqjvEZ2ePzFnwcPL83kOMMz1mwcMxWR3cGNfRdBY8nNSXK5ZVpbVm -wcNJ/rucfa3ghhc8HFPoF5vplzZ5wcPJfLRk85vqUC94OKbkCAPysPWCh2M6 -X+95t7etvODhZP9s6jsv97UnPBzTgCidmwknPOHhJL8az9uVucQTHk7qQWjv -YZdmeMLDST9T0OiBsZknPBzTkIs7Tp/U9oSHk/nKJmVI9N2Z8HDSDzr9ypmz -fSY8HNON7iO7xyyaCQ8n9UgZ3ums00x4OKaGYxPuWXSdCQ/H1KTcJOvOVw94 -OJn/CgxzthR5wMMxjS09Z71pvQc8nPTTHeYdvRbiAQ8n9b3IaErv0R7wcExH -yt76Hm7nAQ8n81zJi1kVFTPg4ZhufUh5eyR9Bjyc1P9Ds3+/GzADHk7W+01B -t6Ty6fBwTDmNpqgXpU+Hh5Pnvbdafc9yOjyc/F7gcSD76TR4OIlvu1Z0JGMa -PBzT88wduYMHT4OHk/q9MPV1t9dT4eHkfTZZ2SE0eyo8nNSTfIsrRiOmwsMx -lVn0jx7KU+DhmI7a3j5yesMUeDjZH6YX6uU7ToGHY2qn367q65/J8HBMc284 -au/ZPRkeTuap2lZ0dfpkeDimq8VNdkzUnQwPJ/XxnPvYMafc4eGk3uV+77Ut -yB0eTuYh7XaFAV3c4eGYsloMytt4exI8HNOqrrrjbJST4OEkX5mvs7a3ngQP -J/3Ngi585v1EeDimiuP6vC13Ijwc054bbU/8cJsID8eU9KDZlRMNJ8LDyf5O -/JFbecoNHk7y9eDqAlWYGzwc08bJPtmr+rjBw8m/G+Vub/3UFR6Oye1ll1Cd -1a7wcEzTz8f4zRvvCg8n+f7YuEaD67vCw8nfu1kWLT7tAg/HFKJ6ZDgwygUe -junh2JexnuYu8HBMv4a8naH1zhkeTtbbPMpcb5szPJz0u3kN/FfOdIaHk/dr -/mfRinbO8HBST+5l36lzbwI83H//H8vPX6+YAA8n19PTNrB1mgAPxxRRnPG4 -deMJ8HBMLVp2yfW8PB4ejslkqe6VLsrx8HBMq0/NujDVdjw8HNMkfv2tcd3x -8HBMek9aXbY85wQPxzRlrt76+wlO8HASH21zH3wZ7gQPx2R3wbgiXdsJHo7p -++a5RlZpjvBwkk9tU9Y7NnGEh5P13fbu1eTl4+Dh/pv3bhVMbTUOHk7Wb/X8 -6645Y+HhmD7WKSu17ToWHo4pcrt+sslOB3g4ye85FfHNLRzg4aSeFV2N/HjS -Hh6O6YJt82aFdvbwcJKPvNIrV98eAw/HdHttft48zzHwcEzh46c/6//RDh6O -qcsYPcvfMXbwcEyvmi23ONvEDh6Oyb9tdWDK+tHwcFJfDlDYGNPR8HBMh84E -lDQ6NwoeTuqFW1GdaxNHwcPJPPhj2/Jlb23h4SQey0p/uMTbwsPJ+zpqdLhN -G1t4OKbyjIFWT/aOhIeT+b9H/Lc8u5HwcNKvTrScHvp0BDyc5IcmA24NixkB -Dyfzk8Xnk/oGI+DhmNaNDo55c3g4PJzk42MdAs65DIeHY6rTuvhZrnoYPBzT -1Nrr+ievGAYPx6R7/e32gP7D4OGkP2w0OHPqnaHwcJI/N3Tq4RQ5FB5O+s3b -Zvvs2g+Fh5P+QvvjLLszNvBwUj9194Y7etvAw0m8rExrPVXXBh5O+tvnGRWP -AobAw0l9253g0Py0Ah6OqXbunp2uLRTwcNLPluRZbPX9Gx5O6m2TvU3qnhsM -Dyfzdr8k5+AOg+HhZH4/MUj/fZQ1PBzTJd06rhGlVvBwsh8qGzZpZmUFDyf1 -Nnhk76NrB8HDSX+eZZnuX2sQPJzEY3lJHVPvgfBwEk/nLCbVvm4JDyfzcJeM -YeXWlvBw0g/NuxdQnDcAHo7p8YudCy+0GgAPJ/3kBv0fRUoLeDjpt4Oc/B/+ -7A8PJ8+/fMuYH4H94eFkfYN6fO/0yhweTvq398nXnWeaw8MxfXl+vX1GmRk8 -nLzPprZtbrqbwcNJ/rDS/9ih1BQejsmvqAcFTTaFh2P6vYZXXH5oAg/HdKxt -T78eXibwcNL/Z3Tx1b/dDx6OKf1Z6pqHL/vCw8l8ceRpne1/jOHhZH6aMqJ9 -eBtjeDjZDwXfFcMG9oGHY0pwaLZOZ0pveDi5/7gyh4txveDhmH5+X2C1cGdP -eDgmHxc7s04PesDDyfOH5D441LgHPJzsh78cj1mMMIKHY4rZ5d8zJ6Y7PJzU -77bxtq8KusHDSX3uuXNs8+qu8HDST6wpaGEwsis8nNTLkOce35Z2gYeT+h7j -eCm/rDM8nOzPQWmnevbtDA8n7yt5XEZIQid4OKYNKmvn+LKO8HCyn4Lu1xo/ -qCM8HNNp/YDig+aG8HDy71rFxma/2sPDyf2tiTXQvdIOHk7m2yNTW9lsagsP -x5Sbnux8LM4AHk7Wo6NxbsDcNvBwcj0Dq0hnl9bwcPK+V9T9OHl0K3g4pgNf -Jn8JH94SHo7J+szKmWtHtoCHY1q671XI8XH68HCSL18fOn52enN4OKkHrJiR -v0APHk7y78K8rlNXNIOHk+8f4DznypGm8HCy/z+v7nPrvC48HJN+nQ3hdj2b -wMOpKfbWwqd3VjWCh1OT6ZbRl91bNYSHU1Or9vO37d5YHx5OTSYvVunvG6gD -D6emnmYbL1iX/QUPp6YRwf6qHul14eHUVH6uZKjTaG14ODU9PeX2RNW0Djyc -mh5MaeC951kteDg1OR00fbHinBY8nJr0O1U/NvCoVmg8nJocL4Yqnoz9rdB4 -ODW1TNnXvsOEnwqNh1PT1g/d9kT4fVdoPJya1kTdbL187VeFxsOpqbpoeECD -is8KjYdT00TlvPzYwVUKjYdT009/n01LdrBC4+HUlDB7QuOrfT8pNB5OTWUR -06/vTfug0Hg4Nc2O7KmV+OStQuPh1FR7azOynvJGofFwavr9eveq7z9eKjQe -Tk2NPq6JvX/yuULj4dT0sOmJg1+2PFVoPJyabpaPPj1zT7lC4+HUtDMp/KzL -wccKjYdT05ysx28PjilTaDycfN+jwS/eGZYqNB5OTR7bh62tNr2j0Hg4Nb2y -rX70OOamQuPh1KS7TTUkSvu6QuPh1LTlTcb9GXHFCo2HU9PK0OBuezwvKTQe -Tk2va1/yiso7r9B4ODWtW5ulNWLcGYXGw6kpT7Wn/qd1JxUaD6cmo6oDa8rG -HVNoPJzcTw914uXcgwqNh1PT4siNuo2X7VVoPJyalh3zupVpuF2h8XBq6tP9 -a9tnPTcrNB5OTYmn9mTZuK9TaDycmgYZtDj7tFu2QuPh1GQ+IyF2WYcMhcbD -qSmovYFb4q1EhcbDqemMpc/QwN5RCo2HU9Px1IvNbncIUGg8nJq6/Z122GHl -dIXGw6lJ53KJxy5dO0Xxlf9+qkgLP6tX/fdzbEjN7/8HlJz+EA== - "], {{{}, {}, { - Hue[0.67, 0.6, 0.6], - Directive[ - PointSize[ - NCache[ - Rational[1, 360], 0.002777777777777778]], - AbsoluteThickness[1.6], - RGBColor[1, 0, 0]], - LineBox[CompressedData[" +v/7+yz1G15KHE2FVQ8iBnvq15OGwHpR7Oz/oU0seToQbHy84DOhaSx4Oz/tF +9+UP2taSh8PPV/FhTM+mGvJw+PwrFv2+VF9DHk6Eb1vXxf5V1JCHw/Owvqfz +rqoa8nB4/559YpRfWEMeToSov5NrzT/XkIfD+5DrdvtBYg15OBGmbd4091d0 +DXk4EU4e3NVyaXgNeTis18P3fAgK+O/74XC/Xwtx++393/fD4XlKc1ox+8Z/ +3w+H9+WPfhOuu//3/XBYT+cq9IpO//f9cCK46Ewa3PfIf98PJ0J65Prx9nv+ ++344vP9fx6+6vum/74fDfuVN3INU+/++Hw7Pk/uHn78X/Pf9cCKcGmF0wNDm +v++HE6H0/bEOyyz++3447Iee90o+Zvzf98OJsM7jWMDDEf99P5wI9yYPCX0/ +4L/vhxPhSOGVyi86/30/HNbbZGtLldZ/3w8nQnlsclrL5v99P5wIrz8+u9C9 +oZo8HL5PsxPOA/lq8nAiyHLzfIfXVJOHEyErdG6rUUXV5OFE8LE97TMqs5o8 +HL6fOz1OGHysJg8nwg7ly7t6MdXk4bB/6NHqV6/wavJweP+tf/u4fUA1eThc +rxtung0PqsnDYf/4Z/inKq9q8nAiHN29YnnKhWrycCK0mlUy7vnJavJwIkw4 +d2SD+6Fq8nD4vkN+SrfsrCYPh/VQHJMyZX01eTgRPAd8a9VteTV5OKzfAd18 +qudWk4cTwTf0yKNQq2rycCLgbdDSaWI1eTgRtsy79dlydDV5ONwfb4a0bj6k +mjycCG9X3gsC3WrycCIM1VLFOneuJg8nQtdtA2cZt6omDyfCrrrhsySNVeTh +RDDP7hR/61sVeTgRBiwtjppWV0UeDvtP5/tj+eIq8nD4Ps03G1zPqiIPh/3r +kXlBpklV5OFEWNFu/8u8mCrycCLsjFXPdAivIg8nwsMdv3e3C6giDydCbPkr +fe8HVeTh8Pn/2h4Y41VFHg77/Yh/K965VZGHE+FE+1alNieqyMNhfRxwvynj +QBV5OOxPTbUSF22vIg+H9TL6/ticNVXk4US4O+3R9AVLqsjDieD9YEeb1FlV +5OFECE5depRZVpGH+/99G+f9cnwVeTgR3oldnPUMqsjDYb2fG6J9dUAVeTi8 +vyy0tvzsXkUeDvtp6zvOa9tXkYfD9Z2Us/RDUyV5OBF+2k9sGFRfSR5OhEML +5m05Ia8kD4f7d+x934LySvJwWD8uvHo5Kq+SPNz/+zfDu6dSK8nD4fmad88+ +M7aSPBw+70q/hr4RleThRIgzVjpuCaokDydCf+3+Jc8fVpKHw/kgTT782/VK +8nC4fiVtN4y9WEkeToSR28a77z5ZSR5OhB9TxwQ8PVhJHg77RSyJZdsrycNh +fxv/Or3r2krycCLIo6uLrZdUkofD9xk2kHeYVUkeDv/+rLltHkypJA+H88y/ +2YaJxpXk4URoa9xmjXx4JXk4EU4PdPDt0L+SPJwIRfUnmgy7VZKHw34qe+Th +mW0rycOJMMq7QSf+ZwV5OBFaduTOfauuIA+H58t4kXWvjArycPh8DguWmb2p +IA8nQvslfZOW+VSQhxNB6v39we5LFeThcP3Xjqw+cbiCPBz2Jw09Hl5cV0Ee +ToQlRn2Lrs6uIA8nQrX1i/ue4yvIw4lwQDHn66X+FeThRBh84FTRmbYV5OGw +/iVUbzkglJOHw37qcO8Ha0rKycPh+hbnnZv2sZw8HO6nrrkj9EPLycPhy9Gu +8Px3p5w8HO7vt1kf813KycNhPajxyHq6u5w8HNbXva2jDywvJw8nQvhCs0tm +U8vJw4nw0d/E9rdBOXk4EZxjtdtHdS8nD4f11qg+ed/fMvJwIvC13a7rScvI +w+F5NQg5mJVVRh4Of1+czkGn6DLycCI0cr73+j8pIw+H841bvPrd5TLycCL8 +0lK62R0pIw+H7/Opz3p+fRl5OLz/5ji5nZxTRh5OhE6x3dp0MCkjD4f337N+ +Eq/+ZeThRFj2Ysm4nm3LyMOJ8HeHi3BDVUoeDuvXnTNGXYpLycOJ8KTXpJ/n +EkrJw2G//Ofe4h/BpeThsD76vZi96VYpeTgRtsefkaafKiUPh+t9dOIEox2l +5OGwv1n7l3kuLiUPh/X0nnYXbnIpeTgRNn9992La0FLycHhfp68edrtzKXk4 +EVQb7M9KGkrIw+H8HGGYOa6qhDwcrudTOx2ntBLycDiv6TiuefeqhDwcnv9S +w9e/H5SQhxPhcYPBsImuJeThsF5enBCzd18JeTicbwS9U74rSsjDYT9VnnU8 +x6qEPBz2K+vGQZNhCXk43M8N0xcN1ykhD4f98Iu+lvP+FpOHw/lPP99zj6SY +PJwI8wMfL72UWUweDuuX1fsb/lHF5OFwv6c7rX7nU0weDvuR1qZvMt2LycPh +fei7JqziYDF5OKzXGw8s4VYXk4fD+juoRfD3GcXk4bA/VT7/+HtMMXk47Me7 +Dgxu6lVMHg7nTYu+u5qaFZOHE2HtKYfOv+VF5OFwP+3+51OfU0QeDuuFwdtx +ypgi8nAi1J55lFr+pIg8nAgLmxIPZ14uIg8nQn39POv3jkXk4XAefbxrcuC6 +IvJweF+O27rHc1YReTgRPsm8ag+PKyIPh/f9UPsXK/oUkYfD+ff4uLxJLYvI +w4mgn+m/pSf3hTycCBlrFu0V8r6QhxPBsazyV+r7L+ThsP+/3E338dMv5OFE +qJobmXPw6hfycFgvg19MnHH0C3k4EUo6ckt0NnwhDyfCzWeOJlWzv5CHw/vv +7WbJc+Mv5OFEuHykwvFQ3y/k4UR4ZqOnNm/1hTwc9mfgvP0fV0geTgT75Fm1 +H/ILycPhff2s6aBLbCF5OFyvsYOHWgUUkocToXnwzNZNVwvJw2F9HdxP7+3R +QvJw2J/PX3XxwIZC8nD4+ZPzZhnOKSQPJ8Jy7V17K40LycPheQ/p3u5m30Ly +cLheMwqHzGpVSB4O58Nn2ZmNXAF5OBFe/hvX51l+AXk4ESDZvKt9bAF5OBFM +m1sntAkoIA+H94lFuOWrqwXk4URYHdnaa+3RAvJwOM/vzkpvt6GAPBzWs0mR +v8JnF5CHw/letm/0SuMC8nD4fpanHWjet4A8HM4bZ58WBbQsIA+H6x3c6GDL +5ZOHE2Hc9oyZYl4+eTi8H96xPbfe55OHw/056azK7Gk+eTg8n90qKkuv5JOH +E8HELNT21JF88nB4Xx3ebDN4fT55OBG0T58rjp+VTx4O59N+z3pvHpdPHg7f +z/jz3Vv3yScPh/0DX1zo3yKfPJwIrp/OO9oo88jDiZCcfPifJDePPBzOZ1vC +XNze5ZGHw/ukYKH2CP888nC4/toXolIu55GHw/tE+eDSDsc88nA475hxd7XW +5ZGHw/pQJ1M/n5lHHg7fZ+d+z2yN8sjDiTB+8NCMb7p55OGwX/3xdo9X8zzy +cLi+/NMHpopc8nAi+HfI3FmUk0seTgRrnVHyEzG55OFEuBP4ecSQJ7nk4XDe +2lRunuqRSx5OhK0/7ho5HM4lDydCko1dX921ueThROhz6WzHDza55OGwP9ju +12PX2FzycHj/aC+Z1VM3lzycCMZrbSLjm+WSh8P+5Pus/Q7yHPJw+PvWWV4a +mJNDHg7X11CvZ1Z0Dnk4vA/attN38cshDyfCRnnfZFOPHPJw2I/p+XZVHsoh +D4f9mGtDD981OeThcL45f02y0iaHPByer/lNN3uMzSEPJ0La19oJOb1yyMPh +n+9rXerZLIc8nAj9fk66s0ieTR4O9yO3/6ROTjZ5OKxvnd75FEVnk4cTodcD +ix4+ftnk4XC/Hzbmdnpkk4fD55vww2zi4WzycNh/r/nVtc3abPJwWK93prsV +2mSTh8P92tQl9PnYbPJw2I80u3jfRTebPBzeR9B1w+rm2eThcL7p/Li7mSKL +PBz273umJ+vmZpGHw58/0tDzd0wWeTgRprjcdql6kkUeDuflOP5l2uUs8nAi +3NoZZPrGMYs8HM5rKzcODFiXRR4O52FZ+rl7s7LIw+HzaL12vjYuizycCKOd +2/X06JNFHg7vr8JPu9xbZpGHw37o/my93kWZ5OFEuOLwcMLQ55nk4fD3ecxn +ZicyycOJEDF19rZlCzLJw2H/rPumyGlwJnk4rE8Gvz48VX8mD4f1YHnI3NKP +n8nD/f/f94J8+9z5TB5OhNCjf8QNOz+Th8Pz3ihsf2nxmTwc7q+Z2uM6dvlM +Hg73a57u0X1VGeThsF940W5X6asM8nB4PjN0Jy5xzSAPh/t9S2z7vBUZ5OFE +8Bj3XHvdyAzycCLofsxwqv/7iTwcvo+SRQdvZH0iDydCSMDjkczvE3k4EZyG +b8iuP/yJPBzWnwNHr7+e9Yk8HPYrHbV8zvT9RB4O5yvzowb2X9PJw+H+WLh5 +tcWHdPJwIhxuF7pj5I108nB4nxUePTNsWzp5OBHuW+jljTZPJw8nwpp+87ys +OqWThxPht/YXbkNlGnk4/O/jZTpXX6WRh8P+c0r15FTXNPJw+H5n7ryuvTKN +PBzu7+jttltGp5GHE6HwS9sHyc3SyMPhegRGhE/MSyUPh/Vx9sAPrwNSycNh +P7Vk8l+rY6nk4URwN5x6t3R+Knk4vH/XBqWc10slD4f9ZIuJMOVHCnk4rG8d +v4a1SkshDydC6gvdnGLvFPJwuD/Dbezi9qeQh8P+v9j28OsZKeTh8HnYnA3R +vVPIw2E/9OLhwkw+mTwc1v/m34/Wf0gmD4f11sNS2/BWMnk47Pf3R8xz2JlM +Hg7fd9svm5Mtk8nD4fs1P3h1bPdk8nDY73B3WgZJk8jD4Xqv9P85/l0SeTgR +Uk5YPs3yTCIPh/2ww9Lpp7YkkYfD588a3GGqeRJ5OKzXBqPGd++SRB4O7+Ml +07//rPlIHg7fR5mruxD1kTwcnh/H1aP+Xv5IHg7XY76zTr9NH8nD4Xk9W318 +/qSP5OFEGOFR++hGp4/k4XAeu9c/6mt1Ink4nK87OTVbE5VIHg7vs3yjiIrL +ieThRGhWl9H5yKZE8nD///fzEfOGmCWSh8PPO2JHYG3nRPJwIjR1brc+pjaB +PJwInic/hwZEJ5CHE2F6ekFekGcCeTgR7Eo9OsZvTSAPh/PMg5AbKosE8nB4 +HnbMe27aPYE8HPZDbqlXrsnjycPh/OVVeqJVXDx5OHz/4tU3V27Fk4cToY2t +9TGjPfHk4UQY/udpO8W0ePJwInR3SXoU0yeePJwIZf+aTvsLH8jDYT0LbsUH +pHwgD4f955xq/YRHH8jD4fnxbdzR4PiBPBz+vj8tm6bP/0AeDv/8ZrchwUM/ +kIcTIWvg1b6j/8aRh8P508zCMCUvjjwc9rucqdeJ4DjycLhew8VbtufiyMNh +Pz3F6vik1XHk4bDf3zrf1dIkjjycCAlmjxvXdYwjD4fvc6vxBPNUIA8nwqsN +qnWcE5CHE8FMEf67wBDIw4lwcemOm79KYsnD4f5MS3xrdzmWPBz2m0vG/vhq +GUseToSrFdlf4lTvycOJMGbxDVWG73vycHj+PAdk9Fz2njycCBM8i5rut31P +Hk6E/jtUPddGvyMPh/PxtkUBa/a8Iw8nwo1Bodb3B70jDyfC9YtnjvfKiyEP +h/1Ql7byHLcY8nAiXAid0f/z5BjycPi8PTuGtldFk4fD+hQjmrg+iSYPhz// +32qb6fbR5OFEmLfQ+dj0ztHk4XAemTNzj1vCW/JwIoSXX3ndyekteTgRnjop +vxaOeUseDu+rkSkRktoo8nAiDMnf4Gd+P4o8HK7HohS7vEVR5OGwHw2o2BfR +Loo8HNYL8w3uJfCGPBzuz1+lNnOOvCEPh/vnfvSM5mPfkIcTQZJhPqK5NJI8 +nAgN+496znkUSR5OhMDCHYPL7SLJw4kQ1lh18p12JHk4Eb6+u2YrSX1NHg73 +y7j241effU0eDutFQVT+gCmvycOJ8L1CLB3TEEEeTgTxGKfyCI8gD4f9x0CI +NtsdQR5OhD/ZO2pNh0eQhxPBwClH16X6FXk4nI9GJbcc+PAVeTicf87/W9Rp +5SvycDivfe8fbtvzFXk4PB8DwzMrc16ShxPh3CjTNclXX5KHw/vXsIdWC9uX +5OGwH9wd7HZN6yV5OBHO1s684JgSTh5OhDdXhkO4azh5OBGuZZ2qnDYjnDyc +CKpqZ5/RrcLJw+HzTTaP2pcQRh4O559fhSVaZ8PIw4mw1m574j/rMPJwWN90 +fhsuaBlGHg7fZ43ZdbVbKHk47CdtXkY20wolD4fz0J3Dk5I9QsjDYf/AP/Ca +pR1CHk6EnYYzrVxvvCAPh/NoywfxZ3q/IA8nglerzFsWj4LJw+H8cU9vatTQ +YPJw2L8MU1r/CH5OHk6EMz28hv40eU4eDvfnrzsO8P4ZeTgRPoU9CLGd+Yw8 +HP79syt2P8kOIg8nwiH7oEkfVweRh8N+V+r5JFwWSB4O+2/FpGm7DgeShxOh +ce2PIHWLQPJwIqSnz3OYdy2APBzed+eFsUcGBZCHw/u0Wea5w2FPycOJsH5I +u06zrZ6ShxOhte/v7eocf/JwIrB1Myc7bvEnD4f3U076iNyfT8jD4f1yMPRL +h8tPyMPh+RyzsX6w3hPycHg/lzwe3ivKjzwc1u+4o4P4+X7k4fDPtede9Zf4 +kocTwfZk1jDrU77k4UQYldLvQaKuL3k4EWyW+j4d88qHPBzWrwzTZi7zfcjD +Yb1L7bolTvGYPJwIKw4WX1e6PSYPJ8J+5mPbethj8nAifLPJfj7A/RF5OOzv +Xiyo65n2kDwcni8j7y8/OjwkD4f9+c9rfeMXeJOHw/1wrs3s4zcfkIfD/b6i +pJlB2X3ycHh/pDWTpQ+7Tx4O613WycDtB+6Rh8P1rj4utIi7Sx4OP7909pGH +Xe6ShxNhzqTNassNd8jD4fl/ekZfFnGbPBzWx/u3P3tr3SYPJ0IHVhO9aeMt +8nDYT4YLb6a8u0keDuv70sC7Y3VvkocTYfIgW7MpjjfIw2G/2PHq4Z0F18nD +4c8/d8U4ZtJ18nA4f/B19uO9vcjDYb2c9LK4oKUXeTisp1fvBwXtvkYeDvvr +FuVxoYWe5OFEOLVg8SB+uid5OBEMD/H30rdfJQ+nhg9l355OnneFPJwaOv44 +6Gpscpk8nBqOP43fGjXIgzycGt4Uvjmd3/USeTg13ErI0/Vq604eTg2rdyw+ +9aP5RfJwajitb6LdofkF8nBqMN+6cvyXVm7k4dRg4XVz9dZOruTh1JDRFNUh +ts958nBqCNAN+SQddY48nBpyn7lN5KadJQ+nhpo5N1W561zIw6khT+4YGHD6 +DHk4NVRcNOrn8PQ0eTj8/eOPG+72PUUeTg0e8vm5rVqcJA+nhpAeBj9jdh0n +D6cGrRUJR/wqnMnDqeFc47Y5GWucyMOpYeugfi5zJUfIw6nh85LUOUZOjuTh +1LBu/jbF5Z6HycOpIW3QsA+OsQfJw6mhhf+d2X8dDpCHU8Nr6wpdkzH7ycOp +4W6Hp+9XcfvIw6lhRZeNn35d20MeDn+enYfBLttd5OHUMGHjhMKcATvIw6kh +erR15xVttpGHU8PclzGWg1ttIQ+nhqetxmsv091EHk4N90f02thn2gbycGrw +HzbkjlH7deTh1KAbm2jBvV1FHk4Nik3OiZF3VpCHU8O8V7YRFf7LyMOp4aNZ +QKs3VYvJw6nhlHtHvcvzFpKHUwO7CCVbMuaSh1ODZKDVSfX6meTh1BA+bE3S +1qXTyMOpgXts6pyykJGHU8MQ88BIvZhJ5OHU4ODl1tNAbkweTg0XTewDl0aN +JA+nBvvEhqFfDYeQh1ODZ8MCdzd7XfJwauh/sqv2r+YdyMOpQRpWMHalTYOl +xsOpoZX4dfaqDvmWFz2aD/7Rgodm9L9ddbpfklvyMHFJxP+YOvOwnIL28Vuy +VEgoErKEkGQnY6+oFC2EIllSCZElhBRJpX3f933fl6m7qCitVMqaLYmzREjE +b953nt/3ef+8r+d0zpw5M/fc0/WZ6+MlNa+qVHuDskeQCAMoIn/v0kVVpUsC +tqmfGMHA4YDaSs3FVaWS/MFBNJKBZ8G2hSuVq0q/bb+QO24UA38NAhQwiVuj +7p7oJDFT0Wzn/Xx0acFA7Ozs0QycXtX5o0DxOw7Wxx2OogxYz3ux/PeYZmSX ++sRztxgD04vcKvz9+vDBEZ+3zRdnoFhvuvqQ+V/RpoPD/vWT+P2v/TlDG4aU +zi6Qya8dw4De9u7lbQrPkMj4pSdDxzLQqrl30cyGHNRlvl3+1DgGmo88Cbxr +1ogfVpg83yjBAL/r2paz37px8tSL3pLjGZhZPtvLW+4JdrNx13hH4mGTrI2N +V39Ep+vihuRJCvtHd25pgdMEBrrHPrJ67cug5ddaTu2dyEDZkGvtqZVfkNTT +z3MXTmLg5PmwhfVeHfjnkuEvf5PY8V75ZdWwWtThPNW3XoqBfepmp1sSOlHJ +m6VaEdIMOPl3vu+c14fDVDSGnZnMwPW1Qd59bZ/xdZ9DRVumMHDK+KBkwNWP +2PTLRetJMuR9Sv+Y3h5ajlXVPOZ3kdjdMm50vncumhce/6pgKgN+V03m3B37 +BI/+Wep3R5aBx3Kd5UcXFqCena07jKaR9h0P2neln8d1iV+GL57OwJ5ft8r8 +lvE4fahIyV8Sl969qtfmxGHP/bJnm2aQ771EfG2afRI+m7NsQbQcA+MmGuqa +GLPYYIxmp81MBnoWLXO9EfUYrzpmGqA2iwHXy4onZ494gmTKbHUmzybfFzaE +12Z9wL+lPUd8InG5X1SQz98m/PJ0Ai6ew0BiY726dEc3hodlNm7yDPx2za98 +8pbHUbPaFh6cy8DBj77GWXdrkONl5s2SeQzkndacVmHfgY89EQkaOp+BX7m6 +0pdC4vA2xWm7npD4n0zfrMW+rWjBreWj4hQYGFATW/Jv8xsk/kqz7MICBnZY +7XkeNjcWsasOn9++kIF7zW4/oeM9bvK4pDh1EQNjX7jvH/zVhbO7Pd99JvGE +ecdza7e3YN/NicGligxoBuw7dAMXowvBoOuxmLz/WrUH5Re68d5vbaNNlcj3 +OOR+X+TRW6yyg4VlSxi4LDbNq/57F54WN+KiiDIDux3XXdLI6UB/B6cptZH4 +4XDzfr3yF7hzz4oPCUsZmDN/crGBcg6+n6EVemkZ+R7LGxJPS7zBcaOP6Gst +Z2DGR4+PbS8/4Numl8WmryDj6RbblT6sBlkUe1WwJBaT7Phd6JyFtSYm2Zav +ZKDjin+mCNuBF1uVL/FexcDtv3q3xox7iCWqnnYdWc1AppyW8r6YfNQ7nQtb +uYaBDVkHrUbrvMdPLozcPWotA0onTxR21rfhvMbpYzpI/HorG3jc/gEKVFh5 +P1mFgeFr3lT/6XmGL9/YcdluHQOLZdQj699lIONnR5bqIAYagmo9f2q14g3L +r3TLrWdg4+Syu18+d+CZbt4RvST2a5aftX7zKzzsQ9Ke+xsYOPfi2pfNg+34 +/fqKsX4bGVCWjDyf8yMLVfu3V5ptYiBNtHnU0XNtOJHjrqzZTO6X8SXXXbkE +u2wftVxsCwMPxJu8LS934pNRM3qek9glp/Lp5NcZaOfAyqi0rQzo91uGV07u +wEv1tfdeV2Vgp6virRjvWjQx9aiErhqZ//1z9DTbGvF3Ebvq2eoMxI9cNLAg +uxk9PeBztY/En5Ivbci3aUFF+ckrqreR+6mNKrRJxShE4t7ngO0MHFo4pHOV +YQu6at4RbaHBwAIf3TEi7HNsUsHvW6fJwNTkRzWxrdl489TRkmO1GDAIBp+n +b2qRvI3cw1ckLutjhsTsK8Qj61Zdz9xBxsersvJrLx7ibnmdVQ7a5Hv3VCgk +8g249uoxRl+HAZG5jzuWFYWg1Da72Lk7SX+1P3O2k2nD7kt8jX6SuFxx3FlD +o1hk7ZwyoWYXA/tfh/fs4tqx3pt7NcG6DEgUTP7Q5FqPV6g8s7fSY8Bk9Lop +6uJtWNqnd/UGfTJ/FOZ1fDgein99Hs1JGDBQ1LitOvvNM/xcdWb8GxLLXfpx +wUe7FJWGrT6Qs5v0T9NfuebUchzxQ2fSrT0MWBq8FWmZ+Qzf2Gn2aI8hA/mN +jseSJerwkcSrDgp7GZB8LqFmEf0Yqw31WztAYvWBv85dowHP35/KP9rHQNiV +w2+OpjUh0Zz7CWH7yfoy/9BYt4/V+LP484Onjch8+3s9+XzkA9Rw9KvUZmMG +ro42TJMaFoUyS0XrJxwg/dG4Z/4zsXvYW3rWzfcknhygOMZulSM+d3rNuvyD +DJzVXD9V0bkM7Xm48+ttE7L+SUVsCFwViNfMOp607xC5PktU9eX+MjT18rVD +i0zJ+2RPvHHHphr/eew3eZDEW1L6fhwanY1fLUpraDjMQJWRsrq/Wz0uv1l5 +K/IIWY8m+Nx8qvQYx7x8js4eZaDeyXnfg0mF6Naqb9+2HiP3U9kuHyKfi497 +iKVImTFwaa3525v3qpBG96zDH0lc/GlPdF7EPbxo81qZouMMePdYNs3uqsFj +g3c1uZiT8TNBoVjHKhpzX4/fNrZgYLzHiee9U6pws9b1DUqWDNh8DGCkhxfg +nFj/7/9IPKZc86xEWxb2G0xLbT5B1oNT4Ztcr9xGtnuqjsRYMWC1891bmfIm +vD/jxdTzJ8nfPwohdWUzRqP7mtVPCdfDGabid6acJuNvg+rKcdXReEjx7E09 +JP77qCD7a3AzfjtB5WeJNfk+qj3XjN3KUOUJ3fS7Zxhg92SERaaG4fhK82Mm +ZxkI+VmXqe3ZiJ2n209bakPW91ITbyXkjSwvBDwZdo4BUanGq0NPA9JuTHdp +OSd8/hKF6s3x50l9cnyhlUtYM5a88bL/4gUGHAaHHy08W4C/dfRlaFxk4EZf +mHnc6xrcumzMcVlbBszDlDrgcDkqcJ0zgyGxsmnTvrVfI3HQe5XWsksM1EUG +qFWuTUFX1uu5eV4m49thd+IJaMIH/C22Hr4ifP5Gzn5guR0DfXqH6q3aWvDs +7YFZI64yMPhorbKvXj0Wicowf0pipZhBhdVvHuOuX9VySdcY+Hq5aqPkiTb8 +UO9V2+XrDET88NnsV/wEJ6d8v7vDnoELZk3mx03vYTeRsWozbjDg5XI50G9n +CTp1QP4PR+J5J4eebfMoRbvy1+VUODCwSuWjWZ/DE7xMQt/Sx5EBLfln5q2K +lXiSueWsYzcZ+GNfMVFnVAX+WX6jfdUtkq+aT45suvAAd8gEeYx2IvmOlzxW +qR6DS85mqj8j8Zh2UWnO6AEKe/RgMOU2A98bt8i6nn2Mr8u/zr3qzMCagOFP +JPTDsenVHyd23mEg4ffyFQ15+Xhr29g5s1zI/D8zrVHVLxnPXTL32VcSV3Y7 +7j49DeNRzsir0pU8v+aCjuysZvypU3+7vxsDUY5MtJZ/Nq5be+Lf8bvk/mpd +lQ3Da3G6t0P+WncGxOdr7zSprseen4NOinuQ/nvooL2o/CE+q5ol/5LEBc/U +M1vkC5FB2MPn6Z7k/j+Omq+53YxX/Xjtbe9Fxo+K6Y1lumV4ys6fGnreDNhp +9A/7sLUeDySMGyrvQ763rPvB9SMK0Ish8wq/k1hiRmHElmTAZfvWn37gy4CM +vDN/7EYTjso2mBfkR9a/8PPyemaPsKO41UtLfwa6ms7US8wuxceOOvqiAJK/ +6oyWTLjahLeVBmuNCyT5Xq6RPbO3AC2Qzh7WSeJUeeX9pzPKsfjpmqKsIAZi +GhIeeqncQ8yDTmvHYAaCQiu22KzzR40z++fvDmHA/qpVtdbwWJx1SeL1vFAG +Zn2XHPJAuw77PJ7n30/i58XbpasWNuILizZo14aR8fdETWF2VyXee3O3SGg4 +A5vmndyT5PEQq7y0KjkZQb6Hw6Fy1Y4iPG3VzbMbI8n3Pb7nnbWiJ/7rHrJA +Mork57r3tt4XS1Hnx+zOtyTONVw42mtjNr63qTYgN5qBDx4/JtyvyUSxQW90 +nGIYCByTE3tb5yF2+to/Ym8sGW/G2fPw8UxkrjW+dEEcA43rzNovyRQgrdj5 +536TOH3R0dWtyml48eCGRfXxDGz7GbdhxXyMJfbseRueQJ7fKfVt+L5a3Jt+ +Msg6kbyvlatmza6H+MmoW7u2JJH6c5tFf96GZpx3KHTUpGQGnioNP3ElsQkH +FOWUfSBxg+3VnIHEVHRpwqPzBSkMLOxZ88DgewM2OvFW8U4qqRcd6ocdco5F +6yt/vdufxsCkPYHF8rGFWG66ZIhiOgNLRVXCL/yLx8MuKOj9JXHtu8SCdVcr +8PuGjaJNGeT6Q85zjcrLUPV8w/KoTLK+ywT9+7KxHCfan7pok0Xyz/DotEPm +ecil45aSWjaZzwkXqnYtzsdWy8I+SOcw0K4yrjtM7hHWcc0N7c4R5hPl94/0 +i3NJPh3p8HnOi2I0Yf07Mbc8BkZfuqMk61OF+/wGKg7kM8AFs6T2v4WespKX +lhSQ8Zhrv8HgYwEq2rZAeWghWf+SR2l+UcpGIZGbPj4mccuoEU3eL7Lx1V+G +4bFF5Pkzl1fIj8zCJnqnd18oJvPr0iWThV0paHOK05jtJcL2zBEJvy+DGbh1 +65DdzgOVeMSBvMufSey9QHVdnEYU/phXt7S0lIEfn5wnPVgTgGvGve92L2Pg +S7ZvdUdIEko9/jviEJD8wL3oWb07HrmXTzBcVs7AI0tR28NZachaZuE4kQoG +7j/nu+Z0h2K9s5urWklsf/jW82XXqvGKR3vtEu6R/dObxAV9SfextLz18kv3 +yXz0CVkCdim43+52j2YlA9od19Zd6S3Gz1rDo6ZVMRCp3PEy4GUmxkr5e1kS +3++e2/MoIQ+H366XKK9mIPmZhFXSpUR0o/N9tdcD0l8bnomJDm3AR9b+uXrk +Iak35qw0m6dUiNW8J65cWUPG54Z9Mv4TC9D8zwu/jKxlwHD2sUmb1j/Eoqpb +YtpJbN/feT5gQQL+HLpvf/IjBs5Uhi962VKH679bS9rVkfVVOmFfbUgKztBx +fqhdz4CU74JFYxY1Yq+EiOtyDSQ/vYv369HNRjZDClb1Ngj7f8++BuZeI9nv +TFIbY7nWH6/J/hDr20Tq2ditk3ekh+Op4oNGZs2kHvyYPv6ESCH+c2TSxDWP +yfh3tZOpuOaLX+FFtaJPGDi241SYofo9XC619cZzEpv8O/TOdkYBjj61f01a +CwNGt6T/6bSEoZsPznDXWkk9ol4g6VaVis1m3onf1UbqC8eEiG9DHuLtlyIP +zH5K6vVNB0QeJZB65HHBpD4SO1ytDxgwI/XIosZHVe2kfihTU1v3oxxzjl0O +AR0k3xYwUnk5uaj5xeBai2dk/62xs7E4Pw3nrJTqVXlO6vnWj6t7Qu5jP3fF +xDEvGGAUmDVDlhfgix+3mrwi8QLtwF2+Dxrwvk1G0pkvyfvVj7uy/HwWXhd0 +tv7GK7I+nuwf92drDJ7+9c5N/dcMeF77Vs2JlaIhWlHr5nYykFLZb+jyLAi/ +jSn8+oPEftkm7ped7uPKP41JD98wgHmL2KWRdTh+98dDwW9J/rzBX0oYkoud +0/9OtnpH1tc3WXMP9oZgy1HSjevfk/y9OjChbXsU2nFosZPEB7J/dHPa1v29 +ACsVqa5/Q+I3TrMPlM6uxuMnGPdldzEw8miaa2ZcDf5qaZNy8yOpB8ZBoEWt +H2q973J4TzfpvzEV28Oj8nHBtGgZhU9kv7v46azjjeU46HxR0y8Sa5QGK30Z +V4WvNDTdftRD1vepz/d835eJDszv3hD2mcxPzeNiFyuz8Eb7f99PfWHgiItz +ULxnIZrVIZ22iWGgmnWytntViYcvUzo6gSX9YdiwpCmkCH9wUZN9T+KibOgU +t/dFD94ZP87jyHox2bjQyDEHJaNzd27zpD7XaPTsXRWN3fxcN+3rZWB9e8eH +H3e90Sk2+ufCr2Q8OvsvLk/Lxbu2Faf/IbFSu5J86bZHeFlk87GGb2T/LH/B ++8vsdDTpV/e0yD7Sf1cTo7+dC0Q/dIe0nPlO6sNlU74FtqSi9uTJrlt/kP3b ++ZFYe70TKh6+ZIvUT5KvXlXObVmei0KN1X91kVhRU7q29+w9fD3vQGZhPwNz +l0vFLtP3Rqbjzh93+UXyLZ47bElCKNp63G2G8QCZ/245PzMV8vHc8pjWxb/J +98RbDvRH5+NRMiVu/0icH58td8kwE30683hr8x+SXw421klnxuFHtZ8GogcZ +OPGw6+LK8RU4bc7Q7HN/yf2fVGc6ZXlhD7spFur/GDA2m/xyxbL7+EzrkplT +hrCwKjRt0leuGhsobXv6icT35+U764dX4lW3D7qXDGVh9Ms14zsfZ+MpnefV +7g5jId/BeOz9id5oYM3dPweHs2Ax4vfuqpQK/MIrNkdZhIWmpoLLivf9UVlP +ieWwESyMaR2+ssi9HEdufTKrhcTZ90KPnzd9gB1Ce9rjRrLgs8ph0Eg9Ch39 +PtTz4ij2//KJuo7MNo3RLMzK29XWOicfL0hQ/jtVlIUNA43D3Zbcx+JDtud9 +IfGJ/aJPtBdFIWaviVWZmPDvG7MuzPEUZ+G15hF7XZJfs8Tcn5mOYSHhinih +lmwU9jkS57V8LAtiKg/bzEXK8XmMt48Yx0Kn9OTYD5ezkKFUy782Ejsk7hZz +2VOJ1576nJ8owcKuudVo8pI4LPtg2KnL41lwu2jjPTcqFw/KTZ27Q5IF08GP +FdqH7+FO26Uvpk9gQd0mZNWrE+X4XvN2H47EIS+jTjJ7XXDswkOaFRNZqLwZ +Kr8pKhU7OV4c6jOJheQZjXve3klA5i/cC49KsTDBSR2kT+ZizZXxp1dJC99P +0b103ujJLHxeUBtJtlR43MeWlx0kvh6XGBG+IQPxG7/4pkwRXv84cPiOqzIk +bj91+p5rPMrrnTp851QWLpWYjl6fkY8DNJcVz5RlYcuEIZ+PoUJ0KUbjzFcS +x2doF6uXFWCjP4cUKqeR5z1ZZ12Udgev32372m86C+1+a7uu33PFcuke/sdn +sOD6smJK454qPHRUgvZaORb2jvAf+ORfgN+ZlImIzxS2p6qwteTF/8QJkszZ +9FksxKQOGExfXoxdLEUW2s9mYURTjLLTyXJsdV/2je4cFn6OWZG8dWc+0pm2 +PHCOPAvlaVZGvVwOVj6vufP7f2KtcSbO0yvwhAbTkQ/mCu/fN+9SaeA8Fi5O +Eu8aoRGB2657nrOcz4Kvuonop5RCXNiesAgpsDBpY5PvnrNZOHgpvB27gIXC +6UaS6TFZyM6lLeg1iV0cZVdNf4SxyTtmV9ZC4f03oxGjHRexoDVbQTT6RDKa +4zcNDBRZcNSKjtR0CMUj2OUX5i1mYZ3I7jU15pH4o7rW4n4S7065OdvLtxjX +RBx+X6PEwlnxJS/M9xXhlP5LISFLWFD9Pr63dvkdfFfXS++kMhkPwytVvx5O +Q6eTE0U3LmVhffrrvK9Wnlh3eHn5+GUs+JXunPjZNQ+vMH568S2Jj3j7mrd7 +Z2HpPFYpd7mwvf1jR3bdWsEC2WCr+P8tx8/MpocZriTzv3aqlfblaIxhhcGC +VaS9H8zsPN9F4vApO8R/k1hJ3F9k+ZAYbH/myL261SxId36+fHNHKjpce/lS ++BoWchomuXzb74NU53grW68l+UF21dDvRuV4nl3Sx80qLDTnL66R1vVEoq3l +4RPXkfHS3eS5WysPf17cvvsDibfYrjI6Kx+L6p24MQWIhX/S/ZNmv/dDGa9H +VjqvJ/MjQldHUyEYea2ZcWX/Bhb+TgkcVmLgim28Vi5T3MjC4in5W/MVvPHu +nh2fBkksvlLJalSrL1q99Whk4ybS/vXPR9ilJmKZ0CuGUZtZqI73+OIzowT/ +7vMeZ7OFhfcKRzSlNmWiV9rJVapbWYiee3iUqV8+Ko+vsJNWZaEsp/WKo3kA +iv7XvrybxPnTsnJ1i1Lxzb18T5EaC+nV1p667RnYLGtUtKs6C485Ztj117l4 +u5jcvgPbWDCqGfd481w3tPDIqvFLtrMQarbYv040Bo3B2g+GaAi/Dzvp2LXH +JD5mxYvvfhODmk7arYzVJP3jdnzk9Fe5KKfa58t5LRY091607tOPw35yKTHb +drAQu/XTgJbZbXTR9t5+GW2S3ydIil+fVYL3NXdIfibxkQDvc2pDfNC6hb0P +sQ4LPxTdk88YBqDpjqPt3Xey8F206fbzwDj077nc6kO7WJjxfWdX16Qw9GbF +anapLgsLdeK+tYeV4/t3deKG65HvMV3UxHeaL47rOmbcqidsv/PGqxMT9FkY +PvvGRn3du8gy0LfW1kD4+47elBuau1mQdZg4vTgnEytp3l8zbQ8Z73Jzdh66 +EIzHxzzjGBInaqie369fgr/+7o0HQxYuzPw+LO1+PG4xED3otZesNxYdV91m +OKH8tJlSR/ax0Ho5J5hpi8WBI9fUrdhP8s/POIkJw+6iyyY7HUcasfBHTyL7 +fT6pRwrNVNpJXNIspqdVE4s3Sl7rTTJm4bzHVblYJgXPsvRLvHKAhaS8NiNT ++yQ0/H6qifZBMr6GrQ7+dcYPfZCtlJYzYWF8+I8nyikl+MG55/U8id9McP1y +4hpGSfVfb947JHxf13liyNdUGJ+8PuvbscMsTGvWrou/mIh3tq9JXn2EhU2S +V9bbO2TjZUt3mYoeZcFms961vTqReJLL8SnPSXzT5JXCJc88/OPttcbUYyxE +9fwT0cjyQu3r/J2umbGQZ9C1TekjxsW+aet3HSfx0WHswG2MQ5nKvlnmLKjY +bF7/910+uqb+IuUbiX2v6cT4bgnChyK+Ha6yELZvS7/Y1ABLFq4y246+vJGL +5XVnN5ufIO29rHXLqjUUj0pe66xixcJXv6PRItGl+NMw3Y1jTpJ8+eXDnGfW +2fiRkfmPlySe1Vrm7O4ShNNyr6dlnCLjvSbt6ruFCdhjbMDRG6dZGGkRYHSo +2hudMUuX1bcm47k7a37V1DysD1WP5c8I27Nyyss7P0hsNN7hKrMrA08+07fp +4VkWRPSPtnzMT8S/asT7g2zIfCm5cf/b61T0YvacjBPnWOjBd5RfWobgsisq +ZuvPsxDZ9tZJeVEZjmzRnS5xgYW2g+0GtyyisMNii5ZOEi/RWREfIZeBjzrZ +u2ZfJPXAcekXFl8xVn8dsOWmLZlfyw2s9ebfxAprMn7tvkTqCXm3HZ2mxVjM +qzpz/mUWzpiPuOMxIQ19+fTy+C8Sf1tllLD/bDRq2PJ9xqMrLFi9u7Lhq2Yh +zgwZ0xZqR8abrhUXZFGMffrm3D11ldRLxy/uWTvXC5/XXqe66RoL/dOfnze5 +exMZxuv9lrzOgnOwxsjNZj547T+L7Hckdhg46ic7NBHJ7r1hkWfPQnHdyq0f +rvujwczAmbdvkPEy1dxEtKoMvxbNfLrXgQUNrWSHradzUcXhB+4LHVlQfDnw +MW1CAI4peaX2h8SzE9dzH16G41uTfvypvynsf/OTY3MjbrFgYOzkUZ3mgTSr +5U+ccSL9LXVxVsNABFKUQ7O33mahzv3zbxPfUDTOVr9jkjPJlz+CnOOkMeab +LD27SHxQYWXLhqx0/HiBw7bCO8L75zoE/b3jwoJUZdjBsP447P88M8/IleSv +uD9FL2ZGIdsVD60Wu5H+P3W9+2tbFt5/9/WcfyTWDRxQOxaRgtd3/XjWdJeF +bSYi+HpPPpLbOM472p2sTyISq7p/F+ChgXM1znmQ+YrMrModI9E7Hg1R92Sh +6PF3yeFtabhKw6BgshcLX5BpmU5aJE6IPnHqE4n7XRePHaOZg+/8dphb4i1s +7wmD4BduPuT9FWI3l7lmY+20LJ+DvizoiQSn7UzNx0tG1mgq+5H5xUimljYk +4wkmnUOH+ZP6bPL10r+JgPsKfhY+IbF1wFLjKbM8cdt4Ceu4ABbmmqsFT3VJ +xoUW8+ZfDBQ+L/je+lfbg4Sxnexuv6nBZH7NH1Nw+08KOnjOascXEmuKSJ90 +SMpDm+odh5eFsDDlSL9C3ZlsNHteSLFHKBkvTewKsjvCItezz5iGsWA4WWPy +/IfZ+OPTGoXl4aRebT4Rebo3AdUov3ktEsHC/pLTPf6KqTjlTr9/G4mP9qcr +KJ3OxXffSugkRpL5senSYIZaID69bv6Iy1FkPZCwbTrxKBfr+m7AWtGknhN9 +NeX8q0y8nNltMz2GhXEnFeXHGiQhKfWTCzkSn/Atfp1RG4p/ht98Ux7Lwi21 +zT8Hdrnjjp8hgd5x5Hs6JW1Owz4Y78rZeTSe9OdcQwObe9k4PKl25KoEsn9Y +Iurq6OmP7Ye9LR2VyIKcgXlojHEIOmz061wHiVcYhL310IjDqrnjFVOSWHhn +s1+1KToFzRur8M4umazX+TnDJ1yMxKPNNgbrpLAQ9tbRr/VqCe4p26M7M5XM +nylGv7+bZOK6yadGfyWxythni8NCMlG69S24n8ZCBJr15Oz2OOxVE3rBL53U +8x34tKxnCbaZnbv4eAYLNbnPQrb+9cW7rzx6vyaTrF+Ljsq2WSbi1S1vQ8Sy +WHhYbfX0fGccklk8oPeCxIGtudIlbzLx71uSYunZpJ6MmTV2x6ZM/PKVQsX1 +HBaQuPvlHnk/BKs32ermstCtGzIQuyYRR3kaLpmTR+oX5c6sjiPF2PHTqa4+ +EmeM/C1SeSABmW1xCqvOZ+GkHpxuPe+JtoeEGQQWkHzM/p6640IcXtiXK25Z +KBxfY7Tr7q0rYiGgzjMWl/ghNu7dpbHFLHiPcFxaaFKEm/4OKL8uFl6fbTih +O7OEhTXVV7+u+BSNfTMXRDhgFkY5TtMqWxSHL4hu3mNQysKNvZo5MUtC0N7D +e8fOKxP+/bqS05U/Sfyq+Wtg+tMsPH3S7Ss1wMIC25vBX7JD0D+r8GUh5SwE +zTG1PSvuh95U5X2yqiD5rl2tbt59F3R/Rn3khntkvFtayiu7ZqO4i+8Nx99n +oS/616w6jyh8u+n3uLf3/7Mfm5WoX5yNLRZMrM6pJPvDJy3WKTE5WMth4dVb +VaTeM1A5Gb8gFS1+vnmFYbWwfeNX7Pus8IAFhdySOnemFH91s44eILFheXjw +yUtuuOXD7X11D1nY81569lPteJy/IWJ8eA0Lh4tN83siM3BgQP6D07Vkf+iX +oWfy3gtd5uuvbX5ExsOFA4vPx0RiY40PKyfWsfCspuzf7gQntCH6z5f3JA6Y +5BDbJJOFZ/6eGJtfT/Lv6b01JedS8DCDRUbODSwMlqsZmM4JwR9St0zY30jW +o/4+idj0ePxgxP6aRU0ssP5vh35Zn42TDp6xH2z6n3qkwHl1YzPpzyjb1SZd +cfjk+Eg28jELDYZJN7UuZOOdFgVxZ58Ir196r8FYtYWs/25Lf7odi8ITZbsm +SreS/cL+geGqV+Pxd5vB2o8kzu2MjCpZl4mf1k1yKGoj8y25pu7jpGxUPFdx +retTFjyW7l2xNy8Vh17byhu3k/pu6Fudij35+NrT/QlKHSwsn6es7fq0AB9S +PntwyDOynmrf7bf5nYy33Lkj9ZjEjbE2DC67i+XfRtbFPGdhqHzkg61Od9HI +dYWO51+wcFdWf0rj/mTU7dOosu0lC5m60mqRvnmo9ktX75RXLJgfS7hxY1wW +TlX7m9hD4uplkhtddIOQe7jUIfyaBduwX5pNRZnozE/Fye6dLDxZYTN4XLUI +6+9SbTB5w4L/xZfjD/yLRCuTjG4tfUvqo5uzxx34FIUmD7NBw9+R58/Pjao2 +vo1+7Xf51kLiwOtSpsZ7E/DznKjk+PdkvTXYXxmbGYlLxxSZ2n4g4/fMtkvF +djdRxLGmKZpdZP0b0yIbY5qFb5R9bJT9yMKjzth3IZLB+Mjkf04MiePTlE+E +9mRidWvpDdDNQunTrvRx4gFIoWbxd89PJD/b9+scm+qDxWarpR7uIfXM1Ncj +Vm6JwF8uGx9Z8ZmFzUtn/FGZlY4anthMHfmF1P8Gqx+ctsvFmYquzU9JHGT9 +53X3iUDkfSvaOYkh4+3NR/cBuXx87lXRxiuscDzsWd38YwdH1uPosPcxOUl4 +jWd32gyeBSd7/7ixiq5I9tO/ozwvvH5w8+Rp93pZULv/eNzASg/8Oljpic9X +4e8V39Rcjn0j9fnhWcO8faNwzI4Dm1f3sfD75twdh4yi0a24c/2jv5N6bXH3 +Qq+hhfj4X9eMZyRWzfmuc3O2N9YwjDFL/UH2Lyaz0uvtMF6UWTz92k8WjK88 +fLdMPgOPFX3csrOfzD/lkXc2kP0mb/rJddYvsl9+ULTqQH8xflw8ZOs3ErfF +dxqVcvEod+KUgcoBFna+iFsutaAA+1styfL/TepPceNU6z5nZFulbm7+h9T7 +c/+MuhiZg/fPOCinMih8H3TxfJv4XxZ2aP2IbMjNxjOa3O6+JLHS4nO73W5n +4SELYlUz/pF8eM2F99+TjN7eKPltP4SDfSGdP4+8DkNVzx5n6w3l4Mser/TB +9rs4YXmPhfwwDtzkPHSdl2ViysNx4DIjVCQoJwFTHo6DK6z+VbOvaZjycBx0 ++1uulRjqiSgPx0HUzRmzd+MYRHk4DnZWmt3tqYhDlIfj/q/9lIcTxpSH46Bw +1Mdvb23TBDwcB63TvnnM1fcW8HAc3F4nb3thXwKiPBwHGZt7t9f/TMGUh+Mg +yztmkuKZWEx5OA6CHzatSRifhykPx8HZM18j5BxLMOXhONhVMPoM05Im4OE4 +MA9X3ygblCrg4TiQlknxHTc2FFEeTtheysMJY8rDCWPKw3HwgP/1e43FbQEP +J/yd8nAc/Olw//a7MBpTHo6Dc9tsokzOZmPKw5H3k5CY6yGWK+DhONBY9meO +0pdIRHk44f0oD8eBd/zNVz31nojycBx8O9lze6llPqY8HAe2nOyY7JR8AQ/H +wXWLjMq02EJMeTgO3KcaDH5qKxLwcBwMv3DkuMKRDER5OA42pLvaHz4YhygP +x4FCXe+WTc8CEOXhhO2hPJwwpjwcB80zXj9dpRks4OFIfGvWPofGNER5OA7K +pp6vV4kJQ5SH42C12iLXhbapiPJwHNQcrH405WMkojwcBw2jB1ttV8cLeDgO +bNz5r/fm5gp4OA48n5hZxq9OFvBwHLhqaLb5RMVhysNxoDlKU5wpCBXwcBy8 +nqucUzS2EFMejswXcfE1s8fmCng4DioOpC+6d8RfwMNxcH+gIj9cM1TAwwnf +l/JwHPx+/2B12KRsAQ/HQe/kJ7e/s9mI8nAcDJytZGpzSgQ8HAe8Y4nbYctk +THk4DkYf+zPs+4g0RHk4Di50yz59OzQTUR6Og6btG+WWLIlGlIfj4NSDZct9 +/dMEPBwH9rNMPVXq8zHl4TiovR76/I58AqI8HAfV3Yt0NEryBTwcB1JoREg4 +Eyzg4ThYEKOl0WKbgCkPx4Hv1mzlUbEpAh6Og4jcja/+2mQjysOReE6iGXcg +G1MejgOv7JV/vudkCHg48j65w++9/OOFKQ/HwXelyi+KZmkCHo4DB5X50nZS +vgIejoOVE6csde/OFvBwwv6lPBwHPoVrhu0ri8SUh+NAMaLZBdbmCXg44fWU +h+OgZ6Rlm+XuGAEPx8GmtRe9DL3jBDwcB2tGvfJO5b0FPBwHhzrExRV/3RTw +cGS8LWjSt3qQhSgPx0G7t99ieZNMAQ/HwVFn9/3xXaECHo6DhyN39Q7NS8OU +hyPjTdRwMGZaEaY8HAfxYrm1wdaJAh6OA/3YlBz5RTkCHo6DA20T/02enY8p +D8dBndQO1Wvq0QIejgOt7JhHItVxAh6OgwKH7Or+sfmI8nDC96c8nDCmPBwH +H5e0K51+nI4pD8fB+cMqX1+9dEOUh+Mge5pH8LERUYjycBxsH9WTdJjLFfBw +HFimwtbHOqGI8nAcbHMd7G2IuYsoD8dBoN/F+380EjDl4cj3OSybkbWkSMDD +kfmgebHJ7m+mgIcjz/9SvEDbwlPAw3EQLlPbm/7WBVEejoMzUyo0GqJzBTwc +B/4Hn7y85+eBKQ/HQb1Z8MpR7+IFPBwHhyMf7XnnnIApD0ee33taLEchCFMe +TtgflIcTxpSHI/dvQgfWOEcJeDiSj5JVbt9XiRLwcGS9y/oXvB55YsrDcTA9 +d+jIuzf8EOXhOOiq41Tmdf1/Ho6sl2fOWs87nyXg4Tg4HrZspHaSH6I8HAeS +/caHdm3KwJSHE7aH8nAkn0fE5l7JjhbwcKR/HjSEyw0rEPBwZLzKlLbuTQ8X +8HAcbLlyV6PhZoSAh+MgucT/SYlpjoCHI+t/iaS5zJV8AQ8nfB7l4ThIWhgU +lxIbhSkPR9YfSflDV+6FIsrDcSDxwdLa7riXgIfjIH3MUZPQuV6I8nAc5N5o ++7O9LUfAw3EQtPw9t7g2RcDDcXD5yxOvJrUsTHk4DgxU7sVn+8chysNx0Jgj +r5lT6C/g4ThY0XwMqiryMeXhONhdrOUxgdS/lIfjQPXYjePKPtGI8nDC96E8 +HBkf4amqek9jEOXhODjx4nbniM3RAh6OA6cbyQ6XjDMx5eFI+5mssG+Znojy +cCRfLuEm61eFY8rDkXy6ZE7ojwX+Ah6OfL95rsGnJrgjysOR+TNimeqtRS6I +8nDkezgca3k0IV3Aw5HvmaTeG+0VKuDhyPve6zW+PjweUR5O2H7Kw5H83jR1 +W79koICHI/lxMe9eoZSPKQ/Hgc4ODz/HKF9MeTgO1p47V+UQGocoDye8H+Xh +yPyvu27+5GWcgIcT/k55ONL+fcOg5N1dAQ9H6q98p0L8yBNTHo6DztFfhpie +dxHwcBwkXN8SOmFVjoCHI+O9UkblmH6BgIfjIEV5X8An1buI8nDke3xLnzdy +VxyiPBwHcuIqe+1kPQU8nLA9lIcj+WTtrUeyjnkCHo58/46q3N9nvBDl4Tj4 +Vz3pnZFCgoCH4+D51iM2kzRyMeXhOPis44A81kQLeDjyfhvcjS2cUgU8HFkv +G8X0sGkgojyc8PmUhyP17/jQdvWaIAEPx0HsM8tPty9kCXg4Dga7JfvWnI5B +lIcj+efClfeOkwoEPBwHuhtlb9hdChDwcBy8GNoSUjwkUcDDkXpl1NaWL+Gx +iPJwHODUzHKL8TGY8nCkPukK0r6ZlIUpD8dBcdqN+dlb8wQ8HKnv5o27ogAp +Ah6OjE81v+uasgECHo4DMdcMJfENkYjycBwsTBFXYH5FIMrDkef5F9g0JIcJ +eDgyn/BRXK1/F1EeTtgflIfjYOpLAw3D/fkCHo5833NzkuQUCzHl4Ui+XGm7 +wmlUkYCH+0++sEmo7UsS8HDC+1EejuT3yK2GphuyEOXhSD3QDkWLZ0YKeDiy +P/ntvXBhhReiPBwH/VkwbnRxNKI8HJlfEfPmdKhkCXg4kr+qj/NHlFIEPByZ +vzf6Z3avTcaUh+PgZbzn0q6QLAEPx4Fy0nT860YypjwcB4xOncnOVR6I8nAc +5APvuiU8WsDDcWA8v2Piz8XRiPJwpB78frPP4m4EpjwcB5faT8jNsg8S8HD/ +U4/8l4cj9X/CVMNyBS8BD8fBp7e6dut+JQh4OA5GaZlIHmFiEOXhOFivvdD7 +/PNMTHk44f0oD0fyv0IM1tcKxZSH42D53qWBEuOSMeXhyPdWwE39Jwsw5eE4 +MIo419obVCDg4Th41x14MDE6WMDDkfVyndhOJ5c7Ah6O1G/e4r82SfghysOR +elVxXqyxi4eAhyP1HCzzU1yViCgPR+rBM9Yex0pTBTwcB0XKYvVt5rECHo7k +33zRpJFkflIeTvg+lIcj9c/jcosrb9IR5eFIPT53yiT7f1ECHk54PeXhyO/S +FsMslZIFPBwHfz9lDF8601PAw3GgtrJ9pqlBgoCHI/vNbXLDF1f7IcrDcVC1 +68yVwk2+Ah6Og3U3jg5Ux6QLeDjh8ygPR77fTVKmLo/ClIcj422mzVazTQUC +Ho4D0derdjrm3EaUhyP9l75/ykWpSAEPx0Gb51e31ftCEOXhhPenPJwwpjwc +2Z/CnVMFJT6I8nAcBCTfO3daJA5RHo7sx9bXbv34NxpRHo7kJ6NFi9DPBAEP +R9ab3Vu+/lB0FvBwHMS8GyvmnO2CKA9H6remv3WS+UUCHo7UF/WNVWGi2Zjy +cBzkMK35/PhIAQ9H3i/gJNZOixHwcML2Uh6Og0nSI7PmrXZBlIcj9bL/DqkR +l1MR5eHI/jt+5qj9b+IEPJzw7ykPR+qViKyWpltOAh6O5BsX59Ed9mmI8nBk +vXA5/WPnmHREeTgOQnzlRnTqRyLKw5H1xXrH1B1srICHI/nwTLrIobIQTHk4 +kj9G2ySsWlAg4OE4uLby7NA5krcQ5eHI89ecWWenlSbg4Uh/i5qXz/ydJ+Dh +SD0e4Bp59GemgIfjoM+299pe8MKUh+PA4u2ROSOdkwQ8nPD9KA9H+l8u3FLt +QJ6AhyP7Dw2HwPyRXgIejgMX9eJnp/1SBDwcGb/Xetd2FaYJeDiyfr1n47FO +pICHI/W4dn9EVXQ2pjwcqcf6HF9VPs0R8HAcbF5mdnybha+Ah+MgMXZ12XuF +uwIejszHkkHt0RXJAh6OA3kLsaPsrVRMeTiSf1ysRPb35mDKwwnfh/JwpP51 +s8IGm7Mw5eFIPvZ2lCgj6z/l4YTXUx7uf+qR//JwZH2N0wiTdYoV8HCkvYXq +95TEvQU8HHmf6NevR9+PF/BwpF6y3xDsbJyDKQ9H6q+TsRsen0lAlIf7n/+P +/JeH4+BsqP3GwvX/n4fjYMbRcfC0PwlRHo6Dn28XHyi7ny7g4cj8X8hOHXIx +HlMeTng/ysMJY8rDkfVSw+RAoliYgIcT/k7PZ/NQ9tjjvJ1uFqbns3nITbY4 +aGlB9of/PZ/Ng5Nrom/41yhEz2fz//f39Hw2D/FXN015U1SA6fls4e/0fDYP +X5R7h4yoLMD0fDYPfPztCXHv4hA9n83DQlMZ7R9ZMYiez+Zhk2+fSPOKLEzP +Z/NwQuvE1H+NmYiez+bBWypN/NmOIETPZ/PQmzws6dWoBEzPZ/NQ8eaC6tTe +XEzPZ/Ng2Txsxf1xXpiez+bhZVv8fJ1Nfpiez+bh+J8esZUjvRE9n83DnlMo +4XxFEKLns4XvQ89n8yCnGFA3/yBZH/57PpuHe0UxjWPaczA9n82D66XQR+rX +MzA9n83DuHrlwgPSyZiez+ZBK1dtReCSKETPZ/NwLVKrSfmpL6bns3kof/Ij +sXqzJ6bns4XPp+ezebg8PGROL45G9Hw2D229C6ykCvMwPZ/NQ1zxN+m/YfmY +ns/moe5MulZyeCGm57N5kHkY1ur8OxHT89k8nAt1mXuv+A6m57N5sNpXnxCU +FYXp+WwepmyuUXmYkITp+Wweti837V6VmIzp+WwezA5vti26G4Pp+WwemqTv +JZ2574/p+Wwe7A8NmT9PKR3R89mkvdMeNPDzXTA9n83DkU53Nnu/E6bns3lY +efWY8oShKYiez+ZBR8mwbMuueEzPZ/Ng8bthU4NrEqbns8n4PKQ5/MaMYkzP +Zwv7i57P5kHWxfDhhzG+iJ7P5mFwrtnjtc3BmJ7P5uH6ip+ihlJJmJ7PFv49 +PZ/Nw4XUhsglO7MxPZ/Nw9OwG2tQfxymvhIeisaan1pb4oapr0T499RXwoN+ +/qxPbSl+mPpKePBPUPvUn5mCqK+Eh2Waxgkxd+MR9ZXwcDdQ60vo/ChMfSU8 +ZC95GDn3nQemvhLh/amvhIdV60ZEf1vqiamvhMw37wOXN5D6kfpKeFBPVfMM +UwvD1FfCQ0SfRMM1zVBEfSU8VFWN1OrdkYGor4SHsbez149dGY+or4QHlPpj +sHZCOKa+Eh6WmwdFh630xdRXwoO70whDsblhiPpKeAi4srpmlI4/pr4SYXup +r4SHA3Z3XjtNysHUVyL8nfpKeDC8Jn9UszUXU18JDycTjy7SXBCDqK+Eh6++ +1d3Xy9Mw9ZXwkJKmdXZZlRemvhIe5s/5vb/xSCymvhIeSvo26kiS9Y/6Snhw +y5gTdVsqA1NfCfk+Ig+byvUzMPWVkP6zzVy6OScSUV8JD8WvFsRcvJiDqa+E +h9Xs0mV343Mw9ZUI2099JSTfWK6606fohqmvhIfQurCrPnNDMPWV8JCz8PQC +3jsSUV8J+R6zllpKe+Zi6ivhYUKH6hfHfzGY+kp4EBs45TmmNxNTXwkPS+9Y +/5m1zw9RX4nw+dRXQvLN2izFqYYBmPpKePid1p2VbByGqK+Ehy2Lvv+NUPBB +1FfCw3DH7TFLuHRMfSVkPjMbNJ1/RSLqK+FBl3lRHLvbFVFfCZnf6eOx9blI +TH0lPHzca+wQqOGNqK+E5Kddob+6hkRi6isRto/6SnhwkVsh1mcXh6ivhOTL +PTrBzxOCMPWVkOs10tcd31qEqa+Eh1iR9lpbu0RMfSU8ZBjWHrTTi8HUV0L6 +p9WhxjA4HFNfCWnvqIvbZJ8kYeor4WF6gk3FCc1wRH0lPKyJ+qPdVuuHqa+E +hw82cvuOPktD1FfCw+m5Mj1jl4dj6isRtp/6SngYWiYiN3NRMqa+Eh4S/v4I +2v09ClFfCQ+ll16mFq/OxtRXwsOsP72vIianYuorIffjBw8aPQnH1FcivD/1 +lZDn79nyd/vZPEx9JWR+O61Qb4+NxdRXwsMlS+c93J4sTH0lPLxgdfsT26Iw +9ZXwUH/RyFpsXiqmvhLh/amvhIfHt9UuVStnY+orIetRxMpls61jEPWV8GDc +PnmZw5J4RH0lZLyc9Q/oHBaHqK+Eh0gZSTclyzuY+kp48B2l+bDTvghTXwkP +7cdt+xrsozH1lQifT30lZP3M2DnZ3LgYU18JD9EZ1q5xM6MR9ZWQ+uConuiY +Mi9EfSU8+KiI2XL6mZj6SoT3o74Ski+mnZqT8SUZU18JGb89VvccuAhEfSWk +XmBkpsv75mDqK+Fh6mL3cZs+Z2HqKyHfp1B2TZ6EM6a+Eh7sQuUWqVZHI+or +4aEmi8/WWxyLqK+Eh2OiOEJdIhRTX4mwPdRXwkPUWIsZH8b6Iuor4SG1dWTv +lLFJmPpKyHo64C2jIJuLqa+E1B/MgiDdfSGY+kp4wMlPPZVb8zD1lfDw8IE+ +67c0E1NfCQ/mZ3ccCvldhKmvhIc0SbGWPb9jMPWVkHpuptR4BadQRH0lPPyV +PmmG6oIx9ZXwwB6ecutzpCemvhIeToUtve60JBhRXwkPLbZnZ96xDkfUV8KD +gs7M8SMTMjH1lfBw0/VNdcOUGEx9JcL3p74SHt6IHbRdUZuBqa+Eh/VxUkp3 +d+Rg6isRXk99JTys29M86FefhamvhLxvTMDaYRqJiPpKeHD2L9/06HoCpr4S +HsJ+do9XOBqAqK+ErOdu1p4P8nwx9ZXwUBmWo2WrnICor0T4POorEcbUV8KD +tmgcs/CXD6a+ElIvHPR/ERpWiKmvRHg99ZUIY+or4eH98SkXVowvwtRXwsPm +NV4zmkyiEPWV8ND3fWLE10leiPpKeICUH27e45IQ9ZWQ+Vy56m/90SBEfSU8 +pGebPD/6NgJRXwnpP+bEqJYqb0x9JaT+Ku9oFN2bgKmvhMyPIbzhowv5mPpK +eOhCxp16ivmY+kp4eK1/yjfsbQamvhIeJs1peu65JR5RXwkPiQ9RX+WwBEx9 +JTzs23Ft15JoV0x9JTyY+jwySmHCMfWV8CD+udN89+Y0TH0lwv6gvhJSr6sH +dNTlBiHqKxH+Tn0lPGzVeCOzKC4PU1+J8HfqK+HhdrG/suuEKEx9JaTeu732 +4JPxxZj6SngICbCr6S6IR9RXQvJ9vMT36oMpiPpKyPu++BA3Z68/or4SMn7H +m6jvD0nH1FdC+terVvrVhSxMfSUklhIJMrCPQdRXImwP9ZWQ8TZ1uKvTSQ9M +fSU85CX06fS5pWPqK+HBOlV9nUVoDqa+EuHfU18JD3sfrfwaNDkXU18JD+ft +J+2smO2LqK/kf/LZf30lPDwILb6lnuOBqK+E1DumRoefT4vB1FcivJ76SnjI +VFnpND86EVFfCQ+iZpPR6REemPpKhNdTXwkPzbafFFv0biPqK+FhwWHDTplN +OZj6SoTXU18JD4usvBZ0a0Ri6ish69u2qVKXFTIx9ZUIr6e+Eh5+bdsAOqZ+ +mPpKSL54Kt988UI8pr4SHpR3bUp68zUTU18JD3P6K/oWmGVi6ish/ek+ZfzN +famY+kpIvbm74GXd/lhEfSVkvyh1/fGsU6GI+kp4uJLb/lj2QCCivhIy//6s +HufkmYyor4TsP2TUZQKzbmPqKxG2l/pKSH14I/ipTm0cpr4SHnpEP437ku6P +qa+EB6VMl3ng64Opr4QHvYMfz4u8yMLUV0LWzxH+49vTizD1lZD5/MnU4m+A +P6a+ErK/O3ijSqM6GVNfCQ8jtAOemzdnYOor4eFZt9GpzrpITH0lZP9pKHHH +UiQNU1+JsL3UV8LDqBPHHirejsTUV0Lq2fCmMxtDkxD1lfBwJum6zMC4LEx9 +JSQfnjLfJ9oWjqivhIfdr8Ov7vVIw9RX8j/57L++Eh5Gm6moZBQFY+or+U+9 +f/6cYlUsor4SUj/pGvUN/vDA1FdC9j/tJW+ethVh6ish+XuZ6BGpn96I+krI ++iN36oAcqQeor4SsV8E2fx++j8LUVyJ8PvWV8DDgJnLYeF4mpr4Skm9WVM75 +rpSCqa+E3K9vcIiMbwymvhIyv7pD8EeHSEx9JWQ/EFA17Ip1Eaa+EpIPLEtc +9UY7Ieor4aGhWU9WfX4Cpr4SMv6rws68HeeBqK+EB795RmunWbli6ivh4eKY +F1tdcrwQ9ZX8Z38d83QCF4upr4QH2x1NEsPCkhD1lfCwreHntDKZCER9JaQe +t3NZ//F3FKK+EpIf3de1LPqShqivhNTPw4/9uL8jElFfCZm/ylfWB35NRNRX +QuqrNP8Q1dlBiPpKyH7Ysj+1uTgeUV8Jqac2eDlFz45F1FdC9m8rHp8xmheF +qK+E7G/MVZcFT8hE1FfCw5iJYanrPkUh6ish9Way4cIor3hEfSU8BE/uVrQw +iETUV0Ly4883Us27MhD1lZD96buFGeuKwhH1lfDwqLYp6vKFaER9JTz0DzZJ +JhqEI+orIfslcU21u/2piPpKeKiLOba59oU/or4SHjTUqlzzUyIR9ZXw8Ekl +/dh68VhEfSUk/3fa75mtfxdRXwmpz17v26waHoWor4S0b191rKFPOqK+EtI/ +ObY3VVcnIOor4WGjmeNsg69hiPpKeFjSqqAi75KAqK+E/C4r8iLoRTyivhIy +HkzcTGoUoxD1lZD891jfodQjElFfCdm/3LxhNhAQgaivhIerv+f6vrGORdRX +wsOdP19vnlKNR9RXQurx2sfrbOrDEfWVkP6olmoOWB2KqK+EjM/UH2bSjDOi +vhKyf8yaPUZ1fQKivhKy/qsfz8oh9Sf1lfAw8ewIb5uuGER9JWQ/HR3adi0t +AVFfCQ9O3d8Na1xTEPWVkPG8Zt+JZ9vjEfWV8PDOevCm3rRYRH0lPMzb8Dzi +gm4sor4SUp/+zZZNvxqDqK+Eh9697zccPheNqK+EjK/xrscOH/BC1FfCw0qD +qLPivj6I+krI/pvR8XoZl4Sor4QHj+OVN5/7RyLqKyH735qWCAfHKER9JWS9 +15zeVqcRgaivhOy3lX6YdWdHIuorIetbm1SoPslv1FdC8sfGCJUGnRREfSU8 +/BiZUL56cTKivhLy/iq7PtsbuSPqKyH72+cxpw9bZiDqKyHf51C/yjy/SER9 +JWQ8Txje/GtZFqK+Eh6skldfLFBNQdRXQvZXbXvlbaQjEPWVkPlsm+jFNiUj +6ivh4flHyauZyxMR9ZWQ/W9SV8v8v5GI+kpIPZn/vaPlZwKivhIeuK8Zn5Z5 +xCDqKyH5OKa0I4XxRNRXwoN67X259VkJiPpKyH5FIiBNRi8JUV8JqZ88DT8H +f4xH1FdC9jdb+yss1mci6ish+xl7gwdSL5IQ9ZXwcHag0bHhShCivhLS3vHL +kYZ1GqK+EvL7IZErNqlxiPpKSPs4608PX0Qi6ish60vP5WmTL0cg6ivhQfLT ++szhA3GI+kp4qLCr+ezVH4Cor4Tsl66PXBt+IgtRXwmprycMGOprBSHqK+Fh +pnFXW9nnKER9JTyseLbDs2tJJqK+EpIfnqluWrcxBFFfCQ/Zm0yTR/6JR9RX +Qua/8+a1ibF+iPpKeCic+EfMojwdUV8JGX8L32kZPwtD1FdC7s+LfJ35NAtR +Xwlpn5t100vFZER9JWS/eHrM0uk/EhD1lfAw25dXzFKKQdRXQvr31TXlPbJR +iPpKyP5vWrKveGAUor4S0n8TysOm64cg6iv5T73+7deY5fGI+kp4mNu19HKU +eyiivhJyvdjWBkOvNER9JTzc8uladlk6AVFfCVnfDFN3eZxLQtRXwsPI6P0W +f5/7Ieor4WFXz+M5e6ZGIuorIfksb8oh3Y+ZiPpKSH3ya7VdXlMaor4SUr9E +6sXvEUlF1FfCg6NrD9pUk4Cor4SHc49eOwYtjUfUV8LDZ6W9xyqM/BH1lZDx +jIaotqr5IeorIfXHzMkn3n0JRdRXwkPk8dSL/g6hiPpKyPdSyIrdPSEGUV8J +2Y9EOtks1k5H1FdC2v8u3WlGfSKivhLS/1emG6h+jkHUV0Lyo+sb29vjwhH1 +lZD+H8O+fuuWiKivhId8SdulWeWBiPpKeMg4t3bM1bIIRH0l5H73NhhEqUUh +6ish7wNlEmb2SYj6Skg+jlpa9OluEqK+ElJvp0Z7P/odiaivhIwffvQ1BSYK +UV8JqR9R3fYhdpGI+krI+BfNv64xLgxRXwkPR24FZo3PSkTUV8KDiHZ+7egD +8Yj6Ssh43XbE+PvjdER9JTyErkaGcDsBUV8J2b+uf3fD+HAaor4Sku+u3weF +DaGI+kp4eOLuM8twWTiivhIeTqYanXzWn4yor4SHtzo3DUt0IxD1lZD9xaZT +g52XIhD1lfCw6qBc/QuROER9JaTemBC/LXBZEqK+Eh40PSY7j9EORtRXQsaH +dPfbhcqpiPpKSH9F1lmJRMcj6ish9UTrDK/Pr6MR9ZWQ/ViPg4FrRBSivhJS +36RbrDhVk4Sor4QHf+9/F2OssxD1lfDw+FKZrenbVER9JWR+is+ZyOglIOor +IfPZ4/DjrbMiEPWVkHxsWr2/VTURUV8JqQ/rZQpfqsci6ish9S3yVhq5MBNR +XwkP4xcGjahYF4Wor4SHp0fWHt8RlIior4TMX++6/R6tiYj6Sni4xy7Bsfe9 +EfWVkPrL68BEw0EfRH0lZL83VdMxfEEkor4SHkxmmv05Qb4H9ZWQ+kXDPsBg +ThKivhKSz6/fbI2AZER9JWR+mg28sdCJQ9RXQuqT5Wcl5r9PRtRXwsOp9ubJ +N3LSEfWVkPUrbPfaMTJxiPpKSD5+aqOX9C4VUV8J2c/+eWadNzMJUV8JD6j3 +4R3nUZGI+kp4aFppoeIQFYuor4SMryMcDPjEIOor4UFlrZ/jhv2RiPpKyPh6 +ou24LDQRUV8JGZ/W2b9e8qmI+kpI/+mKZLz+k4aor4SHrOHHFnpUZyLqKyH1 +xN3viVIaUYj6Ssh+KPawWrVBPKK+ErKf2RpuY5+ZiqivhOwn7sTrDLkai6iv +hKy/Jp2HG8YlIuorIfXW79Y2t1lxiPpKyPr+clLsoYsJiPpKeNied+H+JJ1o +RH0lZD+lZXF/yrYoRH0lpD4qPfB5AueNqK+E9HfzzOUSRbGI+kpIfqhSsI+o +iEHUV0Lqv9M+MddaYxH1lZD5fzLioKR1EKK+Eh4OL/Xa3t4XjaivhAcJVf9j +p5amIeorIe21GVZzYWs0or4Skv/ErDxN32Qg6ivhwTlfYt9l3wxEfSWkPvY9 +X+yjmoyor4TsZ+c8djMMS0DUV0L2Q+EHQ5ZfTkTUV0LeN0di4OWFUER9JWR/ +HPKz85FBBKK+EpKf3bQtdkpGIuorIfkxuiKz4nYcor4Ssv/8t/n7zIEkRH0l +ZPxORSKtITGI+kp4sDE57zOjIhxRXwmZX66Xrxb2hCHqKyHr9aQi/clyyYj6 +Skj+1/HRTVCOQ9RXwkPVrMqtE3R9EPWVkHowJ2zX0BPxiPpKyHxsaWif6JuI +qK+EB/MjJT47ymMQ9ZWQ8WsxpyF+cyKivhIeGvuOv12oH4eor4TMz7UmU8Un +RSLqK+GhWzVmZfK3FER9JWS/O/pzjvuKOER9JWQ9CxcZ1tQViaivhLzvPbWg +7Q4JiPpKeIhXdltV/CEeUV8JWR/efSh9fZXks//6SngY5ifz6KuYF6K+ElI/ +7i8Rs9fwQ9RXwkNgmvFgj084or4Skr9CbUqcbvgj6ivhIddv+ZOEU3GI+kp4 +8FIaTH5v9v+Yuu+4nt73ceBGyc5KEllJCdnz5FRCS7JSZqnQEKLIKrIi2nvv +vZfq1NXQkpkZSYqMvOicSEb8rvfnvv36vv+7H696vc7rnPu+7uvO831dKQzp +V4Lnr4DG702lCQzpV9IB5kedNgS+9GRIv5IOmPfSbr+wNJIh/UpwPmndLD1y +J5Yh/Uo6QCPr9e4+Z5MZ0q+kAz5qX6ocNz6OIf1K8H55zKrMXZjIkH4lHZAw +ZY/N9bFBDOlXgueBlNgxCn2uMKRfSQeYlA771Do6giH9Sjpg/rUdcnpZUQzp +V4Lz/0xj7gPNMIb0K8H8f6XExNEOiQzpV9IBv7ucB/0Xr0i/Esw3uL8S2wdF +MaRfCe4H/f3jtsn5M6RfCeaT77OntrExDOlXgvM76MbdmbvSGNKvpANWb19R +xLQmM6RfCZ4fmixVDe/FMqRfCeYbhr+kXu2IYEi/Etx/TNWGSR9IYki/Epzv +T6KLBy6KZEi/kg6QE08Sxm71Y0i/Elxf8RIvfinGMKRfSQe8/lBrV52fwJB+ +JR3wN7juUhfOR9KvpANCiyMLlynEMqRfSQcYS+Q0WPV4MqRfCZ4/z3vevVMV +ypB+JR0gbW1apWPgwZB+JbieLCS8u3H/I/1KOuBcqb2HBBvFkH4lHfA4Y5G4 +9t14hvQrwc+feERiZf8AhvQrwf15cXFH/2UZDOlXgvPvcmugnGogQ/qVYH5h ++Cj0yoY4hvQrwfMjY+m+52QUQ/qVdIDmotopmZczGdKvpAOKIoq8tmrHM6Rf +SQd8s/cvshgRxpB+Jfi8TyWfm8RHM6RfSQdEz14+9dXPBIb0K8H1/idLNLWf +H0P6lWA8OH/1lf4cf4b0K8H5zYXcmnwgiiH9SnD/q3AaVboqgCH9SjC/6c+F +Tmp2Z0i/Esz3Dv0UXzAokiH9SvD7KMlemXYyiSH9SnA/nnpF73xCAEP6lXSA +w5CzQ0NKAxnSrwTzoTaptLGnUxjSrwTPk0LBuKxcd4b0K8H5bufeEXInkSH9 +Sjpg677Ro3T2xjOkX0kH/LBOStnulcSQfiV4PWMXdsouyGRIvxLMn1rHrHqu +HsmQfiUdoPZ77IGM7FiG9CvB/SMs4M57tziG9CvB9eQ6gDdQj2NIvxLMf2y7 +ao6rhDKkXwmud4VN5XJ74xjSrwS/j+aP5p3KGQzpV9IBYyUuatxWTmdIvxLc +j2pum+eejGFIvxK8vz+MzEYej2BIv5IOeDbguWqS6XWG9CvB88PIyp1D7EMY +0q+kA7g+Wp7n6gIZ0q8Ez9dWTL/As54M6VeC8XHRg+EGakEM6VeC8SZdZvC5 +O1EM6VfSAf6uqX13HkxgSL8S3O9bI1Y+wPyU9CvpgBVzf7b5hUQzpF8Jzu/M +m1mBTn4M6VeC62vvj0s7VSMY0q+kA+Rjy87ZeEQypF8J5j81K4Z7+CQxpF8J +xo/U0+2DjiUypF8JrnePGZtsh3oypF8JXm+ZmdcmiQSG9CvB/GH1kotfFwcw +pF8Jnp+jvUfvWenOkH4leB57dGdB3PNkhvQr6YC5+qprWh4HMKRfCea7QXM+ +bUtOYki/EsyPZ6yaM8I2kiH9SjqgQeeheefueIb0K8HXm+oMmw3iGNKvpAOW +tQq3mdpohvQrwfx72cx9G0ojGdKvpAP6zvEslquKZ0i/kg7Y1zX4iE0axrP/ +9SvpgBK43ZqgHcWQfiW4HnsMpTRSMhnSrwTjl8/3lum8D0P6leD+buIxgeuO +Yki/kg7YvuPXyyk3oxjSrwTzgfQlP+yehjOkXwnux43vTgUZRTKkX0kHTPip +1LS4J4oh/Uo64Hld+rntSz0Z0q8Ez9+jdDw2aKQypF8JridjNtGkNo4h/Uow +f5nnsidSKoEh/Uo6YJV3hggM/RnSr6QDrqwbp7jvRiJD+pV0gBQ3JoebG8KQ +fiUd8MjEAubuC2JIvxKMD1uq4jfeSGJIv5IOWJthHZ+8IZUh/Urw+edPVtc7 +7MmQfiX4efuDnn9/kcyQfiW4/ofvcF0+2Y8h/UrweYm+SshdTWNIv5IO+NAw +3KdgfBRD+pV0gKP21lE+57wZ0q+kA5S6D0l6fwpniIfjof1I8yJWKpohHo4H +8wv75+r+TmaIh+PhzZBhQ6SUY6iH42GQ1YGQOC8/hng4Hu5omm25PSaIIR6O +h91ummOKK+IY4uF4kL0t/NCekMAQD8dDdt+t4h/fxFEPxwM3qD1/ea0n9XA8 +WHbqTd28KYwhHo4Hh1AfyZlBMdTD8XAtmLsXFHudejgeQi4ZmTemBjLEw/Hw +XOknu9wtgiEejoeh6eX3N/pHMcTD8RDbleV86nQkQzwcD0LzxJDkmFDq4fD9 +HDPOX6mKpB6OB7NLFy/ZDk5giIfj4ViycdeJilSGeDge0jy7DCZxMQzxcDwU +vhjsfX9KDEM8HA+eO1uWPpmVxBAPx8PEMbnLXy5JpR6Oh9+NEWras5IZ4uF4 ++LKs83KtfRJDPBwPLafVZApHRjHEw/FQsnpbh9KmQOrheHhv2HxO3yKWIR6O +B93qJVULygIY4uF4qNNkiwvkYhji4XhwX+thKC/pxhAPh99X7YLa8GmRDPFw +PJi8W3Hu2JVQhng4vH8DK1+0WyUwxMPxIO7rZ7VqjQ9DPBwPyeWWSXXzIhji +4XhIqXUcId+SxBAPx0OPYtzxM7qx1MPx8ECrxfaRVjRDPBwPl3Iezdh6N5gh +Ho6HTgvJe4sdUqiH4yFQqv20aVscQzwcD3Cr42MPE8QQD4c/r1b2d/mNeIZ4 +OB4i64163kamMMTD8aAydcLn7spI6uFwPv3MvSPjGs0QD8dD288R2g9P+jHE +w/EQFpA6WWpDOkM8HA8WtcM13lxyY4iH46E74zDbnZ/KEA/Hg/T1vJPSZkkM +8XA87L22+bBBewxDPBwPpzyDop1PxTLEw/EQcOlja8KgWOrheLjtc3zHmAGJ +1MPhfFqit1ZfJokhHo4HeclfA83/JjHEw+H9ve372OtROEM8HA81jnKZcydE +McTD8fDk6a1zV1JSGOLheDjnONil1jeKIR6OB523A/t8PBlNPRzOh6PFZiZ4 +niIejodZovcd+uI+1MPxsF7Fqep8ZQhDPBwPTcFKwpmd4QzxcDxkPZ10ZvSw +ROrheFhxx0vq3IhMhng4HvQ/n53l+SaBIR6Oh++CuraudhhDPByP+XXaTJWu +KwzxcDw8VnxglvwjiiEejgfrPq7RU2cnUg+Hv3/JcdJag1iGeDgejJ65/OzC +8xfxcDz4itfXDsf4TTwcD59j89vs8PkSD8dDfL/80CDxTIZ4OB6GJAiXLE2T +GeLhcD0cDLjs1xhHPRwPP+PM2ZUt8QzxcDyUba7cfiY0liEejoekEfvk8ngv +hng4HvqPaTs+OSCYIR6Oh9bX7q+/WYcwxMPxsOzXC0+T6GTq4fB5PI2asqki +iiEejodJHl92OdwLZ4iH4+H0gv3bNxT4MsTD4XyeeeZoV04w9XA8FF+6/MlZ +JoYhHo6HM+1rvA/+SWKIh+NBvfvp6POqwdTD8fDO52VplVoE9XA4ny5vMbYz +9WOIh+NhdrLJpP3JsdTD8XD4a/GP74PTqIfD9xP9WPX1rSdDPBzGU5e65ZHf +oqiH42Gjo8tvTTkPhng4HoYHtfgva/ZliIfj4d47/Ym+6yOoh+PhYkOUf3Rc +FEM8HN6/bcatU5pDGeLheJhqZGX/ODOKIR6Oh5OlknO9rKIZ4uFwfT0Ypujw +Jo0hHo6HTJ2jnfOPBzLEw/FQtMfpbPjRfx6Oh10buorf6iUxxMPh532yzP0W +7Ec9HA/sUrma5yujGeLheDgvP9hADCIY4uEwPlZE7KueFsoQD4f7gczofuVz +vKiHw/2r/U3pyMgQhng4XA99fH/dvRrJEA/Hw7qNM6X8oiIZ4uFwv922/ry2 +ZBxDPBzOP6ulBUUXwhji4XA9dHstbsPzM/FwGD8Zfe9WwY8hHg7j0c+VwZHp +kQzxcDw0+xzNOhYSwRAPx8M4OdMnJ2RSGOLhePiRPOiCr04qQzwcDzsG7/ry +8EMq9XC4f4Y9arU9EkU9HO63WgF5nqtjqIfD9dbj8HCgeTJDPBwPDXGirwpO +0QzxcHj9Ev1WtWllMMTD8fBqLH/s07EQhng4XI/qcWc8PDIY4uF4eGRR6Gxy +MYR6OIzfaVfjNq+LoB6Oh6+Sus/UXqYwxMPxYBcwbUmzRDJDPBwPodPtYz++ +jWKIh+Mh51Tby+P10dTD4fsfeZThfyWGIR4O8x2Iceu0zWSIh+NBNccigimO +Y4iHw/1h34hbow3jqIfjIbxq2mSHfinUw/EwutMnXsUsgCEejodfTx5LtjnG +M8TD8dDxeWy/iFux1MPhekxN6IjTCWaIh8P9RUl3uaVxPEM8HMajyCUfTkgm +MsTD4X5/9va9OxMTGeLh8POKpC18v0cwxMPxcP3bqWsjNZIY4uF48HjqIjs3 +L5ohHo4HfshKJSnVJOrhePA3KapR5hIZ4uHweobnr4ic5cMQD4fxKX395T1n +PaiH4+GlwXz7Bw2x1MPxsGWL1cAEvXSGeDgeJHPWN74P9mWIh8PrPznn7f0H +wQzxcDi/jPd9iNqQwhAPx8MN2yaL3/khDPFwGN/M5ksv+ZzMEA+H9885f9Dt +pgiGeDiMD/VpKuIfg6mHw3xK4aJR1f44hng4HkSPUkPvGKVQD8eDWuXHiWrZ +qQzxcLifKMp7CwXR1MPxIDXKyfaFJMaz/3k4XA9+lvt+lMUwxMPh8w2odph8 +KZIhHo4HmcljriypDGCIh+PhUCVbNUI/gSEeDuev7qjuWuU4hng43B918o5P +6YpmiIfjoaC4snKbXAT1cBjfz7WvOT8ijXo4HpxnD+l09/CmHg5ff3Sj85SN +P/Vw+HnaJvMSY5Ooh+NhbXvE05eaEQzxcBg/0o7MDR8ewhAPh+v/YPaDC+uu +M8TDYfxxzM+sLYlkiIfD/Cg6p254fSRDPBwPA1doxmgF+FAPh/Nr233VUfMT +GeLhcH+w/LH7c1MGQzwc7rf77UvWRiUxxMNhvrCrMSiPT2eIh+PhQOy3b1dl +Exni4Xh4+NFprW1YJvVwPEwLtpEVt05kiIfDeJ/0ddCa/X4M8XAYHxYM2Sqz +3p8hHg7jh57E2/L0ZIZ4OMxvd75W8Z4eyBAPx8OCHEmnMdMSqIfj4Zb04Qnq +pgnUw+Hz+7FO/vOoNOrhcH3YGfooD4hniIfjwbtVXbXxfDJDPBwP968O37iv +2496OLy/+t2TlozIYIiH42G/IKswJSCMIR6OB02Pqu/qM2MZ4uFwf7Ecm7It +IpYhHg73o4hlJ09tiWWIh8P4zWVLnBsbyhAPx8Onu9vTVsXGUw+H+1uz5xqX +ZQkM8XA87KsPN0nrjqYeDvOT39ahnTsSGeLh8P5la7Aun6MZ4uF40DOUb7OO +DqYejoc5Paef3R+dxRAPh/Fk4n2xS8sSGeLhMJ/P/iQnedKXejjcrw+FeMTn +pDDEw/Ewv0K8sVo5iiEeDs8jt8cp/RFLZ4iH4yHaZnq9TWICQzwcDxkHFKqf +zUliiIfD89Lq+JxFN6IZ4uEwf0ow4tRHpjPEw2F+EauUrJYfzhAPh/mMyaiz +V1oyGOLhcL2uH/q+/VcEQzwcDx/S9jHfDoRQD8fDiZ8uv8Q+X6UeDuPLr/zP +fv0TqIfj4fiQCtdvW/2ph8P5Ydr/lY5nLEM8HN7fay6Fa2uCGOLhcP0PAivH +1bEM8XCYbw9rvZCfFc0QD4fnw7NF06OvxTPEw+HzLt55PFUxjiEejoclb230 +V60PZ4iH4//7/0EG7J0YyRAPx8OMz8fLRmN+TzwcXk+bdJvbh2SGeDgeSs8o +vhncmc4QD8cDI3X0l9UTP4Z4OJwfkw/XDJCOZYiHw/34zAjJvVuDGOLh8HXr +CSsWfMmgHg6/v/HSHqs/8QzxcDz0ic1xMlmUQj0cD8/uXpsT5pnFEA+H5yvH +iTfWjUhkiIfD+D2ij4HZrhiGeDgeFgdGur7+GUc9HM6/x41Hf52KYYiH42F1 +3c+Ce6fTGOLheOhSPnjYUy2ZejgegiX8l9osTWCIh+NBbEx40WbbFIZ4OJyf +lfVHGMyPiYfDeHTIaILT3wyGeDjMNzOtJ30fEcMQD4fze/fXHcPjkhji4XhQ +sLjQ6G4YzxAP91/+2T07LMKbIR4O94/YDwnnXH2oh8PnX9FvnaNMOkM8HM4f +pY2ZF9wCqYfD+W0tFum7JIMhHg7zB41JvKp4NPVwuD9+se3x84tliIfD53VW +w3IN5049HA97fpY7ReSlUQ+H6/2s/HvJkCTq4XD9FaUtstQPpR6Oh7nz2uwH +eMZTD8eD06Yhsvn1idTD8aBV8HDR8Isx1MPh5y1yEzPjfKmHw3x1tNvQwYF+ +1MNhProxR9tm9T8Ph/HnxwGjo7Jp1MPh79d5XzT/FEw9HOZD0+sszfbHUg+H +88dzzCzTKH/q4TA/dWHZI8VJ1MPheWzrBZvXPjHUw/EwT8vwtZIonXo4HiKO ++dicNIimHg7394gPJiNKI6mHw/hee1kpHc/rxMPxILEqW/p3Szr1cLh/+Tpr +OF/zoR6Oh91LN2z+Mi6GejgezrZcVRis+s/D8bD1VWSOq2og9XA8fDssF6H5 +MJx6OLwfPaVNKk8jqIfD+OGccNb+RgL1cDy82G68o1UynHo4zAd9assm3k2m +Hg7n0/wpt9X1U6mHw/3TZLJh9+dM6uEwv/+6eV/By1jq4fDz99s+kkjLpB6O +h/zYJ+6SM9Kph8P9w26RmHpxBvVweH5+c3fbRKkI6uFw/z2r6Bihm0Q9HA+b +p9/qd7M9ino4HjZVKhi1BSdQD4f57zZhw+zPkdTD8VDXV3g8yyqWejj8fJvP +exyMU6iH48EgRpgwKcSdeji8H/abGie6eVEPx0Nljfa9npU+1MPxYKGqcWOK +dwT1cDxcVWIdwvqGUw/Hg5xa3x16c2Oph+Mh3aXWxDw4gno4HsQVP1YenxRA +PRwPVRVelkflsqiHw/ttbS7z2DyOejgeZmm8qOs/NIZ6OPz+w6yVJb3jqIfD +ePNkRkPjtnDq4TAfrqsSd5DPoB4O7+e4lbvc12RRD8fDxJ4fa47WpFAPx0OQ ++M4dotVR1MPh+khU37xNIpV6OJyf42NSd85OpR4Oz/dCugS/PYV6OIzX66Y4 +LjCIpB6OBx8bwz6GrunUw2G8ynaqDp2SQT0czg8Fn2WKu9Oph+NBd6/aroRT +vtTDYfz+vGRYVGAc9XC4fzBn1qjFpVIPh/thuVrR1rNx1MPx8HGRffDu8Hjq +4XhoGrzJN6cymno4Hur1Fp+YMDqaejgeXB5LHAptj6EejofLT0sOn5iXRj0c +DwffOqindydQD4fnh3MJDS3x0dTD8dBYvXrSktBY6uEw/5KT/64/OJ56ONwf +tyrOWN4RRT0czg+Z6JcZVUnUw/EwQkjKHLE0lno4HpbFXczUvZVAPRwPymuP +X3sumUI9HA9jsnXvDP0bTz0cxt+/F47ESGVQD8fD2yMrWwsHp1IPx4NpiWjF +J7M46uEwHzlUW2u6zot6OIyvRo27lccFUA/Hw58Y64vqeD4gHo6HxPKWtSr4 +vImHw/jzu+J0wC4/6uF42HvpjmbtvmTq4XD/c04aPlI6mno4Hvo+DXhj8zCZ +ejgeRqlt8NaLDKIeDvff+FD3Ex3J1MPhenBvj3fsiaUeDvNzue8jx//ne//n +4Xgoib6qeVT0z8Ph/H/5MurZ+FTq4XgYu0Tz1SPPAOrhMF683rSTL46lHg73 +91PT/xTsTaIejoe/K7Ruex4Oph4O98P01wuuSKdTD4frdaL22R6VBOrh8PPz +AnVyfiVQD8fD8OkzXZZKJ1EPh/Np8pv0JRiviYfD89QahzHBW2Ooh8Pz71uz +BTMTU6iHw/thbDvQEPd/4uF4GFngdc5jZgr1cDykKt27sbUgkno4HoovOj1c +1B5CPRyeL06Vr5skEUU9HM4nm/I39u6R1MPxELV39zCt4X7Uw/HwRrzbwXCA +G/VweF70mFRlODybeji8H326X7WYu1MPx4PdmVetIzG+Eg+H+UX6xUQntUTq +4TD/9xsY8qUugXo4HmwsXYIOX06jHg7P87sTOkx3xFEPh9/3i6W/Yd906uEw +Xmh6HZQOjaEeDs8711YV5W3PpB6OB6szK08M2xFJPRyu7/M3glTLfaiHw/Om +8gPz+swo6uF4WGjg4953RgT1cJgvHbDM//HOi3o4PG/VLpLNto+hHo7///+/ +AfFwPOTFB23/nf7Pw/FgaHRQo31kAvVwuF+8vHF6dmgQ9XAYj6+LXVtuE0Q9 +HA+O3ZlhGhUp1MPxMCis7sxClUTq4XA9l/ovP+QdTz0czl8zfZ3+P+Kph8P5 +brzUNjc1nXo4PI+t6+smrptFPRyu15XWI2sao6iHw/1SY/vlpOUx1MPh+zV4 ++85OyKQeDvNX3wxLpzX/PBzePzZIc6h9OvVwuD8eLTaWL4+jHg7n84sA7VcY +v4iH40F/raS/ZXoc9XD4fJ+2DikOiaMeDuNJhv6FxW5x1MPxUJbueqAGz5/E +w/EwbPcmDdWWZOrh8DyQ3/rw3pAQ6uF42H7yjf2fAG/q4TDfnDzOredIBPVw +PGh4ZNs5T4+nHo6H3Pbl4Za/Y6iH4+HrwoFShonx1MPh/rl35Z8/JQHUw+Hn +61ezIzM9qYfjAYpjdl88lUw9HM7fbfOWueXGUg+H5/crkpdtViZTD4f5wi+v +Pg90/3k4Hq44DrI9Yp5IPRye/4dKvlhdHE09HOZjadMzHKfGUQ/Hw3OFTKkv +X6Ooh+Phtl7iww+NqdTD8fBgTXelmH0c9XA8OM96n7rkdBz1cDz8PrDjTe2F +fx4Ov2/qo7cNQgz1cHi/tUeP+bo2jHo4jA8/pS9VT42nHo4H3z8LmDPbQ6iH +w3xWIXW7t04y9XB4XvKNjv3TEUc9HObLZ2vdCp5mUg/HwyLXs43Oe8Koh8Pz +9GnD6F1D0qmH4+H10hO7VswJpx4O5+fXRYcmrkqkHo4HP4dMtmTJPw+H8U/0 +ir/ZFEk9HOYHPzIar05Ioh7uv79v7LzSZZ5CPRzmI98klBY8S6MejoeAzo+j +bqvnUA+H1y/cidFQSKMejofJ4rXnxh4MoR4On8/Vo40HskOph8N8ZueuPQZv +EqmHw3jLfnpr1x5LPRyuv0OLiteGR1IPh+vp2bXs0Fdp1MPh/jBDOp+9mEw9 +HM6ne6ZNV5ZlUw+H+Z7vJo+jmM8QD4c/P/uzpGVwIPVwPNydXZ6/an809XA4 +Pw64bfrSGkU9HA9G0rXGY4z9qYfjYcC+ek1N7Ujq4fD8NVnL/Buev4mHw/0u +Y6DWio0J1MPx0F/ELA0ZEEk9HH6eQn3bYcUI6uEwHuT87vpyKYp6OB5iHVSD +7HpSqIfDeLc2m7XySKQeDufT9cqmSs0I6uF46Lk9xVA5NIN6OB7OGFqvPR6Z +RD0cDybVfUuHnU2gHg7PH5FXdmUHJVAPh/FfskbcbmUi9XAYf3fJKL+8Fk89 +HH6/sYZHk5WjqYfDfCzK+2iXfwz1cDys3OEyXGd/GvVwuP/JflrcJO5DPRwP +76vra9v3pVAPh+etpE0b3RsiqIfjobncNyNGMpB6OB7s1x0zrngVRT0cD2Y+ +BUo7M2Oph+PhQt3J5NEPUqmHw/OAgYcZJxFCPRwPp21Mvd3kEqmHw3x1iWPf +wLh46uHwPOF3ePKmtiTq4XiI2/a5Us0ugno4nK/NsspZQib1cDxwI06PmtCY +ST0cvr9pRbr20ETq4XjYtWf0KcfKJOrheHBTHax6VyyHejhc7xNWtBuWJ1EP +x8N41dqhKu9iqYfjIe3C8DmGNXHUw+H6mK1l9/hGDPVwmG9cy5dLWZxAPRzm +A2MNuiYuyqQeDs+7vo+yE28mUQ+H17Prq/m7L/88HO6vy+vLzlZFUQ+H+aCK +sUlJUwT1cLgeLocvCetOpR4O411cjsKjoHjq4fDzfo5O3xwVTz0cD0piP2Z+ +qI2hHo4Hr7u1J6bJZFMPh/dn28DAUYXJ1MNhPDjqc8BaOoR6ODw/7cg14X9k +Uw+H+ws/K0UrOpJ6ONw/Jw2snoTPm3g4HpyWrygPxP2aeDhcj6Olmp2UM6mH +w/NSp6Hrgu3h1MPhfLR65HCzIZ56OMyPGyR2bzVJpR6Oh+Brw95Pqo2kHo6H +WpnRB39UhFEPh/tL+/gV+t3R1MPxEGMR9VdtRxr1cHh9XsMNxVWzqIfjoUF7 +rcmxyQnUw/2Xr6y32zItnSMeDuO3+5Ygqe+RHPFwuH9+v2gXIZ7FEQ/HQ3yf +m63f5/hSD4f5RcjsiPJZntTD4fnqhvirhQuLOOLh8Pkm7ezQm5DFEQ/Xmy8R +D4fPt63m7N6GTI54OB4+nHmmMtM2gyMeDvPf/SEdmTn+1MPxcOmzQaCaWAz1 +cLg//FxXIchVcMTD9b4/8XD4fN3n/onZnk09HOaD5U2GT3LCqIfr/Xni4TAf +VJj96ox1Okc83H/P923w4LeFHPFwOH+PqZRV52ZwxMP1/j7xcJgPHHQ7PXB4 +MvVwmB8NU/R39y7miIfD846mo77MtRyOeDgeNmaWt+uLCjni4XB/st6d/rks +gHo4zJcMRoRcPJPFEQ/Hg9jmxpdLHwZwxMP9d9475i09oJAjHg7jver5Pl3P +c6mHw/intWfET6VQjng4zKdjQVXRIpB6ONx/tyXm5MtFcsTD8WBxPmLMnwHZ +HPFweB44EK4ty8dyxMNhPv/9k/bPkR7Uw/Egr7p4gm9UHPVwPHRxban3XmRy +xMPh9by1HzWgNYB6OB4qDaelrZBK5YiH46Hfn+3l17dlcMTD8bA44fUu8YeB +HPFwOB+8vpj4fE6kHg7PX2nffWvPxnHEw/Xef+LhMP9XNF0voxFOPRyerxV0 +mVzTaI54OB66r5wxXtxSxBEPx8PNsaKpj8IKOOLhcD72abs026qAIx6OBx2n +cfGqIcARD9f7ecTD4f7b19PW6Ws2RzwcnvdG9dHLrE+gHq7354mHw/Uld7Jp +wAtvjng4HowVx+TmzojhiIfr/Xni4XiwlQ9dFKBWyhEP1/s68XA8/NR+rSs/ +KpcjHo6H62UXL4ttz+aIh8N4Envuk9GDUI54OMxXrPR2hGgWcMTD/ffvs+/X +v/7rSz0cvv/nmSeyv7pSD8fD0cNtwuCPaRzxcLj+7dlz15blcMTDYXy7Vvqs +anEZRzxc7/URD8fDQDtrnRn9ojni4QTYmcqfKGzNpvXhBBhxKW7d29QiWh9O +6O2f8z8PJ4BJ68jAC5XRHPFwAtjfctox2SCZejgBFn7MHLwhJoUjHk4ADb7R +7kRhMa0P1/t+xMMJkKMnFvDILIN6OAHOftvmJbH1X304AeoUNdbcqM/niIcT +IKTuo+8Qy0yOeLje9yMerndMPJwAUiWVfb5vjaP14QSI7lv/vOitK60PJ4BF +cb9RPtfjOOLhBFj191njZ4zfxMP1vh/xcALIzHM1DVIro/XhBNggFy5kv/9X +H06Ai5Khp0wGBdL6cAIUuOp8H3EtmtaH630/4uEEcHDqvhSYVkjrwwkwd9Nl +7+ieTFofTgD9oDlxftcLOOLhBLipf9X8t8I/DyeAs+HAa9sOltL6cAJcSnKy +848rovXhBBhZt842Df7Vh8PPfxtTlxvF0fpwvddDPJwAchZ2l1dYRND6cL2v +Ew8nwIzwrSV+872phxNAZ2BW+hmrZFofTgDJgIHPl87NpfXhen+feDgBGuvc +8oNO5tD6cALYtR+R3mzhT+vDCTBNJ9k8PDyeIx5OgEUuTT9GWfnQ+nA4vz7P +9truWEjrwwnw4kD68UXSRbQ+nACRYm8GLLaMoB5OgOAVC0y5oEyOeDgBxLVr +BlqEFNL6cAI0yMr8XXsykNaHE6B/iVlqiF4g9XACLFPc0eeBXDitDyeA6pUS +Tdm6Qlofrvf7EQ8ngOKzqf3Y8gKOeDgBrm4wb1mTnknrw+H7u/9pmfQngCMe +ToCmrYbSLVrxHPFwAtzfPz8rHApofTicHw+8wxunFNH6cAJ0lUbL/O0fxREP +h/O7ZobiPZ18Wh+u93qIhxPgQ+GOq89qA6iH632deDgBjlamnFng5sURDyfA +yqODnQa4FXDEwwlwUNbg5i7tDOrhBLixIuXy7tBE6uF63494OAEyxKSmbIqK +pPXhML7EFsue3+5FPZwAS+RvaGYOzaP14QQYwGeqxBtkUA+H88NIMc76fQqt +D4fxKD6meu2ldI54OAEGjreNCxuTTevDCXAkVHLMRVMP6uEE+HH5UW1uSgCt +DyfAtxuvwyTbgdaHE8D9XPSRUvk8Wh+u9/qJhxPANWtAtkFWIPVw+Pnc+1Dx +gRnUw+H6fFLekKsXQz2cAI8Tkr81HM3miIcTwChlqNng/XG0PpwAaSa/S9od +/nk4AURr9W40vMjliIcToPRd0qLg3BRaH06AU/YHnz1ySKf14QTQlZB5m7Qt +l9aHE+BnoF3Op6ps6uEEOP598dklr29QD4frYbdwtWu3H60P1/v9iIfD6x96 +jw+rjqceDue3XqPk86uhtD6cAO1LPvQsjUvkiIcTAKSK8xTib3DEwwkg6708 +at0zT1ofToCnA0ae2zG4nCMeTgCXt+Lbrn6Po/XhBFBfo7J5WWYYRzycABMc +lupV4fmAeDgBRi22uNKTm0U9nABiu14BNz2f1ocTYH//qmnl06KphxNg2HlV +18SxUbQ+nAABqd3xn2XLaH04AWxn5SbIfMyk9eEEWHNr/pUAWR+OeDicf5vu +7vSUSqL14XrvD/FwOL7S6Ho5Ip16OAFif87YcGSmN0c8HM7/ZSeY6tl5tD4c +xtdCTfdco1KOeDgBwpev8xwvGUPrw+H9Ctte226TSD2cABu1NyTOPF9K68MJ +UFz34puxXib1cALEPa/eqp1ZSuvDCSC9yjHfalUe9XC910s8HM431aCHfGgy +rQ8ngFf3skKLXyHUw/X+PPFwvWPi4XC9WJx8pDQqgXo4AQ5kzBaf+jWTIx5O +gPORP+MmyMZyxMMJMFrh1o8tun7Uw+H8vDZstZZ9AK0Ph9/XU9dtvPMNWh8O +449dnkHt6XKOeDgBQhclGCXM9qYeToC/814k658r4YiHw/W0b9azuZblHPFw +AjjdZ2X8TSpofTgBuOXb546+kcERD4f7seaWRWv/ZlEPJ0CWTnO6y6Ewjng4 +3A+6fCUcPVJofTgBHIPdl05bFEM9HN7/bU87ZVwiaH04/LxH5yXmS8dxxMMJ +wA7UWbS6PY8jHk6Aj4Petht+L6P14QRoMxiqPNO1jCMeToBU84dxTodvcMTD +CaB95umkFwHR1MMJcH1rgFLNgDxaHw7zjZWGvzUzkml9OAF83j4+ecQjg3o4 +AaZEqrfJyMXT+nD4fVWvN8juiKT14QQ4yX1tTzQtpfXhBLC++qh7fFAqRzwc +zvecVz0dNmW0Plzv8yceToCknOV2J5w9qYfrfZ14OAHSC3ZOSBrlQz2cAPvO +HuxWf5tG68NhfNBaMbbYheOIhxMg/s2tcd1HY2h9OAG2dY8bMCItiXq43vcn +Hk4A85qE5N+esbQ+nABlojXFCtk5HPFwmN/Unl9aV5BL68MJ8LVFMLps4sUR +DydAnr/JwHqXHFofDuMXbHM4oJTCEQ+H+7vBoPBET2/q4XC/M9n/pcIhniMe +rvd6iIfDfOTOvFOjxYJofTgB7iRoNml9KOGIh8P9Y8FfjctPIqiH6/194uF6 +x8TDYXx4/NmfOV7KEQ8nwKd0KfnXlv4c8XCYj+SkjP3bkcQRD4frX+/y06vP +s6iHw/WU19OjcCCF1ofDfObU5FbH5Z60PpwANc41b/p/iKD14XB//Pr3qW1Z +LK0Ph/HoqmHW/HlhtD4c3m8tKf19WziOeDiMj0rrd6UIHK0Ph/t9iLN01NII +Wh9OgOw1vy1WSfjQ+nACvDZYfjfOPIvWhxNgap8hVsPPXKceToBDm2bXrq4t +5YiHE2Cc9NcfW429OOLhBHhbdEH2T0wh9XC994t4OAHmefvskDIvovXhcP81 +MJp0KzqCejgBTuj2TEhtyaAeDue3dtY9piKEIx5OgNorwQc/5WVwxMNhvBm0 +rGnpjAJaH04Aqz5pQwe/T6AeToB3Px4NUt5cyhEP13s9xMP1jomHw3x0oWul +VEgm9XACxPywWFYhCbQ+HM4v2WEfxRPLOOLhBDCzXDbPRjuJ1ofD5zHJTf6Y +WjlHPByuj92RBfd73KmHw/W09dvCuZuiOOLhcD4fP1N3rSWZ1ocTgJfdFl1m +lMkRD4f3e+dJ4wObyjni4TDfDHjqYF6WR+vDCTBoQMIu2e4ijng4Ae6dCVZ1 +nx3OEQ+H8c7o+WnFpDJaH04AiaF674e4hFMPJ4C8xQjJOo1s6uEwP1fKW3ru +/g1aH06AxbYPg3Ybu1EPJ0DiqKv23nsKaH04vP8Ob77uNudofTgBZubN8xf0 +02h9OIwn+7e+Hfskj3o4jP8rRkfWN5fS+nD4/sq/+5k/q+CIh8P86b77iMQL +UdTD4fO+tFB66Qd/6uEwv9BKs314I5EjHg7zm92ZCVtMImh9OAF+fbTrP68p +lyMeDs+Dk0JzDO/7Uw+H8+le0JQGu3JaHw7z9XdtRpfu1ND6cJgfrp6Rkz2y +gtaHw/PwlttN5tqltD4cxtPrqnP5yCzq4XA/CH3ieumED60Ph+dXlSfy8+LK +aH04AUyfXfKT3xdMPRw+n5sVTzf2y6D14f47vxzc9C2pgiMeDu9feGDjdc04 +Wh9OAJVv76YzclnUw2H+/nvS87k/Y6iHw/snY7btuHY5RzwcrmeLo08rbuRQ +D4f3c/fvlJ325RzxcDgfS19W33ubTuvD9c5/4uEEYDzU6nx/5tH6cL2vEw+H +57ONt0KuLE/hiIcToFCs3C58egKtDydAT0rT3oMTcml9OAFWrFmpNgzzZeLh +BJhvc7vf07x4Wh8O17/FaD5vUC6tD9f7ecTD4f3Tam02+FVC68MJsK5ry6i8 +4mJaH06Aqn1f/VnnUo54OFz/D/lhDbNyaH04XB8357QmiSKphxNgz/TZyYqJ +N2l9OAGirAIOb71QwREP1/v5xMNh/Cga/2SD/02OeDi83w5dc+emZlAPh/dL +aU5C5VvgiIfD/OFDRIDD3hyOeDgBusfE/NSXzOGIh8Pz3ao3jj+3+lIPh/vh +PM9d7gP9qYfDfEQz+Y5qeCFHPJwAwlb9n6FhsRzxcJhPW+V2WXblUQ+H+8HW +sDkrXaI54uH+z99H/ufh8P6EDlNOKyrkiIfD+2la0aKukkU9HObbV5dHTd2S +wREPJ8DhKSMtWrfWcMTDCSD3WU7an0/liIfD+ekP7bp3czni4Xo/j3g4fN7T +d4776pvLEQ8nwLl8TkNuVSxHPByef2brbs2cn0c9HJ63beRMe9ZUcMTDCVA+ +YUO/IJ0yjng4XL/vBoeFKHAc8XA4vyZpByoa3+SIhxPgFT/Z9Iy7G/VwAmia +zDULuFxEPRw+7w0n7FYPSeOIhxNAKfJhyjSr6xzxcAKoLfsScO1jJEc8HOaL +NYPOvtCIpR5OgPxRllUj5lRxxMPh/N8bkJC1IJojHq73+xMPJ4DHuQcHYjH/ +Jx6u93Xi4XD/85eSMthWxhEPh/P1xETF9S8zOeLhBBhr1+f3vJEFHPFwvb9P +PFzvmHg4vL4GkZdNYQb1cJhv6H7knmpncsTDCTDjjrhqZloNRzwc5o+vFVMD +sm5yxMPheW7jyDu1h5Koh+t9f+LhMP8o03lQbJTNEQ8nQFhSm+ZSjIfEw2E+ +JWp8LHM2iyMeTgDFBu6h3oMijng4ARRyde4WrsvgiIfD/K7sd0OXQSb1cAKc +hvv10RrAEQ+H73+/pVZ7Wj71cL3XQzwcPs9+i2cM2sVxxMMJkOk186/ZynyO +eDgB1v46oj0kO5h6OAEMX/dZaTuogiMeToBNk4eNiDPM44iH631/4uHw/BAl +3ZO3pJgjHg7PZ8xjheV6cdTDCdA5vO/Iu8GF1MPhejk6fda6CF/q4QSYEy3e +/8IRjno4AeqTc+6W7PXjiIfD/CBK63arWjb1cAIUlKyNCZQppB4O48efSYrW +kanUw+H6/c1XHLT4Vx+u93qJh8Pzd8mdU11m0RzxcL2vEw/339+7sobuyCvn +iIf7P3/v/Z+Hw/OW8Z/Hid8DqIfrfZ14OIxPMYq2rfWlHPFwAvw0nqHg/DOD +ejicf5stRk26+M/DYT6Uef2J2pdYjni43vcjHg73Z8OeZZ0Hszji4TB/3zhF +v3LkTY54uN6fJx4O88/SzF8FA8s44uEEkLwYr+zK3uCIhxPg97MSpym/6jji +4Xp/n3g4ATTWhKzVyy+iHg7j51epCZIrMzji4QRI+SGf+DT9Jkc8HJ63tpaX +7y3K54iHE8DX+umFi/OzqIfD/XNbduvEtBKOeDgBbvAn55xfWMoRDydAwJP3 +FvWOyRzxcAKU2i+Lz9TK5YiH670+4uFw/ahlNSa/SaMeToChWn/PJuD8JB4O +42mn2GPRiizq4fD81fPEbYthLUc8HOaD6x6tLAkooR4O95s1o0dZVXMc8XAC +PHzqFMdk53PEwwkwxuFEdorUPY54OIy3hsfySvvc4oiHw+dX17DZu7iCIx4O +9xf50Kf7MV8gHg7ziePeU24OKOaIh+v9PsTDYbxROeBtNTaOIx4O36/Ya9Ha +w3Uc8XACLO1RrbfaHUc9nAATB97a361YzhEPJ8Bc6c8NIGRSDyfA5WqHrnTx +LI54ODw/e6sbVS24zREPh/nH6HZXpekFHPFwAszuJ/3LZEUmRzycAE9iAl8d +L/PhiIcTYP3m2rEND0I44uHw/DttVumzM2Ec8XC934d4OMz3QxRsho6/Sj0c +3t+As77iLskc8XC4P33z1pIMjqYeTgDLpX8fnlDPph6u9/2Ih8P586Hl+w32 +Pkc8HO7HMbuFKvEyjng4fD5JZjZPDxRTD4f532F/1Q9VVRzxcBjfK90Ej4Js +jni4//P33v95OIwfdX//tE33oh5OAM8vr9J+jMnjiIfDeFPPBms9zuGIh8P5 +KTXNnf0cyREPh8/jRVffxG2lHPFwve9PPJwAG985GHZpZHLEw/W+Tjwc7l9N +wbvPXkmlHg7zpan7265v+lcfTgC/lV9vvpmfTT2cANvt5Kc99yrniIfDfLD1 +8r7q8/c44uEEaNq3P6gy+CZHPByeT11c3u166UE9nAAV7dunHlMt44iH+z9/ +7/2fh+sdEw8nQF5g8mmVlynUw+F6c+4bV7Mrg3o4nE+uiQeHSaRxxMP1/j7x +cPj9J0bdXQdVHPFwAnjtWqyuOyaZIx4OzydT2241bvjn4QQIuqNpPCa+kCMe +TgCj+KJCK7s7HPFwGA+PlEU98rrPEQ8ngNZsTZubjpkc8XA4/ycNe9K/tJQj +Hg7Xh8yM63FjUqiHw9fD/ph6ZWVRDyeAbrPBtSVrKzji4QT4NC/lcKNkFkc8 +HF7/IImG7E9l1MMJ0NH1cVPBrETq4QQ432L8Oc04kXo4/P4J999pXS2gHk4A +G+Z54P3FHhzxcJg/zX09cct8jiMeDp+HW0ChVmI+RzycAFeutBzb11DGEQ8n +wIDpc/ffULlGPZwAb6YfMhs904d6ODzfKE0xOvQhj3o43O+trK+aLb3PEQ8n +wIWQ1QvH4vcjHg73Z21u2HKDKOrhBHBIE50fpJlBPVzv8yMeToBZk+znn7a/ +zxEPJ8Ck31tdflrlc8TDYb7wZk6r8rQkjng4jFfrfs+5NbSSIx5OgDW/hpzx +vl3HEQ+H+6GW3cDalEKOeDgBPic+Vnojn8IRDydARIGVykf2AUc8nADH/sbU +R9sHUg8nwN76mUlzNUuphxMgeXjPh2bbOo54OMxvnKv2n0tJ5YiH+z9/7/2f +hxOg9Zj+ubGHqzni4QQoUfv4YtWIRxzxcLhfvlpbH2VWRj0c3q/xJX8G3yjk +iIfD8/B0v4lz1t3jiIfDeDFjT8Vc12KOeDg8H4XPvPTnwgOOeDgBdpz4cfGD +Rjn1cAKcEF9g9OABUA8nwM5B13aed6qjHk6ARecG+43bXU49nAAHsy0nphXX +cMTDCeDfpnzP8NC/+nAY71Q9Bjhdz+eIh8P7OSkg+d61GxzxcJg/g8G80U0V +HPFw+H3uypklPbnBEQ8ngE5EpyjILIAjHg7X246xOzz65VIPJ4C845PHH+Ju +Uw+H97NrQ0h2cBFHPJwAbh/dR+rJ/PNwmC/kuO79K9RyxMPh9abDM8Wpjzji +4QR4HqMZ/BtfJx4O44dW4DC29DlHPBx+vpo1vBxWxxEPh/lvn8LikX5lHPFw +AiRK3zzv8LqAIx4OxyvcL5//W8YRDyeA88dDa+feCeOIh8P9YnxEf6X8G9TD +CVB94au1RnY0RzwcrrdT1415p5vUw+H5a1CKrHn0A454ODxfbnrT91xHIEc8 +nABOtvMKrb0qOOLhBPgzJKp0rk8R9XCYDxlMbl/wuJojHk6ALa83O3+qzqQe +DtfrwwV+2eYZ1MPh993iN+7EsRLq4XD/v+M+0jugiCMeToDNM7Yn9TMr5YiH +w/ult2dYjE0x9XACLE+I/TPEtZJ6ODxfp1keff3oLkc8HMa7Pdej1Q/HUw/X +ux6IhxOAPzawydajgiMeDvPvtatmee0toR4Of95YzBJWFFAPh/lM1kFlC716 +jng4AfYn7Py6ZUY8RzycALY7RyfURDzliIfr/Tzi4XD9q9WdPIXPk3g4jD/3 +HVzlFR9xxMMJkPtYJXUqU8URD4fxTsnE2GpOJvVwmC8sujMlb249Rzwcxs8X +Vzc/ys6gHk4AKft306/LPuWIh8P7sSNk/TSrm9TD4fjrbPNfOfnUw2H8atPS +kTcvpB4Oz8OTvGSk+Xrq4QTQHpQd96e7nHo4XL83ZlySGlRIPZwAXbIL98tq +llEPh/nTzlkfrg17RD2cADFr3cdJKz/giIfD/HpA+nvNERHUwwlw533DZYua +So54OAH+/rK4zBnd5oiHw3zj+OkPqV/zqIcTYEH9sMuZ25uphxNg9WyvSZrn +71MPh897afNnvfLH1MMJUNRw5r3rlgaOeDgB+popNRWk3eKIh8P5vbH51CSV +BurhMN+Q1voyU/0F9XAC5MyfuTbRNYPWhxOgbe/uMeafXlAPJ0CGVai+VHUN +9XA4X3fVzE40fsURD4fPyy3p4qDjhdTDCXC98fgw6233qYcT4PZuLSm5qJcc +8XA4/yT1His8y+eIh8PzsbMgfas8g3o4fF7BQ7RDKktpfTgBnu7qO9gssIJ6 +OAHixHbP7llbRevD4c9fm37G7ocPrQ8nwJFg428eZ15xxMMJYBH0OXydfD1H +PBzmS2KXX4xMfUU9HK7PG1MVNmz95+HwfGcr8h34rIV6ONwPAv6G2Tvc54iH +E8B7vPk6x1uPqIcTYMjg5ezlvS3UwwkgUR48btWcJurhcH++90Fhtm4h9XD4 +fSeYXp4ysIF6OAEGB52um2qVxREPh+ePQZdko2UCaX04jG9e4/WlB7VQDyfA +h1GjXWIPv6UeDveT+9+0dMKaOOLhBAh7lDzV8/lt6uHw/djXC1IXFFAPh/my +ke2cCffuUg8nwLVFVS7Pf8TS+nB4/aJ+N3uehNL6cJjfBN44HH/wPvVwAiiz +zlNf6r/miIfDnw+7Z7fL9Sb1cHh+Wx5g5XehkSMerjc+EA+H+ewOpqZ11WNa +Hw7P/0X6g0sqX1EPJ8CLOZNNaxurqYfD/Ev28NSGUWXUw+H5Wkq01a7tAfVw +AtxaOH/JFvGXHPFwAlR2bOw/+fQT6uHwvGx5wW6W/WeOeDgBhDk70wbpvueI +h8P5JOsopeFaTz0c5ocZh18F9HlHPRze335JgTsamzni4XD9pRasHfPpMfVw +mM+HyoYFDnnGEQ/X+32Jh8Pnvz/4l+ziu7Q+XO/rxMPh/HTdtc1xTCWtD4f5 +hty7w0eq71IPJ8DFmf0GTtz6gSMeDp+HoVn2t5ki6uEw3oQ2cBV/PlAPh+fz +4fdtVDdVUQ+H8dVPJuPPtgaOeDgBgt3dKif8vkfrw3VCkt6f75M6q2l9uE5Q +Xq5T/iqqjXq4TngSEL9EvekVRzxcJ3jmF8YpXX9HPVwneHu650ldfEv7pXbC +rfE/f0vZN9H6cJ1gOUkx3MTjCfVwnRDSFcst9yqj9eE6ISXZU90jL5l6OLwe +vkA29nUn9XCdoLs7a4l3t0A9XCfopLkERF1+RevDdcLqh2FrmqrSaX24TlCT +t2zWsWim/VI7Yd9v3XqFoIfUw3XCAxX1NLPoRurhOkFUrVH34X4RrQ/XCQde +t2n/HNfFEQ/XCa0ufgubvr7liIfrBLNMHY0w8V/Uw3XCkQnK+2ULrtL6cJ2w +e1yLzPGuFurhOsHh0mk3dflu6uE6oWrH5HcHR37liIfrhCHqD7Z09eeph+uE +0KiWBX3n/aAerhMeKUo0rTX9QT1cJ2SdqHc5Wv2eerhOCD//YUXKrFbq4Tph +mbxsYUOdQD1cJxSHVkgmXBArJh6uE2p+zTfIGtBOPVwnjO4McSg9INB+qZ3w +s+TAuxPZEsXEw3XCFLnby86fqaf9UjvhnY1ic88onvZL7YSE/rVS5fEiWh8O +719l09vEL/2KiYfrhOYrGeemZv6k9eE6werZtr7z4gcUEw/XCRe+bB7VrNlJ +PVwnKOQ/0tG//Zd6uE4Y5vG4r5HF0GLi4Tqh6RPzw8SondaH64T2mNW6gY/7 +FhMPh+//ZnjR6ckyxcTDdUK/u0lsiZdUMfFwneB+4pRrnuID6uE6YaZo4fS5 +xyVUiYfrhN/N1Qv8vKRUiYfrBOd1uerPWLES4uE6wWJadsk4PbGSO7f/++9r +77+PBP73XwH7//Mht75TuvqJYK7Y20/WBqPZf+MlfX+3/Te2fjvuWXV/EbTk +Jo+13CnNrls51z1QTAShbxzkqm/Lsir+a9dYi4vg28eNB5evn8yO7NjVwwwQ +QWOtTuDcD1PZTi2HnOESIqgo235cNWc6+zjymnUzjjc7a9kbZSiy+T9jpmYN +FMHOkzu7jr9UZoM2cQ0ug0QgV3Jot98KFfZUykOPLYNFsOVFx8DNFvPYXeLt +a2cMEUHWWb28jasXsGq7+v3txjE7WaytXHUROzVfJu/WUBE8/jhmdYT+ElZs +xLwDIcNE0Gqq5tR2bBnbtl9L3na4CAyXDWU9C1awNWW7X7CSIrjAls8OGb2S +TRp/zGvkCBHITL3h2HeVGut25Lp2K45v/j0SbHJZnT14O7ZP7kgRBJ56pf+h +QYPdML04/+IoEeQvmbE3cIkmu+DMI1uj0SIYH96QZRu5mpV62j595hgRLGpt +E98nvZb9rtL/5S8cX/sYoeIcqMU2XB7vc0dKBNtWF/3Nn6HDFr2epxs+Fp/H +FN3VQ8t12dDl2v0OS4sgnam+e2L/OtbJ26RAY5wIVF5IWPWVXc+afjp2aIwM +fv8d04S7Ngas5mr3GW041j0jKT5j4wZWISyuKX+8CHL8qx5eUt3IDvxe7Osq +KwJ9v++rOuZsYj+uf6y3fQLezyH9VuxW2MzeTvjUf/ZEEcjP6Ap9OG0Lm9ZX +rOgPjl3SfTbozTBkPbbJ2t2Xw+/To7+8Zu5W1i57vlLUJLxfX9cwa9SM2M1D +dZqPTBbBiR79FZVbjNnFFqb+q6eIQKLfx/Gah7exMiXH9aWniuCemW5Jmdd2 +9tdYD/EPOF7SbThQtXAH+/JgPFc4TQR9+kW+yHm3k4WakiNu8iLQULYdryi7 +m42c8mTmruki4MD4oc5ME9blhOi1ioIIYP6QeX9qTViLh2KBfWeIoF6vyy/V +xpRdO2uCwUMcvxgdZm80ag+rdGGBRKwiPu+snap/CvewQ5p0ShyURKBnv39X +2F4z9vPiPfZaM/H7VtdqLZUyZ++7O84aryyCvXMtbOqqzNms9x6t7TiebNC1 +dOtJC9ZHPSGoeJYImhtHyL2Yv5d1CIIN7rNF8DZ88lVD0V7WqPPJQNM5IvCx +s/xSk7SPXa73Gear4PuvkCicZ72fnRArfkxsLr7eLjL2mGPJ/umZMOcJjoec +/KD65qsl22y48G38PBGEtYU+mlVixVak64Y4zheB36xLbpZXrNnYgWabdBfg ++tT+8TDQ2Ia9ZHpi8MSFIli8BIOL8gHWstCz7DOOZ7T9ra3vY8vqjk48XrpI +BForj25+/syWnW1TquK1GOfL+HkKD7MPspKVT9vMlojAY6qpYZPWIZaf+CV0 +0VKcr0WVM3ULDrEPHQZskVgmgktKb6pUlA+zufcmDm3AMcxb8/pq2GE2QHFR +RdJyETRdNZ27e7Qde+Ks3olTK0RwpdnfKtHVjt3x3GyePiOC79WL11j1PcKu +XHDy/SRVEZyvOeYYeeIIO9nNK5zHsc4W50L9b0fYfm8TDStWiiD3tikcPnyU +faNaNsyXFcHEYzIrB385ylb5Pbu5V00Euy54fJK2tWcTvnw5uVRdBIckQ5yD +PtuzV7QkFgzWEMHfUT3pnocc2AORch9f4HiIncncnk4Hdv3PRZGpq0Rwmt+V +33j8GDtv0zojJ00RVC85/VPl7zF2dIq55IbVIjhTp5zedfE4+03sVNXUNSL4 +NbL6ytwRjuzTnd6nv+K4c52/xssgR7YgL2lh1VqMX2H3r/5WOMEGS5a3+2vh +fP/lJXs95wR7en9DlKW2CAKWfYzzXHWS3V3WYbxCRwQf+95tGfDoJKs+fuDI +YboiuPF4ynmRxSlW/sikmiYcjxuSy67+cYodcHuxU4aeCN7Xadwadv00+15e +f/G5dSKwHWP3bI38GfbWaQvRJn0R9Mt6LNdRdIZNeXIqZvp6EWSof9owaIsT +e13FZ/t3HL+aM1nB64sTe+hy8qhaAxH8ftY6o3K1M7vxdXlt0AYRXNznVXbc +x5lduPy5s81GEaglzS9sbXVmx3rzS1ZuwvVk86BdfOFZ9kf7wC+Sm0XwbNfh +ua/On2VfaE6Oe43j16OG7Dn45CxbHLpkZ/YWvB9/3LblKZ5jw7v0x1wwxPlw +7EVn9slz7Nn1e+sMt+L8y78vsf/eOdYs4fQ5RSMRiD9hDtVPc2FX9/Vd9hPH +S26/HdB53IWdsS2lo85YBO6HL8XW3nVhB2VXxIduw/hw6uF0w+nn2fYhL3Yd +3C4CM+fDpgGnzrN3zQUp9R34+pc/8zwenWczigfdGbVTBEVjJQ+rzr7Aeo2d +cv4NjoMnK3eHX7zAHj24dEXeLhF8Hlkfkd98gTWsWS9c2i0Ci1vHNc+vuMgu +nbIv0dhEBLH9r3Di/hfZ8SfOmCibiiDFYG/Lis6L7O96X+keHCtMNHdXMLjE +Nimn3r27RwS3U+6FVKZeYkvP37wQYYbxa3x767ihl9noly8YO3MRvDT6rDrZ ++jJ7YXFn5yoLESxUkTj/4tZldp/74GSpvSJYs8LYXUfZldV+P2XPOxwf+dKz +9ICbK6usvkymYJ8ItnqJbVv12ZUdFmRw/8p+/PyVdnW3Da6wX4R9l3ZYimBw +s/reQTlX2Ae6TivnWOH6XWLe/4f0VTY7xu/bXxw/fgwng09dZX17UlMeWItA +c+K8hI7XV9njhpVm0Ta436ldMe1e68ZuS28cb39ABHYa3s5ZaW4sM/DrgzW2 +Ioj5OqhJbuw1Vs50iOu4gyKI+h65UfXMNbZP4VS1jzh+UiCWP+zdNbZl1PLv +RYdEMOzYg1Z3g+vsTesNadcOi+DhktDMisLrbNzN/Ra77XA+3Re6E6a7s5cn +Ok+YdwT365aNXqynO2vl4P+w31ERHH66wPBSjzu77l7alUc4vic4D1db5MGq +KFapx9mLQOyi+6sxNh7syLMvu485iOCY6+HBGtEebGfD13TtYyJwq1x3svq5 +B/t4/tB9sscxnratlosd5cnmX50mJ8Kx+ymHV891PNnAN8sflziKoPZnV9J+ +F0/2pOpGN48TIojLb7DR5zzZnX6Wq/acxPv1SXmk2zdPlv3i/HPBKREsy/nm +MkXFi52qFZApfloE6sOWZw639GLFItP3P8Vx15Ou85uivdi2H1WTEs9g/KuX +b//y0out2dj05IQT5kNR+fdax3mzScnfruk5i+BTbaicymZv1k1s2Gq5syJY +n1pa8cDdm7XdKf/7C44lPMWS6+q8WYO8Fdll50SQHahVOmGgDztfcpOVt4sI +yuv2frmp6cOO2W81xeI87gdDFsrfPOvDfi89+2zxBRFIyzrrjAcftkEm0H3g +RRHkhUrpV//2YYvsMtY8x/Hj0YXj65b7sqF11T3Jl/B5N84Ome7oyzrJv8o5 +fVkE1otmlTzJ92VNT3dZr3fF77vM2qapy5dd9WTYtClXRFDZHOzFLPZjp6tM +fy7g+EFfqwm8vR8rcZnxvHkV1/f6U99+5fqxH5o3afm5icDe6OignV1+7O1l +1n/3XcN47vp51Zgl/mya17m8ZddFMGvmOY8px/1Zj/bAA0PcRXBuV9nz8wX+ +rJ1mpvxLHDdOXzZ85S9/dnNozYs0D7x/2w6PXacawC7ueuXl7CkCy+xPTZlO +Aey49d+1N3rh/QHFTTblAezP+OF95b3x9z+G7jolHsg29lG48Q3Hdxzh02ut +QLbEWPVgtY8IPK/+eh/iFshGZm1WCPQVQd24opWp9wNZlyE2L638RODYadow +SiqItTB38WH8Mf+q0o+5YxzEri0O0h0eIIJ9Y19ceRkWxCqNzerXjOOh7Pbj +Gm+C2CEHawsyA0Ww317O6LdSMCuqbj7kEoTzq8pWasihYPbe5O4ZW4JFoDzW +M9g2L5jNdJR8pRCCz3NK5WOFnmDWu17BrxvHjidWpy/QDGEdlFeuuxWK+aXb +2tG+V0NYo/NbxELC/ssHf33SeRjCLn9pU3QgHPOHbLcZW2RD2QmLz9uxESKw +ujs5LdsslP1zPVhpZCTGy6Rn1ntTQtnmd1nNLTj+/Pqe7oFvoWy52i3/nCgR +OLVMWFazMoyNCXytfzEa99eO+3LHLoexF4VucaMYEYyZ1v3OsT6M3a87olgp +Fve3/YFO9yeEs7oxM47+wvGRc3n3T+wLZ2f3rFS+E4f5g7he1fGscFbS0LAl +LB73tx0bdG/1hLN82oHAQwkYj0x3xObLR7APJS4YaCRiPhOb/zRbJ4LNNQmR +GJMkgoHWc7IaDkWw/gXZJW9xLD58/kwl/wjWcVSdfX6yCAo3zlsUVRzBbrdu +meWagvFjb0CN5psIVvXmj9ZtqSL40Xy/YcSQSHbSxJHBs9JE8LxUfdeA+ZFs +PwfFjX9w3GK7Xne6cST75i476H465uvcdr8DzpFs1YytpZEZGB9bKhe+io9k +E5xtjx3JxPnv8lH2xL1I9krDhTmrs0QQlDRNfeX3SNZmfujbsdmYb9XWBCpP +imL1r+aEvMfx9qI5shpro9i5b+o2FeaIILo8vvDswSh2lGrrYLdcEbxzdTnw +0T+K/er7s2xnHj7faZJKp0qj2KefRzqq5Ivg1kDflsUfotiCtUpz+94QgbPs +Tvfxo6LZ4Ai1d/U4lotJkZ+xIpo9/WNrWEwB7vcbq/x3mEezuzce3OJQiM9b +9ePLwmvRrHryxaFaRSIobd3yST0/mp0mFlYhw2E+pKR5g2+OZsV35p5ox3H0 +NtHiW4Nj2He5t+cVF/+XH17aWbcwhq0d/ub99RIRSKZrTvm2K4ZN2fcr3AQw +n7QyPLbWNYa9Xjpq6/xS3E/VP24uz45hD8nMHC5WJoLZzsrpFk0x7EY79crH +OJZ6pnFy3qBYduH/Y+mso7J6mgCMYouFCCICohiYyE9sHQkLuwML7EIQu7BA +xUJRbEURBRTpRoZGurvz5Y0bL7aifvud3T/nCJd7N2ZmPc+eJ3P9mbeJpB7a +rP0wfJI3aBra/3cyibz/o2uzzLd6w48zV2RWySR/7vije8XVGyqKn78cnEL6 +zR55ll/CvCF2fPh6nsTdZuq+vVbvDc+vZPeJT+XwQ8+/0+b3egMX6ppS76Rx +uOHz1bax097A9mntZ7d/IvU9Pz9l+s43MPduf1PTdA63yqQ+9nfewEj5aEWX +DA5HtdS65Xx8A90tzb3KSPysAA+vlr0B+dMNG/0ySX4d6m7RUestZH+173cm +i/R/77b9KLV4CwFLr35akk3Od35jXYrt38Kdty+c9HPI8/FH/a9nb8FRJWKy +ksQd+CSVBZlvYe2GHC4xl/y9F66lsT/ewtTg5tf38ji8/mKe9YYRPjCo5x/r +Xfnk/KEvnNdd7QPt2zX6Ty0g+yn0xKzuF32gJnZMRvdCDoW1xc6DA30gfoDF +hUoSz7P9snRdjQ+8sts41b+IjIdH4s0oNV+4nOYgnCvm8IjW6CkWM3xh15Br +b5aXkPPjfvU5X/b6woKTnpuHlnI4+8y2Z1kPfWFMQYTGFxKffvDNIivNF3qN +yc1MKSP1+2DoqM/ffEG41HLxQTk5P/U7PsdshB/kV/2ZtreCQ/WfA8+Hr/GD +ENMByumVHP7316FqpbMf3L811ketijx/0zorzTA/OC6x2FpD4qtBT+JUmv1g +wxxrzcBq0j//7T1efcA7mPHocPaFGlKf3567aTX3Hei2Xbu8qpa8r0NYvu/R +d6Cy6OWM4XVkPi+dFia8eQcNXpFt30jsu8ejsqrkHSS35/p+qifnW7V018Cu +7+HNGsm2xw3kvB1bqPSb+h6ufvirdaCR9KujjnTO2vse9nXVzJ3VxOEfm53R +/Z+8h8Xbxrn0aSbnkaqtnS9mvYfxUZaz6kk84IB2pebf99BXfdOX4BbST8+f +a1wwwR/a9jm+uywh67XzvZ8hNv5QnORqu7aVw4kvQsbEuvtDxOBX2qOkpH+3 +WxYjS/GHR0ej8n6SuHV832fwwx9O5+RdyZSR7//9JCV69AfYPLJ19jM5+fmV +HkabNn8AOP/vq52Cw+79PD8Oc/sABuWa/nM4kq+PrjvWL+kDqJqM36HOk/nX +X79g+LcP0Ow6V6eJxEN6zxi11SgA0ho3FYQJHC585dEDNwWA38wj166IHG57 ++rfR0i0Abty/PmeDksNVup18xaQAsONffR/dRurVG9Olid8DYPn86A/tJJ55 +o09T1YBAMPHM35nzmcOXFa+d5RMCQeNn62DPLxx+6a3XpZdVIHxboVLk8JXD +T0Yft5rtCIQyP63rFt9If1aZeOPquUCIVp1gPuA7h8GX7a40PAyEp5vm/Wwh +sZnp34XLQgLBKWxzYOQPUh/9P+TkZAeCTe+ju11/kv23KaaPTWsgWOy+obfp +F9nfNlu6dlENguHxXsXjfnMoXs8L+KgbBF21Y278I7HjxoWqV6cGgdShwCK/ +ncPev//+3rEqCDIzpL9e/SH7YdGIe6vtgsB/WIfgI39Jf1TWlrL2WhDcPjNw +77x/HJbWelzf/zoIHIonDBmowuOVxRa1dzEIVo+fXyol8ZrokTFZFUEw+cqW +WzEdeOxy5LC29rcgGFh3dO7Njjz6OS7/crxfMPyaerN9iyqPHrbcXOnYYKi6 +8zrEuBOP6x45dju4IBjiZDH7OnYmP6+vNll1RzB4WhQaFJHY4X3Np3dOwXDx +qazMuwuP77z7xex6Egw7vnZwO96Vx/1DMrpMigiGeUu15y/sxmNO3Zg3/QuD +weit8d9B3XkUNmxw7ywEQ0+VBWEKEjf5O37q3jMEuPVbD8T14DHA/zEMGRkC +uUHHhrn15HFquaJtgUUIBPW4VWGjxqP51itVl7aGgPt27zv/9eJxl67Lt7zT +IXA0NnZB597kez1VZxg/DIF1A4r+lZDYcqj265ehITDNTh7u04fHPzdrxgzP +DwGdtI52p/ryGHd6X1Y0FwJ/9AcNX9yPx2iTAifbHqFQd2Jila46j6k+RnMG +jwyFxPwF7gKJF1281LXVIhRej95mldCfxznqytyUbaHgcul4B3cNHodvvOge +djYU9lTditwxgMcv3ectjngcClambw5N1uRR7/zCLxkRoTD21scR3bR4PJ/+ +0FksCoXekqLqchJvfTn718i2UBBBce/dQB43OlgudugTBgUPVRef1eZx54rg +o1ljwyBMOUh12SAek7vetp9mFQYPrEyih+jw+Ldb3eTI3WFw0muhQxuJy4/7 +Ji5wDgPr9m2jkgfzuHw430v+KgxmrTlRe1+XxxtuAeov4sNA/8Ntj916PNa2 +cBk7asKgQ9e3S6bp8xih6mM8sz0MGrfGdeo5hMdn9pWzhg8Kh5TI4pgqEo/a +eVmhPzUc3vbjDn8w4PFX6rPJY9eGg+u+TqPPD+Ux3MhA0+pIOBxI0qlfMYz8 +e04X55N3w2Hp4P8eDjMk6+3N3LPRgeFgfNRq2VcSL11S+EMtNxzUc2y6pA0n +49vntdKeC4cvI05+fDiCR8dmP5uWnhFQ4uR2ZN9IHjscLzc/ODoCIsvejpk5 +iseSgUNud14YAY8nYkMvI7J+sw/OCdgdAWdcSx7Vkvj73MDl+1wiYGsjtzxo +NI/fagujJntHgNnMzt0ujeHxwdukoxrJETDs/mBcPZbHU2v3nlNtjIDO/H/H +RozjUbrKP1u1YyRI5i0a94PE+aHHNg8wiIT0F7ZN6eN5jJ/xbuTUOZHw7sfJ +J08m8Fi2fsKIA1sj4eaKOysPGpP9YNSyMuhcJBzy8+kOE3lsPBn0tuvzSFih +Gh/f14TH6gQHvUMfI2HSptLjDSTuMKpLkKQqEjTD+PGh//HY9/ziDQ7tkfCj +V5cW50k8zlpk0E9tcBRU7NJ9ts6Ux9GRWwsiZkRBLE5abTSZPP9VvccR6yh4 +PnBxz98kLr3ntN78dBScd9iemDWFx4Lkvv0MnkSBbcapk8+n8vhTc39035go +sBx219h+Gln/g7cv7VcZBSPO+ErMpvP4TyMrdejvKOheHP+8/wweg+XHdefq +RIN8XNmaZhKbTp+y+MSMaMh2EdQiZvKoPb98cax1NATUdkm+OotHoy5jtfud +iYY7U/VOb5zN4+6B7X7HnkaD4x1Tk7HAo2qWRrsiNhrWyBZL/5B44+A5XY5U +R8MUix2euXN45IPmJfX8Gw3aT0+ve2nG444GYWyoXgz8/nK3t6M52U+BHWYd +hBioWeKXYmnBo07WHG7ythiIf5NwRtOSrNe79lP6XYiBV//K/mslsbfaqgHt +L2Pg8npRFjWXx5dtPue/J8bArqCur67P43GCz0RH1aYYWNBDf8Pm+eR9Z79r +0e8cC6O3T+47YQGP2x0LihaPiAW12CVpKgvJ9wfbTnGdHwu8xs5zBSSWq2t2 +K9sTC3kHz5i+tuLx0kbvOZNdYyEk1V1xdBHJh7/SKl6/i4X7+u+85i/msWf/ +SXnDsmPh+InEjdpLeHxu93xQCB8LG/LL+8lJvMolOmRl348wY7TyU+xSHiOd +pt/vaPIRdC91O39rGY/Tx+VGJq76CP8q9adsW86jQe9RA92PfoT6SVP4iSvI +fl/6+b3jg4+QdHOpt+pKHg+nVdvviPoI3i07NxWT+OHfu5t3Vn6Eq3C2/9tV +PN4f8fHAsT8fYd/DexknVvOYqdf54QP9OFisfHfBag0Zf0f18k9mcTDeKmnq +4LU8rtx83ajHjjjo61UhcCS2StS4ZO0SB22/lW9wHY8Jk1bWxvrEQdHq7lvu +rCfj87l5yvjMOAj3HzJg+wbyPXJ7lwAuDh52mZo1aSOPeaF3k+f0RTi1ddml +Ltbkfe5J5fUmCJsjd00vI7HOWfVvd9cgQL9zSt9NZP338q1adQLBYN99n9Ob +eZzmNv+R4RME1aT3W5ds4dHur8vwznEIzTrJmvpbST5r/3vqax1C2pHKbJHE +Bvyr9F8d4sE3u+1y4jYeE5f8CLnYPx6uj+gx854NqZeHF55UHx4PB50MPu+0 +5XH91a2afpPjYVnZVL8p23lU76d6deGCeDCZuNym+w4ehzT/zhA3xIOG6+6B +lSQe91+/qhf74+Fbw7nc9zvJejnfPXLt2Xgom+Hhcm4XWR9v/awH3I6H6Hv+ +s5bv5jGwIiqp0jMennLJXwz28Pjq5W+Fb3A8nJtX9e4ziW9V/FfklBwP2158 +tk3ZS+pN1PBjm0viwfxHj0EP9pH1nOGea94aD4Yrhubv2c+jPwfVE37FQ1e/ +aVenH+Dx3Eb5E0O1BJB2XAFqB3lMer62m4FeAmRa7/lWTeKjz830hxsngH+o +k3+AHY8V7vurjc0T4HavBzsuHOLxQh93sFydAA67PuissufR4sdR8627EmAV +phQYOpB6fT2/4cKJBDAdWH3tG4k5a1vd964JoOXwZc6nw6QerGriq58mwM/0 +nj8eOfK4L0R9tVZAAlQNHRaw/wiPLn19Fq5PSIC409N3zTpK+oV1W7I8CxPA +s2iFbp9jPNpk8WVicwJcHLe3qI7Ehl3VDyz4kQA7XM5fDz5Oxuu708W3PRJh +Xu0D88snyPppFAb21k2EUVMDfq45yWPn3QPHnpmQCD3upAaOPEX6hSMBkUqz +RFBIq3f/JLGwal+M3epEyDH/qpd5msSv/xh/3pUIgU/USp6e4fHEJl1Np5OJ +4P5l2E27szz2Sj2zW/1GIhxdMsNyzjkeT17KMfB/ngjr3qz83c+J1OMbyWbL +ghJh2r+9wY0kfmDcN/lHUiLorL+wN+w8j3v723r7liTCn8CHQ65c4HGt8b4G +G2ki1HYPLF1/kcewstLjBu2JkGCbdmv0JR7Hh26wbe2dBF4xNXPbSRwV/+x5 +uEESOGt8a8++zKPnyhVGNyYlwZ6DvUJfOPN4UcPg3575SWCVarjfwYXHZV2j +Bi/ZmARj9WcOtbjC45KLyeemHkyC3idWlWtcJfn7P95gzPkkEPP2ubWQ2Fu3 +ruNw9yQoMLo4P/IayTdPLfRGvEmC0IuP/l5z5fHs1gT78VFJ4FEZGGZ9nXyP +XYfvs7KS4MSkTwfG3SD1fsQ7/9W1SbDxZu2wfyT+PX3nncNtSTCr5VtF3k2S +X8OLnz/onAz60Pvuq1s8qv18WZA0MBk6PBy+8Mht0p9F2Rl9H5MMjeJMlXlu +PHp1rnsxEZIhZeHqCK07PG6e88jEcWUyvH21305K4rphs2pidibDtd8Xh8fc +5fFm/MEXPU8mw/7Vj6tuuPM45mzWYdsbybDEP8h9yz0eWzV/rY5/kQwTuqRb +Gd//fz/mYT48JBnUt9Z16OhB9r+L2dQ7qcnwJeJ7ZCGJVa88MulUkQwlffvY +ez/g8WrUzPHnuGSI3Dti5PGHPJ65/mnkP5UUeJw4q2bBIx7fxJfrXNFIgTM6 +a+4PeszjPEe1rpqjUmDLkQOLFSTuq9He/G5GCszJvqQa94RHk30WYQuWpcDQ +EU+ibz8l/b37GQeFbQp0cgp2sHlG6oOqmdaDYykgKU0f9d9zHkOcjbwWuKZA +unF9bacXZLym5/Tr8DwF3l374VFC4s2PU7fGB6XAzYY+S308ecw47HvNJSUF +Ds0Y2fnUS7J+WtVurCpPgRX3ZscuekX6+eMu20dyKfAft8ZR14vHD6KfWscO +qTBg3sHRAomrz/S+3KiRCt+fX66Pf82j5LFlauaoVCj//uThXW8eFw+py42e +mQqxy0OW7XhD+uNpjs8Cl6fCc9+MLpPf8jh0z+0x/jtS4XzHho9dfcj8uKQc +CzyRCrbWP4+Uk3hlsvfp6BupYBnad+w7X5Iv3uLkTM9UGNFrVOMZP9J/9H71 +uiE0FbrtgsdL35H+dmxlgkp6Ksji1q4Y8p70k9d/uA6vToUsLbtubSQuUbvS +vlyZCh/snTHJn/Rrrer9L3VOgzvpT4/d/8Cju//IzBjtNHAcGjpudwB5nznL +DX6PS4M1pzObpgby+LpaU93MPA2mFDU86RFEzl9anR/fXJsG2uN+rawi8etS +r7C6fWnw27lfjw/BpB5tOW0z3SkNqmtGJTiFkPpu3v/xY/c0wClzTqwIJf2d +Q5t1B580eOm2bsKwMB7vTnjhZRebBpekdi1fSNxP+8XBhrw02GXu8iw1nORz +/ePhm5rTYMGTZ6sfRpD+78a7U9U/02D0l9Ce+yJJv/0Xw3f0/gRqS7ISZ0SR ++T84ZXfb0E/Aezee7BVNvn/EvasuUz5B3t9fxrUkPpmzTstg8ScIXqfeGhhD +xnerat/EbZ/gXqDRi4uxPD4ep3Vo79FPcKy72drVH3m8Vqc+Ucv1E6y3Xd9r +RByZ7xNHV2Y+/wQzYg4lfydx5pKPuc4hn0BX48rpdCTru9ce73mfPsG/A89N +nsST80tsW26v6k9QnxImPZDA45SI8kWVyk+QpJftOTuR9KOBDwcEdEkH7+NN +6/om8bg6xM/omk46XMn73buBxK36rpf3GqfDXqP+qSHJPGZ/CBy6fG46LLo4 ++qxzCsmXhx6ozNqYDuMqzSatS+WxLS18kPGhdOg7aYN8VBqPY4+5HDS6nA5t +N+xf/SJx+K2zX0c9Soei5isbsj6R9zurHjD+QzqEz37R93k6jzM6x3hMT0qH +hw/C0w5l8HhgjsJncVk6nBKzz5llkvzgOahhB5cOmxY2m/bP4jGUi5p9qWMG +zH7Vrmgi8cIFs2LeamXAkN/9X4dn8wgjtVYXjM2AjqvHWF/NIee19VGdVc0z +oPm9ufrGXPL3VO9nTVuXAWmdN6aPySPz8WqQ97EDGeC7xeH8HxLfV4u+HnUh +A65HXJ2Sm0/6k/0NZzo+yICDfT15zwIe71l0PLrifQYs2xvhfbiQR2e7K/Zv +EjJgYmLOJssiHo/r1e7vUJoB/XVa+msW8xhTdXS7rSIDvjr+yZCQeIBrxKr0 +DplQmqVxMaqE9ANb+kybrJUJ0cPHTrteSuq9TNLHd2wmPD1nIW4qI/tD412R +gXkmnCvd+HZ8OckPOomXPNdlwjbjw1tUKnic6XFfe/jBTDC/dm1AAYn1Dt+8 +G3AxEwwbPLO8Ksn56EtfOTzMhC4zIi8dreLRNcZFv8Q/E1rdc6fPr+bxR/Tq +sYeTMiFD0aIcWMPjHajrpVGeCe/n/vWRkdiRuxkfw2fCrecDtsXW8ugQnzBn +b6cscPg+VutWHY9aarWugwdlwarlljlb60n9PL3gSfGELDD1tXae2MDjFvVt +dvfmZoFWR8eZqo2kfxp9RWWDdRb83Oj6uYjEo0/oLR3mkAWVIS/93jSR/rmT +y6rPLlnwUS3K5kQz6ddMdHulP82CFzvzBlq1kOfFmh9/HZwFF+IkuToSUu9C +V99y/pQF27X+uXAk9rgcuPRATRbMs9ecja1k/wrBoeu/ZMGo9HFf3aQk3/aO +jLXqkQ09hs59bysj/dNqne3mQ7JBcWrT9kly0j+5TnkNk7Mhp9BxUBcFj75F +u86YL86GwLHX80tJnPmuY6uVbTbcdX511ZfjMaVhe+P6E9lwpCYKTvOk3r1u +2HfgVjasnZL/bbHAY9zhklPOr7Nhqlurv55IzgNGHn1eR2eDjvTfDpHE9r+P +D/+Ulw1/zLQGJypJvj6SH6lsyYbax+ML3dt4nHr8e8qQP9mQ8Hmu687PPAbM +mb1wTf8c8Fq82WzKF3Le3PFz9m2jHHD2PvKj21ceN+Wd9sqBHNj993pABYkX +fdJy7L82Bxau89r1/huPg2R6fpsP5MCYwGjdc995DBrTYOl/MQd6dS8oWvaD +7L/KIOj4KAdEG+l1g5/kPOlZ7bEpIAcKolUsPpPY6mTovNiUHAjtP/BX8i+S +3+MuLzKoygGPAxOCPH7z2Nvg6cvrbTlwImXenj3tZH5858/93S0XNupt0Z/+ +h+znqEITe/1cmHn8aEnPv2S+et7YJTPNBb28GzerSawfElO1d3EuqBi9tgz4 +R9avjv8d3jYXGi7E/D6vIqBND78LJ07mQkpFQfDKDgIOf/rbp6tbLrz9T7bX +sKOAW+fLVJ++yWU8nIAjKj/eMv2Yy3g4AQ87fZxbVJjLeDgBDcMmDD8hy2U8 +nIDdxvjfc1PJYzycgJeszN5KeuYxHk5A6bljC8y08hgPJ6BPtN2xZ0PzGA8n +YMTTRRPax+UxHk7AR/bjHTZPy2M8nIDbx0+dnmCZx3g4ARNPXbs6cnke4+EE +/PnGfKObdR7j4QTkAy/5/96Vx3g48j0WB87vPZzHeDgBc0N18svP5jEeTsCE +7kHPFl/LYzycgM5tVk0J9/IYDyfguqyuXtM88xgPJ+DYl53LQt7lMR6OvP9I +2wvGEXmMhxNwb9L0ZwGJeYyHE/Ds5fdGE3PyGA8n4LeRRYZh5XmMhxPwrVbO +jRnNeYyHE3DKvvCtyWIe4+HIeDf6PFnWnsd4OAEz2+JnV3fNZzycQPpT7fkH +++czHk5A3R/Zwf/08hkPJ+Dv8T+c7o3OZzycgCpOwe/HTM5nPJyAelGaU1LM +8hkPJ6C59WxdmyX5jIcTcK2b+eZ/6/MZDyfgAuOZX1/syGc8nIC94y0aze3z +GQ8noK+Dw/DW0/mMhxNwgmF11O0r+YyHEzBj+/Pn09zzGQ9H5nNvVn7z83zG +wwnoNPjcint++YyHE/B2nwydueH5jIcT0O9S6vgfCfmMhxMwZte1q/7Z+YyH +E7Bu1aiRO8vzGQ8noIN7ZDf95nzGwwnYXWfZmEoxn/FwZL5vqtx81J7PeDjy +PTPqx2zsVsB4OAEHGXTuoqtRwHg4AU/InLQb9AsYDyfgUmHHDt8xBYyHE3Co +aVrT4SkFjIcTsN4u6uFsiwLGw5H3a19yTm1ZAePhBGxReLhXbSxgPJyA4395 +l3zYVcB4OAEP3bwx99LhAsbDkefFWtdsOFfAeDgBzRYbvjBxLWA8nIBl7785 +9/IoYDycgBf+NLjJXhYwHk7ADz1+fkz3L2A8nIAHly7o+S6qgPFw5O+Jtcdu +pRQwHk7APqk5fx3zCxgPJ+DqlcNeWlcXMB5OwF8pcuu50gLGwwl47J/puIlf +CxgPJ+A/1z799TsUMh5OwFuvnHv26VXIeDgBZeO8NTpoFzIeTsD3iafGfjUs +ZDycgJav1VfIjQsZD0eel3TifOPMQsbDkflKiIysXlDIeDgBb7ZWfy9fXch4 +ODK/pp9nlG0rZDwced/lqpfKDhQyHk5AyZ2BmRUnChkPR+bzz0z12suFjIcT +cPK8U2ub3QoZDydgVHb5fe5pIePhBFT12pHz3aeQ8XACbr407K9qWCHj4QT8 +r0JvmHpCIePhBNRO2DJjaHYh4+EELPgns5xUXsh4OLLf1DJnLWguZDycgBU9 +uhhuURYyHk7AouxXX4/+KWQ8nICdS94G3u5exHg4km9W6qx6N6CI8XACvhyi +WvnJoIjxcOR7snbMax1XxHg4Uh9uz3XvPr2I8XAChq30w7HzihgPJ+Ak/wfp +K1YWMR5OwA1N/QJObCliPBzJN4rBdi/3FTEejszPrthOWceKGA8nYM83n+1/ +XCxiPBxZvz4pgSNuFzEejoynrmni2idFjIcT0N7G0uvq2yLGwwl41enritiQ +IsbDkfc3scpSYhHj4Uh9aLDoa5RVxHg48v5vG3Vsy4oYDyfgkwWjuadNRYyH +E3Dx9IEXy8UixsMJWOzpX6b1p4jxcGS/L5Px67oXMx6O7M/U7MRHA4oZDydg +69R1K6sNihkPJ2DSZecnQ8cXMx5OwL7T1j3dO72Y8XAC7nHPWBk8r5jxcAIe +OF8d276ymPFwAn56crNswdZixsMJOMe4zMtjfzHj4QQMnxI9uOV4MePhSD61 +NjabcrmY8XAC1rRN7uPqVsx4OAGNumRcrnlazHg4AYMWNb+Y5FvMeDgBtxhd +3nIjrJjxcAJuC30Z15xQzHg4AWcGTY2bk1PMeDgB89wtrJ9VFDMejvQLTrHu +v1uKGQ8n4P15z3Zafy5mPJyAd/bX5sT+K2Y8HKnH+mey9dVKGA8nYJrlga2X +B5YwHo6M3z6fizLDEsbDkfVUMMp05cQSxsOR+riv+UjMrBLGwwlYWVgMI6xK +GA9H8t0mpfvdtSWMhyP5p9OEQyrbSxgPJ+C8k865hw6VMB6OrAdteXDd6RLG +wwn44PMarVVXSxgPR8Y3JeZX6r0SxsMJaNrez3rmyxLGw5HxCl8yOcS/hPFw +ZL8mbHcdE13CeDgBgx8vWOOdWsJ4OJKf7MV7QwpLGA9H6o/+svnPaksYD0f6 +C9P1+3QUJYyHEzBe/Pv78Y8SxsORegCTf+l0LmU8HOk34kTb5/1KGQ8noOfH +IZOG6pUyHk5Au+mR+9+OLmU8HFm/jT5dx08pZTycgIO1mzqHW5QyHk7Ak9KN +O2B5KePhBEzV+DskY1Mp4+HI/u8ZN23t3lLGw5H9xrm+bzxayng4Ui9urD5+ ++GIp4+EE/LK3x8OOt0sZD0f6i8gnaveelDIeTsB3G74XjPApZTycgN/re0qj +Q0sZDydgj/tpi5cnlDIejszP7oHtLdmljIcj9eif/Mu5ilLGwwn4JmiC6UBJ +KePhBLR2yYsO/lzKeDiSH01jLi1VKWM8nIAbR1TdlKuVMR6O1ANH/dJr2mWM +hxPwaKv9ZqMRZYyHE3DTvxi9DJMyxsORetssH3gAyhgPR/bvcfnCPovLGA8n +oP9c74CQ9WWMhyP5/Zbqgg07yxgPR37fUuyrcriM8XACzuq2ppvPuTLGwwm4 +cLzO2BXXyxgPR+pHzJhTvx+UMR5OQJ2dh8Q3r8sYD0fqu3vOjVVBZYyHE9Bq +68QlHeLKGA8nYP5dpwmBGWWMhxNQ7us5cVtpGePhBGzLP72iX1MZ4+HI+0q/ +30gSyxgPR/LZxd/1x/6UMR5OwPKqA0vH9ChnPBwZX35iXp1mOePhBBxpbbzz +wbByxsMJ6HF6Sc9lxuWMhxPw+Hq7+K6zyhkPR9bb++MXExaWMx5OQNvmJcvO +rC1nPBz5XoNiw6nbyxkPJ2CosVLl66FyxsMJ+NXDuT74TDnj4QQMuXo02eFa +OePhSL5QeL2d6FHOeDgBz2z6fLXtVTnj4cj3Dp23KzSgnPFwAq6cdW7W8dhy +xsOR51mfVZuRXs54OLJeag3z/xWXMx5OwMs1a66lNJQzHk7AAXpKkxtCOePh +SP3WKs1c1V7OeDgBz32XrBjcvYLxcAIaP1FNah5QwXg40v+4d9cNHFrBeDjS +jxiWbz49oYLxcAL2t1hxaf7MCsbDkX7u4fLrGgsrGA8n4PXzYY4NayoYD0f6 +zW5bpgfZVjAejuSPtpHV5w9VMB6O7L8gccOKMxWMhxPQ8eD9D0OvVTAeTsDP +f9vKvtyvYDwcqU+fm0vSXlUwHk5AE1erN08CKhgPR+pVeLulfWwF4+FIvdcr +C5ybXsF4OHL+0YmU6JRUMB5OwCPRxyVtDRWMhxPQIFrpnyFUMB5OwIG3VKZ5 +tVcwHo7M16ZzLme6VzIejvx9lbn31mpWMh6OrLfO47dNHFbJeDgBq/zVW9WM +KxkPR84DXKaRdGYl4+FIPj9gMix1YSXj4Uh+cNEr8FpbyXg4Up+s9ky6uL2S +8XAkP5+RzLexr2Q8HDkvuTv2MDtbyXg4ATWiGk8auFYyHk7Axqze9zo+qGQ8 +nIDLbTLXNnlVMh5OwB9kDFMDKxkPR9ZTxYps34+VjIcj631P2pmbGZWMhyPn +y4zhWQ6llYyHI/OpaR61tqmS8XCk3g5Ums1UVjIejpy3l3fdMfRvJePhSP+o +XK/bvWcV4+EETGmK2i9qVTEeTkBI/bO4zLCK8XACXpR8j4ufWMV4OAHXLz2N +vrOrGA8n4LCwjYvdF1UxHo6c9133bD+7vorxcGR8Px/ruGdnFePhBPyTum7k +qsNVjIcj51H3qtzZTlWMhyPf/6jk5+gbVYyHI/1fvqaX1qMqxsOR/rLlYHqn +N1WMhyPzO/39vrbgKsbDkfdpfHm5DqsYDyeg0k9vQG5WFePhyPlAvU07rryK +8XCkX1gmd/NvqWI8HKlni8uPP/tcxXg4AW/4umbdVKlmPByZ79jsG+d6VTMe +jqzf2/bhhwZVMx6O5OPGOfNtRlYzHo7M93i1WasmVTMejvRPT17cn2tWzXg4 +Mh89Py2durSa8XDkvKK5ctcY62rGwwmo1q1Hhd6easbDkXzeEPNW/Wg14+FI +ftz6X3aXi9WMhyP1XT5jwe9b1YyHI+Pl56kpPqlmPJyAP0+MndzsU814ONJ/ +NPu+rgirZjycgNcmN27NS6xmPByp/3tf7UzNrWY8HKlXxkEhsVXVjIcj/eeX +svkh0mrGw5H6V9Kg7/etmvFwAga8ezDtpWoN4+EE3KUSf/dh3xrGw5H8pGVo +5KZbw3g4AZPl139dGV3DeDgBd4zFTuen1DAeTsCMOc5zT1jWMB6O/Pw872j7 +FTWMhxOwXb3FZu+WGsbDCfjbuPc02/01jIcT0KKxcZb1iRrGw5H1PGyc3Wrn +GsbDkfF9GZC25G4N4+EE3JlqvGD+ixrGwwnYIfAoN+d9DePhBMyeZBE2PaqG +8XACzm/Y/GxSag3Q+9wiLvxzzWt8YQ3Q+9wi6l68njKqrgbofW4RbxqO6DCM +qwF6n1tEcZnuat1fNUDvc4s4a+SkWK2utUDvc4uo5TxuirpGLdD73CLW/85M +VDOoBXqfW8SM4vxNXcfXAr3PLWKtRgfVjjNqgd7nFlHHUSekfX4t0PvcIh63 +bdj3fXUt0PvcIv5U0xrVZlML9D63iCmrT7Uq7GqB3ucWcWxRlq/kdC3Q+9wi +xlfn7G24Wgv0PreIW87MGVF9vxbofW4R5zrWV5W+qgV6n1vEobMdrhcE1AK9 +zy2iQb+ACdmxtUDvc4vYoXxDWlp6LdD73CLqzzBenlhSC/Q+t4g37otpsY21 +QO9zizjw3/qxEWIt0PvcIu6bP/J00J9aoPe5RXQ+rVa+pnMd0PvcIsa8PSop +6FkH9D63iA+9/SXL1OuA3ucWsTXnblnWwDqg97lF7L1RNWqhfh3Q+9widt5Y +fSV1eB3Q+9wiHor7a2Extg7ofW4Rn6yaq0CTOqD3uUW8nXjLaea0OqD3uUXs +cyeyPRLqgN7nFvGNgaet6bw6oPe5RQwKGRoYtLgO6H1uEXds120at6oO6H1u +EYuNDv7x3VAH9D43eZ9q/tfwbXVA73OLKJ3gVPlyVx3Q+9wi2gf/eqZ7sA7o +fW4R7+TPMnvkWAf0PreIS0sNkzVO1QG9zy1ikuz6cLfzdUDvc4to1HfZjp5X +6oDe5xaxcZSVk8vNOqD3uUW8+nn90Q736oDe5xZR0nPd3DOP64De5xZRQ67P +f/OsA3qfW0QHyS07h7d1QO9zixgxySlF7l8H9D63iO2NmcqdoXVA/SYiJjQu +a6uNrgPqNyHr819zyoaEOqB+ExHVG/bsL0yrA+o3EfFv35D6xTl1QP0mIs5W +Ph+ZWlQH1G9Cxie5y0yorAPqNxEx8l2ybmR9HVC/CZlvr+BM49Y6oH4TETu6 ++Fn68nVA/SYi7unlcsXgax1Qv4mIZbl67o9+1wH1m4iIZkt39etYD9RvIqJN +GPfvard6oH4TEZs2lmxR6VMP1G8i4rY59ReOD6gH6jcRsWaFZDevUw/UbyLi +/g0JfXYOrQfqNxFR79/8E5Wj6oH6Tcj+SV/luWJCPVC/iYixOh9d0kzrgfpN +yPOnbRo9a2Y9UL+JiP7m3V2DzeuB+k1EvDD56euRC+uB+k3I+CkVJ54uqwfq +NxHxvnFh535r64H6TUTsd2OElfOmeqB+ExFfnomz/GlbD9RvImLd+sNfDuyt +B+o3EbFhjfb6ukP1QP0mIs644mq36lg9UL+JiL1qn05OPVMP1G9C4vEjA6Ze +qgfqNxHx3jCVUr9r9UD9JiJ6Lfn7frBbPVC/iYjKgT8m3PKoB+o3EbEtL2nL +v6f1QP0mIra8mDLV3qseqN+EvN8to5g633qgfhMRC5wPtSwPrAfqNxHRY1Ft +ZHx4PVC/CVlvzksnGn+sB+o3ETE16u7SF0n1QP0m5PetLvTpnVEP1G8iouoZ +4fCZvHqgfhOSDw8FH5eV1AP1m5D9P+LF4PXV9UD9JiIeO++6LaWxHqjfRMSJ +sxeam8jqgfpNRBzxNyD+uVgP1G8i4q3Z7hU9vtcD9ZuIqLI57daxP/VA/SYi +nvMYUlWv2gDUbyLi6a6OCYt7NAD1m4gof3d3VkTfBqB+ExGXbF21zECrAajf +hOSfqqtfXXUbgPpNSD2J6zLhy7AGoH4TEb86ef7aNLoBqN9ExHmnjNalGDcA +9ZuIeFdyxGLclAagfhMRT9avibs3qwGo30TEozYvUn9bNAD1m5D1McfQ2taq +AajfRMQe0yJOfVreANRvQsajcbTh+HUNQP0mIr6IXLHWfXMDUL+JiIHqf/v8 +2N4A1G8iYsnojss37WsA6jch3zPIeEC8fQNQvwnZ31Ubtgw73gDUb0LyzYIV +o13ONgD1m4hYqNN6vPVSA1C/iYhmgjDXyrUBqN9ExNWzp7u/c2sA6jcR8dqO +h1vUHjQA9ZuQ/Pys8s2BZw1A/Sbk/boU78vyagDqNyHz+XSN7xi/BqB+ExEr +Z4y3cQ1sAOo3Ifv79YQ7reENQP0mpB9wHD113scGoH4TETXHCKu9khqA+k1I +PR+9tOVfegNQv4mIu4O15NZ5DUD9JiJatujYRpQ0APWbiMh9Gm2lXt0A1G9C +6t9sjVcHGhuA+k1EdFHz2ZMqbQDqNxFRrTzsqb7YANRvIuLzMb1mnfjWANRv +QvbTgbML8tobgPpNyPe2FH8cqdoI1G8ionFy85Nz3RuB+k3I/PQ7U1/UpxGo +34Ss/4+7b47WbATqNxFxlcfx506DG4H6TUQctuRU/6KhjUD9JiIOPzJbPtKo +EajfRMRRTncNT09oBOo3EfFBkmVctmkjUL8J6RdODIvQn9kI1G8iYlF4ey8H +80agfhOyX08+T0hY0AjUbyKi05OSvH7LGoH6TUh9qzo83WZNI1C/CamXVXP+ +BFg3AvWbiPhlcO+Bf20agfpNRPTu6HNt0Z5GoH4TEZ8VVi5/aNcI1G8i4pTI +YweajjQC9ZuI+Ct6buWE041A/SYivv004OmpC41A/SYiWgSG+CdfaQTqNyH1 +eJiid+9bjUD9JiIOCLset/ZeI1C/CRnvqi0xzx83AvWbkP5JObFTi2cjUL8J +yd8T8h+MfdsI1G9C9ndIt6OH/RuB+k1EDHF6/TgipBGo34TU86P2XdujGoH6 +TUg93TQ1GuIbgfpNRNxamRZ0MbURqN+EPO+cTJGc1QjUb0Lqbej+fV0KG4H6 +TUTUztU0ml/eCNRvQupVh4iRV2obgfpNSD7ZobUztbkRqN9ERCtlx7pOikag +fhOy31wsH5i3NQL1m4j4p+nNNacfjUD9JiS/BX4Ji/nbCNRvIqLg8GPQ905N +QP0mIvZ0PxMxsWcTUL8J+V7bRa77+zUB9ZuQfll9wt3XWk1A/Sakf4lSZlfp +NgH1m4h4YunmmRqGTUD9JiJWB8yoshrdBNRvImL2s7Xvzxs3AfWbiOgZe/xd +2OQmoH4TES/d21MmndkE1G9CvudO20RdiyagfhPSn6rWhi9b2ATUb0Lyhf73 +nReWNQH1m5D5+K06O3hNE1C/CTkfeOTMarBuAuo3Ifs5R39HP9smoH4TEV/t +jQ+APU1A/SYirut7Uv+gXRNQvwnZ38vVgx8daQLqNxHx4uPVu1JONQH1m4j4 +eW63GeL5JqB+E9Jv3Pw6ftCVJqB+E9Jv326aY3GzCajfRMSc5c8O7XdvAuo3 +EbFLtCTq7qMmoH4TEd0eXteLetEE1G9C+qXny5/WeDcB9ZuImLb970TV901A +/SYkH2/fVDMiuAmo34TUTxUTz4WRTUD9JqR/Ozfv2P64JqB+ExEn9LTZeiO5 +CajfRMRO4Qs2vs9oAuo3EbH70eSdmXlNQP0mZP0Oe3JeWtIE1G9C9vsFd98u +1U1A/SYiHjE9Uju0sQmo34T0BxmqBrOlTUD9JiIqgrodXC80AfWbkPOZ+cJE +h69NQP0mIu6MuK7v+rsJqN+E1Lv/PC697NAM1G8i4gEcz0V0bQbqNxExbr6O +dXavZqB+ExEvexpk1fdvBuo3If3npa7wVbsZqN+E5Ee3u8FdhzQD9ZuQ/n7x +1eHaI5qB+k3I/jL84GE0thmo30REfl96p2kmzUD9JuR8t+6F3fypzUD9JmR/ +9/hasHp2M1C/iYjpV24b21g2A/WbiLgh9j+XA1bNQP0mpJ6felNwbHkzUL8J +2b+n32ucX9sM1G8i4rstPRZd3dQM1G9CzsPvLh+5bdsM1G8i4uOc2tv39zQD +9ZuQflu37slju2agfhNSH/MXPnh+pBmo34TMT5/68y9PNQP1m4h4pXbvOq/z +zUD9JmR/mfhov3ZpBuo3If1v3dZUrxvNQP0mIq7xWb3p1d1moH4T0i8aW1W8 +eNgM1G8i4sxuneDp82agfhMRo7zmXnvwuhmo30TE89sKIu74NQP1m5B6cOlg +umtgM1C/Cdl/UytiL4Y3A/WbkPhepdvJ2GagfhNynnOfZHkosRmo34Tk/4E+ +xds/NQP1m4i4XvnDYl1OM1C/iYiuedKbC4uagfpNSH5YOSt4ekUzUL+JiIva +wwJG1zUD9ZuQfDRN01m7pRmo34ScH7YNmdhV0QzUb0Ke7+8R/FnZDNRvQvr/ +eTO61X5vBuo3EXH59Dzj9D/NQP0mIuY5aY0JVm0B6jchf/9bwddH3VuA+k1I +v7c7+fb5Pi1A/SYi+l3y/75zQAtQv4mI5s3LxlrptAD1m5B+vs/BseMMWoD6 +TUh9sEv91ntkC1C/Cfn99bquwtgWoH4TER17/tecY9IC1G8i4siAT138p7YA +9ZuQ9bLmBXdtdgtQvwk533w//nCnZQtQvwmZn9P9u8yxagHqNyH9i7+Jqfby +FqB+E9L/zfUwVK5pAeo3EfFM89+CNOsWoH4T8nytcfDMpgWo34T0y8vqdzvs +bgHqNyH5iKtcZHmwBajfRMQO06qlAxxbgPpNyPra6T2n5UQLUL8JOd+5fVka +dq4FqN+E5KcE1/6XLrcA9ZuQn5eMvLnMtQWo34T0u/XXowe5tQD1m5D+/six +x033W4D6TUS8veLtGP8nLUD9JmQ/atQcOPKyBajfhKwfi4pN09+2APWbkOcv +s/71930LUL8J6dfuD7FMDG4B6jch691aOvVyZAtQvwnpx4uOlFrGtQD1m5B8 +q3NRr1NyC1C/CamnM/LUEtNbgPpNyPlkuN7Lc7ktQP0mpF5Ej66YVtwC1G9C ++pWk0PDPFS1A/SYiTv92yvR9XQtQvwk5X3yZsWZ7SwtQvwlZr4vDNbQVLUD9 +JuQ8cNTncLayBajfhPSDRXkHz39vAeo3ETEhiutk8qcFqN+E1Bvbj5MbOkqA ++k1EHP/9T4c73SRA/SbkfH7j4M7ZvSVA/SYijhuWsVXWXwLUb0LqrU++cE9b +AtRvQvqR72Yas/UlQP0mJN8WlWU2G0qA+k3+//8hqwbcGC0B6jch9fezvWBs +LAHqNyH9lw23vshUAtRvImJ5qMvyYzMkQP0m5Ly6+leRppkEqN9ERMN7nZvD +5kmA+k3Ifgve6bRqsQSo34Tk4+rSV8IKCVC/iYhN47WXuq6TAPWbkPn+0OI0 +bLMEqN9ExA8r/0yOsZUA9ZuQ82y79uEVeyRA/Sak/p/9OaHloASo34ScZ+6u +P3TSUQLUb0L2R+vn8T1PSoD6Tcj8D7x68Mk5CVC/CYl9841GX5YA9ZuQ81a/ +m7YR1yRA/SYiJoYc07S4LQHqNyH/3mhlmX1PAtRvQvqd2hTZmscSoH4Tsv5L +vLpVv5AA9ZuQfr7F86mttwSo34Ss/0EnvVv8JED9JqRfOdBqsDdQAtRvQupb +ql9fWZgEqN9ExOZ/p+33xkiA+k3+//e05kjiJUD9JiJuHjT93PZUCVC/Cdlv +a58b1WRKgPpNyHlypIrZunwJUL8J6X/66STllEiA+k3I+Ln4fbCskgD1m4i4 +WLq3Y1S9BKjfRMSA6xofx0gkQP0mpH8L2Fn9VCEB6jch+cV5oK1amwSo34Tk +lwmtC099lwD1m5Dzwcfb7i3tEqB+ExHnmmTMWtGxFajfhNSvcyvnR3dtBeo3 +EVH3UuMHg16tQP0mpD9Xn+p4Rb0VqN+E9DNCD3e5VitQvwnpD7M0ey3VbQXq +NyHfs1iz7sPQVqB+E5LPOyX36D2qFajfhKzHExXX949rBeo3IftVX8M2zaQV +qN+EnP+3jrlhMLUVqN+EnJfcKrqdmtUK1G9C+vf2ypI881agfhNyvq2Rfhm+ +oBWo34T0N9vibE4uaQXqNxHxpmf/wZkrW4H6TUi9+uA1VGd9K1C/iYhDXEcd +2bu5FajfhPTrIzb1CbdtBeo3If3Q7h9tKntagfpNSH0wjNC1OtgK1G8ioo/h +ylt3DrcC9ZuIeGrYJYvS461A/SakP8tWnalzthWo30TE0sSbxzZfbAXqNxHR +916F8tmVVqB+E3K+SPN6X3WjFajfhJzfCl95a99tBeo3IfnA4E7l6getQP0m +Ilr3HrXk1tNWoH4TUp9kQ36mvGwF6jcRsduvSTW/37QC9ZuQ+uyg+3vC+1ag +fhMRv592X2ob1ArUbyKiu+ny0rvhrUD9JmS9BKjdS4hpBeo3EXE1d+ESH98K +1G8i4ifJntfaqa1A/SYiurie+mqe2QrUb0L6ibBDR/fltQL1m5B+dGaHYW7F +rUD9JiI+lUjaQypagfpNSP12rOpQUtsK1G9C+ge3B8bfmlqB+k1If9mUf0VD +1grUbyLi9e6WXSYKrUD9JiK+v/nGb9GXVqB+ExJ/CXLc8bMVqN+EjE+43rbT +f1uB+k1EHGzubeemKgXqNxEx/MefZ17dpED9JmT+TeoVob2kQP0mpJ+b1XVj +sroUqN9ExDLrUU35WlKgfhMRTVLbr1UPlgL1m5D66DfTSmIgBeo3If37OM9h +wggpUL8J2c97ajW+jpEC9ZuQ88j+4ME/jaVA/SYiHpqZP+23qRSo34Ssz7LP ++35PlwL1m4g42qTgw0+QAvWbkPOsiWGnb5ZSoH4TEZOiX+4VF0qB+k3I/vLs +WNO6VArUb0LWW9DfrbWrpED9JiKqhyzhC9dLgfpNyHrf9vZK6mYpUL8JqR8H +kkZH2EqB+k3I8y5sKPHeLQXqNxFxl5nBtbsHpED9JmT+5xaYnXWQAvWbkH5b +z/DfzmNSoH4T0n+sycHFp6VA/SZkPe67enHieSlQvwnJP6Xd52k4S4H6Tcj+ +UevR/es1KVC/iYgrHedkFN6SAvWbkPpzYMfVQHcpUL8J+XurxplffygF6jch ++eHUym/bn0mB+k1Ifhp73Wv6KylQv4mIyz7cWtDnrRSo34ScN2dpNNW/kwL1 +m5Dx7ld4JDhQCtRvQr5v/cWf58OkQP0mIn6cWW6/JFoK1G8iYl3drXItlAL1 +m4hoarPRpC5JCtRvQv69sO3km09SoH4TUm8W9AnYly0F6jch+T1jV97YAilQ +v4mIFpsCqxQlUqB+E/K8P68K/CqlQP0mpJ55fw3cVScF6jch47Fw67EhzVKg +fhMRi0o8DMqkUqB+E1KfTFcF3eSlQP0mImpYLTI0+ywF6jch57E205Nt36VA +/SZkfLpnfHjZLgXqNxFx6Pa4pKUdZED9JqQ/zEsP/9lZBtRvQvo3PS+XVz1k +QP0mIg7q38FkYR8ZUL8JqR+OjyO4/jKgfhNSD/4O13QbKAPqNyH779DGxca6 +MqB+ExHXOvHrcwxkQP0mJB/VP5y+b4QMqN9ExE3z+oqqY2RA/Sbkfax7nngy +QQbUb0J+PnNOvvEkGVC/CcmvM3f/SpoqA+o3EXHgStO21bNkQP0mpL/7YBPR +aCYD6jch/SL/aoH9PBlQv4mIDwICXv+ykgH1m5D+3HV2xsVlMqB+ExF7DegS +2W21DKjfhPR/zbEHbqyXAfWbkPP+LW1Jr80yoH4TEc96Fgy/aSMD6jchv//u ++cgeu2RA/Sbke2ZMkl/eJwPqNxHRuWbhoT92MqB+E/L9eh7Bjo4yoH4TEQsv +54ZIjsuA+k1If/L4vcOGMzKgfhNSHySNsk/nZUD9JqSfUY7Rm+wsA+o3Ifkd +ZvR4eU0G1G9C+oP1n/y735IB9ZuQ8zZe62p/VwbUb0L2Y7upZpGHDKjfhPSL +dy+VmT6RAfWbiPiom9GS+y9kQP0mpB9qrDrc5iUD6jcREXzXLFjiIwPqNyH1 +sW5hlvd7GVC/iYiyvvZ/fgfKgPpNRNROPFexLEwG1G9C+qezY21fRsmA+k1E +jM6a4C5+lAH1m4hYPwMOzEqUAfWbkP5qXT/FlVQZUL8J+XsfNvXLy5AB9ZuQ +8c8vrRiQKwPqNyH9x/Jp8zcUyoD6TUT0Spyy5nGpDKjfhKzf2Guq5ZUyoH4T +Um9LmpcPqJMB9ZuIOMW/48xlTTKgfhMy/9UeSS6tMqB+ExF/dN3QEqOQAfWb +kPNB3r9XvCgD6jcRMbna7IfeVxlQvwn5++XFTYt/yoD6TUS87HB494k/MqB+ +E7Ie1uace9lBDtRvQs4PMz2NPnWWA/WbkH64+vkuRXc5UL+JiHpfz0/q3VsO +1G8i4kbLP3fGqcuB+k1EPOaWcdZKUw7Ub0LyodeLbzsGyYH6Tch51eq/32f0 +5ED9JiIWbJzpeneoHKjfRMT57xxeeY+QA/WbkPyi7WoePloO1G9C+qWrM/cm +j5cD9ZuQuA4G5JnIgfpNSP/YZ9Hc8slyoH4Tku+6DfhRO10O1G8i4r3U1WOb +ZsuB+k1I/VqQUNdsLgfqNyHnsXv9NJrnyYH6TUQcG9GeXG8lB+o3If1w8rS2 +yqVyoH4T0g8VOz0uXCkH6jch9ULNJfbTWjlQvwmpL26q62I2yoH6Tcj33wnY +826LHKjfhOSr+WbiQ1s5UL+JiA+FQ4pLu+RA/SbkvJvfZn1gnxyo34TU++kX +Zq20kwP1m4g48mLBbdPDcqB+EzJ+XZ+v0DwmB+o3Id838cmFLyflQP0mpL+y +v6CXd1YO1G8iouesbiN9L8iB+k1EVNWRPHJylgP1m5B66l58dNU1OVC/Cal3 +M69HGd6UA/WbiCiE4PbPbnKgfhMRV70c7Yj35ED9JuR8Fn6p5epDOVC/CXnf +wIuxy57KgfpNyP5aVfu5v6ccqN+E9F8OK64Xe8mB+k3IfLS4Xbj3Vg7UbyKi +t8ea4uXv5ED9JqTfPbLOuUeAHKjfhLzfqaVuCcFyoH4TUv+C274cDZcD9ZuI +6DSuPWhktByo30TEbT2HpZZ8lAP1m5Dz6DF940sJcqB+ExFvefvyY1PkQP0m +ZD9UnlQp+iQH6jcRUbF7wY4TWXKgfhPSL73JHjgoTw7Ub0K+XyVxcFShHKjf +RMSf8mq7NaVyoH4T0g/Jy3sJFXKgfhMRT9+2++FcIwfqNxFx6rHV4wY1yIH6 +TUS0XWT57l2zHKjfhPSvn77ZT5fKgfpNRFSGGZ5NVciB+k1I/y91yVkmyoH6 +TUg/Pb9ke8lnOVC/iYg6yZkzNn6XA/WbkHpnM2RN5S85UL8JqW/GNz9s+CsH +6jch+XxF5rziDgqgfhMRd3xzH7y0swKo34ScJzd7jk/upgDqNxFxd6nv6Slq +CqB+ExGPJ+3t6NNHAdRvQs5vdx4lDOivAOo3EdEhqS3ivKYCqN9ERGPp+KZW +bQVQvwlZz95d5y7VVQD1m4io8m5yeeAQBVC/CTkPxB190ddQAdRvIqJ9+DmP +gyMVQP0mZH2c7PkxbbQCqN+EnNc7lvTTH68A6jcRcZr1Q3fHiQqgfhMRS16p +maVMUgD1m5D83Z0bqDFVAdRvImJO/mftbTMUQP0mJF+srDT3na0A6jch+fbz +5ruCmQKo34Q832Ry1//mKoD6TUSc9FzP03GBAqjfhJw3KvOtgxYpgPpNRLzi +2WOaYqkCqN+ErOfoS5MNVyqA+k1EtOz2Y+WGNQqgfhMRtzsNvXl9vQKo30TE +3kZxjdHWCqB+E7Ieh7mskWxRAPWbiPifl2l9X1sFUL+JiBfEK85TdiqA+k1I +Pt831cx6jwKo30TECOeO/c/sVwD1m5B6l/Xy5yM7BVC/CelH6/M/hzoogPpN +RJx4aqlK9hEFUL+JiPzOQt2G4wqgfhOSv68NXvTllAKo30TErg3NLqrnFED9 +JiRfX5Tn9rmgAOo3ETHXQ2qofVnBeDgl/rB5eln/ioLxcErcAvHcUFcF4+GU ++OxVv63DbioYD6fEPK0lpUPcFIyHU2K+xYQ1Ou4KxsMp8VDTkVJ1DwXj4ZT4 +Pq9iU9dHCsbDKfHzD92mH08UjIdT4prdv/dLnisYD6fEZDD+XPBSwXg4JS6J +P3ki5rWC8XBKXGF2/5fnWwXj4ZSYajrnxCU/BePhlHhwzFTR1l/BeDgl9smc +bgOBCsbDKTGmrHPGwBAF4+GUqDJpnZEQpmA8nBJHlynOJkYqGA+nRKeqy6l3 +YxSMh1PiqSPVHbbFKRgPp8TuYuj40QkKxsMpMSMmfZEyScF4OCUusqlYF5aq +YDycEs2ueK84lq5gPJwSs5TSKZOyFIyHU2LQxV3dhRwF4+GU+HRaRfKbfAXj +4ZS4flKnvdZFCsbDKVEzwOuLWqmC8XBK9O50YldMuYLxcEoM/jDn464qBePh +lNhj3sfvvWsVjIdTYtlFf43QegXj4ZTYNDNffW2TgvFwSizY3yp+aVEwHk6J +O0eG+LtJFYyHU2LsW+V8I4WC8XBKvD93R1wcr2A8nBJvnMrss0KpYDycEjfV +S6bXfVYwHk6JQyefmn3gm4LxcOR711pof/2hYDycEveZd8o49VvBeDiyXnTO +LfvzR8F4OCW6Dj/sc0aFYzycEmvTXhb+6MgxHk6J2jdych06c4yHU6KRfvQT +SVeO8XBKdFwxYvLGHhzj4ZTYW6v4Wboax3g4Mr9Xr+ab9uEYD0fmp65j3vN+ +HOPhlJhzocvDThoc4+GUKIprRu3W5BgPp0S/shCn1IEc4+GUWNOt8elQHY7x +cEr8e+zNudO6HOPhlLhydsKwfH2O8XBKnOH5zXXYUI7xcEo826lHyGFDjvFw +Sjz/M+5x3AiO8XBKfDSpfE5XI47xcGT/3B38evEYjvFwZHw1lyTdGscxHk6J +ltz4Z9kTOMbDKbHrPhfj7iYc4+GU+L3bwDNmkzjGwykx3M7n3LHJHOPhlJi2 +p32yz1SO8XBkPXNlXiXTOcbDKbFIVzW5wyyO8XBKfG0+x8MIOMbDKfF37hrt +pWYc4+GUWL29++JDFhzj4ch4pQ4Zd3Mux3g4Je5V2MS8nc8xHo6s5893v8Yt +5BgPp8RIw1MVBYs4xsMpUe979Z7GJRzj4ZQo6en8QlzGMR6OzO/EyWd/reAY +D6fEXjaRKh1Wc4yHU6J1UsGoTms5xsMp8dr7xV9U13OMh1Oi53PORmUjx3g4 +JdotPGv/05pjPJwSs12T9ITNHOPhlPjV7ur++q0c4+GUmGh8b02eDcd4ODL+ +0wMqY7ZzjIdTYumwV+1eOznGw5HxDDeNuLqbYzycEs/ITXrs28sxHk6JJ2W2 +X+bv5xgPp0SF2u0jQw9yjIdT4seq89d+2nGMhyP/rtHRJNueYzycEitfVh14 +dphjPJwSX72smLrvCMd4ODIeO1Lv/XeMYzycEo8d2Of08zjHeDglqh668z3m +JMd4ODK+q7u0nzrNMR6O5JPJ965PPssxHk6Js3d18ebPcYyHU6LGzlHzvc5z +jIcj+0GWYr/6Isd4OCVeqPcb0vEyx3g4JXbZ77XmvTPHeDglHq463XvVFY7x +cOR74lWsvl3lGA+nxFHlf7t4uHKMh1Pi7sEWliY3OMbDKdEg8rFK5k2O8XBK +/NC3cPq22xzj4ZR4JSFKqXTjGA9H9tOzwYbn73KMh1Oib0B6Xo97HOPhlHh1 ++u1vd+5zjIcj+Spqxr0BDzjGwykxs+6Zz/2HHOPhyM8/u2yi/phjPBz5Hk00 +vv6EYzycEnu+H/xK5RnHeDiynn4ccD78nGM8HKmPBU7ldS84xsORfLZg+LNF +LznGwylxvve43OBXHOPhSL6w32un+ZpjPBypbwd8Tx7z5hgPp8S7D+KEgjcc +4+GUOGDcqdwxPhzj4ZSo4+2vdcGXYzycEn+Gjk4r8OMYD0f6h2VJVUPec4yH +U2KL98J1+/05xsOR/DfCzTT4A8d4OLJ/z+899jWAYzycEptf+Aw0DeIYD6fE +iMAhOg7BHOPhyPvoe5/xDeEYD0ee59F9Zk0ox3g4kj86DV3fJ5xjPBzpP+4n +5s6M4BgPR9Z3JL7cFckxHo7Uw8F81o0ojvFwJN+EDl0eEM0xHk6J+0NMRufE +cIyHU+I9t88bpLEc4+HIeug8o0YljmM8nBIf96kN00CO8XBKtBHCag3jOcbD +KbHByGPjxASO8XBKvH117fDpiRzj4Uj91s6ZDUkc4+FIPjAu8p6TzDEejtSz +3XM2zE7hGA9H9tcT2bqpqRzj4ZS47cv/mLjvuBr/93HgKERGSDISKSNUihRH +dlKyQiIqe29RdggJSWUV2kk0tOSuqz21997znPtw32Wv7/V5nMvv/Xv/dz2q +c879Gtfwfj5O8AuNDJY8HJ7vWgvtiZkseTgO7g4okJXLYsnDcRBwqm36T4wl +Ho6DDTa295uyWfJw+PpbLOan57Dk4fB8zdk/NeADSx6Og0GDL5s75LLk4ThY +ufJsxpY8ljwcB2pPZpzRzGfJw3Gw9MeZ3b0KWPJwHHBv5tzPxVji4Ti4Y7T4 +u0chSx6Ogy4fW0/LIpY8HJ6X1nC78cUseTgO2Gv592owlng4DiYvDqn1KGHJ +w+F5maq2w7SUJQ+H58tt1LheZSx5OKxPWjYDQzGWeDjsX/n66VvKWfJwHMhM +PHGpdwVLHg7/fu0XKX+MJR6Ogy97TGKXVbLk4bAfebj2aQPGEg+H9WJf66uz +VSx5OA56h3Z2DKpmycNx8Pv+gnVeGEs8HAcznJKap9Ww5OE4mLVj0/MIjCUe +jgPVubUOc2tZ8nAcSNvNvROLscTDcTBOaBg/p44lD4f3248dFoqxxMPh/soN +cVKtZ8nDYb8rdlL1wFji4TgoNVvRINXAkofD9QiezBzGWOLhOFjydlBMMcYS +D4f987Xa/DmNLHk47OedLvR1w1ji4bA/vV24SYyxxMNxIOebkLysiSUPh/dj +ubrRQ4wlHo6Dawt/tLZiLPFwHOyJkX+q1cySh+Ng1wzrPWcwlng4DlLOpBjG +YSzxcLg+uWrzv2Ms8XB4/+OOLJndwpKHw/yg7rj1IMYSD8fB6h0brj/DWOLh +sN72SU7IxVji4TgIHBjb7zvGEg/HwYhSZcsJrSx5OA56xjTHL8VY4uE4mNkt +VN+JscTDcaBUrOpzEWOJh+NA8d5ZFQ+MJR4OP8+WouCXGEs8HAejspXmvcNY +4uE4yCwwzE/FWOLhcB5L0d//AWOJh8P7btHcrwBjiYfj4NRLrcB8jCUeDuup +xtCVORhLPBwHfdIOCZMxlng4nF9ez70TjbHEw3Hw2nn7zACMJR6Og+b9Bdn3 +MZZ4OA4sIs7vssNY4uE40NIz+26JscTDcaCrs+ymAGOJh8P8uXiRnCLGEg/H +wdm9ei4fcT0lHo6DucPG90/BWOLhOJg+vPmkK8YSD4f1PsCudBvGEg+H9/t4 +iboaxhIPh/3+lbYTHbjfEg+H59/UNyQQY4mH4+B59J+yHRhLPBz2rwPYT6Mx +lng4zD+/d3z/gOdN4uE4mBO98eM5jCUejgNhUlzhZIwlHg7vo9LN57l4niUe +Dp9vVqT5MYwlHg774dI534dgLPFwuL9rpK++xPsi8XDYL20Y1bMQY4mHw3zQ +b69JEd4/iYfjwPG78IY1xhIPx0GY9vOgLry/Eg+H9XuyfcgxjCUejoOa97au +PN5/iYfjQNnknsUxjCUeDvPP84ReXZg/JB4O5+Gvfx2tMJZ4OOzn+q4RFmD+ +kXg4vJ8fA2cYYCzxcNj/rulvHIj5S+LhONCce2DJIIwlHg73a0ae/GHMdxIP +x8Hw6bMSszA/Sjwcrk/g/aUqGEs8HAdGzmIvW8yvEg+H50+wIi8d86/Ew3EQ +5/a0eDjGEg+Hr9cpDNmC+Vvi4bA/kdG3eIb5XeLhOFgjuFZei/lf4uE4MDTK +nzwaY4mHw/07OM5wLdYPiYfD/nvHkdlXsb5IPBzWl12Zn8Kx/kg8HNaXKRr2 +NVivJB4OX6/ON78PxhIPh/VunsYnVaxvEg+H/drBoqolWP8kHg7nVxWPu5ZY +HyUejoNe9y4NPIH1U+LhOPhh4256FeurxMNhfsut2+SC9Vfi4TjQv75T7THW +a4mHw/4Jpsd6YT2XeDisN7qLhjzDei/xcNjPTAme/AT7AYmH4+CY2clertgv +SDwcrm+vgCfXsZ+QeDgOnv1c1XMK+w2Jh8P85X5ykDX2IxIPh+sbpNZkiP2K +xMNhv/Pg6Klp2M9IPBw+/8Ltyf2x35F4OA68x/7NbsR+SOLhsB5Msr4Tg/2S +xMPh/F55T+YW9lMSD8fBwlVe8zdhvyXxcNhPjnykpoz9mMTDYX7b7JLWjP2b +xMNhP5npMcYviiUPh+fDh5lkjf2exMPhPBkxomEU9oMSD8fBGbM3q3Owf5R4 +OOz/Dz8/Yh/Gkofj4MHZHwZq2G9KPBwHhy4WJ+RgfyrxcPj5FBeyh7F/lXg4 +DoYdX58l+4olD4f3w3DSen/sfyUejgPt9gzHedgfSzwc7tdc8z052D9LPBz2 +r6EdH82xv5Z4OOyXt7ipNPiy5OE4OFl94vdO7M8lHg7Xd7/XpeYXLHk4/HnR +zKDt2N9LPByuzwUD21KcByQeDj///q6OFZ4sebj/1UfTv1E4T0g8HAfmXx1i +lR+z5OE4GOoeNOI6ziMSD8eB3sHqoW04r0g8HPZ35/RDluA8I/FwOB/vaGx/ +gvOOxMNx4LuFTxLjPCTxcP/79yNnfQOclyQejoN5l/JNb+E8JfFwWP/m1v7O +v82Sh+MgfXS50XCcxyQeDvul8/Uz1+K8JvFw2F+Yjw655ciSh+MgaHFQcgLO +fxIPx8HOM2HHPuJ8KPFwHCiUb4oae4UlD8fB4ebEe0svseThsL9Pkf+7B+dP +iYfjYNFyuz6OOJ9KPBzW16qRj1/g/CrxcBxcfTY8ORrnW4mHw3qw/tH5zNMs +eTicx6wLMkpPsuThcD6ubvGpO86Sh+Ngx5Veg5uPsuThML/Hre7bjPO4xMNx +4PDop1MdzusSD8fB2hodr1Kc5yUejoMkTnV+Js77Eg/HQT7/a2f0bpY8HM4P +gV0KL3ay5OE4cLmpZHbdhiUPx4EVkzZqjxVLHg77/bHyu5ZsY8nD4TyhOW/u +mK0seTjMN3MOuLCbWfJwmP/GlB9hNrHk4TDfX40sdNzAkofD85OuG7tqPUse +DvvVT64Th6xlycNhvUj9MyjHlCUPx8GE+DC7qyYseTgOQmUb9sxdyZKHw/V4 +klrcZsiSh+Ng2eCHcH8ZSx4O9yfJZZreEpY8HJ6XAqFc1UKWPByer6HNp84s +YMnDcRA1OGKD3HyWPBze57HuoX56LHk4zG/Lsq7N1mXJw2F+2+hcDDoseTgO +BlaNe2o4iyUPh/dvfFxVpgZLHu5/85jffcMZLHk4rK+NYwGmseThMP+m7tgx +ewpLHo6Dr1rv7f1UWfJweH+X7usvp8KSh8P8WP+6/xllljwcB3YhBfaV41jy +cBy8HKtgPXcMSx4O3293arTLKJY83P/qqcrFVnmWPBwHj7aejZoznCUPh/fh +wBDLK0NZ8nD4+cZqHM8cxJKH44D3mtgzcCBLHg7rhVinxag/Sx4O168ybJGD +NEsejoPGry0yMb1Z8nC4PlFKgvY/IvJwHOSZvqwc/ktEHg7rbV5Zg/53EXk4 +7H9Gf1lr+UVEHg7Xu9F8mn23iDwczvOhpvsffBKRh8P60jJNLogVkYfjQCdy +oVJsl4g8HN636c33UtpF5OGwP9Pcvze7RUQejoOOC1N8PzSKyMNx8Df01JLs +OhF5OMxv+kHLUqpF5OHw/O8b8zKmQkQeDt//oOrRwFIReTi876smeLgWicjD +YX7t2adkly8iD4f92Iwt0ls/iMjDcfD5+VpjvSwReTjM15UvxXLpIvJwWM9W +pH5qSRaRh8P1WfB3TSSIyMNh//spTvYSIyIPh/3dhc2Tlr8TkYfD/N2u79Ev +WkQejoMsxeSdKREi8nAcFLZsuH0uVEQeDuf5/uaDNENE5OHwPE7VaKsNEpGH +w3moapHiTX8ReTjMT0+/eM/0EZGH42BTRYxD3jMReTjMxyL23YGnIvJwHPya +KLWizyMReTichyJ3THN3E5GH48A5/6z1pPsi8nAcLH4QIQy5IyIPx0H/4Rez +ZzmJyMNxIDAz/hXuKCIPh+fP7MblmVdF5OFwPusfsMnvkog8HL6/heJFhfMi +8nA4r2mu+3L1rIg8HJ73XakJolMi8nAcXC5pK1t7XEQeDvPDeg2DsMMi8nB4 +HsOG9Qw8ICIPx0EwyHyz3iMiD4f9ianzyogdIvJwHIxUV+j4s11EHg7vo9rE +YsOtIvJwWN9HTBvoZC4iD4f3LTPYOdNMRB6Og3cTVbf0WSsiD8fB7j8jTsxd +JSIPh/Oj4Y/ivUYi8nDYv7WbX3qwTEQeDp+35s3JuEUi8nA4/6g6hNUIROTh +cB7O2zjnh56IPByev543vUfMEZGHw/ofP2LklFki8nAceG6TPao7U0QeDu/z +2PFDF08TkYfDvzct7TFUE5GHw35n63tlo4ki8nDYvydtvbdMSUQejoMjg5cu +FYwWkYfDfN76Z57mSBF5OJx/+423VRomIg+H9W2xVk+/wSLycBxEX0uPFMqI +yMPhepediMmRFpGH4+C2eebPgF4i8nDYn6/YeuXCLyF5OA5KeyetWPNNSB4O +82lvl9XjeoTk4fDnjVbuLR+F5OE4YLZnjQ0SCsnDcTDRfE/jnnYheTjsF3IL +Wyc0C8nD4TzSGTi1rE5IHg7n0W/XXzpWCcnDYf0+MnCfdpmQPBzeX2HJzspC +IXk4Du7PdvQ8lyskD4f1YEHisNFZQvJw2M+oTk4JTxWSh/vfPGYZtjxRSB4O +582P/StL3gvJw+F88SNfYBUjJA/HwRsdy+qWCCF5OA5WvtoYufuNkDwcBwl+ +ezIaXwrJw2G+eDRP3sJfSB4O+xHdi09yXgjJw3FQvLveQt9TSB4O+3nDwet9 +HgrJw3Fgph3r0O+BkDwcB82J94W77wrJw+H9T1vlnHhLSB4O6/86r10K14Xk +4bCfj9Y7tfeykDwcB0dvlMa9PSckD4f58PF0vZ+2QvJwWB+ke4QLTgjJw+Hz +T+4sPXdYSB6Ogwbf9M+R+4Tk4bA/2rfSpHOnkDwc9iMvNcsUrYTk4fDzt+l5 +LN0iJA/3v//fonZ7/0YheTgO3ubFRjqtFZKH42Dfj8jhgSZC8nBY39Tq/RIM +heThOLhi/vtA4WIheTjMh2zZrnqBkDwcBxoPZ7t2zBWSh+NgzJYGXqQtJA+H +9/+Bv6NoppA8HAf2X9eatk8Vkofj4IZHmGHtJCF5OJxvqx6cyBsvJA/HwRTn +nIK40ULycFj/CqbZ+MgLycP9b553mHR9qJA8HO7HjZejdg4UkofjIEfddoGg +r5A8HNbDVHCT6/XPw3GgtddKqeFHF3k4DvzvaJYHf+4iD4frNWZQ8rFPXeTh +cD+3FtXOEnaRh8P1kLFRF7d2kYfD/LDiUaB/Qxd5ONy/1xYbN1d3kYfjYPbC +mzr9yrrIw2H9PyGzJLSgizwczuNaiZfNcrrIw3Ewv8LjI5/WRR4O653B6bt3 +ErvIw+H5CV2+bdL7LvJwHHR+6t4aGdVFHg7zybLDTovCusjDYX7e+6IjI7iL +PBzmA/cLtsb+XeThODB1+qKT+byLPBzmG4c/ExY/6SIPx4GhgdOCKLcu8nAc +POntckv1Xhd5OMw3D/v3vXerizwcztfrukJ7rnaRh8N8KqvjtPFiF3k4DsJn +1D0IP9tFHg6fP6jzg8zJLvJwOB/22jhn6+Eu8nAc7DecmBu0t4s8HD6v0jo3 +zqaLPBzOX/EdTnMsu8jD4X3i2LBTm7rIw2G9HLuvX+jaLvJwON/qWt9uMe4i +D8eBbUXDwpHLu8jDcfBK68ukxQu7yMNxEDH4zdx9+l3k4TD/1ow656TTRR6O +g1Uhy8SBM7vIw3HAzVhxP3FKF3k47I8idXaVTOwiD8eBSDBhb/PYLvJw2P8d +UH3CjuwiD4fzqpPFb35oF3k4zL/uVS7dA7rIw+H91Uzd8FGqizwc9u+vZ69s ++91JHg7vj8KqIxVfO8nDYb+rPCM1jeskD8fB+LnfjN8IO8nDYb64WNrHtbWT +PBzmu4Iu4bH6TvJwmI8TrfuZVHaSh8N+87nN+gnFneThOLhoP7rg04dO8nAc +yIyPvsSkd5KHw8+vuNfmamIneTict+K2nV4e10kejoOM0bnv+0R2kofD+U2+ +a/b7153k4ThwXy1sOBLYSR6Og6f6AxKVvDvJw3EgHHaxKONJJ3k47C+brise +duskD4fz0I3troPvdpKHw88XumLRyxud5OHwfFQ5qCy60kkeDvvjHWb6Rfad +5OHwfJz9c83qVCd5OMxHk3P6dhzuJA+H+7G+D3Nwbyd5OA6sk8WBXdad5OEw +P4ypzdq1pZM8HAe1TWNVqsw6ycPh/bz+M8zYtJM8HD6vWZ5ttGEneTg8344d +J5UWdZKH4+DH4hdBl/Q7ycNhP+JuOrJWu5M8HAcpF4zj5szoJA+Hn7eLf3hL +rZM8HAfb4vzeVIzvJA/Hwc0U+KOi2EkeDu9Xgf/NfcM6ycPh/BQQt+rlwE7y +cNiv99ll0irVSR6OgwveA66P+91BHo6DNsHIH6u/dJCHw9d34YPPf+wgD4f1 +YuvQR/4dHeThcH60rk7KauwgD4f95qHMqZ1VHeThsN5vMM6VKukgD8eBz/ey +0NG5HeThsP8zbitQT+8gD8fBsBW81lzoIA/HQUmvMwUGsR3k4XAee8aHLg7v +IA+H/dm21vyFwR3k4TB/PCjU0vftIA/Hgdrb+cUzPTvIw3EAJsExSu4d5OHw ++QcF1Mnc7SAPh+cv/Z3RR8cO8nAcnDt24kvBpQ7ycHj/Jlt1vD7bQR4O59eZ +w5Qdj3eQh+Mg8cvYpxYHOsjD8TBp4shtU3d2kIfjodXebTe/tYM8HA/F0rOj +YjZ0kIfjYWfPvVVnTTvIw/EwItpwxmzDDvJwPKR//LZBaNBBHo6HyVdXZnjN +7SAPx4OsS+1VE60O8nA81Npa3u6Z2kEejoe+1UfrHk3sIA/Hw3r/lAt6YzrI +w/FwpF+/A8XDO8jD8WBq1+67X7aDPBwPL9LGzPgp1UEejocNfTZK3fzVTh6O +h96PTNXlPreTh+Ph+NIE7wdsO3k4HsYo7jwwvK2dPBwPvg4/rjnXtZOH42Gv +yXK+d3k7eTh8vcf93p7IbycPx0MhL5VZl9FOHo4H16V9tQ0T28nD8dBcXcIF +xbaTh+Oh/tRCmf7h7eTheIjUlre1ftlOHo4HvUBVQZR3O3k4Hm6XLNva90k7 +eTge3mqalKx1bScPx8NCX7mgh07t5OF46Lp/rLzSoZ08HA8rK412jjrXTh4O +n7/EbtXak+3k4XgI3N724NrBdvJwPAwvOzkvcmc7eTgeDo0fuLh+azt5OB6S +fB8E9d3QTh6Ohwnbfh+fsqqdPBwP1vm6z5YtaycPx0P1UG2NbYJ28nA86Gc0 +qxyf3U4ejgeN2UsvXJ7RTh6OBxMNY4Pbqu3k4XjInvp5z/1x7eTheLCcs/Sb +q3w7eTge/vTT7b43qJ08HA9FPYWbb0q3k4fj4fMvJfXzv9rIw/FgkzFp38Ge +NvJwPGQFfRyxSdRGHo6HK/4uGoKWNvJweP5PKb5TqmkjD8fD+ytPI38Ut5GH +40Gb11IpzmkjD8dDqj/fJzCljTwcD2Hzfpvbvm8jD8fDSbljKovftpGH4yHZ ++PDO/q/ayMPx4FQyelyWTxt5OB64HV6mjk/ayMPxsO2syncD1zbycDyc21um +3H2rjTwcD+s2dSb7XGkjD8eD4eK7zaZ2beTheDD488Oh51gbeTgeXi3c5+Ox +r408HA+vHw5bOtu6jTwcDzPPzdmTa95GHo6Hv8tGDt6xpo08HA8D9T/r8YZt +5OF4OHZjnPCCQRt5OB7i1pSq9tVtIw/HwyC/Q+03ZraRh8P76qw9u59aG3k4 +Hm4OsB90eVwbeTjMF4qPj38e0UYejgeZmI5de2TbyMPxcK05tb2oTxt5OB5K +fZ1/zvvRSh6Oh7J+Pt7PuFbycDz0m3qg+ndHK3k4Hqq0TYM3N7SSh+NBYU/0 +0NDyVvJwPEwZJT+4d34reTgezkeV+65ObyUPxwOfe7r0YXwreTgeNIfs8a2J +bCUPx8Moo0lDlUJaycPxoHV6+hgL31bycDyMjfub5vKklTwcrucBVfnU+63k +4XiYPXBiv+6breTheNiy8bKX0uVW8nA8GC0sqF56ppU8HA/m37yTdx9pJQ+H +9+PTLbOru1vJw+H5qVV28bRsJQ/HQ37sH7tws1bycDxcz0odnmzcSh6OB2W/ +EZZ5i1vJw/GwStPFvEyvlTwc5ofApn5Vmq3k4XgQ+EQfrJzcSh4O79Pw9zdK +lFrJw/EQbeJjmSPfSh6Oh8y+KqJ42VbycFhPrLvnhvRpJQ/Hw8uOosUe31vI +w/Ew9PFl2fOfWsjDYf1oBI9t7S3k4bB+BZi3zqtrIQ+H+cNk+PcRpS3k4XgY +HRZf3JHTQh4OX193+pl3yS3k4XjoztRsvf6uhTwcD8IqX+XVYS3k4XhoOLJz +6vDAFvJwPBwevbp3kVcLeTjML31XhNxxayEPx0P819nTl99uIQ+H72fW5/K3 +Ky3k4Xg4Ot4rJPBsC3k4PM9SXyPWH20hD8fD3c193b/vbiEPh+s9MWmDp2UL +eTge1shP6Zln1kIeDvOZ19zTpStbyMPxIG75UnlwUQt5OMz/+YdU/+i2kIf7 +3/r7b3Ke2UIejoetX9+cUFBtIQ+H+aDOy95zTAt5OB7c0m4eGz+shTwc3vdb +Tps8+7eQh8PPV5+kofCnmTwcPv/mdT9v9zSTh+Ph4x6rhN9dzeTh8D5YK9gf +bGwmD4f196qfVml5M3k4HmJ/z2+Zl9dMHg7roWj4Q8/UZvJwPCzdtdPkR1wz +eTgeLp48KLUhvJk8HObrKYeTXgb++344PO/339z46fXv++HwPmXbbV7p9u/7 +4bBefhmn+8Dp3/fDYT416VKpvPzv++F4cJDXmzj2zL/vh+MhJ9pax+Lwv++H +w/oflbz1wc5/3w+H/UpMomeWxb/vh8P75JT07eeaf98Px8OlqVon1A3/fT8c +DzXx52Q3Cv59Pxz2Q69GZZzT/vf9cDxYOZ8LfDb13/fD8fBk/qTQ+PH/vh+O +hzPldxsq5P99Pxzm24wlBp8G/vt+OB7qEjKypXr/+344HqLSgm+O+NpEHg73 +U/+CvTLbRB6Oh47iEp8pzU3k4XgoCDWRnlHZRB6OB2/Ty94z8pvIw+H+PBp5 +YVpaE3k4HvYLIx6rvG8iD4f9w0jpH6PCm8jDYf2zfvdiQGATeThcL7cbLl89 +m8jDYf/4a8qHRtcm8nA8nD20eVPmzSbycDxIG1XPenWxiTwcD7rXztg4nWoi +D4f7/eZb++4DTeThMB/yGpkLrJvIw/HgMv6j9PBNTeThMH8HDvduMmkiD8eD +T+iZ56GLm8jD8YDVQMpubhN5OB52r/LIM5jZRB4Oz0fMpL69JzWRh+Ph3ZYn +L0GxiTwcD2oDPyXYD2kiD8fDsL3KRtrSTeTheDjYOsWo7XsjeTge5hUOTvb4 +2EgejofxG6pil7Y2kofD/tP+qSZb1UgeDvdz3q5pDwoaycNh/3pm1cs56Y3k +4XjYLHM8ouR9I3k4Hg4kdK84Ft5IHo6HZ/t/HpIJbCQPx0NC3VtVL89G8nD4 +/L9NT2i4NpKHw34/8u9m5kYjeTgeLgyQrjG80EgeDvPj+Kd/ck80kofD/nTO +wNR1+xrJw2G+jHuqWbStkTwcD4+XPl+2xqyRPBwPXp77+2UZNZKH4yEka8PZ +hQaN5OH+V28TvSJ0GsnD8cDwQ+1VpjWSh8N8b/JG7t74RvJwWL8EA3d/G9FI +Hg776SWP7LcPaCQPh+urV7Qh6U8DeTgevlnM/Tqhp4E8HA+n1qzafaGzgTwc +nl/Npz5ldQ3k4TB/3HwbMaOkgTzc//o39ceXshrIw+H9WvXEIj+hgTwcPu8W +369jIxvIw/GQqC203f2ygTwcD0pyStWvnjWQh8P5ILtzyscHDeThcP2q+9to +3mogD8fD9L06TocuNpCH4+HLIo3AgJMN5OGwX8SUWLuvgTwc9rfJUTnDtjeQ +h+OhM66paolZA3k43M8wZfaYUQN5OPx7I5N+ngsayMPhPPN3pXqqdgN5OB76 +a/fb1jmlgTwcD5eVj/nIKjWQh+OhsufCH/XhDeThsJ8qnH56Rf8G8nA8zPD6 +Kp/8rZ48HA9Sg0TXPjbVk4fD+6W9bsmo3HrycPh8x9Zs1I+pJw/HwwCzsekb +vevJw/HQ7vXZ89DtevJwuP7bpzddOF1PHg77k68jn92yqicPx4OZ1tjKeyvr +ycPx0LTk9VMXnXrycDyc6DIW31aqJw/Hw8QTlyqv9K8nD4f5L6Vp9wmujjwc +9lOnR3tuq64jD4frW1VybWlaHXk4PE/DiqeqhtaRh8PNkat3+fuojjwcnu93 +BWmlDnXk4TAfNDsXBByqIw+H+fVI37gTm+rIw/EQvlb/tv6iOvJwPKT5zzb9 +Oa2OPBwP9glyA2JH1JGHw3yr1ZNx9HcteTge2JbhD1Taa8nD4X2d9uZkQUEt +eTh8v0T5k3ZxteThePgu8nmi5FdLHg7nmxvJ3cydWvJwPPwYKLxhfqaWPBzu +Z4C3NWtdSx4O65+x3Y2LxrXk4XgYnDC8n+zsWvJwWP+Cx7W5KtWSh+Nh42uz +WQr9a8nD8fB7vwPn9qmGPBzmr0dXtIZW1ZCH48FvlN63ayk15OGwX/71ZP2X +kBrycJgffV+v3OlRQx6Oh33JV9pzLtWQh8P1PjtXV2t/DXk47G+2/17osr6G +PBzm0ydyQ0Xza8jD8bBLzLxeqlZDHg7rdY7l5IdDasjD8fDJxuJq29dq8nA4 +P0eq589qrCYPh+sZYC5vl11NHg7nNXnbbczbavJweP9r1KN+elaTh+Phxddp +k+c6VpOHw3x5S/f9kaPV5OFwvuFULvlsriYPh/1UXcH5osXV5OGwX7GaBX/U +q8nD4Xn+umzdFPlq8nDYD78ea7DqdxV5OJz/VEtdDrdVkYfjYXXQiw2386vI +w2H+Whzv5h9bRR4Oz3uOnSXjXUUeDvuRvnNi8p2qyMNhPfTZFlZ/soo8HObr +HSfMRJZV5OEw/07oE/J5eRV5OOxPha/SfmpUkYfDfnyYcsifUVXk4XDeFIw9 ++KdXFXk4HrZfOjbkZ2cleTg8T4f+evcUVZKHw3wx7d0s4ftK8nA8tFx5nlXn +V0kejoe1f1JP59+pJA/HQ0/PqiXxtpXk4XAefXFwfpBVJXk4rJez9hx2Maok +D8fDhw7XltOzKsnDYb1Xs3i9eUwleTicf8/PKtGTqiQPx4Nqvv9uBVEFeTge +cretO8KVVJCH48G2tuFHVnwFeTjs/+8MV3wRUEEejodGk+iik/cqyMNhvgx5 +PXf52QrycDxUDxKZydtUkIfjwT3YdnbjygrycFj/3u1qe6VdQR6Ohztn6m1P +ja0gD8dDsKFK9zzpCvJw2J+B/b6/onLycDxYZBi1JJWWk4fDeh3856RDQjl5 +OFwvzYlqiwPLycPx0DtkRd8/98rJw2F+nThO5d3ZcvJw2J+v3nrrhE05eTj8 +/BklRurG5eTheNgkd/BIg3Y5eTi8729GyLiPLScPh+u1vHySkXQ5eTicD4ML +87+LysjD8RDxd9aY4NIy8nA8QMa8YRYJZeTheJjTe0lKv8Ay8nBYTwThBm/v +lZGH48Eyuq/r9rNl5OFwnj9UkCNjU0YeDvOZXvSP8JVl5OFwvu84OnOLdhl5 +ONyfTdkneo8tIw+H88bVgMpAqTLycLjeId+PmYpKycPxMGtf7gq+pJQ8HNYH +ZuFhj/hS8nB4PvWuftIPKCUPh/dzeH1Dzd1S8nA8zNYPNb10ppQ8HNar07sM +J1qXkofjQe7ytapko1LycDifjgsevWtWKXk43B+d6yP6jiklD4f9A1tV7t+n +lDwcD44frtsaCkvIw/GQkXH6b1txCXk4nM92hzncYErIw2E9KVsrN9W/hDwc +rr/czdjMOyXk4bCeCD1v77ctIQ+H846+6PFAqxLycJgfWju6X60oIQ+H+zlk +XLCpVgl5OB50JqrlflQsIQ+H/eqXd4dde5eQh8P1ZQM853QVk4fjwV82/0Bl +UTF5OB6WyM/ovPC+mDwcD4+C8qZO8ismD4fz1s66eVnOxeTheNjz5bHWsdPF +5OF4SDc0H6u4vZg8HA9jbl8dlGRYTB4O+4N9viMPahaTh8P6I2dmpKBYTB6O +B+3thtHJvYrJw2F/8tno+LHOIvJw+H5WBreVi4rIw+H6qqsoFMQVkYfDetBf +RtXBt4g8HA87OsdmzHEuIg+H/ZiKzzDhqSLycNiPOX4d6bOtiDwczjfX77dt +MSwiD4f3a/Uf95GaReTheMgWt+gWjSoiD4c/P9q3xqVXEXk4HsZ903u0rrOQ +PByeR9Hxi/JFheThML8NZrwr4wrJw/EwylMw0tu3kDwcnvfT2qIDzoXk4fD5 +dL/ozz1dSB4O++9tP4b1215IHg7z9YGcG+WGheTh8Lz+GRr6SrOQPBz2I71u +PXVQLCQPh/UIhtlY9i4kD4fzzZAXI/S7CsjDYf9+eFmGYnEBeTh8/enqLj/f +F5CH42GBw0OHRr8C8nA4LyeyEdl3CsjD8eBx4OWcGNsC8nA4r23ZoRxoVUAe +DufhjpxrT4wKyMPh8wyMsr8/q4A8HA8z7WUUnMcUkIfD+lX+4aCTVAF5OOyH +nq5UGV2ZTx6Oh7vHnumqvconD4fv57x6of6FfPJwPEQuWrl345p88nDYPyvG +VNpNzCcPh/lp2o+kgO488nCYDza9MalJyyMP979/33vpM+ZRHnk4HkLP/uJt +DuSRh8P7/p3bFyHIIw+H52uF3KxBQ/PIw+F5LVE8e7Qxlzwc9guvZQ7WvM0l +D4f3M1dxrpljLnk4PO+7EwaUbM4lD8eD86xXclbTc8nD8aCYlmvX8/sDeTjc +j+p1J90KPpCH4+FN4IvpC30/kIfjwW6KTWHP6Q/k4TD/nDj7IMroA3k47FcG +DfS+MvYDeTicr+adnWYhziEPh+dj7S5LQVIOeTgeTsuE7p/ulkMeDutZ+dkr +k/fmkIfj4alApWTmvBzycDxsG7fKdfHgHPJwPPyUqxDZNGSTh8PfT+6Qv/c2 +mzwc9p8LmuZnOWaTh8P9XXHggdyWbPJweL7j9pnunplNHo6H8or+nhm9ssnD +4XoERYbPLckiD4f5caVyUlRgFnk47KfM5v9efC6LPBwPTuqLHtesziIPh/V3 ++8vM6ypZ5OGwn+wzFxZ8ySQPh/ltkDhMOjuTPBwPWa8Vi6q8MsnD4fkMNzRP +PJ5JHg77/yrT01HLM8nD4fMsNLaJG51JHg77odfP1uazGeThMP/3/ny2JymD +PBzmW2cDOXWPDPJw2O8fj1x17EAGeTjc7/4VuzIMMsjD4f7OO3lPc0QGeTjs +d0SPpF62p5OHw/Xe4v9Nh0knD8dD5gWDgAKXdPJw2A8f27Ds0u508nD4/AUT +ZRfNSycPh/l62gydEUPTycNhPTZb9vlbcxp5ONyPWkcnLjaNPBzeH1vLGb/v +pJGHw/VYbS8/bmcaeTi8r1ebzq/WSyMPx8NU55bnboPTyMPhPPZEKVbclEoe +DufrwXa9tsWmkofDelaqFVl/J5U8HA+9WnOHnNmZSh7uf/9+PnXVJP1U8nD4 +eafuD2oZkkoejoc/Q2Ss37ekkIfjweViXmhgXAp5OB6W5ZSVvHRJIQ/Hg3mN +86DkPSnk4XCe8Xzj9kmQQh4O78P+Va/mjEghD4f90I2su/c7k8nD4fzlWnNB +OjGZPBzuP38v5q5HMnk4HvqZLjmndTiZPBwPU34FyHQtTSYPx8MIh/Tn78ck +k4fjofbvn8v+XBJ5OMxnIdJsYGYSeTjsP42bVFOeJ5GHw/vj833/V9sk8nD4 +fr+k/ixbnUQeDn/uPnxSiFoSeTgeCpTvjZ35O5E8HM6f+gL1zJJE8nDY74rm +uF4ISSQPh+s1hfcwvZZIHg776QWLz+tZJpKHw35/z2pHg9mJ5OF4SNF/8d1q +UCJ5ONzPPdq687KAPBwPb20+WYnsgDwcD/pd4T/L1IE8HA+3Nux3/1GdQB4O +z2d26jvzOwnk4bDfNNP8IjZIIA/Hw736worET/Hk4XjQWO/2Kdcnnjwc3j+X +8bkKG+PJw/Gg61L552n/ePJwPCjt/6SwPY4hD4fz8d51gdsOM+TheHCbELrk +6QSGPBwPD25dOT+q5D15OOyHhvbvLLrxnjwcDzdDlyvlzX9PHg6fV2FQ6IBP +ceThMD+952c7+sWRh8PX/2tpuMwijjwcD6vW2p9bNiSOPBzOI8YrDt9IeUce +jofwurtRg+3ekYfjIcBOKC7XeEceDuvV9MzItpZY8nA8TCq18Z33NJY8HK7H +ukzzknWx5OGwHw2sPxopE0seDvPFPBunaoghD4fn80eNofGZGPJweH6exi3v +rRlDHo6Httx5U3u3R5OH4+Hr8bMuxs+jycPxEFS+f2KdeTR5OB7CvjdeZOSi +ycPxIGbum7ZlRZGHw/Mya4CO5dUo8nCYL8piS8cviCIPx8Pner5G42skeTge ++HOiT87hkeThsP9Qhjj9Q5Hk4Xj4Vbi/Zc6USPJwPEyzK1J0aHpLHg7noxkZ +UsrP3pKHw/nn+t91g7e8JQ+H89pnpXBThbfk4fB+KIfnNxRFkIfj4dqMOdsy +7kWQh8P6qz5yYB/TCPJw2A8eCrlxf2AEeTgerrasuGmbGU4ejoeYu1Mg3DGc +PBwP9wsuNSxdHk4ejodPTfbeM6XDycPh882fF3s0JYw8HM4/P8qrB14NIw/H +w3bzfal/l4SRh8P8Jv9TfY1UGHk43M9m/QfdN0LJw2E/aRgR3WtgKHk4nIce +ndbLcH5DHg77B9bT1UjuDXk4Hg6or1js6PaaPBzOo1KeyVdGvyYPx4OrdL6H +4HkIeTicP56oLIpVCyEPh/3LZOGSLyGvyMPxcGWkq9q32a/Iw+H5/PHoGMQH +k4fj4UOY5xvTFcHk4fDvr24+5Ff4kjwcD6csXuqlWb4kD4f9bruLX3hHEHk4 +7L+79JYePB1EHo6H79u/vOzuE0QejoecnFXHVt0PJA+H9e46p3lmQiB5OKyn +vfKvnQ4LIA/Hg/UkmcErFweQh+Ohr8/Pfd1F/uTheFhotWK+7W5/8nBYn4py +phZ/8yMPh/XlZGiF7B0/8nB4PzV29ExU8SMPh/W5+sWUUbG+5OEwfyeencCu +9iUPhz+XM7nn3+ZDHo4H04sFk5dc8iEPx8OMzHGeqYo+5OF4MNzgE6Dx1ps8 +HOav3Dm9HFZ7k4fDfJc1bHdi1wvycDxsPln1QHjjBXk4Ho4v9DbtO/kFeTge +PhoWvhrv9Jw8HPZ3r9e0KmQ/Iw+H90vLq+KL7DPycNiff7s/NnmNF3k4PA/X ++q087+5JHg7P++bqXtNqn5KHw/qR3asjZ/JT8nCY7wouBu078YQ8HK5303mu +T+Jj8nD4+dtXnnk29DF5OB6M9XZ1G9g8Ig+H9z/gimpH5EPycJgfnz7M8xr4 +kDwcD7ILm+N27vAgD4f9ZDgXs4BxJw+H+X1D0GNNRXfycDzMn2Cqv8DWjTwc +9ouD7p0+UPaAPBy+/rW72u/1HpCHw/mDbbXQ8XIlD4f5Ui+iqkzKlTwc5tN7 +T1++PHSfPBz2133qEkPLXcjD8XBpzfoJ7DIX8nA8qJ9in+Tsu0cerhuSaj8G +zF91lzxcNwz6ctJRe/Yd8nDdcD4geU/sBGfycN0QUx5zuXTYbfJw3eCRUqLo +2t+JPFw3WO5ff+lL71vk4brhsupsOdneN8nDdcO8PVt0KqRvkIfrBoGru+We +wY7k4boh90+sbMKY6+ThuiFQ8c2H9hnXyMN1Q3HwjbmipVfJw3VDs7H7p2Ir +B/Jw3VDSaRsUePkKebhuqL+lNe5YwGXycPj+OufVD/lcIg/XDc6dq4ul+1wk +D9cNb0ZO+/b+4HnycN0wcHPKGd96e/Jw3XDt+17j3G125OG6Yc+EcQ4mbWfI +w3VDnlmWsZadLXm4brBavbfrjsJp8nDdkD1hcpJtwknycN3Qx//Ryt/HTpCH +64aoJfWKszWOk4frhseyAfFbRUfJw3XD5qE7Pvy4f5g8HL6eufO0g6YHycN1 +g+4O3fKi8fvJw3VD3MwlQzb320serhtMIt4bTJTeTR6uGwKkdeQ2Ku4kD9cN +T6eO2jFmqQ15uG7wnzzpkdYAK/Jw3aCYkCoQvdtKHq4bunbap0Y/2kwerhtW +vTWNrPffSB6uG9L0A6VjGteTh+uGS06DVO6sWkserhsW3oLq3bkm5OG6oU15 +8cVu6xXk4bohfPK29D0blpKH6wbRizn2mWsXkofrhknzgqJV3uuRh+uGY643 +FKZ1apOH64Zbsy2CNsROJw/XDRapX9XE6pPIw3WDy9c1TjcsFMnDdYPSxWFy +P3rLkofrhvawMs0thl8NJB6uG6R58cqtsqUGt5x7T/zSh4Ve9N/BVsWKDCkW +5ppF3h85OS3e1EDr3mNpFgTPozfPmp4Wr/lwheHBvizseJidajwzLX7Yp+2/ +Bf1YqHpyNnaOVlp8t5Ft5JD+LPzZ8HAqg3Gp952DDRizSYXnXatl4mN++KlE +yLBwVLfhS8yMz8wTM6by6gAWjk2u0fk5qFBwPqTYZeNAFpTeOSd5uPcw2/sK +V0yRZSFuvZJhrym8YNH2Pn+/Ydzyfcvb3nm94lViRkdnD2JhvVGHTtnUKoG0 +3KzDnoNZKDXePH1C3ltB2z4j1SNDWCjcWfzozp58JjPJqnrhUBY+rb245ER3 +BxM85ozrMDkWJiSq3HdVLmacT95d2YxxH/ljlpZz2wVHP/j3ihr23/qsU4uP +cRzOQsfgnEP1bqxA52LJkc0jWEjodbEiJFUkGFkuVFOXZ+HwaS/13PuVzFdN +qdqfGF9NTrRf5pUtqLw5xi13JAsWhnuOlgQ2CN43zjJ5rsCCo0dDS8PkHsZr +3so+x0excEn/sWtPmZC59MD63RJFFo5Ybh/28EI7YyM6c0x+ND5P/C+bG70T +mWXL701pw/juAX+ZaNdIweRnAXUxY1hwv2A16c7gYkbma7z7rbEsFCk3JO5S +jxF0rSldtXUcfr69jy3OffvEfAgSSc1UYmHT9+sJ7tqfmDe9pd//wTj+zoX1 +ZY4fGZctY08UjMf91pTVf335JXPirfY0H2UWhowwX2dlKWY2DDJuODmBha7p +2reveBcxurttHi6fyMJt+xmHVfoWC0YnnF09SgX3FwyeZYe3Mj8VXPp2Ypzo +7v34wZ8CpvZoIBM3iYWg/FxDhcoOBjITTjqrsvDzdnRqcdMnxntimfp2NRa2 +t7tZht/JEly1Zxs1J7MQddR4XNLlSmZ3sfTj3lNY+B65TsHuqT+zYsa4tcUY +/x3dM3GmW6lg2nWd/v5TWfixfKDm38WNAtk64wTbaSysOrSp2kvNTyDW3XHa +SJ2F5ELnr1DZwhTcs5sxZjoLg2vubvn9vY2J6HBpFmI8fPLeyGyjEsZtcdCT ++BksGD+0sL7CxAlsn8C6ezPx+fWXZyTadjCbu8tkbDRwP6zvpkjnNDHzVolB +W5MF+4Hj7ud+bmPG+fc9I63Fwsar8+1Wvq0U/Pk9TqMM40ypfd/WJ9YwDZtm +twbOYmHSlFFxG7TeMimhJp522rgfOnlBR4c2Mv4yO81MdFgY336vvay2lblh +Yz9QaTaep+vitjd9sgT74+4niTEeOKzyZ+zNcMZkxMuziXNYqDznESYtrmRm +HkrUdNVl4caf9dcHDclkhqaVt+2cy0KYsomWhW+0gFP66DVHjwWD8O2HZFa3 +MMW2/Tb212dB4/DB2IbcMiYqX2lQJcb1S8WP9l7OEDyaOicleB4LUnqN6b+6 +qhj7K6vsz89nYeZowxe5zaECy6qds1YLWMh7nO3y1aSUMdA516G8gIWFoxLu +iISVzARn1+ccxu6FqhMXLK5j+rS+3JRiwMKpmouixb8rmJYFSYPdF7KgNezF +6bdfwgXpHhWpexax8HpAYf9dp8qYoI8fz+ktxtcLFUXe1XrPOBn11xm4hIUM +2QLXA/YNzGHv8V3VGDu9TS0fVR8qWPNjjvfrpSyYfTvwLHVUJTPLzHTzpWUs +rLk947qva7ZgRMiuoeuW4/3/Nmm9cVk+81n6fLqKIQsB/ab/mBZRKCjf9uBC +D8adwXYG0SdLBO+ig2enr8DXW94/9mQII3g6NFn40IgFa/VeDbrmJYIL+yp9 +9q9kYdqDdYOkxdWMVdIni/nGLIwJzsnyK41gFo+RGTbYhIUNT+BBeWO2QPWk +cmYdxgk9bC9fi1im3wfdS2Gr8HzUJSRerMlkOlRX6zqY4n53JU0N+pTHZF/Y +zZqtZkFarahS+91TQUjZeT+1NbheFVU3z48uY+5qum39inHijCEnzLf6CY7d +fDU8ay0LW+qfda39WMGsb0zOerKOhaExo1oLbucys+dVXT60ngUrmfmKhrJl +jMIDbq6BGd6fqZMrW/d6Mt+FMh+HbmDhXf6K9IjGKqZ62YSARoyV7b7YPjCN +F8R7zd32diOuT8Ef5cKQROb5l9Xy1zexcGBDk3TJhCrmypo9OZvMWYjOv7o7 +eOgHZmfQBYepm1kYVj10+X6fImZ5b3f9Hxgb/vhzs00GmClbQj7lWLDgdW5H +467XBYIBb1MCvbZgfZliPdi5PZ0RylZvP7oV79ufS8GnX2QI8nbxIxdbsnBB +xvz1yD7egrD4AbnDt+F65G+aUjUwmXFVmHitBeNRD2cMOq97lTl1VG9+9HYW +ThgvGDPjZoJgU+Ya/oYV1r+Rzw0e6T5i9CbufWlhjb8fPmBZ7ZYEwRj7i9bT +bfB5IkZcuXUynflV5D7qN8ZLXvV8sZaJYOqmv87L28FC2lYtQw/nXCbxWur1 +FzuxHg1/cK1co4jxra0WnNjFQq7jTYsM+VjBdd3u7qW78fXmGak+VY1k9t4b ++GrkHhbs9Pc1XUtOE6zsmLijHeO4zk0+Uc+TmemL9Ue/28uCa9eBApW2LGbw +k7UFTvvw/AyfGrf6kA/zkd97w3I/C3L3DlZzimlMocklA40DLJxsf8gqSMUw +b/08Pv/FeFCi8YmhZeGM++/XIYUHsR4cebbo9rkbgrOb0nb6HmLh0JrmptGJ +BcyW0Joxpw/j3+c8xb6ykBHI9BQaHvmvHo63kb2leBTPn8GyOUPSfZhecSqL +ujD+kxMTwT8pZJqGz/v6/hjuz7Kui5bOCYLUg+ve3DnOgnhTqNeLEC8mIHXf +bqsTLDz9+iHM1CWfual0edysk1jf461cNQSuggO2D4v7nGJhwMj8C72PgsA0 +/41Tyan/3l9zavrigNPYn+xVP+TkVcgMu1L77YwtCw6/pXbFnohhuit7Qlee +YeFKj9c+//osplR70N6xZ1nY56VRCTsSBTG3J41nMdayKbDQ518wj1vmlSbY +sfDhxcPlqfqvBOcWrHd2scfz7bAx6CAUMNs89i/dce6/91/48fIPnfMs9Ky3 +zj1UVsKoGD0K73uBhd85+lpu63MZae/QfeUYa/j+njq3sYhp+56u/PIiC7x9 +2sJhB8uYzPV1ZfaXWHj+5cFi97hiJvjV5zurLrNgu6dg316bZMZZevDy8VdY +uO9k/8h9zXvBkW2qvz5iPPlw7xNl9+IFa6Pnv01yYEF3XvueHodiRnuo2YEH +V1kwUa3aVzojlZHfd2Di7mss/LqcNGJ1/yTma+KVCt3rmK8KD/crsM1gKkc/ +vifjiPnu07DdqYa+zPsTYYZVGA+qGKDwcWuGwCsn4/erGyx8zl8y9vaJIuaS +an3khZss6D2UKh5q9oyxufDl4JpbLAT+1JmdFxXNLC0bPGmiE97/4+Pyl7kH +M2qaalU8xqkdVzceHccw/W8K7qfexvfPsl09dmIh09lgZuThzIL3VdbHxCOC ++aB/8O/eO/j6y9tS86SymTeuDtH6d1mQnWK6xio9l3ERPj4sew/XL9PBdHpi +JnNiWbhqLcYxVYZhJaqxgg1emdVvXPD1v+zap3ejkNH9Uu96+T6en3k2V7TX +JTCKa76uXO/KwvmV3/q0Ls1lfgQO6a36APd77N3tC/rGCGp6TY79jPHQ8bHP +lwQDk2Cx4GiGGwujVW9+2n2lgPGO2DD5sTvWv2enVdfvyWGuyh6qPeDBQlvB +8dyhKvHM7l1X3QQPMX992Ko5/EIBsyL+icmQR5jvlfPFxzfHCKYpRPRpwDhE +VWvL0dBERvZo1rvwxyz45gVm3p+XLGAzGo5dfcLCY8+kJSfnewjyJ3ybsvEp +C5cvHEo3kfJjwu2G1k/2ZGHi52G9Mkw/MA+KJnt8w7g6zkghTT2fsZ1uYJrt +heevePlUlbZUZvO1jdKez1hYNPnwppf3Mpl5tYfeH36O++Fgnbis8h0zTvfa +iYUvcH/3bmo+NsOF+XP36bRh3pifP7ScdT0TL2hoj2howjjSXF3m/sIIJnlR +9sNIHxZa730ZnpIVJvB73Lja0ZeFR4Pe+t1Ynck48t/6bvbD82YZMZnZGybY +ZyIXP82fhfz5eyrsRscITPymnPqJ8Zvpu+aWar1mZv42mJ4bwMKKr/4Gs6cw +zNBNm5qeBeL7N4zslrLIZrg3hx8fC8LnPXTbOGttJlPc//raJS+x/1yx/1uU +QSETZe3ZXz6YhXINqYPnggqYh+/eJrRinHf2wtsfQSECu+E5p2NesaDepZex +4XMes/Vg04xbIdgvOuT2sb7pJ1iQ+r15y2sW5Dc9ilP1i2WUlYY9nfGGhVkD +5j2z/RvA9LGduv4PxtnNQTHzLyQxLXkLBxSE4u9b31TbmpggSJ9inugdhvV9 +9OO/ooWJTNDlI2dOhmP+kfJ5bb0vSuBUeV1jeQTe50DbtLUzo5lD2l6tCm9Z +qJg3pMNLOYdZfTvSs+Ptf/lEqyXHLC4S82k/B+GkmjjB8AXNA52jWJCxu6Ux +9kEa0+P+I2lbNAsfn4ix978uKBcPs9OMwfMYedlgQ3uM4N2KaVq9Y7H+Bfc3 +FmlECJ6+WNRehHFJ/74FrjURzIXv5s/83uH7T9BJUu0XzlitP7rRNg7vl52d +lXrbK8HiV46DjN7/93kmST9LGc2wcP269fk121KZvtui7IUYu05bNt9/pTfT +HvVhVnw8C186b8pn6D1ksoa0dNxNYEEU4ZZe+fSlIGTvz+fWgPnhY03X3I0B +gruJw821E1nIOTDg7I7w14Jjo9WHSCexkFL9qW1Shyez/sTitFKML++4Xq19 +MZ2ZnbP5fGAyzk+NQdN6XqYwCqrHdOxS8D4+eKoJ518x387f6DJOZcG08uL8 +c1wcU1X6zHtcGgsvtCprH9aGMYxG9GYxxikdal05gVHMsxu5QxPTWQiuGnro +pV2Q4EpDS/r9DFwvg6qBA3rnMTv1f13YmYn9xqQ5eyZrxDLLXUfMmZOF59PA +YrTHiBjBFKG6qF82C+Yqu+UXLchkBixb4luB8eVvDacfTgtkhJ4WW4JzWDie ++mx6bckHJvfzsWHnP2B9VQi0yH76igldfTPTNJeFkW7Tpg+ans/cD3x+STkP +81NzgHvXugjByV4xulzef+u/ySKPTc7HeUd++aAD+h6MXkSrn1sB9rN+S0et +evOMGSP7e+ueQuwH29/IHZSOZX7tlB+hV4Tn//b50UkX3Zg6Znr2gGIWdq86 +4mVumMwkjlx6pRpjq7/WzWfHxzA+R7bovS5hYet1hb+rS7wE1zKOf7xYiv2I +Ycww57QQZs+EWwFry7C/uBr4vLtXJmNk92KbSjn264u2SecEYj9SFCPfg7HD +hdyHP/ZgPzI9PyetAvuHhOXL539JZD5ebXN4WIn5NoYdGfU2UlBY81t/fxXO +3yvX5MdFv2bezhnJzavGfr60fW7X0xTG/e6MoEE1LLBTWb1eOjHMmfalVnUY +TzN9tNYtI4+xWLRVIawWny93yDmd0+HM/Mcncq/UYX08/G3Ir6W+jBJ/65pZ +PQsuF7vTPw6MF/Qy8Z6v1sDCq9Rv5k5Vj5km31j+C8buEVZ37R1TmNRf+S8z +G1lgPu33m/XiAxOwsd36SRPmzyuf7AJ7RTI33/wZdagZ62tjuNp27ilzoL9C +/oIWzN9zHwWWGXkLVlnPdBzaivOjs+OKjs8xjMa7ZQsaMW50VNkWr5LOyA23 +7IloY6Hfrte3w/yzGP7AyVfX2rEfGAKP9me7C0pTnHZs6sD1G5Rk9Mw7mokZ +5zN6aifOuzPLJ+7NT2Qen35X8B3jlfFPNERD0phzeQU3crqwvo+p3vTZIkyw +bUqHgZcQ76fx3oFnUsOZhZf/fj4iYmGn083HAS6xgomVCq8XsSykix2Pna9L +ZaS0NXYNF+N6mOdpFjx9x7Q6LR/bgvG7CGiQvewmyGi2LIr6iPVilGXs1qtv +BcGCU7dufML+fGW+C6frwzi7315kwbGwoKKy9csdV8ERsc9XdR7P402PmYmv +I5m1K+Le/MJYo0JDNX5FDqP9onB3XjfOz6q2riKVNwL57x3jXvTg+l0I8uk+ +9UjwZV2vkuOfsT/UVux+VBIiqAgedXvpF5zfTvdjTBc4CuKkNJeM/Ir5qi5V +rUQnUuBpafi9DeMZxgrZ3Ilk5lLUtrDYbyyo6Yz00zZzFdgMOb3X6TvmW0at +j2agp2DpXufxlj/w/ju//Ro2NZpRS/QtnfkT95NZsu2bTzTTf/R7578YRwdE +KNuZhwk6jxctLfyF+WV7/geFMH8mJ7vzh89vFg5mtp2ZI5fEvJ7UO+LUH3z9 +4vQwx/D7zL3zivsN/7JguWdU7WztFOZ4qeYExV5i0PV8Lc9/TGc2aKwo78Q4 +ZXL0TbNnqYzuje133/cWg0ytnlxDUQSj2HB6+Z0+Yoh2sBycMsJV8EPvzq/t +UmLY3/fnxrRXSUzNfb+3WtJiKCiIsZ+R4iFI6Hp/oE9fMQwqlZrz7m4i82Jp +8cQSjCOSPfeetslgHDy7Kvz7ieGBrsPvrYbegl2fe7uc6S/+f/nEcPXoFStl +xDAxam1Z6aRoZlqg1p8xA8Rg8CNfylkzhZHtZRQlwvjglgHFptO9Bexmq0MJ +A//7+/xw20kusmKoN955eR3m1/CBd6tsBokh8JxsrMlYb+bBTv/7OoPFMHBe +Ztk+6UTmNMMY9R0ihgaFUX6t9uEC85Elf8swdgjaONBpUyqjf0QYHTRUDGvV +0gWjNP2ZsRl9jtjLicH5zElXNe9I5rfyGLVVw8Rg87s9yXRHMtNwdlaN0nAx +GJ58qlt3MJFJLjR68BHjp7Xeh9nNToyfurVx0ggxpF7zVF3kHcI4Xj3T+4G8 +GILH529quhUo2FdzN3bXSDEMdzQEhcORjPGcgKO6Cv8934y78ZNlRolBOC37 +BY5UzJD2ktpKjC/5Bz1/ZhAq+LRQ5PZK8b/fL3okterCaIwrjhxNvh0giOLG +SK0ZIwa79zYyC0KjmYfG2nETxophyfBewt2CWIGd78rjPMYBoaZxhgkxzNZf +1lNTx+H7Fc8/9u71LWbBxrP17kpiqHDXb7uUfJtRfnPPY+94MdyuTVLM35TG +9O4faKqvLIbNfT1+dHrEMM1WCdKyE/77PGmxpe9r/r84cBh74s1EMfiG/Nig +pBPHOB2QVr+sIoa+Bb5ajocTmUMpYxvXTRLD10Gzg5euiRasHqfzaJKqGBJf +H9rKfXzLaJ02XvP5f7HJEKubSknM8Dybfhlq/71+z2S7+EeTxXBGXrat78rn +TNkll1MHpojBzdBqQOerWCa2InC6YKoY5BcWuG06Ec48mQVNg6eJIVZp67A3 +vuGC805lj+sxdro6Vlcph2Gsmtm14er/vf5iQV+Zq9PFYKIydYDPwWDBJPdx +sGGGGK6a+LwwdvBk+op1bCfPFMN86Y16WfteMO2GJjO/Ybzx1TWV+25xTNbz +HS1ZGmI4IatZs8/iHfPqm93Tp5piWPZZjsvWucXcWXd//WEtPA9Sqcv4Ha8F +R4ODBiycJYYFb+qj+EMuzDqpxEQ5bTG4x68ZIbwdxcy2LD/ThPFOV7d9Fa7h +jEKUWCNS57/P+21wv7brs8WAA/Y8jz+JTNUeJS/zOXj/s8ccMrX3YRiYvWGa +Ln7e1j3nXZpfMM8UV8n+xFhD1kNap5cvc/n4zuQPc8Wg0CC0v7YqRLAj297u +mZ4Y3ubJO3VveSBYNslV65g+5oexur0/b01kJp9/2b54nhgKo2dmKaxzEQwo +TXw2Yj6el44Cl40mUYxwZsXGVoyXnNXdekLVT5Dr+HFQjEAMfxW+yau0uAtC +6/ul3lyA9+P5utXGU58I7uuNP7fFQAx/FB/1eb/hNnPy/hztGQvFMFMxemn0 +VFdmY9eqzt8Yy87RONS/1E0wd+muF/mL8PMvqO57PiSIGe15ztx7sRjSA+6J +Hox/z/zscR1ycokYWqbuNB65KExQZxqctmypGHzUdvS3cY8WJAYknVdYJoaE +t6Xnru57KPD5W6HTgXH0uPDIde9CmGubP3W9Wy6GN+nHXNZVhDJ7wvv73DYU +Q9FHts+l+kjGaKCyxbYVYtiaNaRosZqzQH2nrpymkRg898z0+DDAVzCIMc3o +tfK//RHL775YhPHuQ59kNzb6CgoOn5/jZ4zr47y3n1JdpOBt+gPRaRMxGG8+ +c6zHzJ9xV37lu2KVGPyWdv4w2XNDcOZs8pbRppjfhw+TvTTxPWNRWDlMiPHO +h66nlvd6IJivzmUyq8XwZcbd4OPmDwVKV2Uu310jhs8DCm5UP/IX/K1Wnmu9 +VgzjP69pa5P3EjTOniuetU4M6qv9uyu8EpmUO6v9pdbjfigNsHIb58b4t+22 +LF3/3+e/ufDCiEAzMUipXFlotu6O4MAjt+yzG/77+Sru1RXjjWIY6zBCKe5t +GKNhnKI3bhOed+VJa6xtnzByvlUfWYyDVi47vcXsPcP/5ALAXAy2Ez73eZ0S +wJRsGLD9/masN/srLziPdxREv54wcqeFGErt3z5hy/yYR/30Pszegvnnq//Q +4X3uCOyt1lztt1UMv9YPjWiJxn4kds+8CozfFw5cb5LlxywcdpF7aSmG0/cu +KPuxr5iJB9yDzm0Tw8uosq02l18KpFJCrEy34/nqM/fJ9+PugtaxqQrKVmKQ +e/alWOvVeybjVHXuJ4wbh98WHbzICF7m8teSrf973tuTBwrcbP6LD1+a2L17 +hxjGFZp+CDgTxKyp0Aueu1MMi4adW3DZIYLRnrXWZsAuMZxcvP7i5tUvGHmn +vYrVGF+zqptq5xLFfGm6mB+yWwzeXX+lV4bfF1TM93D8P6bOOiyqrnvYdit2 +d3e3y8bA4LEeMLATu8VWVFRA6a4JZoZuUXTrskBR7O5unXOOif2t9137/fj9 +ua8Z5pyzz94ruO593VvmWfHAhJfD2r8S4rB/Yt8x82k8p4j1x24hwj+c/txo +gRV7rxrY98+zTNgy9H78Jxr7b7E3+g8KETOiPs3Kdi64v0H5ZWoHLbTi5g/D +5jzYniGajm18ZcEiut8NI3ctvhEuSsb12tN7sRU/BswxFDMcFW+KjO1fbgnF +y/cvmtxdnibOT1nw9QGNG904tme/e4hIzNiamLyU1ntu4uZnrS3Cq3zQnO3L +rFjCOWjKjBxfWDEvqc745bSeX6e2yK59QIzH7KtNVxTcT7eaD/Z+pfGUiq6b +P4xJFjVWfB5wdqUVi42fc/1VZoz4nls2P2QV7Zcj2099epQA9xs3SV602opv +xd6ODxaGiWMbe8/ru8aKuptP3Tq2OSZ018fWs1lrxZvTbk/Y5awXru2crz+m +cQf7ruaoBslijts2j7R1VA/Mr37f+aMQQx8FDdrpQvury4Tl41rsFC17Jn// +dz3VE009Rz2eeViU8clJabHBiisWFN/rVTkR3r95MP87jT91n2KZvNIAFwd9 +qX9+oxUXP9vY7+OIQyIlrNzN8E203sYuVkKcDwu/z032Ld1M9dL8dQ69mvmI +NaP72A7YYsX8evfWTN+3ExzN435W2mrFPaF2JQbO8xO9/jqnPaOx6485AXUK +x0CdidudD2yz4uG8boNfbA2E3ynBDXdvp/VSe8H00tnHxKPSKbcmulrRbmSc +6+BlGXBi1pn9rXdYse2DH68SKwcJ45GHQ37RuHFMX+XFg0ixq+rXXxd2Fsz/ +giXlM6J2WXGCk5tXTqIXjMhpumiFG813tXWNLv6IgrYNoPHg3VbM2//u53T/ +cKjgMv5O1T0UL7+G7DFVF0K9vND7JY2ntex2vV9qkrjaynXYob0Fv5/hGvJn +r7sVq52OmBaRbxKB91IOTPGg+GX6lXW/oR5cup5d3M6T5n/p1tcfb6aKyfse +NflL47HBP4bMjYoXfV9+vXt5nxWHTS8mtr7NhAb9K/ga9lN+KmbT/fXPg6Jw +cDO71V60X2He4uM7dPBMhUJDva2YdfVLpaI3E0W23YSDNXys+B5mHrNP1AmL +YdHSNzTO92hXvtyIdLH3p2uzI74F97toQuh9Tz96/pbRA495pInRial+0/yt +OK5YaOI/CZmiQ4ncER0DaH99qJRw9GKcqDz9ceEigVSf1dh69E8Mis8Hvx26 +RuPlQZ2cajbyFjcr2iw3BVmx2YIhobXd48Qh5+Yt1gUXXC/0ZN+Hw0MKxpvq +/BtQO5T2V4tyB3f/iodpqxePek/jEcWqL3GNPQADLuwoeizMijVn57fMW5EG +jZuHHfYKp/Vy2dqVuiNRbGvaipkRVnSsYVejxdk08epWbssukVSvXlmkW6ZZ +ILfjk0fFoqw4+ciyt4FtE0T83vzAmzSek5/Usv2yDLHvqY19jI72x4D1v5OH +BItlfVoU36CnfGDjcnnR+Qwx1r+fGGmgeq70w5prHqaILh/+XVXPaMUKS9o2 +LT8hFqoNXdJaofEi/8OPks+Fi2+RO58cj7biriEDv/0Ys1/c+RYW7Gui9+kW +OzBR+AkxJv2fOWaaz2aOE1adTBORsedKdLdQ/9ChtMcO70CxrcjToyVjrNhg +woJwo1MYzJryffUdGnedEPHUy84kbDMqto2PteKzVZNtLxvioXn5ls82xVG+ +zkwvWnmdTpSa1z/UPt6KEU93BNzYfES8PeYwtmEC7Z+aU35+mZ4i8mosLfWR +xr3L320XEZYCSct34alEK0ZBo2srh5uET2742oAkqufviGV1vI+IVY0z2s1P +tmJuxt2wwX/8xb8bzz/vmUL5q82cOjcXxoge15+GlUm14tmcxbfWPDZBrXY/ +xt2ncfCNjOpHnqSIn7sqlUlKo3rS2Kj8qAEp4sHDlie2plsRyu7f8LZpAGCP +AS5jM6z4emzYj+ieMULv7dihyQGqXzo+Tr0z+7DY8Wbpy880Ti7xs9jpqRaY +N8gtIifTikvG4bIba7xheFjEhOCDFI+tP2uPWmsSrT9nlF14qGB9lRudd7JP +lhWD8ryjxZEAsJqerS9/2Iq+xXd0OjQ9S1z+86Pjo8MF309zrPw65YgVe+Zs +/tj1jUH4p7SKchVWLLmj7shjbUxibemBDhOOWnH7xBHpxg5hMHHWxPLNjxX8 +fZ8jy05/o/HDKx+Dk26linpVd2/MRSu2ctkZ+j4tDP4ujuwcdtyKIU1muqws +GwBPsg+8WXyC4t3tIXnNT7nDqfoXdP1O0npfuLBpR480MK177ljxlBU/G743 +yvPSi92Xf1Z4euo//VijmPGH04Rzqyo56aepP7x2fXm8MV2MdG29eVc21XsT +ei8xt0qAdvcGdnXMKbi/il0nvWt5xootM47k7f9wVHz0XG74QWPH45GhS9Z7 +iusvdk/KO2tFh+fVG98abRaZ/aIqRuZacdbhmZlvdckiOCjzzLJz1B8GJI+b +/twHNqgXtgw8T+th7dR2a4w64WT3oluVPCvezT3291+LG/Qz/Hr/nMZBVV2j +L9dKFQ1/VonOvEDxd9nE3COr40WRCW2m7Lloxd/Hh0yY2SRMvEgYVHnyJcpH ++Z9topPM4kzxybltLlvRGvi08Pu+aSJ22optvy//n3rk4J4el67QfOpdekx/ +aRJLKuqsuqtWvOgYu3Pk2jTxj/NB08prBd/vdPKik+11yv+enb55ztWLKnVe +Vql+g/qFyT+K2m42iy+rfp97ReOMxzr9kT4p4lZeVdesm7Tf4nLzXlVNg8PN +2vbyuGVFr04Tu048kCDCtwxWnW5TfVf4qf0Jh0yx5dZkS/s7VuzSvONoj1sH +xYyOK6cVukv5dPS+/FU/48SgvXurXaXxpehVH8SxfaLpU12e8Z4VCzfVnRns +tg9K9Dm0Y819K+6rM77mpclx8NrvUu9hD6yYMrb6EJ3/ATj3/qVW86EVF8y1 +bN9eIVUkDPkT85bGOZ0r9XcfGwL7I6vNEI+s6BLxfcTlrBRY8a1tjf2PrXit +66rf822zxPgxthenP7Fi4LoHFaf+1UG32Cm7Oj2l+mhn4wpT3+ihRpFVUPQZ +Xb9Fhj7HaTd8n+z+6TqNg7dWm+k00SLupevjzM8p306YfDo6RSeOlsua6fKC +1u+KYesPb9oJUXMv1xzxkvJfuet1jDNTxfZjry7VeWXF84+jn4VVChWza/x1 ++0Bjc2LHReFvU8TQ5dX74WsrHr31MqlC2SBomdvui/cbis/b8u3n1vYTZRoP +SZj1luqZ2o+KdxsUJd5vcJrd9Z0VB3aq/6t3oyS4eG1V7RLvqf6f0OPMsk0Z +IqWtx5VbNA5Z/uvR60XB4LvLsCf2A623J6/2/2iQKVY/zOq/0VqwHhx6XPk6 +SqF8bIh4bkyPFT29XyfWV63oti3QVL6tB9R583eOqhZ8//fAGnVPalYccupq +hR/dvMSj0PbX/D4WfH7i0xD3uZ+oPp/VqIivv14YR00d2OOzFX/ubDZqxhQD +7DKtzi/1heq1dq9b+xQ+JOb/8Ui+S2Pb9C/2Oxv7CjtH47yEr9S/TG+UdGGT +EG1SDtfb8s2KThvPPuvcNFmUL331+j/5tP86ltjbj/pNdeYbj0bfqV8+k9V9 +av5hcfVwocGfaHzT/HjKUcUMGVVq/jj9w4r/3Dd1qdbqoAhc3CE18CfVn2Wd +EpZ/3gMu2UMXLPhF9X6zXyXX6dLF5PrTGvT+XfA8sG7NzbJ/rDhq5FfdxYw0 +Uf+y574HNG7fbvW/nrtTRaFW0bbJfykebnFXAx3i4On2Iz+3FVJwUtjjb7Mf +RUD23atp4wor+N7BJ+n37X3C0uWtc9MiCno28Bq7p3OKYB5OQff64cVC0i2C +eTgFN1rHb573MVEwD6fg68CFvWwKewPzcArqd9Zv/K8wAvNwCv5zet6+tydM +wDyc8v/vn3m4gjHzcAoeKvnq01OXRMnDKXij7ievZuN9JQ+n4O4+TV3WTrIA +83AKJg/Uhl/4Fi+Yh1Mw1ddYte2KaME8nIKhZy/3tFQ8IJiHU3Dlio9RDXYc +EczDKTjmYKkVH64nSh5OwQWRQ/vXCUmQPJyC1WvF+1coHw7MwxXcL/NwBWPm +4QrGzMMpeEb9/rOn827JwxV8zjycgr/u7P/085BBMA+n4Ophq/TTV6YJ5uHo ++WxsmnmVyZA8nIJ2nX81af9eB8zDFfwe83AK+pp3Pnx7wRuYh1Pw05K3uzst +zBTMwynootQplxafKXk4Bbc6J59OjD4kmIdTcH/tCb/f3MySPJyCRdfOnt9y +djIwD6dgvySPbbOmmYB5OAVb5mmDBtwNAubhCu6HebiCMfNwCl6p/+hW9xGh +koej8a5Gk1wvJQLzcAoeq73mQm9jBDAPp2CPIW08WrskAPNwCuZOyzlf85UO +mIdT8GKp3zdcepglD6fgqv3qx5PNMiQPp6D3tXkLzT3iJA+noIfdiJt+epNg +Hk7BESVHlP1wMFzycAo+atYxPav8IcE8HO2XsmV7Ni6fIXk4BU9MTWpzcnag +5OEUPPXjRGbkiHDJwxU8L/NwCv58fqZHRNU0ycMpqNW4tvuLNQ2Yh1Pwx8rT +H86lH5E8nILqjiOesxbGCebhFCw191eRL8UTgXk4Bde+rnPraeEUYB5OwcvD ++zfo0MEAzMMpuPRM5y7+gYmSh1NwW6OZ3r0vZArm4RQ8tzX83t6mFmAeTsGc +123s7Y5kSh5OwWpQPCzyQ6jk4RRsZRxpd93FIpiHU9B/cFrHktHxkodTMCqj +/8M/q9KAeTgaN4mZp0xNE8zDKeiT1u3Xl/RkycPR82QUPfngl49gHk7BL+1P +v287L1HycAq69m5RfVM1f8nDKditSs1O+1+nSR6uYH6Zh1PQ71DPIpOO6QTz +cAq2jbrijr0OSB6u4PvMwyn4tsTCmwv/NUoeTsEBvdb5OPqaJA+nYM+SD30T +VF/Jwyk4407Zsm2/75Q8HK23VpfHLz6TCszDKXjbN6Bd0+kpkodTcM6e/ZPN +L8MlD6fg2RJjtMIHEgXzcLTeSjv+NtbNEszDKWguk3EudHmM5OEUHB8dn960 +Tbrk4RScerPK3xqNMwXzcArmVRtlu2WoQfJwCo5MM54vlmOSPJyCB13TcvLL +ZwLzcAXPzzxcwZh5OAVfdbjdftnVJME8nIJrZvX++PCBJzAPp2BaXa/QucX1 +wDycgsNLvo2dpWRIHk7BhQk4+Kp9ODAPp+Awj9/aReM+YB5OweCAdad+2VkE +83D0fmbVSU7tkCV5ONoPI9Zd3vQnRfJwdP33h1uNdvaWPJyCkbXOaUlP3YF5 +OAVX1Dxhd9GQIXk4BQOnXXtwMsBLMA+n4IV5od1KPjNLHk7BWbrzDs/2WATz +cHR9bVmZ9JYhgnm4gvlgHq5gzDwc/f5lmNpzj17ycBSP4nrvPtVbL3k4ynep +f0P7grdgHk7BehmFS+zbHgDMwyn4Mk/p3fzl/3g4ypcrVi5vviZV8nAKzo/o +XGJ0bAAwD6dgpXynGWMGJAvm4Qruh3k4iudR0Rkb0wySh6P5OXMxskGRg5KH +o/Va6+iNiUmRkodTcNDGfXYXd0ZJHk7BuCOB147MTJc8HOX/I5UW1NqYKXm4 +gusxD6dgbOsQU3y0XjAPR/mnUtMZG0+GA/NwCtq8WLh803wfycMpmFRuzvTw +Zj7APJyCGdtv/hp+M13ycAqGdHmutDsXL3k4BTe8v+ZzeUiqYB5OwQm9T5rT +Ak3APJyCl9Kbjkg/FCh5OAW7XpmL2ScyBfNwCv57eKRXZap/mYdT0Hbu9vkd +/QzAPFzB8zAPR+sjMsF23C0jMA+n4KL7ux8XH2iQPJyCbtvjXNc7pQjm4ej+ +P6RGfErxBubhKF52UGqMz44UzMNRPO3QJPxrq0DJw9H7a+4RurTyfmAejvZP +8c62u9q4A/Nw9D5c514/XzlJ8nD0PmOHagafcMnD0fOe1Jy2FjUD83AF9888 +HMX3y7WH5VcKljwcxcd26v4T7TMF83AK2o/yCtih9xfMwynYa/XqbNdwEzAP +V/B7zMPR/s/buuDaA5Pk4Qo+Zx6O7n9SETzybJ/k4aj+ynQ7JM57C+bhFHxc +6n2hmWvcJQ+noGXroPDK3dMlD0fr/XSt3nPHH5Q8nILxHScFvbHdB8zD0fv4 +lNS8xBgTMA+nYIOyvSduquMtebiC+2EejuJJr13n6+w4IHk4ev93sjN+rvAB +5uEU/JtT9dmUlhbJwyl4b/DsVVXtMgTzcAq+s3cFr54GycPR8/Xb7+TsliB5 +OMqXl8qMEzODgXm4guszD0f1b8Xw20NzQyQPp2D03YVvdq9NlTycgr9fV/rc +c5kRmIej+LN24/MdVQ9KHk7Bsf3rbN+0PkjycAreL3w97HChGMnDUb1ScvD1 +95HRwDycgiIh5bhzRaNgHo7qk5cho3fGpgrm4RQ8nLi9RdrgA5KHo/queYWN +LTFe8nC0PocEbB1RJ0jycAqW8UhuX7afDpiHU7B1fNmWH75HAfNwdL3Ag6su +xkVIHo72k5gjcsbvA+bhCuaDeTgFaz+YYOc4OVPycPR+VzeJbdD2kGAejuJl +N5eubiWzJA/3n3ixynLuc6zk4Qp+j3k4iu+6wY4z+6UC83BUD9zGrHYNdZKH +o/7kp2/r1id8gHk4BfNTsUKpwwZgHo72V1TzJnd6p0oejuJXznx1dvt4ycPR +/t2e3/B1rzjBPJyCD8zenV6GpUoeTsGOsfXE9+1xgnk4BT/Y503/p7sXMA+n +YCaqHoMiDZKHU9CpxZ0q39oZgHk4qge/7PzsvC9KMA+n4Prbixo02hYiebj/ +U4/8l4ej+t9S2/F4Sx/Jwyn45unYTX2+WyQPp2DJkdMrzf5gBObhFOw7urXv +mnspgnm4gt9jHo7if0ujGD8yXDAPp2CXiZ2CbSrECebh6H23FJfzlxwUzMMp +OCVq9Q0t5KDk4RR89jp4WowhVPJwlC/7lPnHzX2v5OGofvMt+32ATQAwD0f1 +atvm0U7uXpKHo3oOOwe07R4DzMNRPbhiudfcowmSh1Mwq2OZCzcXREsejuJv +ZunYErQ/mYcreB7m4aj+uXrceeOTJGAejurxZjWrbvurlzxcwfeZh6PPqzsX +Wdg+TvJwCv55k1y0U0NvycMpOKTb7YYzJ1gkD0f95rAGRdvlBADzcApmj1mx +8dAAf8nDKdhn+5wfOcYkycMVXI95OHp/O6lM7aIXzMPRemu4avC8AQclD6dg +6Ufd/9mRvhuYh6P5S5pcc101neThFLzp/dGzx6QwYB6u4PeZhysYMw9H/Snu +XXrwiB8wD6dgUNzJ1cuKmYB5OOrH+p4b/OqPAZiHo/g0pU0b+GaRPBzlm38H +ffzado/k4RQ0PitfZk+aOzAPR/Xb5T95lTKzJA9H9cWFS9kRpdME83AKpn+4 +kalW1Ekejp4vaIkYnWiUPFzB/TIPp2DV6iVSm/dwB+bhqF4OHFWt+IYEYB6O ++m9zw5KTn5gkD1fw98zDUb0SlXr98i43ycNRvHHfU+rOtkRgHo7yhfuyr/+U +SwLm4RQM829Q/PF4HTAPR/ll+ajao6zRkoejeLgiqdiMY2GCeTiKH6VWWbq3 +Oih5OAW3dFtZuEmlXcA8HF2/54o+m0YmSh6O5rv0guMNfx6QPBzV40Eeujnf +UiQPp+BnF23LRPQRzMMp6Px0dpMSe2IlD1fwfMzD0fw3iFw4ZOoBycNR/2Hn +GpxZwkfycAq6Dz18d1lAvOThaP1u0Xq9PJQoeTjKX8+tZmGvkzwc1eOj86Oy +DWmCeTiqxz7veHj6Vrrk4RQc2Hne/GHO/pKHUzAmusex5y33SR6O9uOR36NL +nYiTPJyCTZ3LzLHuShDMw1H8cV9cbLKWLpiHK3ge5uGo/vVcLCYMTBXMw1E8 +9t1hc4zyP/NwBd9nHu7/1CP/5eEov5rsIuq4RUseju730NCT7cv6Sh6Onsfw +6FGpU2bJw1G9tK1f6B6ndME8HNVfS6L7XV1hAebh/s//R/7Lwym4Mnxb/0N9 +/8fDKVh/TgW8lR8LzMMp+O1pu6nHTiVJHo72f2tr7ULrzIJ5uILfYx6uYMw8 +HOVLu+lTY8pESB6u4HM+n63isateazaNTRV8PlvFjDjnaQudqT/87/lsFd08 +YvwjP+qBz2er///v+Xy2iubNA2o+yToo+Hx2wed8PlvF9x21QsVPHxR8PltF +1by7sumZCfh8toqtZ9Ya/TXVCHw+W8UB/p+LXemaKvh8toqLRi6q/fdSCvD5 +bBV9qyWWvTsqBPh8topaXJHYhyUtgs9nq3jiyVrb2lqG4PPZKi68UqTrqQo+ +gs9nq/jgprmF/YAAweezVZz/622ZbiV8gc9nq+iwFCxrToQAn88ueB4+n61i +g7ZBeS2mUX747/lsFU9mGS+Vu50u+Hy2ih7rw88P3Zos+Hy2ihUudDw0tXqc +4PPZKo7MGNI1uIMe+Hy2ilt0Iy93vOUv+Hy2isevfY3JGegt+Hx2wfX5fLaK +G4qGNdGEAfh8too3tVaLqx06IPh8toqmw5+q/4nIFHw+W8W8FUkj4yIPCT6f +rWKtsxE39vyMEXw+W8XV4e7NTh7eK/h8toqLJ12whKTqBZ/PVrHmwNzeZy2x +gs9nqzi8y8zX3WPiBJ/PVnHerIEuWfuMgs9nq3i5+snYFacCBZ/PVnHbjEIt +mrdPAj6fTfdb98xFtYW74PPZKs5+vN+aNtlN8PlsFbttntuxcuF44PPZKtq3 +dzw2aIxZ8PlsFZ1/Xhxw0SNW8PlsWp8zRhTdXv+w4PPZBfPF57NVrOPuePZF +OX/g89kq/m4272qvK6GCz2eruLXrt9KO1WIFn88u+Hs+n63i2oSLug7/pAk+ +n63irYjtPSHfJNhXomJW+QVLex3xFOwrKfh79pWoOD6z0Zub8QGCfSUqBlqG +vMlPiQf2lajYeYSTxbjPDOwrUXFf8Mj34S30gn0lKqZ1OKtr9sxLsK+k4PfZ +V6Ji9z7FDZ86eQv2ldB+8526oR/Vj+wrUXFowhDviCERgn0lKkZ9trm4ZUQ4 +sK9ExezsEiO1UcnAvhIVy+9O61u+mxnYV6IiJHz9fa5ypGBfiYpdFoQYIrr5 +C/aVqLjfrbhjmWYRwL4SFYM29sgtaR8o2FdScL/sK1Fx6qa9j9yqpgv2lRR8 +zr4SFR23NJ0z4kaGYF+Jikti5rQZ0coI7CtR8aN/zuutxxMF+0pUjE8cubJz +to9gX4mKLZr8nHxpdrRgX4mKRz73t69E+Y99JSp6JjfR766WLNhXQu+n2NnL +x8cnC/aV0Py5pHQamK4D9pWoePhhK+O6demCfSUq9rB26rzPnC7YV1Jw/+wr +oXizsPvez209BftKVAzPi9js1yxMsK9ExfTWy1qpvjpgXwm9j0adFlb3zhDs +K1Gx8h3b9zv+GgX7SlQs82OpdzktRbCvRMVOe5f/ajQpANhXUnB99pVQvOmV +2ra2Y5BgX4mKPxNfp8Y5RQD7SlQc1ObLn6iWfsC+EhWL7hhu7KAkCfaV0H7+ +0G/Enu86YF+JimM/3D8c/a8HsK+E9ndSRbF8tU6wr0TFVxOdXIPtfIF9JRSf +xoR/f1lIJ9hXUnB/7CtR0b1B1zKfN5mAfSUULx3sQ+9ZQgT7Suj7dkl95g/O +EuwrUTG62O1zLptiBPtKVEx2PDdt0zijYF8Jzc8N11zH0EjBvhK635LrhtW5 +FivYV6JiPcuqE4tGRAL7SlTsqf81+ua5AMG+EhVfrGowac7dRGBfiYrLmtV6 +W75LpGBfScH9s69ExcLHijVo2CZOsK9ERcufryH/ftED+0pUPLr+QcLhHmmC +fSUqNvqlPYyqkSDYV0K/p/6eNuVapGBfScHvs6+Eru8w6M/wlQcE+0pof7t1 +HXo7Olqwr0TF9Qv3OCgOqYJ9JSret47Nj7mpF+wrUfHCuinLyzRPEOwrKfh9 +9pWoeHX3kPU5HdME+0ooH0V169x4uRHYV6Ki0+0anV07mIF9JbReVgYGPS5i +AvaVqKirVcmz/cK9gn0lKvqXHHH28bYswb4SFW/Pd/l8cZtBsK+k4PrsK6H8 +mfxPjQVOhwX7SlQ0JC/3MDU0APtKqD6YM650uWM+wL4SFf16l3FRxqcI9pUU +/B77Sihe1F3aJPl9nGBfCa3ft4tPuipRwL4Sqhc+1KrX1D9dsK9Exdrt9lcY +8C5VsK+E3s+hOj0P2OwR7CtRcVN4gza2OQZgX4mKualq2rh20cC+EhXnlhZR +Q23CBftKCu6HfSUq6ss7139R3h/YV6Jiwo0SWs3ysYJ9JZRPf/jWalknQ7Cv +hOqPD61Cxk4KE+wrUVHE3fLueOOAYF+JimfPjLcGdEoR7CtRccHKUTPCfmYJ +9pWomFipzHWHn0bBvhKq5xpWq9jSLRzYV6Lin+pL5kFeqGBfiYrWWTV3vdN5 +C/aVqLg0otNWtw6hwL4SFa+7rGy4d3kksK9ExZb2DSuWsKQI9pWouNPjSc7F +mkbBvpKC52dfiYpPykxz6XouWbCvRMW+pmrt941KF+wrKfg++0pU7ONw5XfA +hVTBvhJ6XmNQryJ2McC+EhX3BB4fcH6rRbCvRMWIb68rtpwTBOwroXzuudz7 +zAF/wb4SFU9HpI906WgB9pUUXI99JQVj9pWoOLq06UPr736CfSVUL0wLvB8e +cUiwr6Tg++wrKRizr0TF5/Nrru1aMUuwr0TFgT196l+ergf2laj4+UuVqI9V +fYB9JSpi/FdP3wqxwL4S2s+nu/+5MCcE2FeiYlLa9HtznkYB+0po/j4sKnk9 +21ewr4Tqr+N3LpWeaBHsK6H9UUh1PL82U7CvRMWX4PR4XNtMwb4SFR+NX+of +8TRZsK9ExapNLt/zHmQG9pWoGHMWPp8uYhHsK1Fx0qgtYzoYPAT7SlSc6Xd+ +SvyHSMG+EhXLvnu84N+BiYJ9JQXzwb4SqteHBt3JywgB9pUUfM6+EhUH2z2p +1cZ0QLCvpOBz9pWouPtwYEePynrBvhKq93b3mnat4mHBvhIVw4I25b4+aAb2 +lVC8N9t8yZkWD+wroee9/8LUZGIgsK+E1m/F6UMnhyUJ9pXQ/Pqcq/5wbapg +XwmNqxULmbDNCOwrKbgf9pXQeqtd1MNtiZdgX4mKByyf7T97Jgn2lai4PGFo +H+fwdMG+koK/Z1+JihPPd/sYUiNDsK9ExTXbqv5zorE/sK/k/8Sz//pKVDwT +fnjX0HQvYF8J1Tszp8y6V9co2FdS8H32laiY0rubWwtDDLCvRMXS82rAsuJe +gn0lBd9nX4mKV1zetL0+bjewr0TFVrMcH9cakC7YV1LwffaVqNhmsU+r13Y6 +wb4Sym/Dalfb0DJFsK+k4PvsK1Hx+7B+aD8zQLCvhOLFraZX1q01C/aVqNhx +zIDYJx9TBPtKVGySf+Jzq3kpgn0lNJ/7a1bcOSlBsK+E6s1/Dz7ImxwN7Cuh +frHa1quNloYD+0pU3Jhx+2qdqcHAvhLaf796VHDzjgP2lVD/UWtoreDU3YJ9 +JQX3y74Sqg+3h96yP2cS7CtR8W3pNxXeJwUK9pWo2D7FvTn6+wn2lag4btqr +NcXupwr2lVD+LB5Y8XZSlmBfCe3nNzOd/wQFCvaVUH83bXu2XU6cYF+JisVH +B91bcCVZsK9Exbuvpyx9nKcT7Cuh/tPRZu/CYomCfSUF98u+EhVLLpp7tu1u +nWBfCdWzkZdX9A+PBfaVqLgidmutHxVSBftKKB4uXTCp9M1IYF+Jiv8+itw8 +0StRsK/k/8Sz//pKVCw1r3fv5KxQwb6S/9T7a1a3zY4G9pVQ/TR2yuffX70E ++0qo/7l95Mmtm1mCfSUUvzuXnl3tmy+wr4TyT4OlUxtQPcC+EspXoav+nH2u +F+wrKbg++0pU/OFZbJZT8xTBvhKKN11PN/nSPl6wr4R+7/PvQrX8jYJ9JbS/ +XoeJV646wb4S6geCsotsXJ4l2FdC8WDhEY9xpdyAfSUqXrwyrs7QFhbBvhJa +/9kRK55W8AL2lagY0HxKr7qLPQT7SlRcV+7+YPd0H2BfyX/6a+Otykq0YF+J +ii6jLtsUiYgF9pWoOOzit7rHakUB+0qoHt/k3vfVTz2wr4Ti4/4+19u8TwT2 +lVD9XHTu11OjdMC+Etq/HTf2Df4YA+wrofoqMTDMtnEIsK+E+uGF+QlXDpuB +fSVUT/XzcTM0jgb2lVD/1vXqiinN9cC+EupvFth2Dq2cAuwrUbFclYiEPm/0 +wL4SqjfjHFvrfczAvhIVQ2u8bus8QQfsK6H4+O1JtStjkoF9JdSfPmud3Ccr +EthXouL5c5f1G9YagH0lKub/vlwpZkIksK+E+qWyI4bsy08A9pWomGecO/Dc +/UBgX4mKdkOyPTLjdcC+EhXf9E6a27dsNLCvhOL/420OjcfvA/aVUH32aNJA +20g9sK+E7m9STrSjXxKwr4TmJ91lp20PC7CvRMX+83Y0nvAxAthXomKHGy17 +N3W3APtK6PM6xe6H3DcD+0poPUz3nJ7bVg/sK6H4d3W861EvHbCvhPqXndvn +/QiKAvaVqLj5ZzP/J8ujgX0lKu799XHnUlszsK+E6vFzV/usuhAJ7Cuh+cip +diWoRziwr4TWZ8LXedU/7AH2lVD/mNq4nG1fC7CvhPL/0Pmp6VR/sq9ExSor +i/uuemkE9pVQP20Iv7kl0QLsK1HR7fUXx1yPeGBfCa3nnpMW3R1uBvaVqPhs ++e+d4+pGA/tKVGze717U2rHRwL4Sqk//pNVJ2mwE9pWoqE183m/WagOwr4TW +V0WPubOm+gD7SlTsNkG/sqy/H7CvhPrvD/Y+D0yxwL4SFb3mn955L1AH7Cuh +/jf3epTrDj2wr4Ty/Yh6N/PsooB9JdRvt/8673WaDthXQvntZrXw8RTf2FdC +8aN/VO+L9vHAvhIVv5awHO/RLg7YV0LP33vMu21T9gP7Sqi/vWdcNmthMrCv +hN7PjPzezQN0wL4SWs+Vi1753jkV2Fei4uK4HusO2sYD+0qov7o5semq6lHA +vhLazy4xPtbLccC+EhXvvaq0OaVLDLCvhPrf2JfXW/zRAftKqJ7M/HLn+jcL +sK9EReVj8pvOXkZgXwnFY+PRO/EfvIF9JSoOPXeqQd9UC7CvhPoVm6DEWuNi +gX0lVD95O74LfWUG9pVQfzM4/4Rz3xRgXwn1M9smnKl2PxbYV6Liyh+Xdlzc +GALsK6H7rdgF7JYnAvtK6PMZxTauSjAB+0ro/pTlb87e1wH7Sii/vN1Qt8aG +KGBfiYqV3vRNKfrDBOwrUfHEptx3PvlBwL4S6pe2lugVuSgV2FdC9XXlH47j +R4YA+0pUbOj08uaxd3pgX4mKXe+O8n7ZIQXYV0Lx4a7tgD79w4B9JSqmDZgZ +V+KXGdhXQvt/z8BeMdEBwL4SFQ9V+VXG+XgSsK+E1l/rZyOd7kYA+0ro99Vi +HxveSgX2ldD9eS6//KBtHLCvhPrFZeU61ftqAfaVqNjYX22b2t4I7Cuh+X24 +paNDHT2wr4T6v7px/mWD9cC+Epq/yscj6o0PA/aV/Kde//S9XBczsK9ExWYv +O23Q7w8H9pXQ98sMvujokwjsK1Fxl9/LzhuqW4B9JZTfHBPGeK2OBfaVqFjC +MNn5z70AYF+JimPeXm3iUFsH7CuheHag5oyxr1KAfSVUn3zvsenA5URgXwnV +L7pxZodiCcC+EhV3eLyFAbkWYF+JiqvPP9oR0skM7CtR8V37iXNPTAkE9pXQ +eoZCtjeGBAD7Sqj+aFhj0bP34cC+EhV18xPWBbqGA/tK6H21TI3+t7IR2FdC +/YjObVW70UnAvhK6/2dJbvUvxAD7Smj+N9abYPvOCOwrofjo8cRld4VIYF8J +zX8566OnnjHAvhIVMyu5dEo9HgzsK1ExeXWvcpuPRQH7Suj3TvaboB+iB/aV +0PPgMZt522KBfSUUj/Wdst7siwX2lVC9nWDwPf9TB+wrofWjltrS8oMe2FdC +9SPkDS+0SQfsK6H1Xzpzq12FCGBfiYqzdwWnVkyNAfaVqFhsdOa5UlPNwL4S +Wq/DZjt9uZoE7CtRMbwHOOJuC7CvhPrXvs+2O81KBPaVULzbegpb9gsH9pWo +eG2/XyPHzpHAvhIVlyRMWXI3Pw7YV6LiU/udjkfGRgH7Sqi/GLD09+P1UcC+ +EhW7T2tw4X4xE7CvhOqNyuZhwZ1jgX0lKo7wqrGn3OhQYF8JrY/qr5+27pgA +7Cuh+dLlLS5mMAP7SqieuFHf590jA7CvhPqxt64TPKL0wL4Sqm+SnLsuzY0F +9pWoGOj7d51xeSqwr0TFq+uPucx8mgDsK6H9WbZJlQ/jLMC+EtrPXrOuDm4U +BewroXg8M2fyDdsYYF8J1YcXah16MDQa2FdC9S34ti/ROgXYV6JixdYhxU/0 +0QP7SlS8NbvX/FEhMcC+Etq/vnmTvW7EAPtKVDxp7SCiT/kC+0qo/vKZWsXx +tx+wr4T6vdojdkS20gH7SlSc3nDer0X0PthXQvWL3bagCU1igX0lFM+37rwR +hXHAvhLan/N+PHG2NwH7Sqg+6bLSpsXzOGBfiYpLb1+psT09CdhXQvkr4t9e +5WqZgH0lFI9vrRoX+ywB2FdC/eyvu8sPNIwF9pWoCNrZvXtK6oB9JSpe7ubc +21UfDewrofU1W8EffkZgX4mKvXsF7Og3WQfsK6H1dW30js7hMcC+Elqfy9O+ +P1ATgH0lNH9jiyU/+pUI7CtRMbXo3NZeOSnAvhKqJ/Z9ialmpwf2lVA/FD1r +SM4EM7CvhPqZwZGrtqUkAPtKqJ/Ya7YvtDka2FdC+Xf641kXK8QA+0qo3vp5 +46ZnIxOwr4Ty+4Oq0TPWWYB9JSoOP7D2VFV7A7CvhPqpkc6nag7TA/tKqD46 +OvVdZcUX2FdC832lYRebrGhgXwnFh+yW26JOGIF9JVT/LfMzbrkRDewrof2/ +JGpapeUhwL4SFWd18hl++7MB2Feioo1t4NylnRKBfSV0v6uK5K4dbAD2lVD8 +K7PYe+aTZGBfiYp7Mm0mbfBPBvaVUH3sv+awn20csK+E+tkmVz0dIyzAvhLq +hyKnhXXZEAPsK6HnTbf58WBtOLCvhPrjsG+Pz0+IAvaVUHz2HO38TyUdsK+E +4qPhRMqJ3SZgXwn1n38Hfmn4IxbYV0LrtzYUuxFmBPaVqLhq+hq/+icigX0l +tL88Nmw+9DYC2FdC+bpq1vgaDeKAfSUU/+39xlo6moB9JSpmNzo9uPJYP2Bf +CdWD6RFjCi8yA/tKaD9ev3i7in8MsK9ExQWzj/iNOm4E9pXQ+nVuctE8MAbY +V6Lipc/zn7YebwL2ldD+7DW9dtmqOmBfiYqvbY3d4j7FA/tKqN8t9S59f1cT +sK+E8llksSKXX+qAfSX0vCeHhAx3tQD7SlQ0d/TsfviFGdhXQvnh2YujjzZT +PPuvr0TFIgG1zn8s4wPsK6H6cfKRMtvsAoB9JSoGJzr9fusXCewrofgVvuqI +2/ZAYF+JihkBXa5ZlpqAfSUq+rT/Hfd8XgKwr4T6r+D73x4ejwH2lag4Z/XW +sSEPfIB9JSp2erBywceeemBfCa2n4aePr7pgAvaVqDgo7cn0QtvjgX0lKr61 +251ds7YZ2FdC8+XdNvtA11hgX4mKMY1mLd5fPRTYV0L9QIKpavNC7sC+EhVn +HC///lkVHbCvRMXO+5zqj0ozAPtKaP1vuX/gim0ksK+E6v9+JetVWRsL7CtR +8dfXbaX/E6/YV0L1hvhbckppA7CvhPJB0SDz5PpBwL4Sqidfpzd+2T8a2FdC +6zv00MXW05KAfSUqDpnS5wg8iwf2lVD/8NC5r8MlE7CvhOoNh5/VHjnpgH0l +lH9mDihfY0kcsK+E1vtN49FS3fTAvhIV6xeP+1jdMRDYV0L7y1Ly3s+W0cC+ +EhWfvMldeeZgDLCvRMW/Yed3f6X1yL4SFSOO6g/3am4C9pWoOKlkxp2Fv32A +fSXUf+70uXghJwLYV6JijUUzc0aM8Qb2ldB+mlvSL5/yH/tKVHQ9vsa7ZH8D +sK9ExRsp3YrbXbQA+0ro+vVWlexXNBjYV0L5uftRtWivFGBfCa2/Pc9C6vcN +AfaVUH3hcD3CfawZ2FdC/SM4e83aaAD2laho2y23UeqeVGBfiYpHdEd8He0s +wL4SFb+sCToyt2IksK+E3vemeNcGmhHYV6KisV3vxo9+xAD7Smi//0n70LhI +ILCvhOLBTo9H9u2DgH0ltL5F+LmGSwzAvhLKf6e2Vj4+OBjYV0L1TVER0eCx +F7CvhOq95T+KdymtB/aV0PO0quPeZGMcsK+E8nFj91E7Y4KBfSUqri27vVz4 +8RBgXwnVQy+rJVXfnADsK6F+8mNWzbQDXsC+ElrvK73U8AuxwL4SFR3nV6k8 +Yp4F2Fei4vdFcQlTfOOAfSV0P9W7fqrTJRXYV0L107Oqg+8O1AP7SlQc8Kv6 +kpR0E7CvhPJHZPCF155mYF8J7ae9JbQxA83AvhKqf5Z+PevSIQLYV0L7vfn4 +k/XnmYF9JfQ8tt8fT22TAuwrUbF6SbdBeW2SgX0llI/O5s05sDEa2FdC8/t9 +4uxKLjpgX4mKt0vc7Rs3cz+wr4T6h0rZU8uuCQf2lagoCg33cT0fAuwrof56 +IRQJ2e4D7Cuh+NjtSoUxA0KBfSUUb5JrlXG9YAD2lagYtDex8NRlMcC+Esr3 +z3T9rlB9yr4SFft0/PEyMNwI7Cuh9Z16Oi1kayCwr4T217zvu6f21QH7SlRs +ajrhuthbD+wrofrnbJ8K3v5xwL4Sih+Jm9+VXhcL7Cuh/e7dYvzScj7AvhK6 +3xOzfceXjAH2lVD9MKSH2+fuwcC+EuqfjX5VZvXzAvaVUD92/UIX8914YF+J +ih3t+w59eiMY2FdC9W5o+/eT4+OAfSVUH7cY3L7iUj2wr0TFOyOuzfk03QLs +K6HPH553eDzGDOwrUbHXs495kGsE9pVQ/d2r9fyxx/XAvhIVC7f3OVo/xwLs +K1Fx/tcyqxYnUTz7r69ExWOY9yzGzgDsK6H9+Nuh2qCEVGBfCcUv/29Pm2n+ +wL4Syu8zvOuKfAOwr0TFKU4/HzQ6bQD2lVA9kNzj+8pbUcC+EsrH919tCp2o +B/aVqFj3R6uH3X8bgH0lKt49n+w6pacPsK+E+u/KI7zHDkoE9pXQfprUP3ZG +rhnYV0L1S6cds/TVYoB9JSoO9kv5gA5BwL4SFd1H12w5/1AssK9ExWqiaobo +GA7sK1Hx+oy52HF+KLCvhOLDvzmWcYfigH0lKg5LWWSJH5sI7Cuh93+w4cBR +K3yAfSV0vQWhd7/diwf2ldD+r+C0t3fDQGBfCb2vD59L1vdIAvaVqPjmTgX/ +rNoGYF+JiuvtHCv7u/oB+0pUbJW/3MbvfRQwD6fhu1WPu/WvZgTm4TScs2tB +x5G/4oF5OA2fly1ftlqbaMnDaVh64ZJws28gMA+n4QXb2f/mVQ0F5uE0nO5p +W/XoKTMwD6dhnbyP3+3qxgDzcBqmF3Ys/va5WfJwGorS7w72zvWRPJyGzp9G +NZ4wPhKYh9NwbYS/TevQaMnDabgvTFwKNe2XPJyG4bsnzrmfGALMw2l4t9WP +/r09dcA8nIblkk9eHhdkAObhNDR9Tdu2abMemIfT8OPjeuHx0RGSh6PfW5+y +0z1HL3k4DWfvdtu9tEwMMA+n4br4SV83nEoE5uE0TPL5OqaBiAbm4TQ8fK+M +3+VG0cA8nIY+U5/2vNk2DpiH07Be1QO9H/RIlDychr/u6wbYtY0H5uE0VHp9 +2pO7Jg6Yh9Pw6eYBtQ5XMgDzcBoeGzJZbTU+RPJwGr52eOxqP9cEzMNpOPJM +j5wuJ4KBeTgNz9v2P5pVPxqYh9PQa5i3Q1MbT2Aejp53wK4BFZrogXk4DWe8 +6uO6zj0CmIej+SuVfe/dwhhgHk7D4gGBCwcP9Qfm4TSMP+kcd76TDpiH0zAh +d33Fpk/jgHk4DX+3NLtsGWmSPJyGV4Y/XXp9uBGYh9Nwd8b1Fo4Xw4B5OA0/ +zbW51H1tguThNAyp9m7zzJdmYB5OQzynvv0NocA8HH1/wIm/vQ9ZgHk4DfVX +J/5+oU8A5uE07NC4rjU/Wy95OFpPPw5cqLXXCMzDafjyR0W7axsDgXk4DSOD +ExtWG5sMzMNpODe3wqDnuz2BeTgN81NW9M8/mAjMw2lYY3/mxhqz44B5OA3n +7ZuwYsy7aGAeTsNNPqHGbZtMwDychsG73z6LKW2SPJyGef4uTlVLxEoejtZT +j1HD7GvFAfNwGja1+Vlqzt84YB6O5jcv4Ibv9ShgHk7Ds+vrp3asawDm4TS8 +eeucq3tCAjAPp6Hr+jI7cgMMwDychiNelCr0dqNR8nC0HlYfnT2D+inm4TRs +++G1al/cX/JwGv7TYWvOzuxwYB5Ow4dhrT5umRoFzMNpmHarwZYq5WMlD6dh +nwu+1VwrpgLzcBraW7e39XkeA8zDafjt40C7kXaRwDycRvV1UusOX92BeTgN +b7S8Mjv+uwGYh9NwUaG9xsbtYiUPR3+/e32DYWNMwDychhNv7/jxlfov5uE0 +DCh+NbcCxW/m4TS0mg6+XEnvl3k4DS1FDkaEFk8F5uE0LBvzcbfzzHhgHo72 +w7LgPYH3zZKH0/CHeU7/fk8twDychicmZE/ZEmEC5uE0jKs4v36m5gvMw2lY +tOpLl4bBYcA8nIbPnng9+bIoHJiH07DXz3s+M4zxkoej93HL0Gj8KQMwD6dh +A29l2tpLUcA8nIabuyyYMjYrAJiHo/XcesvqrxlhkofT8OjuPe+31YoG5uE0 +3PJuqN+yP3HAPJyGA/NvVdnZN0zycBq+8n9wPGeATvJwtJ72/Dtp5cxAYB5O +w3bxMxosiDdJHk7DFZ+Pfv9WJknycPR7H74P/vzCB5iHo3i643xv/ReD5OE0 +HLd+xy/b+t7APJyGFUKfBvV6HADMw2l46ZV9vYB/dJKH09DtjiHIaDYA83A0 +f5MnPWv0OAKYh9Ow8cSFa26kGoB5OA03Hrfp6LvQCMzD0f66Ur7l2udJwDyc +hqkjVn/q7BICzMNpeGTW1u1Rq//Hw2k4bezXoy9GxQHzcHS9984HvoQFSh5O +w/4965+9288IzMNpuLNpmTHFUAfMw1F8PKWbf6ZJBDAPR/mgVpUiJ9v7Sh6O +8te758cr6cOBeTjaD4UCfl700APzcBqOHte6WqBBD8zDUb6d/M9OOxszMA9H +629hz6wjuyKBeTjaD/m+3V9S/8w8HMVPsPd79jEQmIejePSjX5g+WQ/Mw2n4 +2H912rpwHTAPp2HN+jNvbqiVAMzDafg9vvSugBGJwDychk5lpinX3iRKHo7y +Z+T1Z0tXGSQPR/l2eHCmz5BoycPRfvu99lqpOfHAPJyGd8wfPjffagTm4ej+ +SxYZ/HJ4CjAPp+Gj6tq69+vCgXk42o8DzVu8vVOAeTgNr889vG2GW7jk4Sh+ +J3mYJ4zWSR5Ow882I28PeJAAzMNpuDK4SY/HJeOBeTgNI5qtMb19YQDm4TTM +2PTygctVo+Th6PdXXU8Jco8G5uGo3sFoz09LU4F5OA37ZszVwVEzMA9H+WF+ +xXNVHMySh9MwKqdJw7VFEiQPp2GVT/6WDrODgXk4DX/evGHzcr0FmIfTULVW +L6I7Z5I8HO3HxBjVPCIMmIej/NJqZG/nSRZgHo7ikb7Hmw02scA8HOX77XmX +LtSLBebh6HpHaswN+KYD5uE03P9l075Kg+KAeTgNvW/tqNMx0wjMw2mole3X +qlrfOMnDaRg048jZNiIWmIej+6lwsI++rT8wD0fxKfmfPbO2e0seTsMHYzqv +uXLHJHk4Df/9d2GpmFHJwDychjYZ/9x/HRYAzMPR/W9s/+LylTBgHo7W16T5 +bwxjE4B5OA0PLX0499fBcGAejuLb7M41eljjgXk4mr9tB0vnPdQB83AUH64m +dSj+NkzycFRPNXebmLPADMzDafjhemLEhYkJkofTcED223oD0hOBeTjKJy2b ++n3MMkoeTsNqlbcuvWdD8ey/PBzth0Dn+d9PRAPzcPR+g8+sbbhbD8zDaVir +YVX3HtnBwDychsuz++dUtI8B5uFo/Y6snJ/bxgzMw1F+HJHp0uirEZiH0zDr +aHb25Po6ycNRfHd9N3RnxSTJw2m4rV3ZT17efpKHo8+vH/q0aXGQ5OHoenYz +OsWa4iQPp+Gwd7pbD2x1wDwcxY+kVR2jKoQD83C0/5elX9k1ej8wD0fxZ/3B +1NxjemAejuojY8b5Clf1wDychqX62EYPD/aXPBytr8mX+1buHAvMw1F+cP4+ +3fowBZiHo3y7YM2xYYY4YB6O6oVp90MztWRgHk7DJaYvXzzqxALzcBpee7t1 +2NLIVMnDadgkbHGd4otigXk4ivdxn0sPXRAIzMNRfOhS1rHWP0HAPBzFj1El +X5xMjgfm4ai+nfqkg1+zEGAeTsMuGTZbqzaJkTychudqrKg7cGaM5OHo/X0f +3dRaOUnycLQ/Vjr4tylhAebhNPR7NrDv/Z3xwDychpc9Koybnx8oeTiaX/v8 +Bj0qpgDzcBou+FineaPgSGAeTkNb75xvA1ubgHk4yi/O1RMm60zAPBzlI12v +jZv+NQHzcBS/RXpJ1+oRwDychu8vTkkabLJIHo7y22OfoTt6xQDzcBrOvxo1 +IynfKHk4qk9+LYr45BQLzMPR/KUP6r/DagTm4TQc5dD05SJjmOThNGz/e/Pt +y1XSgHk4iif1Lhfb3SsWmIejej79fX2bjQGSh6N8vTzc25KRAMzDadj5VPH7 +Z9oYgHk46kfyarb6UywZmIfT0Li42dXFsTHAPJyGKUuan7ndPg6Yh6N+aYgl +o9shIzAPR/VTzEQxsFIyMA9H9YWpVfyAg1HAPBzVMzMqb3d/mgLMw9F+/afc +63c/dcA8nIZvkubDlyXhkofTcMOPHT+LWT0kD0fx5edBa2DRGMnDaehS9tTe +L45Bkoej9TGz6KMRPiZgHo7md9+Ow8POhgLzcLT/S+PC9UNMwDwc1dvln+06 +mGYE5uGoP9x+pJlxnwWYh6P3fXSqS2JLMzAPp2GPF4vtB/8TBczDaf85D1Ji +Xj09MA+nYQury4kqVN8zD0f387LGS8838cA8nIbHt7R8XuZTMjAPpyFUW/1z +4c1AYB6O1kfDFWdL1DAB83CUj7dUtJnnGArMw9Hni+r26aKkSB6Onn9Sz98L +/1iAeTgNC5kyts7oliB5OA1vX9zXPtInDZiHo/5qfb1DoyvGAvNwFL8rFhoz +e1o0MA+nYfcQ/d4nP8ySh6P1d+P+6p+booF5OA2HnP+RdWlzEjAPp+HXNstW ++AyIlzychmElg3ou7hkDzMNpWKxq1JEJSxOAeThan9lXVwHVx8zDUTxaPrHu +1r8pwDwc1Zupixp8qxgNzMPR+p7+2amCOQ6Yh9Ow+dxd970cLMA83H/qz/x2 +kTo/YB6O8ofpTYzrXn/Jw9H7P1Vk9PpaycA8HK2fVuNSd3mGSB6O1veiYvqA +HinAPBzVD4MaaH2LGyUPR/lRWfo7MNAEzMPR+9o+yHmo8JI8nIazfpzcqstM +kjwc7fftTV/bhMdJHo7235Gkbs72EZKH07Bjp5drSvhYJA+n4dbxZescvBor +eTgNh2dd61bBLVrycHS9bp7FZosAycNRvVrFs1yZkEDJw1E9Oi7DbvGQ//Fw +FH++L5m4uk6S5OHo78/7uc15HyZ5OKqHmp13nr3AJHk4Wj8+VdvONARJHo7q +0x39+686Gid5OOrHHHctfuIfLXk4DTsNd3jS6kOy5OE01K3zX7xxjFHycJTf +dW9mVDyulzwcxffcPa2SqV9nHk7DkoPTa/x6mix5OMpfAdsGbdvnL3k4Daf3 +HDtBqRkteTgNtz/1aF6m7/94OA0dH+kz9vYNkTychl9W1NfZXouSPBzNx+/j +Dzvc0kkejuLHtpjtaw7FSB5Ow3tTJjk9s4mSPBzVg/65J+pdjJc8HK2nzo3y +BtonSh6O8ueMhg751lTJw1F9/3nC/KwHJsnD0fUXLL1eMilV8nAaHjTd9LJp +kSx5OMofK7sVG3g0RfJw1D8/vzi5XjWd5OEo/25vuV43Mk7ycBpOaHauyOl3 +BsnDaTg+u/nEl2Exkoej+nfyx7HtrHrJw2l4vvDHG20XmiQPR9dfbJ21dlKC +5OE0HBP9sW6DcC/Jw9F8rBl/v56nr+ThNMw+a3fpdz9/ycNpOLfvoEON/HSS +h9PQo1X/tZGFoyQPp2H9AYWdRnU0SR5Ow+QduTPmhOkkD6dh8ZZvs10aBEse +TsOcU77Oq+unSR6O5nvRnFo35pglD6dh20H3zhctFy15OHr+8ova2PiZJQ9H +8eZmizv3J0dJHo7q4fM5xdc2TZE8HM1nzX7TvIamSR5Ow3q/vw9dfTZB8nAa +hhaf6vRhiEHycLQ/YgdOmFwyUfJwtD5rRydObZcoeTjq7z8ml9SmJEgejuL1 +6Ebru4zRSx5OQ//FDoUc9iZLHo7iVfrWMxGNUiQPR+ujuX+vltOTJQ+n4ch5 +A6bFbAqQPBzFb2uP8oYQs+ThKH/AlqEDzImSh6N8eHLAEcftZsnDafi225qw +6VEWycNp+LDM+ICMbKPk4TS8Oqr7hrpVjJKH03DHjZLLI95FSx5Owz23jq3Y +0ClJ8nAaLnuxdmByfozk4ah/cI2589RilDychvfPDGnQI8IkeTiqv+o3/WZf +xiJ5OMqPji1b9FYNkoej9VHL+CAlJ07ycBpW/BiXWrGnSfJwGvYyu6WOPBcj +eTgN2wxz2XfXJkHycBpWTR95odxfi+ThKP7+3bUqulqK5OE0fLGq37PDZRIl +D6fhzGMf+ryfbZY8HNUjy3NzZ472lTwcxdeJ96e3qRkseTgN/0QvchtI/QHz +cBrGnnw6rAO9b+bhKP78OrU5eFqg5OE0nLf7gm3u/HjJw1H+2xZXoVINo+Th +NCx8K/j54mvxkofTsPKAsX6j9KGSh6P8a4nw2qDGSx6O9oPXO8v63ybJw1F9 +Xv9bpdr/4Xv/y8NpeMzoYbv6w/94OFr/Dx4YbtdOlDychtV72D667hMseTiK +F0/GT9WOmiQPR/l9U7M/WfPiJA+n4d8+w/N8VoRJHo7yYfKTLu41kiUPR/u1 +nt323x1iJA9H188MGZHxM0bycBpWaNZ6R88acZKHo/XU8HlyD4rXzMNRPzV0 +bdUwx2jJw1H/+2J2l9axCZKHo/mYtLSUA+V/5uE0rJTl6+rdOkHycBomtrp0 +yDFLL3k4DY+6bb3W7V245OGov9h0cnSDkgbJw9F6Wnzy+RovveThNDTMm15+ +eIVAycNp+Lx4/lqHEp6Sh6N+0btBjkOFdMnD0XwUyn/0dI6X5OE0XLnl0bNK +FF+Zh6P6ItktduuAWMnDUf0fWCpcOR8jeTgNFzvvCF2xJ0nycNTPT49RZzqZ +JQ9Hz6s4BzkUTpY8HMULW99lNSKiJQ9H/c6+wUcyp6RKHk7DhVv6bSjvpJc8 +HO3vnYdC+570lzwc9Zttrsy5mmqQPJyGXcf4exVuoZM8HNVLS5wPfn/lK3k4 +6rdyu9VJXxMteTjt/583YB5Ow0xL6JRfyf/j4TR0mLhs0LtKMZKHo3zx4NDm +dhGhkoejeLy/2L7ei0MlD6fh+vzUyEGnEiQPp2HpyPNbunaIlTwc7efjQb2X ++1kkD0frd7b9iKLfLZKHo/U+qefSA4nJkoejfmx0Yc/iI9MkD0f7td+iSmfv +GyQPR/ly0JQ9cb2jJQ9Hv3fHL6BdTKrk4ah+DUhx3jr0fzwczV//UNtya5Il +D0f5cfXRSU1PmiUPR+v5XrDdI4pfzMNpaD/MJsg52Sx5OHq/t56VPRpuljwc +xZMU+13dPc2Sh9PwRPLeJWep/2QeTsPy08cP6vs0XvJw1A8cfHbtUtlwycNp +OGXj8zV/gv0kD0f1ZsOanr9X6SQPp+Eg7/SV25pZJA+n4YF3vaOcf0VLHk7D +z11LVXOItUgejvLnvH5//hwLljwcXd/+TP9KqT6Sh9MQj0ZPd9sUL3k4Wr+T +O/XyPGCSPBz17+42exb3i5c8HNULP30LXRn5Px5OQ/f1pZeumhMreTjq/8vZ +3Bty1Ch5OKrHkpqlrG9sljychnebp1ZTPhskD6dh3qjYa2/uJ0oeTsMrQ/Oz +i60xSx5Ow21tXyf22GyWPJyGv5Y4Pc/d9T8ejp438fqLOx+jJQ9H821Xpern +YZGSh6P48KPG7jONLZKH0zDgTxfYMiVc8nBUzzZPnOI3Il7ycNQvBRhNf1Sz +5OGoXt6e65l1K1XycBp227v9/rZZkZKHo356s4NxWtlkycNp+KTnhml92kdJ +Ho7W5+duy+sNjpU8nIaBa1P7H+vxPx6O4t+HR9rph3rJw1F98D3lvkfdOMnD +/ef/G1Pdv85JkDwc1SNfSrbqcjtJ8nAaBn96WzlvYIbk4ej+P16IHtQ8SfJw +GjYsnutafVm45OHo/Xisvr8kPULycFTPTJ02a8zzWMnDUbzt//7FyncmycPR +/lve7eiwKL3k4Wg/3d6XHvEoSfJwlB9a1DjY3y1e8nC0ni7NfOjeK13ycFTv +BYz3Xk31DPNw9P12VhvnsBDJw2l4sd3Jg4MXGCUPR+tjied45ZlB8nAaTqyR +O6nqpCDJw2lYYv5VW1s7veThqP9qOHzOF+q/mYejfJdSanifcTGSh9Ow6Afo +GV5CL3k4ul7zqy9XtNRJHo7iQcavr8pug+ThNDSt7Ru68neC5OEo3g1L77/Q +O1bycLSe9mc/zLbVSR5Ow995jRzaRKRIHk7DLQ6Lhrno4yQPp+GMM4WPl98e +I3k46j/07tPSQ2MkD0fx3+Zs8ZX9YiUPR/F3Wq02D/ZZJA9Hz1fdYXV8G6Pk +4ageM/it/hoULXk4Dfs57agwYkGS5OEo/9V53/1hcX/Jw2n4+szV3HfzEyQP +R/1W3PhxXnd0kofT8PHJgJRomxDJw2m4ZvS6SaceGSQPp+Fs/6xWU1NNkofT +cNf5jfFVriRKHo76gTHes0XJcMnDabh58Uw/z/qxkoejerXH+sIhZovk4aif +CFzRcPzLOMnDaWiebM0esFIneThar4/rtEn7mCp5OA1Fxc2V695PlTwc/f7M +U8l25WIlD6fhtFlVNq3PjpM8nIaefcv0vVgsQ/JwtN/r9nnncDJO8nAa1u6b +W67DK5Pk4TRM2lWhvcNZs+ThaH+0G77yxqFoycNRvbHvYP2E7jGSh6N6oPqY +r/W6pUoejvrdgOvpsafjJA9H9zPt85xXyv94OMqvva+e2J5jkDwc1YMdJs04 +9lAneTjaD3uiekTmJ0oejuKdOaP59VCL5OHoej+qJE8wWCQPp2GrYt9bv8mN +ljychr4Xczc0qZUueTian8mlQiofjpc8HMWD1f5LFtUIlzwc9U9OB2Zo39Ml +D0f5RWubMNyolzwc5c8Gpc40oPfNPJyGW3v3ORlC+Zp5ONqPVao93tomVfJw +1C99ctjbZUqU5OFoPS68vvb0HYvk4ag+vlNyuuOMRMnDaRi2r/zrBrl6ycNp +mFuryrLvpyIlD0f55V3tPvb5RsnDaRg91/B3gFOS5OHo/nwrOBTvmyZ5OA3v +2A2bsa5hzP9r6r7DorqaOADbFUusiIjRqKjYscYaG1FANBgL9hLEKLaIsSb2 +BhZQUAGpAtJBeh0YmoBiQcSCGuwFW7JnFRVRvklmfPbzv33ucvfec0+Zs77P +b8XD/Vuv/OQws+tZYA9H87fLzFP6708DezhaP9/vd/CvHwfs4TQYUivv0ft+ +J8TDUX3h3dc/p88x8XC0v0qpf2/w4HRgD0fPN3zBP1Yd4oA9nK5eYg9Hz/dp +4a5lZbHAHk6DFdtv9e+1JgbYw1H9u9z7n9gEd/FwGjzwxtpzbL0g8XC0PlRN +yVUdc4E9nO787OHo+bqYfgmaFy8ejurBnPJZNxJ8xcPp3s8ejurB7n3vbV95 +FtjD/ft8n3g1fpIG7OGo/27qn12QGAPs4XR/zx6O6oG1h7c1+iZCPBzVR81M +3F3cMoA9HO13zLZMNTySAOzhNPhzbM7Lqa/TgD0crU8rF519k+0hHo7qJesW +3vu3xwF7OA3Wm3H3r2HXPIA93L/7vU1uBg3SgD0czfej99aqvJ0oHo7mP/Nf +WlT19AH2cFRPn8HRJnae4uFo/Z0blpDc8TSwh9Og3V7/Nl8axAN7ONoPrPaz +MNKcAfZwVM+/f2VR1fKoeDgNGo8e2uFEQLB4OA1WwtOoK3digT0cXc+TDa0a +PPIQD6fBc7O6Ro/UjwL2cBqs82VejvPcGGAPp8GhoQ8W1r/mCezhqD+4/r34 ++Jsw8XC0/4p+f+L8rmBgD6drf/ZwVP+bLPnJcLyfeDjaX3efPCpxSSCwh9Pg +h4Pb5wx9mA7s4TSY1/Z1l1LfVGAPR/2x1tMDfe1TgT2cBi13tAsZ7Y3AHk73 +eezhaP2tfWzNjrfxwB6O9nutalnFloSKh9O9nz0cja+Of5Q3uOMG7OE0OMek +TWJijyBgD6d7P3s4Da4x9hniMTYL2MPpjrOH02CVxYPJxq0SgT2cBp2z9zvW +mxcP7OFoPjmz+9Xsqz7AHo7qFXur+d5mqcAe7t//n33+04OaE+Lh6Pxvem2N +f+skHk6Dv697qhq/iAb2cDT+N4zZfWR4ArCHo/ntSNat/KHZwB5Od33s4TTY +yGGlZY86gcAeTuGCKM3WtEfxkg+nsMWB4ClPotIlH07pfj/nPw+ncPGjlp77 +zgUCeziFGy7smP+ddYR4OIWDX8Q2nhYUCezhFI7X3HXYmpYh+XC687GHU5hg +Vc+j1DZGPJzCXe/muja0+ZoPp7DIZPzElJJkYA+n0LvoxYkmK2KBPZzufOzh +dK/ZwynUzzxX671NsOTDKQysXXI7/YmT5MMptMuo0+q4czCwh1M4oebW3Tc0 +f7OH052PPZxCwwFOS06NzZZ8OIXTOvqp+Odf8+EU7m/u8+diPU/Jh1OY6mT5 +vsWRQMmH052PPZzCjTs+HPCMTpN8OIWm0x3dAj/HSj6cwqmn+gWfdE4F9nAK +86YeWlrd/auHU7hzVqMjc9dmST6cwgPhOxzcg9MlH05hy6Ipa6Lxaz4cff6T +oKLEAJB8ON31sIdT2NHOwXGknb/kw+mOs4dT2MPPJvPkQDfxcAotG8Wd3W4f +IflwCpt7NLo9zDRR8uF0f88eTuHdosPJp/5IkHw4hQ4v1xvMsHOXfDiFXS0j +lvr5hQB7OIVD9pR/bGV/XPLhqH+96es6b0ua5MMpvLP67OYhBumSD6fwdL3H +DYau8BcPp9Br5KAlcCoW2MMprG9R2MjOO03y4RSWGRnWTPrDU/LhFNbNtI3y +tvIUD6dwuMn8Wlc7+kk+nMLRBzPNjIrSJB9Od3/s4RSa3OpSZ0xOKrCHU3ho +2tKHE8/GSj4cnd/ly8NOXzyAPZzCcptZBg/NQ4A9nMLi5QPj/DBV8uGof1x1 +87vbOV3y4RRWZgUa1tQNAPZw1L8Le5hcsUyWfDjd9bCHU1iRNv/QrfMe4uF0 +x9nDKfz9XOT2QYddgT2cwh9+b7yjweFUYA+ncK2Rdd5CixjxcApTRkY6LvIJ +Ew+nOx97OIUx9fQ7Tw84LflwNL+cyTDaO89VPJzC741TzGKbJkk+nMIGmtj+ +IdYx4uGof8w2CV75PFLy4Wg+CgkqmHTgLLCHU9io/Zpg3zbxkg+ncL1P8zb7 +lxwVD6fwo2Pp+cRID8mHU/gu5YFv85co+XAKXXYHrs8yTpJ8ON31s4dT6BTX +IN46zlM8HH0+PPep3yhGPByNzxs5ZYlWQeLhFF4PjXhX9ns8sIdTODuyqW3j +5cGSD6cwenF15suNXz2cwteTrFLK7iQCeziFWc/Ch3glRko+nMI/N6y9Vbrx +rOTDKZzc0PBJ+NxEyYdTWOXpkPAqP148nMLN74fu+v5Bing4Gg+L1KHKRScl +H053f+zh6PqbXtH4FoSIh6P+bXW3+e1DPpIPp/Dl9xWfhwWHAXs4haifkdQ9 +JAXYwyk0chsRMOXWMcmHU3izQcvd8xvnAHs4hXue1J976H2w5MMpHDex/4zh +sb7AHk5hh43DrPJpf8AeTmGroXYHPyfGiYdTWG/hPYRuyZIPp3B53fyuOV0D +xcMpbLZ3tFNY2wDJh1PoEfUh5I1RtuTDKVzTJzHU8EWs5MMpnHhh4EEPo+PA +Ho763/TLC47ph0s+nK592MPR64N3nRz9z4qHU3imqse09b3cgD0c9f/hW0cV +9E2SfDiaX9PMXBJnZwF7OIV+I6Yca988SPLhqL18551/uSpMPJzCny2mhfXa +myX5cAoziu68m2MVKx5OYfDtAhuL2CzJh1NoMGFLsv2EJPFwuutlD0f9bfSp +axqfCMmHU+j6YXia3Sdv8XC697OH071mD0fjxe6P0p6tQsXDKVwd07d+l7ex +wB5O4d7TVcEdjM4AeziFrbtf+Dhz8knxcNQ/jzT70XyDh+TD0f0em3y4/c4U +yYej+cchyfr8thxgD6fQZ0jo7NC+buLhFNYMuBMxdXcmsIej8fRrn1umK3KA +PZzCHcVjDN0X50o+nEIYMc+0dUoMsIej9dhs5pBJNXHi4RTGWd4/u+c3X2AP +R+tB5YmGW45GSj6cwi1eLsO6DgkSD0ftP/em1nCPv+TD0eeV7m040CAY2MMp +HNPIcsiPL5OAPZzCF3pPXs56ny35cAqfWjft3cspG9jDKYxaei14x7oUYA+n +0GL7zU53PALFwyl0tvHoWdggSfLhqN74YVa1WUyE5MMpPP7k+h/rj8aIh1PY ++fS4p4YdQyQfju53tHOZ0fzTkg+n8A94+zJsSZbkwylceaj0Q/tTUcAejvp7 +wr3P/6zKlnw43fNnD6cwPGGEw9adx8TD6Y6zh1N4NnVBh/BWx8XDKfx119oP +455ESz4czQ/mI9tm7AFgD6cw5PGFdh9+D5J8OIVzP7Rr0CI6XDyc7vzs4RQu +LQyNqD52RvLhFGa/npjRPT4B2MNRfXN+77Ci1ETJh1P49qGa7bjYFdjDKUxy +X9yoZE+C5MPR/IVzN67uGQns4Wh9t9bzCzvmJh6O1rvFy//O3RgC7OF018Me +juqRSwP+bF3vlOTDKbwUalZuXpEJ7OFo/RhUM97xhr94ON3fs4fTvWYPR/PD +9TfuozZnAXs4ha/O6hs/WOEO7OGoHkmIbFvzTziwh6Pxb+V489DtOPFwNJ6S +Pn/uvjpS8uGonvnzu0dbRhyTfDiFhTsLH9et8Jd8OFof39bcXJN9RvLhaD46 +NCtu4ABfyYej9jbXn/rrTAD2cDQ/9vxpYaQCyYej9d57p0HAMH/Jh1MYP7Ha +bkLD45IPp/CB9YjLwUvjJB9OYZdaTey/2e4sHk7hb9P7nv/xfBawh1PYzuDt +R5s5rsAeTuGT9H1GX4LSxMPp2os9nMIBbsfn6y9Nl3w4Wn+tZ3e6EOgvHk7h +1smfO0Q9jBEPR/3bIu7KqFxvYA+n8PxBr7WvkmKAPRzNN3rDy4f1SJV8OIX2 +taKbNn4eKh5O4bOPpXq9Z2QBezjd9bCH071mD0f16GCnc/reseLhFAZ9tBue +2xwlH476l1GzF/XDsoE9nELbFcMHrLIIl3w4eh6dDhtvGpsD7OFofCw6nVr8 +2UU8HI0nm3eDTacHAHs46s+btxcdeRgh+XAKNUZzA7NnxwJ7OGrvBX/MWT09 +B9jDUb3pcXPj0uwkyYdTqNcgdKHRh3RgD6fwynav0S59/YA9HM13s29vMwnP +lnw4hQ2bWj1vssdPPJxCY7sWzYvGx4uHo/q8Z9Kw3cUpkg+ncOiaa6cWzTks +Hk5hWKtDG9x+SZV8OGr/jY/fLloKkg+nsFfSAHc1NVry4Wg+WW7zpO2NJPFw +NP+PbH265H6W5MPR+XtX11l6KxfYw1H9VOzSImxfgHg4et4HBhsMq3AXD0f1 +hXn0mmspYcAejuqbRbGhMxf7Sz6cwk8vHOoOKE8E9nC0H+zkkzCr2F08HPWn +K6c6lznkSD4c1evPns4+cKlQ8uGoPvyxR0J8y1zJh6P98MyL5UstsiQfjuZT +59GmmtNx4uFoPfC54XRg63HJh6P9a/8bxgOCsyUfTuGSWwdOGv/qJR6Onk9e +7s2f68RIPty/+5e109+F5wJ7OGo/P8+7zmbBkg+nsP+7Z91GdYwTD0f1e3Wn +26ZVQeLhqP0MbedutsgB9nA0nu1+v5mbkiAejtpzUXXkgg05wB6O+mPWXwVX +npyVfDhd/2cPp3DU0bFFJ6qSJB9Od5w9HO3Pfr7gfXBEJLCHU5hWL8fBr1uo +5MMp/BxZvmxth0TJh1M4cuIPY5tRvcweTuHAVRfr3EwKkXw4Gv92rTVJeomS +D6f7PPZw1H7mj+5bf8qUfDiFUypntkrKyJB8OIX5v751H7MzC9jD0fi/pmlW +1idB8uFofOT1exT++rR4OIW/dOsbYRKWJ/lwCgPsPdbZ7MsF9nC6z2cPR/NH +evsb09zzgD0ctffGSlPTqBjxcNRePfuFnnuCwB6O6ocKf4+NyxKAPZzCD22C +qqY2TwD2cLS/m/B4S5XNCfFwtB4OOLbQpZG7eDiqR8wiLo32SwP2cAqVzdQq +H98zwB6O6mn7xMoVlUni4Wg9sPHt98OeQGAP93/fj/zn4ah9fJr1jk5PA/Zw +1J5Lch+O6x8nHo7q7UMjArrMjAH2cArXdW5p98imENjDKez4pqOBuyYK2MNR +/3THl5MvJwJ7ON3nsYej591tQbu3JxKBPZzC3ckwvuOEM8AejvY/fSfbxA5M +Eg9H++1VHZd8npgL7OEU5nSYVueUZTawh6Px+6yxr3d3APZw1L86WXiazMkD +9nAK72m+W7Ld5bB4OIVmi01tPRzTxcPR85621eHHJtHAHk5hz9PXIrvaOwN7 +OIVjh//tceTFaWAPR/Viod6uO+PPiIdTmNxqRX6LfvnAHo76/zKP0LhBgcAe +Tnf/7OEUHt19dfUZqv/Zw+mOs4ej9c9dX996bjawh6P+uvVbk5/+igX2cArb +OtSqHtAyFdjD6f6ePZzuNXs4ur6y166r0mLEw1G9MfkF3LSIBfZwCntcqj86 +NroQ2MNR/fjAJMojLg/Yw9F+7ueWl87/Fi4eTnd+9nBUf2RbXs2YHQ/s4RT6 +hj81G0bzIXs4qqde371uuCsO2MMpNCmDa1ZX04E9nMLuiZaX06bEAHs4qu+y +q8sqrWPFwynchsUlgeMR2MPR+YsfnrfomiweTnc97OHoedYZ2kNvIQB7OIWx +rr1qbH9IBvZwCid9Wm/RJN5LPJzCWQ9q/bBGLxfYwymc/l2zFsGzkoA9nO78 +7OFo/xBg8Dnp+wxgD0f7s1HXu4+wChYPp1D7Te2Wl73SxMPRePm9W58p/ifE +wynsF1i/7r71IB5OYUlEwuXMZSeBPRzVBwHmFx+NjRcPpzA1c1KQp2GaeDia +P750Mll5Oko8HI3fak3uWruv+XC662UPR/vvzEt/VtoGAns43XH2cP9+3xXX +dH5SDrCH+7/ve//zcLTfmvPleth7D/FwuuPs4Wh+CjJZ86gkC9jDKaya06P7 +zqoY8XDU/2bYteq0/6uHo3oo1vnG2L/PAHs43fnYw9H6POvzcO3aOGAPR/X7 +z52nnmuZB+zhdO9nD0f1Z1bsp9RG2cAeTmHz/SG9ncakAHs4hdW3Mnd0/lQE +7OF0f88eTuH4id6TrJLTxcPR/PlWv0PzH2KAPZzCyI/GYTfP5gF7ONpv2eTk +LEtPBvZwCk+svLlv/8A48XC0fs6Nf/RtdCawh1OYovmj397BWcAeTqHHjed2 +JVsigD2cwqwNw0NizROBPZzu+tjD0fgZG3c34nG0eDiFTc1rdoVS/2QPR/Op +tt711yPjxMPR/uvzjcMzZ50H9nBUD04p/SHTI1M8HK03E1u3si8AYA+n8NrN +HcGj4pOBPZzCNhu3xkfqXwH2cDTfztqUlFXrArCHo+dXVDbDLSMX2MPR+mLs +c3M51Qvs4aie2OzWOa9BBrCH090Peziab/qvdrNvGwzs4eh8Ga5DJq0rAvZw +Cod9Hl1ivyhYPJzCbxtdWP7BJAfYwyk0NXhThipWPJxCx4KNlWfrxwF7ONo/ +u42bnT/oIrCHo/qj9Uunnt1SgT2cwr51DD4tHhkL7OEU3gjyvLc5+ziwh1P4 +04zzbcuuegN7ONr/du2TdWu7L7CH090Peziq9727r2ra/pB4OGpfj10n6u+J +APZwtD69czNv7hUoHk7himE117aOixcPpzsfezjqPxUP36eMKQb2cLQeBy1S ++fWzgT0cPZ9w21U3V2eIh6P6b5376Ir8fGAPR/P7ucPqaGo8sIf7v+97//Nw +NH8U1Xx52s1VPJzCY3/fi/7YJgnYw9F8UzLGy/x6ArCHo/6p39VlzJvTwB6O +nsedytphc7OAPZzu/OzhFP78bOOsyvGxwB5Od5w9HK1f5V6Ldh2MEg9H9VKX +5U+dp3/Nh1N48oe3eY8HxouHUzjPwbjrbdccYA9H9eAjx18L9l4B9nAKy39d +fuqcVx6wh6P96Z49zxb+dVQ8nMLcl/O6bBqdDezh/u/73v88nO41eziFSZ4R +2/r/FSkejsbbztrBhQtjxMNRf3IKW9usYTSwh9P9PXs4uv9vAy5PwXxgD6fQ +deHQcZPbRAB7ONqfdHl64e60rx5O4alLZnPahKQBeziFs0PS0+wdLgF7OJoP +12cHlLoWA3s4heZ9zVblbYkF9nDU/zs1u1E3KwvYw9H4MOzhHNwmUjwcHff9 +ssQ1Lk48nMLJ962PfD8pF9jDKXw1IHLd3eZxwB6Orl+vYVn8q2zxcAr/qXwx +PbVPmHg4hXsfznkTPSdMPBzdf2jxM/NDqeLhFK4adduzeOhRYA9H9ZPpg29n +DgRgD0fP47BHmnlYMrCHU3jw4MNNv5ZlA3s4hQ26mS5P6X9EPJzCx91+s23d +67h4ONrf9Ow8+7eKJPFwtN7brzxkO6wY2MMp3Of94+C2dH/s4Wh9toBmI6wD +xMMp3Bj9eq+eWYx4ON3zYw+nsE+nDQO3bSgG9nAKO1Xb7KmyTwb2cFQvPO73 +qHfXcGAPR/PVlOp+F5qeA/ZwCid+arLd7WIRsIej9dDcodH5yDRgD6fwTdj1 +no+NI4E9nEL/VPv+L8ZcBfZwCjfVBJUEbvAUD6dwWUmvcFOzLPFwCiO++Vxx +f00RsIej+mZn/vLdkVHAHu7/vu/9z8MpfLRp6u626wqAPZzCzLEv7kxoUQrs +4Wi9vDepJMA2WzwctVf7zC+NU9KAPRzth7ud/LbflCvAHo7mix6/5Jo6ZQB7 +ONof+fU68GXfVWAPp3D+1o/7K8bniIdTuLX+oNlXr6J4OIUL9I4s2LujSDyc +wiG7G59styhHPJzCtfErvo3OKAT2cArdn/a+Muu3r/lwNN+NPtpgh3MysIej +9uzkEXHlSAqwh6P6Ga0HtC7PBfZwdD+XO9qG30gB9nAKLf21r0/ZegB7OBpv +89vOP1onUTycQuMtN65XBF8UD0ftWTnNO94rHdjDKTz8wqWlleFXD0f1QoLT +shp1HtjD0fWexVsmXUqBPZzC20FmXtV0nD0czR/mns3GZN0G9nD0+WNX4l/N +ioA9HNW/tdIyWp7MBvZwCsMM8vZufJAK7OHo9UgXx7012cAeTuHOF79NMr3k +C+zhaL1o71+3Z3KKeDiFBfverhwfHwjs4Wi8/ek8R7MjTzwc7b/0Io2WBl4F +9nC0v5z+uPbufzyBPZzCHWsGpK10zQX2cAq/NAnIMj2eLh6O6iHr714Oul4A +7OEUznwwY+ergljxcDRerw06Gb80Rjwc3e/Mk+22bsoUD0fr/yWXlm4e6cAe +TuGMHvPC69hmAXs4ai+rX5oFrcoQD6dwROiZL02czomHo/119IrfH5ReBvZw +NN/94hw4bl2IeDjdeGAPp1CzqVH5mqO5wB6O6u9JE/q4LssUD0fvn1NvBY5M +FQ9H9Uzc2t52ViXAHk7h8tAFb2f2CAH2cArXLGgdWuh/E9jD6T6PPRyN/7FF +f/xJz5M9HM0/xRudjE1KgT2cwsTr/aO6jMoH9nA03/VcPMe+X6x4OKoXhlzq +nGRaAuzhaP68c2hGaXyMeDiF+huedXM2ugns4ag95nv/1NU+TzwcvX7bd+mn +hGTxcDR/PTW3NF6aJh6O9sOdXA0NNCXi4RRa6MUHf/mQIx6Oxm9KjwP6emni +4RRWGg1ebmSWLR6O6qcFfSqONCsVD6cwaJJLO4PeV4E9HNXXDc4+N2vhLx5O +4aXnZY52heeAPZzCmk92jjD7IrCHo3pj87aKqLdJ4uEUDipp5hg77754OIU/ +9nXtZLa3WDwcPe9h999Y5VwXD6cwvWz7c6eZZcAeTmFt257lqdEXgD0c9e+f +7//ZqX+ZeDiqNwzM/+417o54OIUJA3tNCnOKkXw4hU+XLWqz9NUd8XAKY+x9 +puoXFIqHo/66sLBv2Jx7wB6Ontfh8P16m9PEwyl0vru52cq5xeLhFF5cZK7f +MeAvYA9H/a+51fXut5KBPRztj3cqgws5MeLh6Hl5NbHwPpcl+XAKby6s3djW +M1c8nMLgeov6fp6UL/lw9P4j3bY7fDwu+XAK13vNeXd0+z1gD6fQ7tQbvynG +JcAejuqleo53WkbdEw9H4zOlS/dpNl89HO3v1rw+0ejWQ/FwtB541Phu2FgM +7OEUurVfOmXLhVLxcAqbNB4xxnHZQ/FwChvmeLWb0K9cPBytz1cquvednCYe +ju63wxLHzo3KxMMpbHxqW1EX+zhgD0f7D70DRoGGnpIPR/Oba/upBnoPxcMp +rGjVes+ZdU/Ew9F6UvzO3NK3HNjDKfQtjehy7PZF8XB0vjEPBkUNShUPR/Xy +7DX9Oly5LB5O4ZEh+Xtufzwj+XB0/a/r5H2+4SP5cFTfeKasC1lbLB5OYe8x +O7v8NfUBsIej9/tecVjolCcejvZvIzzsT+67C+zhdPMDeziqZ+ePKnw04brk +w9H+P31q48xz98TDKbzT77sl5+8WiIej+stoXZeyVtni4Wh/rf/axuHpVfFw +Ci8MHvj9zPp/AXs4hef++bnud9tuiIej/fKKfQ59NrwB9nAKVb8F0XqTnwN7 +OOpPRlv0xzuViIej+jBm3T2PWs/Ew1H71gn3nH/3PrCHo/EXlTqpzavr4uGo +nvcx8vVscgvYw+nulz0cPf/lXp+Mhl6WfDjdcfZw1D+dFs7d0uac5MNRvdHx +2br1BZfFwync36tOo29tKoA9HD2PWbbx73q9Fg9H841PGeR+qRAPR/vzb4pX +jZ6eLx6O5teThjFf5pYBeziFXi6Hz3WoviL5cFoMt/ryvpO2QPLhtNh7hGXO +vYCn4uG0eMMj5Ptx5feAPZwWjyWnBfd0fiYeTotux1yS9Pc/kd9L1eKF9lXV ++hvKJR9Oiys6mfgtPnpDPJwWvSvPwAjXbMmH02JkxLFxR5MixMPR9WhSjc48 +0IqH0+LkRXHfu31Q4uG0aBm9xyPA8Z7kw2nxx2u+E8vzz0o+nBbHGq+4b2l3 +X34vVYu/Vk8u6X7qmng4LV7tPy7aNvCueDgtvi4YX1RRnC75cFpc/eCpRVW7 +SmAPp8VHe04OLn/7BNjDadE21nK8b/1P4uG0uL5D7+VGqYckH06Li9o9NNxc ++VA8nBY3Hth2eJzxB/FwWsyf/92ztS3fAns4LTYZd3VmZV2NeDgt+gQ8HFR7 +wEfxcFosNWlYPmnJR/FwWozbWrLn94Ln4uG06Le3YmRkn0fi4bQ43NgoraxI +iYfTYoZPbvPQffUy2MNpsfDTQOu4Bi/Fw2mxtdZ7Y9ZqJb+XqsWqzNXPtsY3 +zGAPp8XOHS8O37u9RH4vVYvPVpnc/9xKI7+XqsXQuuf1c0JeSz4ctd+58idh +f9fJYA+nxfsHY3Z3ia2SfDgt2t+aW3tASIMM9nBa3Pf3jFb3zbTi4bTYPbnU +curFGvFwWmx29Hrt2XZNM9jDabH81aiPi2e/lHw4Lb4M+nGy5/XaGezh6PyP +v0nf9p1hBns4Lda5HD4m01U/gz2cFl22/umUZHJVPJwWe70e3M10c8PR7OG0 +WH2/YNBJV/3R7OG0uHNK4rhbY+plsofTol3X+Mx2VvUyL138999b3f+PeP77 +L3XM19f/A2leaeo= + "], {{{}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" 1:eJwl2kMUIMqSBcDftm3b9mvbtm3btm3btm3btu2JPrOIU5ta1KaUeRM0aFuh TYD//e9/aUP973//xoAEIjBBCEowghOCkJj6v9CEISzhCE8EIhKJyEQhKtGI TgxiEovYxCEu8YhPAhKSiMQkISnJSE4KUpKK1KT5t27SkZ4MZCQTmclCVrKR @@ -128178,16 +165178,16 @@ oxzjOCc4ySlOc4aznOM8F7jIJS5zhatc4zo3uMktbnOHu9zjPg94yCMe84Sn POM5L3jJK17zhre84z0f+MgnPvOFr3zjOz/4yS9+84e//Av1BiAggQhMEIIS jOCEICShCE0YwhKO8EQgIpGITBSiEo3oxCAmsYhNHOISj/gkICGJSEwSkpKM 5KQgJalITZpQ/59b/j8pdrn2 - "]]}, { - Hue[0.9060679774997897, 0.6, 0.6], - Directive[ - PointSize[ - NCache[ - Rational[1, 360], 0.002777777777777778]], - AbsoluteThickness[1.6], - GrayLevel[0]], - LineBox[CompressedData[" -1:eJwN00OCIAgCALDaadu2bdu2bdu2bdu2bdu2bXtzyBOSoGG7im3/FxAQkCpU + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJwN00OCIAgCALDaadu2bdu2bdu2bdu2bdu2bXtzyBOSoGG7im3/FxAQkCZU QEA60pOBjGQiM1nISjayk4Oc5CI3echLPvJTgIIUojBFKEoxilOCkpSiNGUo SznKU4GKVKIyVahKNapTg5rUojZ1qEs96tOAhjSiMU1oSjOa04KWtKI1bWhL O9rTgY50ojNd6Eo3utODnvSiN33oSz/6M4CBDGIwQxjKMIYzgpGMYjRjGMs4 @@ -128275,23 +165275,1679 @@ AAc5xGGOcJRjHOcEJznFac5wlnOc5wIXucRlrnCVa1znBje5xW3ucJd73OcB D3nEY57wlGc85wUvecVr3vCWd7znAx/5xGe+8JVvfOcHP/nFb/7wl38ERPWf /whEYIIQlGAEJwQhCUVowhCWcIQnAhGJRGSiEJVoRCcGMYlFbOIQl3jEJwEJ SURikpCUZCQnBSlJRWrSkJZ0pCcDGclEZrKQlWxkJwc5yUVu8pCXfOSnAAUp -RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== - "]]}, { - Hue[0.1421359549995791, 0.6, 0.6], - Directive[ - PointSize[ - NCache[ - Rational[1, 360], 0.002777777777777778]], - AbsoluteThickness[1.6], - GrayLevel[0], - Dashing[{Small, Small}]], - LineBox[{5722, 5723}]}}}], {{}, {}}}, { - DisplayFunction -> Identity, DisplayFunction -> Identity, AspectRatio -> +RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBjWpxf8B+PQ+yg== + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Hue[0.1421359549995791, 0.6, 0.6], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + GrayLevel[0], + Dashing[{Small, Small}]], + Line[{5724, 5725}]}, "Charting`Private`Tag#3"]}}], <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{-1.25, -0.85}, {-0.25, 1.15}}, + "Frame" -> {{True, True}, {True, True}}, + "AxesOrigin" -> {-0.8500000000000019, 0}, + "ImageSize" -> {140, 140/GoldenRatio}, "Axes" -> {False, False}, + "LabelStyle" -> Directive[ + GrayLevel[0], FontFamily -> "Times", FontSize -> 10], + "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + GrayLevel[0], + Dashing[{Small, Small}]], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + GrayLevel[0]], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, "Primitives" -> {{{}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJw0mnk0Vt/bhw1JRQMVSUgZEoW+RSVuc5KQJKWIUEkiGYqiRJIiRGVKyFAy +z8M2z/M8z1Q45zw0h3r3b63z/mVd63mc5+zpvj97rUvY4oaBFQsTE9NeDiam +//197M8s/IOFQDIrpuau6W+E/2d55qXp//G1qS29NawEGs9+z3P1Ai+cUJIJ +eLWCQJGTzoI1jfwgHXZU8xobgb7PGNw4rLcduBimy0dWEmiwTvuVzJcd8FXL +OWsdO4EqykxcFbNEoSvm6bVRzIaeWk7Gabsg90/cjoxVBLrgduGH65AkvD5V +1Oe1mkCCJfZmoQrS4P6hI/D0GgKdHmCsMrSSBVO22aPiHATKuK+TY6DxHyib +svz7hRm2r5guVzwAO3L5cuo5CdQ1s0njja48rNggez1iLYEmzJU9pl0OwfQV +LRG7dQQyOsQJz/MVoLbMbADWE8gbyvdEbFSC5K0uQVwbCMS3I+82s5oy+Ds+ +OzaBufKfY/hFXxW40RjPlM1FoFfuI7pf+lThpGhxrg83gXLlxa1fyavDf/c6 +7Yw3EmhrdF+GXYwGbO6ZFd29iUAHJqbZLvMehZ/SrEOLmJ/OvJH2fKUFfb5b +Q5o2E+icRuG/XHFtKByTPR7Ng9dD+LgGZ/lxiDx8jMWBl0CpR2qa71w5AR7B +F/NVtxBIeoDdhplfD8znXOw38eHxn9+50GyrD+oaAeLTmI/fW88mbnASxKLe +DeduJVBWWHXHI0UDWPWz+MVjfgLphv5UY+w9BTN6XTom2/B8crAomIkZQmPi +HOseAQKJiP+I7Nh5Gj4yryj8i9krNeSkjrgRBJ7jv9kqiMezrHu4VuYM3Mzc +J/FWCM/XN80jmsrGYMipPeq4nUB3lnUVqk6fBTkr8zANYQKxs8xsVXc4B3wl +rrq8OwjUcul4SVmQCSzyBLJ9wSz/y2iVYsF5GLqRUFSwk0BMLDEDWZ8uAKot +cfQXIZCqpN3WXfxmECPcvdtUlEBF6GyH9u6L4HWHGJMWIxDaxyH7t+4iWHWs +eMUsTqB2nR+hKbbmcFRqm34H5oGNUU7G3BYg4f0fe/wuvN4ZFxT/FlgAx7B2 +ibMEgXScrphGWV8CUs7CSWs3Hm9NndbBzZbQGnBbaqskgaxlrGwbqi0h43Pg +xCzm7fo/Dp5xs4IQlcTXxVIEGh3cIDiwzxqcX6OTAXsINBW9/YkRYQ3GX7tX +me8lUMjNq1Rt8mU4rEOifdL4+QrsBbLXrsC2eDaXFTL481nibODeq/B3edve +bswcbl8UJ79dhVGj/VMJsgSKmo7slCqxgYrU4xG39xEoVOqR/1W/axC/6tKp +4//h83nsd8ers7bwyPzOGoH9BJKT385XLHkdrhY8LyMxi0//q2tnsoPjG5Nc +Sw8QSEvplmF/rx3ssS2VDpLD+2WrrFhH5g1YX9UzfUmeQIE7zI2GtexhXoCK +PHAQ79fCqt3H8+2hw3nlafZDBHokMVktLekA2S0CnH2Ykazm2JMoB3i560BF +8mECDT8xlzHbeBPu3Ne5465AIL/RMJukxzfhfP8lWd0jBPpZI6dpw+wISv+5 +fRZSJNDDWpfbMXccYbt/UPQ8Zu3TngW63x2BZSrJqEKJQNmN5sjB4RZMKpat +fQEEEnDhU1pD3YLq0N5Ka2UCmXoHzvHaOUEiRbkdVCGQ/foIz9ekE/hpsf+3 +RpVA/7iXU5/bO8P1GMGZAcwcNy/KLH91Br0/B2JS1Ah0d940d9DVBWRPnTD2 +UCdQjfzdP9L/XGDjB8v1JzUIdK9BMvWHjyt8X+FevUOTQItcNX4yG25Dz4Xg +u98wfz0Rpjr0+jbk5yTvrz6K61dU65MlsTsQvr58NkwL7/fFIP5nWXfg7pW+ +t1ePEejloZl3z9XcwKyMcVZBm0AzzM3jKzvdQGXrKq61xwmU1yX8kLByBxFH +odphzFs4skHjtzusbJTzSNMh0OcG1fq1z+7CZxFduQcnCGS36Wavpsg9qL9r +RZzSJRBLRpcgo/AefOh2jxPVI1CaytzJ1ac94Jl0iMlPzCN7t4sFUR5g7/ue +u06fQEu9E+JVGp5gMFZe9/okgXwuB5W5hnjC/sP9nrYGBFJO3lcwMeEJPMHz +8kqn8HmybZtl238ffs+uotYbEqjX1EFm5OF9GFDf/m4M8xg3h8WN7vtQHCl/ +IfM0no+//udydj2A6B+6m7yN8H5wGfia6fYA7utZNxidwfsvt5X9SssDuJR4 +98EuYwKxdR+xb9/pBRrMLw79wSzfOLXyq6sXiJ/7wGg4S6AAh0fxdc1esDqz +IiHyHK4P7h2iRqIPYZZjwPSGCYEueTqYv3R/CM2WC5tVzuPPqb+ygZ0PIa14 +dRP3BQIV8qx3UNzjDUE8wg8nMYdvl/wV7eMNt24cVMgxJRDJ1f4md9QbjGr1 +Fh6ZEciq3lX9oYIPHBS+nHT2IoHiWf2K2MJ8YOudexclzQn0Qd96XOGrDyy1 +v+BdxiwmYBkgpv8IhiVTmpstCNT4oSWiKuURlD6s9H5zCdevrbMTWzh9IXZo +4MhNSwINGZOK26/5grfc169qVgTaL83+cKDeFy4HrHm/2ZpAmgpnA7QlH8Ox +z8IWnzA7UssHr/s/BkmVQ3z5lwl0JmjFOTXyMax9rd/qdwX/vtLNhkZ9P6AW +Lj86f5VAa0ZVrFdn+UHbcQ+lvTb4/Mpbsv7mfQKZcaHf/2Hu6kJu4e5P4MVy +yoe2awRSF5BNZIw9AVejqkuxtrjfKfuZ/zrqD+dSB7c6XSfQTdVgz4yP/nBk +1bc2TTsCxX1bPSzI8xQEzTkeb7lBoLc/YwwU7z0FpoIdyjOYu/NX5K799BTG +uQ//LLQn0FqXtokA/WdQee3kx6cOBOqQj0yvKHgG7yqvWJndxPupdeFXomgA ++Ap4bpN1xP163CAIngeAjXNYB8stAjn0/Gf0aDkATrR89OvE3LLguU75QCBI +76pWeedEoBU+ASObbAOB6/7QLxdnArk8dlijGhsIX/u+pR5zIZB/1Qm3mv5A +6NrHeZnfFdfTaQ3BeO7nkPtkpyCBOcDdeaRf+zm8mjzcVXKbQHV/fiRf8XoO +booG/oF3CPQut89Wt+g5XAi9qmbhhudrTpLL//tzAMrzz3/uBDqU9d1LWDoI +dmi9TGe7SyCVtYfT110NghUxqVd6MP/o/vHwVGwQTP+uFkq6h+tfu8gsNRQE +tQbD3Xc8cB56m9sysSUYkt9/f6rjSaC5ukhBacNg8F+xVkPwPoH0Ukor2gKC +we6CyBKFmf35ivcNDcGgn6OQWfaAQJmvtEq3rQqBfetP2QR7Eai8wZqqVA+B +TVdshK0e4n7AsV+k8n4I/Cy93yvnTSBefk/trSgE+vheBazyIVBO5GbdmqUQ +KLyZptmPuWtjwdaGwy8gsqFm+f0jvN6DeyJEb78AD5GRrLu+BLp2QKqkO/cF +mN/9cU3vMR7voWu2wz9egFr32p3CfgSqGg0POiIXCqLSov0LmNuYbbbNO4UC +u++R55VP8PnWc/++mB0KX0ZPaYX6E8jJ+NbqCz9CofHQtX+Xn+J6/phU2yQf +Bh+DHuQcekYgqd0PAoVdwyBw9tV1jgACPTAt63+YHwY31dNFhjAPih5ap7QY +BoaRtQMfA/H8nXPgOaH4EuR+jAR5PifQ1cy54XSPl7BF7+cxgyA8P2jXKdvy +l/AnYR2zSDD+/5lIU3e2VzDIJJb3HXPTbTQ3pvUKSs4q3qgJIdDzJ4ufI/xf +QUyGodirFwRq2FKolNL6Crw4bIdsQgl0+6t5H/fm12Bl6RVyJAznr2rduKaz +r+Fo8evj614S6DLPgN9Q1GuQ4MlgGcXMCSauqpOvgeNGXX76KwJdcRI0XpII +B6Jm1N7rNd5f1XabOezDoWX7L/HT4QSS5HkebpcTDum314+IReD1FK7qElsO +h+B2sdBfmG/f0Uj9Tz0CnCWVTtRH4nzpf3TjiycRYPzw9IqIqP/lwcU57Y4I +ODxkW3g9GueHTH/x0/yRsE3u4U14QyCb5u0fMy9Fwt9n4RJcMbheJvdes/4Q +CaOfMkbHMZNjLcevf4+EcuX6sKy3BPIY33aoVikK4l6N6frE4v7KaBV08Y0C +n4VfbMZxBNq089en2+1RcOX4hmKJeNzfrrzyaN0WDcfjxG8tYnZ8kNN653I0 +7FlWkmx6h/MDm061a0Y0rDcyGo9KwP3t/Mnj9cvRMP/x+iv7RFyPzM/H54q8 +gQ52b33VJJxn4nN7MrXfQPbFCPZNyQRadW1vRp/9GwjLzyyZwsy2bt9uibA3 +cJu7wSn3PYEKDGQPvC1+AybXxqUef8D1w/plrfrkG1Cs/D1xLoVAv0db+zZw +xICQAFe41EcC9ZeqmK7cFwMszrsM/mIet9M7Lno2BiabYXVrKs7rRSah1z1j +oFr8TGlMGq6P41X7RxJiINHTzsUxHe9/rxn+Oy0x4NfnvVcjg0Cvk3eqKP2M +Adt9kVM8mThv1dW+khR6C7pPsiI+YzYp3MuvevQtyEw2nCrIIlBseULB/Rtv +gVtxYo1/NoE+Pfa6PhP2Fr69+FN2IQev7871Eu6lb6GH5LotnUug+lUvxuW+ +vIX8oxIyzHkE8uS/ELCVOxbC3yh/ascsGPdBRFwhFu7+PhMVl4/7vUF12HnL +WDAzuHHauQCvt+LMUMHTWFB578OpVUig0onTcyq5sbBzRVQFXxHOQxLqefOj +scB2IfvOLObYc4Rc/Zo4+JTdKFtc/L98+OhCw/44qFs3+flZCYHWp6oLfzeN +gw+XF6MvIpwnbYxcjj6Og2el3Gf2leJ+qjJjWJ4ZB/Z8u9etKCPQHk/JVKvh +ODC4qVLVhXlzr6qb7Op42N9g7J5QjvuhudFH0f3xwCNi/9/tCvz+rx4rqprF +wy/3RzPalbh+Wi4LPPKLh/6uqJhtVThvrmlV/5YdD0V7c4xJzKuOCCQ8HouH +qEdN60urCfSR4++ho2vfwf3RyernNQQ6+9V3QerQO7h0aOnupVrc39vaqg5b +vQONoI0HDtQRyGzmS6L983cgPrt7bmU9gXZNjwQ2F7+D1eqqsb2YI9vRTcOZ +dzAbcfZccgOurzuC1Vh4E6Dpuz2XeyPOf+8v/upRS4BUXd/aE034fpcs5dNl +nwDPE6I9hJrx89GvsT+RCeDIlCs3j5mZrGDSakgAo7PNRHkL/r1ov56iXwlw +MGMqLqSVQE+iNU3OiiXCVo5lE+s2fP8QojwFDBNh6dKmjQfb8XnKclVc/SAR +hosk61d3EIgy6vLelpYIpZvV7g9g1rT4pntmOBHe2p07mNKJ5yO0/Gk+ZxI8 +rHGg7nUR6Bbvbnk1hSSw3v74nX43vj9e41b+djUJtG6/ubCjh0BK7hcjG18m +gWR77qZvmN3Cfqg11iTBWsmWhqpe3L+vZ+36+iMJKK/pB2F9+P7E5aKsIpYM +bYPLh672E4j79xbPnNPJkHlg8/zhAQL999dh0MA7GV48k0rkHMTPP39Gmyc7 +GVw+qZkNY/ZNDy9hmkqGs8omPGlDOD//XbeXe/N7UHh1s+n+MO7PCfeeamu8 +B4GFxw9PjeD3dchuS3J6D0zHYxRER/F6erlR0u/ew3hs3sIPzElXQgcGu99D +5VJLUu0Yvt9y1vmlsX+Ad6c/XXw9ju/bRR3zyQc/gO/Hv7y2Eziv7rrF1nj1 +A9iw87QoThJo2dyqYGP4B9C5uMdn/RS+jwyasT1o/AB789UVxzBvtuUb4Pn7 +ATZwn/+WMY3z9FENmXbpFFiwcXz/8BPer2whvzPNU6Crws/C6DOBZKMzJYuC +UyB321u+XV9wfrfTK5ypSoFXTvmtvzF/3rshEn6lgFtz66OGGTz+xfCqgt0f +4YL4Z6XIWfx9g1CJ8xc+Anj++243R6DVXG+KdwZ+BOE+nhRlAtdrpzPOXBUf +gXXfXktuEq+/kLGW6I+PMOWnwT+Jefs6hV1mEqlQM3G+PZsi0LG3oWvQ+VRI +PnLr8SMGgS5G/J1QD0wF/xdPlM/OE+iUwIokRkUq2JFvf+5ewP3q3QHd8p+p +oH+04OMS5iP+6ycHN6fBvjdtVs1fCRTTH+c9K50Gm35/3vbmG4G+rRNcuVY7 +DX6cZOp0+E6gWoliMxXLNOhN5n2i9gPns4Fyf997aVDAKq26+SeBMh7aPRp/ +mQYR5zV/T2NWOfD3mF5mGnhkX0jL+4X7Y8rH5uamNDBf53TZ7zc+f+cL15t/ +TgO1y/6C5//g821uyr6SNR1ES2O79iwSiPGkNbVYIB3Y+Qr9/2F2PHeM1fdg +OnxxaFdrWyLQusW/i5an0qGh/suft8v4PBwXCzG0S4eUncwZt/7ifNS7UGX0 +OB0C3Ldc1fxHoJ6R0CfX4tLBoUt6+xYmEj3SURsJQulguPdozxfMpwvECxv7 +00HukemzQmYSrbx1k4/vRzpsGXXSeMpComRH/W8uXBnw5+DTJVNWEoVaEBpf +pDJg8HlcpswKEp155bjqulYGlMwU2rCw4e8LccqxWmbAG7UO4U7MDh+Ga997 +ZMCDiJne+JUkeh/PVWgdngGW35kDXdhJdG17/cr9uRmgqct39NgqEjWPSr7b +2JEBEgkyf7euJhF19mwwG5UBHExa2XOYJ1Mca1dzZAJhbGZbsoZEqSmvYbt4 +JrSkO+8M5CDRwb65BS21TEhf86zfnJNEqmaPBr3MMiH4Uvzz/9aSyFrA50er +WyY4FRVpsa3D433DqiDzMhPObO78141ZfQdfXExWJhyym81JXE+i5afDkqJt +mcBfw2J3ZwOJStxsGguITFgW2iqqw0Wign3tHhZrsmDUVXZQgJtE1YkSytvE +s6C8TSuYwnz8gRf7Z7UsiNt9UbtsI4mUuedbqi5mgY+XC3PwJhKJnnsQnH03 +C64MPsuz3Eyib6s1dXJfZ4H2gXc35HhIJOh57Ft9bhZIPSsWW8VLIs+6l96M +zixY96lzqA+zWYzSH/GFLGDAXMj7LSQ656Cu47A+G9pfsurc5SOR1ckMp0ap +bMie38qqt5VElewB9oe0syFMe1/Bdn4S/V01Kpd3ORtuxx5zWMDc55JUruWd +DSZLF3dVbiORvii5dvZtNiiedh15IUAi/8BU7ujSbBD6GBB6WZBEI9NEveVw +NjCzJ5w4JESiXNZEmSNL2TBhVrKCYzuJIu0HFEW35kBVXlfhIOZdVg/nhA7m +QAIXcfOjMIn+VEfKSRnlgJ/Nit2eO0iUIyHMo30rB2wr+MdO7sSfN6/0vh2U +A7rb/nu5UwTvt3cadwvSckDGSVvvO2bdEx2/OFtygLvZfGWNKJ7f9XHz9kQO +fBO7XfxSjESOU8nm0xy50O0ReMtGnETMLn2q13fnQl5vguSRXSTq3rI9gO1Y +LryWReNrJfD+bbqunHo5F9z9ul+NYP6pkaZv45MLZhOEfvpuEv0Y6ciXi88F +lSNsq7wkSRSWUOG0qTIXdr7YhgylSHTH6Oo91olcYCP/cxbbQ6Ivp1KaWFny +4JPm8T2/MLdlOV/YLJwHddEWk3V7SVSq8F78oHIevP91OzxcmkS9xtJitmZ5 +8PTkc4PrMvg8SEwbpN/LgxvJiatBlkQTt9MT2KPy4CRraemGfSQaKnMQvFGc +B/vP97iMY2betTL902Ae8GSTe7P+I9EGT52zDkt58Gvtymnv/SRSPC7Mxbkt +H/qtBSLPHCDR7jyz9lyFfChC+w0l5PDz346F3jLJh6gtOhyLmHtCPIxV3fLB +0+FSeaM8idorN3AJh+eDRf2d21EHSfSb51rBhsJ8UN8ZJGN/CO//bZd0uQby +Qcw96ZPKYRL929RYvWMxH1Z3lUZtVCBRxqyLgAZ/Aczu6T09hfnAYXkdV4UC +aPKhOHOPkIjvaJ9OkUkBpI6srPRVJJHESik+LvcCeH5Q0O2cEokub1lKdo4o +AMfnB/ZJAYlYGzctzRUVwOkZnS/LmM9tU155a6gA5NUs37Qok4hM16zg+FsA +fBFuZ2JUSGQ5TkllCRbC4regdY6q+DylMSteh0IYPpFcpa5GIv5GZULuYiGU +vitz51HH+zXIXp7rfiG8/df732fM8ZynNi/FFMJDY8ZMvgaJYhYSPX+WF4J1 +OvvbJ5okkk6UdWSdLAStNUJnLxzF76v0flqIrQh2X5LbIK1FokuO7Z06YkXA +WXSihukYHn+Ghbzf0SIgN1nda8c8y82zqvdKEbRedz8Qp00ir3PxynJ+RZBZ +HTzndBzXwz81/XHvi+CF0PvYozok4ti4v3VnUxG4uJaf4ztBoii7qK2ZZBGc +bevjmsV8yqcg02BDMSjsnq8t0iVRnsfhFyz7ikHAa5XnMz0SHd7Tkld+qhj+ +DQjJX9QnkfC6XVuCnYphbL88KXsSn3fdrx8cw4qh4qluPKsBiW7WDNlb5hdD +/LTV+S7ML/8GXbAaKAZfuLsx4RSJXogV2zovF4PNy5B6V0MSNQiyvQwTKgGd ++ff3tU/j+Xfk7qtVKYG92hUHtxmRyODCE4k1liWwIbafIjBrl2/yMvEpgYXF ++XfoDInK9huMFCWWQKfhatPnxnh+vk7J720ogZyU7ZsvncXjmbX3SSVK4OXK +g437z5GoNSuoUnkDgjtmel4rTfD7hHyZHduH4EKe9eFezPx3uX8EnUYAXPfm +k87j/b82afCUKwJhmxeJbhdIdCjw6CuRcASsFR/MTpiSyO6vjyhbCYIp/koe +ITNcz5b+3vk+iqDm1kATA7Mw+bbuD3MpJDUtPCy/SKLyE78yH2wshSdia46E +mON+efPYbW7RUrjuIfzVyoJExr5mPMlypaDXezBZ/hKJuLlYfY9plcI+WX3z +1ZYk2j61WM84Wwqb/C5vGcC85z+uwehrpfBj/F7LByu8XzxX5xndLYVehVCf +e9Z4fyQkm2wOKIWCkBRF/cskSuvPrxh4UwoRROU34SskehuzOJeUUQr3NAff +f8X8rP+/To/KUrgY/dWi6iruN/mizhe6S0H115qtYTZ4P9cHt6h+LgWRkzva +rlwjUQoBQ9J/SoE9+ZDvYVsS3Ts3Gy7CWQZfWE4C53USVUQZrRIWLIMGkys/ +hjA7RakIicqUQUqWR0qqHYn6g68NyaiWQcDaMMv7N0h0f30wqBuWgYP1R/5T +9iRS++WkamZdBqdQVbuIA+7XT9rG77uWwYEtQ49/YCZMLAQ++JUBr8M35dqb +uB+cmiSHIsrgdx3Hr1eOJLLJ5DbkTS2DwR07U6/dIpHPhsRjxmVlUOJ22FrR +CeeFM6aNbzrK4E3nSYH1ziQybyR7GVNl8GDP1c5RzCLs3LZav8rA0sfzSYYL +nq+fHg8S1pSD5kiY6kNXvH8mqC3rBMph18HU36dvk4jt8hYpd+lyWPO8Ok38 +Ds4Lt1Lz5lXKYe7L0OXfmKlTNoV2huXQrPpdsMENc9yyzFfrckgL5+yOcCeR +63kBHo/b5RD8bedTu7skWlvtfpnbvxycTiioK98j0W2vZuGUqHI4885gkcsD +92P/ShW99HI49O9qxgTmMJkNlb8qyoHf+P7VbE8SXd1oEZ/UXQ7LaS+3P7pP +IiMZm3HzL+Uwsjqtx/gBibJ7e1yEl8qhzKLm2W4vEu3NOmvxeV0FxBYOayxh +zi+NjMoRrgDvTT+Wmh6S6I3BSQn//RVw5frarGhvEj3YJPzvytEK0K4Wuebg +QyI99vxtJ85VgJTQkR1qj0h04kHlvYPXK2Cd66m+Tb64fv9HCkt6VgCj1SZw +GnO8wCiLaHAFtEs8OJr3GNebCDVBsXcVkPXg1d/HfiS6a1Zmvze/AkIH0rJN +nuDx2DH/VGysANf9tbZ7/HG/F3ufYjhSAeeejuz8h3nxsNXzmwsVoDj9o7/1 +Ka6vOV1RYWyVIATrgt4+IxHn75j2ii2VwPxS9NitAJzP8u0kfkpWwgTjCJNm +IIli2UajZaESqo4Z5vI+J9EF5Vf7HA0qIeHtNbsvmEd3Kg4XWlXC48UHooVB +JHpaej2a43YlXDN8PegfTCLJu403Lfwr4URKerBpCIk+8/wxLI2uBOmVddoy +L/6Xx0JVRTMrgdtslJklFJ9/H5WDz6sr4Vvuz7wOzKyPXu1b0V8J3RvW28eH +kcg3/8jee0Ql5F0VE3d5SSL3J7Xi/5iq4HW54rDWKxK9K+3jf7SpCtz5T7/Y ++ppEmo6c7Dy7qsD0lq3OHOYNm5am3itUgXKTF2tJOIn22ahla+lVwQ6x8IKA +CJzvg90d5iyqYIVHhoN5JO4PrCq8Yc5V8Kmnbtd/USTK9JaI1fKrgjqZsZEV +0Xi+DjdzMUdVwfvHv0K7MV94XW1Wml4FT8fX6ya+IVH9zaTHPlVVcENBnO1O +DN4/nzn9T/VVwckQpaLjb3Ged/G5JE5UwX/EaUeBWBJ9ZCRzsjBXw2bN67sp +zEPu6x5ObKqGn1EPx0rjSPTptXp1w65q6PsZ/jIonkQ620dbCo5UQ5F+pp7l +O5yPDzlGpulXQ1RS/Uq5BBLtuBIgmWJZDZ4s48XsiXh9fKqc01yrwcLk960+ +zAaV8W4F/tWgnrVB6n0SrhcJSK7hTTWIrd014Z6M88e6t3HjWdWwyhpe677H ++VZqoIyprhpmSoxObv+A8+STX36iQ9XQyGu3agFzN+ejJf35avho740qUnBe ++8y90YutBp7XRTi/+Eii4BTxhkK+GnDckbXncip+H2V94cU9NXDarWHyYBqJ +4oZ4uFVUa0C+czx8TTq+f/GyvX5qVAN8e/4YDGKO64nNHrWpgUVvrjUfM3A/ +MnUzP+xRA0PDu8o8MnF/V934+nVwDSB5ZdeTWTjfOSyYMCfWQEzgGemd2SQK +ko6OtSuqAa8vdtPfMHPxRV8fb60Ba1WfyOocXM+FXHLOT9WAVnik4ctcnP/8 +398Z+l0Du79lcdjk4bz9F+VYrqsFzhON5Qr5eP2vy19e2FELZPzE7bUFePxi +Ib4+8rXQ+vePzAjm281neIV1aiHjDPfntEI8v2asG8ov1kJImkT0gyISvd7D +e+OqUy04r1YxMiwm0eNRbllev1owtjBeK1aC19vVyaAhqhYUCm9U/sTccKK4 +xTuzFgQ2PXKrQ3h/r70Sr1lbC/9so/aFl+L7S9FCy9qhWhiryv5iW0Yi+dy+ +4wPztVAh2PRGqRzn0bSXm1NX1kG8y+SZDRUkMsxMlnjMXwePWhfXjWP+LOT3 +8KpMHVyV2FidWUmipo9pO/Q16uD4g913vatwvbwRxqR4rg72DKjsP1NNooWa +nK0yN+pgw/6zs7tqSCTl7HNd4mEdLPjbv/2DOefZ3e+7XtVB59Sjs421+P3u +cqfu/VgHOUrRG6LqSKTAVhh6uKIOXobl1NyoJ5Gt8lyiTm8d3GE03VNpwPXh +zdZxS6IOzh+bOrCxkURZRL6SF0s9KL1dmpvEfExLsTCBtx62L26My2kiEYjz +GrZL1QOLoaSJbzO+rxnns7Gq1sPUB1Xucy3491hfNB46Uw81bOfqJFvxerzd +Gu9sWw9Jpg6ey5hfcBY8yb9fD09yfeVb2nA+uTbuzhJWD9c3vCHftJMoRI3F +6eSHetC7mht/s4NE3naP7N+V1YNsefN59U4SuQiOXGPuqYeN/NMbebpIVDjo +dMlirh6+Oy7Xf8K82S/3VB1zA/Q0bnqQ343zgOn6Q3K8DVAgKnXoSQ/u9zOf +1idJNUDEPTXG+V58Pja97xRWbYB7PecS9vbh+sBf7vXmTANclLlpytRPoiOh +L/hErzeA6uPHm9sxC958GpT6oAFExt80xg7g+9G3DbPwsgFWKuR5OQ2SyK/Q +R6g7pQE+B7ccPjpEol8FhlI3Kxqgfm56fsswiZ7D6NpNfQ3wQeNv4gxmR+Jp +aSHZAM+iNl8sGiGRQ2mZ8tUVjeDwU4r32SiJeDlH/LZtbYRT+urNZmO4f7pp +hXdJN8KBJBNv2XESmXJftAvRaAReFscjrBM4P+1+xHTWpBF+n/P72ol5t6ug +7k6HRhjIjEl+N4nz8wqfU199GqGYM9/cdQrntX0Ca+siGiHaqnWL9jR+XpGq +S1xGI9wv+dTC/wn3uyzDZ961jXCJ958PgTn0YZqu7XAjaNrzKKHP+PxSGVnG +3xphV92e74FfcL1dl1ekvaYJ1uzQ+GAxg/OTIf8l1e1NMHfn/KX9szg/+cnH +gVwTNHc4bl05R6KkTmt3VZ0mSJN60taDueE9y2dtiyYI8n7rm0SQqGr80oSx +axPcGs4HNxL3u7hxG9tnTWAk3/ZDhyJRyc3uO95xTXAw8HOKIAPfByRC18cV +NAH/l3+WDMz2iy6ita1NsKzCu618HtfrW21589NNMPJ6b0fwAokOuvys2r7c +BGVfNfysvpIoVVnp2OmNzRCrc0FF/hu+b1r+VgqQaAbv+Fu/Vn0n0flWt9hm +aIbLf5+k9mM+XsvruNGoGY6dibX+8INEW2cEky/YNoNkWoHAvZ8kSpccV095 +0AxrV7d36v3C528gHVheNQPD/MsT4d/4PvlmKPR8ajO0FzCpfcWsfTtLs6iq +GbI2bvlT+QfX95KHx4UHmyHUVjo9dJFE64QjYp4sNINrleaVK0t4fZKOaiyu +aoFzgqZCh5fxec7v2Gcv1AJHXJy6Of7i9eLwt5450AKCrf5PhzALZRYOXtVp +ASaJOPXUf3j/8qc8Jy1aYPx+4aInE4XM1yTfd73dAlX97RkGzBQSjVhMZA9s +gYT/Zq6KsFDI7OgMa8S7FtqHo5DYQPGzA8UttA9HoZsexRqdHS20D0chkWxp +UdeZFtqHo9AqyZSQQKZW2oejkJe2SsInjlbah6PQl3vOWiq8rbQPR6HEAjvn +yB2ttA9HodyI49JLe1ppH45Cr+z3Olw41Er7cBS6tPfg4TL1VtqHo1D5nce+ +4vqttA9Hod/vVM8FmrTSPhyFyDSvlEXrVtqHw+NRs/W8erOV9uEo1JLF39Z3 +t5X24ShUtjo9UudxK+3DUch7QXuyLKSV9uEodKaRPfbQm1bah6OQVAxbb+b7 +VtqHw+8vbnFfJreV9uEodLXicGRqeSvtw1Ho7sMPErLNrbQPR6Ef4p0i2X2t +tA9HoQTeZn+FqVbah6OQvE2OWSWjlfbh8HxPJIbrLbXSPhyFGhZKlYbY22gf +jsL5lO/o9Y1ttA9HIYFfTRn/BNtoH45Ci3t/eYTsbqN9OAoxeWR8kJRro304 +Cgnm88hXqbTRPhyFVE2UBMxPtNE+HIWMAlUv/DNuo304CmnJHPkebdlG+3AU +WleqNqFq30b7cBRKcnAQ/ezWRvtwFJIWGcoPeNRG+3AUqr8UFXUouI324fB6 +Xm1sm4pqo304Cnlsu3cyJLmN9uEoFLC+nl8jp4324SiU7FW991dZG+3DUajQ ++rFvSlMb7cNRaPTULnGrvjbah6OQQ3DeKqGpNtqHo9Bqfj3JAUYb7cPh9X7K +9PTVUhvtw+HxKIxJnlvVTvtwFNoqzLZSYFM77cNRyHXGg29cqJ324SikS1la +Jkm20z4chXYcqJm8Kd9O+3AUGrPLf6mk1k77cPj9lk7c49Rrp304Ck3PhQYP +nmunfTgK7f0T3/3Rup324Sh046m/htfNdtqHw88rMhk+e6+d9uEopKIjEr3P +r5324SjU++GH99rQdtqHo9D95fHAmZh22oej0Mc1v4vrUtppH45C13W1ON7n +t9M+HP49xojzs6p22oej0Prq5r+Obe20D0chQ4OdMSZD7bQPR6E/VbMmGl/a +aR+OQs7/DuyR/d5O+3AU+ue3fqMQcwftw1Ho2VtvjvVrO2gfjkIze+I3MfN1 +0D4chT6U35H6LtJB+3AUUo/jPjkr00H7cPh5Fa6eE0c6aB8Or1dZXt6QVgft +w1Ho6eehn32GHbQPh9f3wFeF3osdtA+H31ef1avXtoP24Sj06fmWhn7XDtqH +w+u5fIR75GEH7cNRSE7zjtFUYAftw1Eov6nvBRHRQftwFGKNtWz+mdhB+3AU +uuC18y9rdgftw1Hov37BndxlHbQPRyG+MlOFHU0dtA9HofZ/M+r7+zpoHw6f +N84GRa2pDtqHo1D/mpUipvMdtA9Hoc6mt9+dljtoH45CbN0JaQGrO2kfDtcb +A/5T7zd30j4chWK2sw7UCnfSPhweT6Ol5uc9nbQPh/tDgEbw6sOdtA9HoWyD +ZCSl2Un7cBTanxJWd9Kgk/bhKHR2kivV1bST9uFwvZnbZhdj00n7cHh9rItW +NDp30j4chTjefbX/9aCT9uHw/k2sShML6KR9ODyfAgfKjcI7aR+OQvbm6rG+ +CZ20D0chX4/vJ4syO2kfDr//Pu3GedRJ+3C4P4yrbZBo7KR9OPz+CRP8Fr2d +tA9HoXCt3UTEZCftw1FI5/CWB32MTtqHo1DXm5Re3uVO2ofD511vhjyzuov2 +4fD5rG4qf7W5i/bhKPT54BmDIeEu2oejUMVD7/Ade7toH45CGw6dibh6uIv2 +4Sh0JbjeIEOzi/bhKGTrOVS0ZNBF+3AUqg1/2qtl1kX7cBRSlumNDb3WRftw +FMqRL9g27dJF+3C4nprIqMg/7KJ9OAoNL8it9wvson04CkmsrH84HNFF+3AU +Sj8+Fb0/qYv24ShkKvHQ1D+7i/bhKHQxK6ZkqqyL9uEodCT9YIlycxftw1Go +NVjNJLK/i/bhcF7wKApenO6ifTgKvdCMtDL52kX7cBR6fm2kuehfF+3D4X4s +5N4kxNlN+3AUqlG3NXu4pZv24fD82SQ+mBHppn04vJ/adx0wkO2mfTjcH22m +bhUqdtM+HIUGOrpATLub9uFwvTs/Hxxk1E37cLj+rJC+wXSpm/bhKKR527vl +xo1u2ofD+4FvNmPUrZv24SgU9vU07ynfbtqHw/NbVfinOqSb9uEodGCJy+RI +TDftw+H5yjkhl5nSTftw+LyWXfKTLOimfTgKZbzWOh1f3U37cLg+2TNCtnd0 +0z4c7j9CekcjR7ppHw7niwPGNvxz3bQPR6FSxt/F17+6aR8O9wOQ+8PP1kP7 +cDhvlDAsorh6aB+OQm+Kt+/fIdhD+3AUsjucdy1hdw/tw+H9O5HIvle+h/bh +KLSNb5ItR62H9uEodPvLOUvQ76F9OApVb/q7vf58D+3D4fPPUXLI6GoP7cPh +80b4fZhw6qF9ONwv/A1dbj7ooX04Cn27uuYlS0AP7cPhfJEXzhkS3kP7cBR6 +f/Znu1hiD+3DUejnGMeXgqwe2oej0JoXNTr6ZT20D4fX5/KWpemmHtqHw/3o +3+y3e/09tA9HoXfp0ge2fOqhfTgKmfi0FmR87aF9OFwfDxR66TL10j4chc6J +DT6d5eylfTjcDxyFeh7z9dI+HIWcPttfkBDrpX04Cp3/VyhYv6+X9uFwv52a +3WILvbQPh8+vy+yx9Tq9tA9HoRSN+NRM417ah8P1/Rmr1lmrXtqHw/+vztjA +dLOX9uEopLjq9KrEe720D0ehY3v5pU4+6aV9ONw/CiXvLIb10j4chfitbjDe +xfXSPhzu78HN/qfSe2kfjkLaZrInmEt6aR+OQm1BHtJp9b20D0eh2aQ3shd7 +emkfjkILbW4nuSZ7aR8Ov++Xn/4VjF7ah8P17MHimPNyL+3DUahv0FZXck0f +7cPh+SVlW0d5+mgfjkLiJjJWYTv7aB+OQqFuJzj0ZPpoH45CLsZ2peyKfbQP +h/fbB5cHZcf6aB+OQhZTJ/TcjfpoHw6PV7hL5OClPtqHo1CWzDzT9xt9tA9H +oe+h3mMZ7n20D0ehTF+nSofHfbQPh+vFXGyCbGgf7cNRyP38V9+Ft320D4fH +u0PTOiu1j/bhKGSgeE/RpaiP9uHw80zucirU9dE+HN4vIyJt/7r6aB+OQg+H +Tz+uGu+jfTgKbRac3+dP9dE+HO7fvD0Np5b6aB+OQvd+fjq5bXU/7cNRSCac +tWJqcz/tw+H8E7xaIG1HP+3D4Twi0nfBTbqf9uEotFHtpNfRI/20D4fz3Ev9 +J5uO9dM+HIWeeGY7jp/up304nDdXmR5Ot+infThcPxbEhzxv9NM+HD5/6Yyz +J937aR+OQo7XX3zc8bif9uEo9PXvQu+3F/20D4f709ep7pq3/bQPR6F9ftrv +wlP7aR8O96ucJXX7on7ah8P9XrA3TaOun/bh8P2HP+8Tf3c/7cNR6FaBy6eF +8X7ah6OQcMF8Sj3VT/twFNryjOlQ7FI/7cPh9Tp/z8d99QDtw+HfZ9IIMeIZ +oH04vN/Y9l6U3TlA+3AUGkzh/swpM0D7cPg+QDRIfDkyQPtwuJ7b7ttZfWyA +9uFwffARbI81GqB9ONyftK/sf3BpgPbhcH12/3TU3H6A9uHwfSnYcY3K3QHa +h6PQpvyJ28J+A7QPR6GJxnUhLGEDtA9HIX3zBqPJ2AHah6PQLzyH1WkDtA+H +91P/yaak4gHah8P7/UqN+9P6AdqHw/fLetFGh54B2ofD68mjmm80OUD7cLjf +bplXOTI/QPtw+L6tz2654+8A7cPh/DhvLLCaY5D24ShUNZl/jcE7SPtwFILq +ZZ1ekUHah6PQg08/S0plB2kfjkLGum4oSWmQ9uEotDP7nE7w8UHah8P3fb8r +l+4aD9I+HJ7fr84sV6wGaR+OQsvVZ8RP3RykfTh8Hw0ebFHyGKR9ODz+V92/ +d/sP0j4czn9tPLG8rwZpHw7ny+nrdSveDdI+HF7fwx9sFjIGaR8Ov89EzMNR +NEj7cBSaTxbc3NI4SPtw+H7AvcBX0jdI+3A4L+jNBqZMD9I+HO5nOn0ukV8H +aR+OQv5Jfo1PmYZoHw6vd1GT/721Q7QPh/dvgH3Oja1DtA+H6/GE8lFz8SHa +h8PrvZdT8dT+IdqHw/kpPPqFhsoQ7cPh9eCo1T2oO0T7cPi+wmNgLWkyRPtw +FOJctaZf8MoQ7cPhej5emMDtNET7cLg+mv3XtPLBEO3D4f4+q6C1+GyI9uHw +fCW/4WGED9E+HIV+u0rJTSUO0T4czh9TSXH92UO0D0ehx3ITZq3lQ7QPh/v/ +1bdW1S1DtA+H+5VMembR4BDtw+H8+a33aOaXIdqHw/2ve1wo+ccQ7cNRKPV9 +2KEY1mHah6OQNVNp0MsNw7QPh+sTr4hEoMAw7cNRqHL2yZ9Hu4dpH45CllJo +haf8MO3DUahe2VvDVX2Y9uHw9zXjC+xPDtM+HIWWuKfNr5oO0z4chRZl1h2y +uDZM+3AUUpuYUDRxHaZ9OLyfd+6xM/Qepn04PL8xqTUngoZpH45CVtUyWkej +h2kfjkLMaU6E8odh2oejUNN+tezD+cO0D0eho+MXIvdXD0P+DO8GTiYGOrb8 +OHZvxzDsDoudvYVZ4MGTql2jwxCuJl0zhPmpiBjzTmIYOBkFbzWZGYihJ2Ao +8GcY7kZo3kvFrCi+v4iXfQRIrfazW1gYiNd7jzz3phEw+37hwH3MY4sN5ZzC +I9Aa82XDDOb6rrbz7HtHQEXXac6AlYFGNjGzsiiMQMYfptpCzPyO/JlLR0dg +Z4J/rMgKBnKxGLf5aTgCIae2eDzF/JuTd9eC+QiwMcWd+4G5yvDO5zm7EXD+ +IC1nxsZAUp2NSZ/cRuCTcSFXLebSoear474jYMx2lJBZyUCm7spiQy9GoD69 +vfYVZg3HscGetyOgYGoax8LOQDuUHJ60p47AhzUzHtcwC3OlSjcVjYBArpNJ +J2bmvrM1NXUj8OwSs7ziKgYSUpDRL+8egX/rn3K/w+z/glFTNDEC9kVbyHWr +GWjLP2OpXMYIjF2Jq3PBbHNU3C19eQQMNsvEj2L2duPsO802ChVlhZ7H1jBQ +YYLTp3aOUdhvd/R8BuaX8Smf9LhHIX5rhzw/BwN9bg7qbdwyCjw1phsfYl53 +jjX/mNAoPHKcIecws50belQtOgq/hJzrT3My0I2Sv2pqUqNwtZH5XQnm8FMa +c2jfKPS7Pr0vvpaBAsqfeRw5NArHRfkuBGJe/zxvKQ9Goagt7uBvzO+E31gc +0ByFPfdkNlmsY6D0zB1p6TqjELW7iKrHbHlJYHLPqVHY0HO04b/1DNQlcX05 +6ewo3PfqeBeBmW2I/CN6cRQWpM0esG1goC/SHgMx1qNwaXDmgh1m+4w/kQLX +R6HT1/lQD+bnbYoqrxxHQeMAy2ZlLgbS7RGp3HRnFHLGnjISMVfMPBEN9BwF +8Wd8jVzcDCSxQc+S49EovDwcn3AH88QubQ+fp6Ow+pOM1wRm36/GTswho3An +uMhUZyMDfeI4o+H+ehRmQetwNuZNs0LkjzejcH6uY7PgJgZy+PTMziFhFJpe +ms37YM7d71E1mzIKShqzjRTmpYmGeausUUidd0403sxAZRN6CyMFo7A9iuVh +Gebf/6aqzpaNwnPtZ2a7eRiIe/zKtY6aUWD5yacQjPnvhswxneZRcIyN51nC +rDQfJV7dOQpTerILlrx4fipXHoGBUTBaKmpqwpz3vlIgb2wUahK1kuS24PWO +zWiQ+TwKB093PozGzOKTrJ5EjkIS88WLq/gY6Mpan0fC30dh68dZBQfMvS2C +wa8WR+HJORfefsxIRdeai2UMllayflXdykDm2cQ/31VjcD3zWfN7zJPnuk2Z +1o/BsNnW5E38DHRReey+y+Yx0ON8530X8/DJT5dJ/jEozZM1n8Z87WzZeqsd +YyBrVXxEbxsDCf476jqwawzech3bkodZuO7Um5PSY7CxpPPrdgEGKuIv9qk5 +MAYPbS62PMZ88dD53YpHxuA7z1zyAuYU1dV+GapjYF3h4mMiyED35SLixI+N +Qc8NVotKzH/n51wj9MZAa1uA4h4hBnoh08HGZTQGBbVb+UIxc/mLaXufHwNJ +p3ff/mKOcS9R/20xBhHC+1ovb2egUeOb32yvjsHa5uL3rZjHT/MZj94Yg3t3 +jj06JMxACo/87E45jwEl1mXxFvPakQi5avcxuNhxUYljB+a94qkHvcagzWOO +7xbmkJ1MPcmPx0BVyvX7IObYE38/bAscg8xe1jaNnQw0v+WX9LPQMRDxDvjw +EfNCa4Xpv4gxeCHL78srwkDT0fIH7WPHYOXwu0uemEefSRSOJo2Bi98++IK5 +3fvGtH7aGHyWK9lqIMpAocdH8kpzxuDsxLEfBZh/e+vKyhSPQX1AV9tOMQaq +zg/Sja4YA4Uj5in+mNu1769fVz8GHz7P+X7HzOpO3XRvHQOBF66WpuK4Ht7I +cJnpHoMAlRXKNZjXi0VvMx4aAyYygF9mFwM5e/pdrJoYA4fX/D9fYpZVOqa6 +b2YMxjUT2pklGEjsb2ppFGMMTn3d99EG8zOl4P41P8egMrrkcQdmpgs1z5yX +x+CAjrbVkd0MdC90++AY6zi8+9WlHI/Zjd2xTGfNOPDGm29bJ8lAs++DFHM3 +jIPvSeKnM+YTZqf0hHnH4feya8cI5vRB3+9+AuNgk7wiVUsK95OSldLfdo7D +gFGgXzrm7x5v/pzfPQ46rNust+5hIM07EmeqZMahODVBxQtz0Kdbanvkx2Hv ++f8E5jDfHjtdEqI4DtGr0C/DvQzkZB5dvag2DhuytTuLMY8ri5hYaI/DffPu +VDFpBlpzKPdOrf44LKy1eBKAWXZit8jeM+NgWUBY/8IcnXfSKPjCOHRZ31Y1 +l2GgNO6/639dGgfNjWyC9Zi7d7Pon7cZh1wU+HufLB7PVpnNpfbjsMt2W1c4 +5nWDZ013uozDqy2JaSv24XqjdXK3z91xWFP1n/91zB38n10+e42DmwO63I1Z +haI0tP3GYU7guBr8x0CGSoeD3weOw4X6bsFEzI8tX5pyho1Ds7PFnw37cX2O +HHhnGzkOsJPsuo05bWWXTWPsOKS13E4fxywWcTpJMnkchN3Znh4/wEADCnvN +/dLGIWjX8ytZmAXjpJ9/zhkH1q5t6gJyOA847j6oWTwOt+4nCvlg5pGkDGMr +xmFqz/5FEjP/bt3pf3XjYNSPus/IM9DlDN5Zk9ZxqPE5nlGKWX2a3yK3exwO +/dfzVOIgAxG1u7W5h8YhecTiahDml0qb3tpOjAO/P6m+iNmHM/FK9Zdx8D94 +Z7vlIQbi7MuOEGKMw/Ik21Ij5ijJtYquP8bB7vnzngOH8XmyvavVujQOI4oC +mVGY06a7isVZJ0B/JvEZuwIDyVROhd9bPQFloftt7DF3c7mPda6fgH1qpRp9 +mJ2LLz/dzTMBsdRxYdUjDHQq1CXKY9sEbIroWUrGvPPEnY2dOybAW+tS70ZF +BhK9pTQrLjEBP76Rme6Yd3kEibhJT8DlmDsBU5jDKtRLmg5MQO+Jldd0lXBe +cN2ZK3RkAo79ea6Zi7kzZ2mtg+oEFLwT2LEd8Hm9HVVWpjUBkqeSln0xe4R3 +t3LpTUDEv/1985h9B28eNj89AWs/lGadU8b9clB5OdVkAjyMdQIrMH/btm7L +X/MJYKzovSalwkDxLImPj1+ZAPP0S0dfYI7sGNB/aTcB7ReoHX8xy+c5207e +mgC1NW5/rVUZ6E+BxoC02wRk5azsb8GcULs54s79CRC9FJR9UI2B1NIyUyof +TUDoesHnMZglds6tW/dsAtiLkmzXqDPQ5uwnJUYhE+B65YCWI2aZQdPCqNcT +8GVT2c5BzELzsium30zAuTKdf+oauH5Lt4VJJUxAw/Xe/hTM9ZmrnG6mTMCR +rZY5PJoMlOkR9zo3cwJSqqnnHpg/OdmzL+VPgKCj2/XPmJXOHyyA0gkIEGI/ +dvIoA5kN1KQ/qJ4ApsYgkQLM9fdm5iobJ8DBVZBppxbut1nXbFZ2TMC4SPLA +E8x8LTwSR/smwLDtQO43zEXMueKPRiag6m5Z0IVjuJ5Y8lpVT02A3O4TdtWY +tedZRlfMTUBCd+8xaW183nzUw1QXJmCLl6XoS8zLk+8ee/yagMfSDCbm47i+ +pX3LLvw7AX8G3AavYqYcfm39uWISrvmy57Vj5gh2z5XlmITB/cHBCjp4vBbH +/a5xTcKJMcEbcZhHuKWD4ngnoeRpsvbaEzi/5M83DQpMgvRhOTFnzK66F45s +EpmEN9NlzCOYh1IVBrV3TwJX8Imho7oM1BRp9MFTZhIeQF9eGuY3RS7vs+Um +4eusZQifHgN5hVzp/XJkEixfMm48wPz4+YKsgNokdKm7H5/FXMg6kqN3bBI0 +59nFDfVxvRD6aXVfbxJyI4NZijF/WmRVyjg9CRLaQsOiJ/H9ILRZcdxkEl7/ +SM5/hvlls5All8UkcMTKvfiJ+e3V0lS4MgnueuX2Fw0Y6MyG20LX7SaBWDyh +U4eZU58749WtSTBN7BPfd4qBHrw2tK66Mwkthlas4Zi/aqxSYHhOgjLz/DCr +Ic4bT7/v3fpoEtJT3AtsMdsETCqrPZ2EHedWhXZhbtaPvHEteBKCV4Y4KJ1m +oJUFn/KDXk3CikyhEwmYA18+EcyPngQns/e7NhjhvBSlHzEcPwnTHPIrbmOu +ufRXlvXDJJzJKx8Zw9xx6fywWMYk1FrqFmqfwf2Tad+bY3mTcIirPzQTc949 +TedrJZOQXGx1c5sxA0lzmJv5V04Cv838CW/MK3K0zn2onwR/nrsSJObVTpVW +Da2T8Ld8FduZs3j/7gz3/NI9CTduhIwizL73g5NWDk3CKP/2ol3nGOjWgVsj +OyYm4WTt+7DnmEPrWYWVvkxC+S15xz+Y59JXXTemJuE/4QrdSyb4fqZ6rNzh ++yTENenubsRslftEyG9xEjbf6Wc7cB73u/9CvWKYp8BHzHosErMt2kvksk/B +z/b5opUXGKjkKL9J09opuOJx9+UNzA/fCDeObZyCPsnVt3oxX/Fih+98U6Dd +G6KnYorrY2BQBvv2KSh8uF0yGfNzHV9RPrEpkJL9sHKjGT5fIh9DJaSmIHJI +ftwNM2lTt+LQvilY51dRPIm56ky03dGDU+Ahp/fqxEV8vtd8bzdUmgLGeP+t +HMx1jwJkzNWnwDzAWl/InIHOFv3nY6s9BR0KC5K+mJ3uvGt31p8C9c932ecx +a7p92ORpNAXZIasnzlow0HvTNcd9z0+BmMqLknLMx94/vBVgMQVhxPbXkpcY +6HXzSMCLK1Ow6vUHpxDMLAKj4a/tpuC25sGTy5gH2o6FRd2agpmFCilrS7w+ +68c8Y+5MgUm03qoWzI9Grp6J9ZyCxuMDE/JW+HztS+SL85kCxV/W6A3mi6Nm +1bH+U/AxbuH1amsGOp1oeP5t0BQInbznfBPzgox2f/TLKQhcXm0wgPnIqhUQ +ETUFzMkv9qhfZqD8WI3HYXFTcNNIeHUKZs+L7bnPk6dggiVlcvMV3A+8rtf5 +pU2BYerB0nuYXx7sL3qQMwVVJpXhn/7HIQOBt4umQG6Vvov+VXyfC96vfqN8 +ChKzBgzyMf/Zkth1qXYK+Mwv791hw0DG87/UzjRPgd/ar6ufYPZr/fL0WOcU +LObfm/qKudBAMeNw/xTYWq8pO3+NgY4vZafuHp2CIe7QiCrMQ4d4vPmmp0AX +CbvutcX3h4vbZdnnpgBdSzkVhtk4JTTj6/wUyGw5JM10Hed/TYVVIz+nIKay +cs1VzPqHW2XqlqeA20F/ug1zqwevZAbrNHgJDJYdtsO//6P9+6vV0/Ct7nJk +LOagy5UBnuunwcr5qyvnDQZK9kr5abV5Grp3eBg6YVad0pPS5p+Goy1rZIYx +h6y/LrVHeBry3EI5jtrj/mBX/WOd+DRI7NrxKRVzsrGAHyU1Da87U8q3ODCQ +I8d/U837poHj/qGo+5jFU2tXphycBvc9VbdnMLOcjiYeK00D2ad/+tRNfL/5 +6fLSSn0azHwGZYow67ptXKmsPQ2t+65wijri/JKy7wCf/jSojHz99BTzkkao +yPzpach44lHxA7P71N/2GpNp2HmQI9rsFn4+7x6INJ+GkMnQO7WYT+iNXXa4 +PA1sz3cYyTrhekQMHFf/vxruOy7H94sDuL1HCNkko6ykUNKlkJlNsvceWVF2 +KBKSTaGSijRIEjqpNLWX9n7mffPc9xPJyO/8Xs/5/vl5+arnvu7rOtc5vu/X +s1fCHKaGjL+PublpmbznIQmTyk07t3TA/bXVf5rkmIStvP1JthtzuUf9gten +JCzFanFCHuZWH916nDsvYWbfSh9NPYr/vXTElYVuEvb8wY7jTzE/qroc3ddD +wvrPrl/R9Rj294cd7tfekrAr9aeNjmG+tjhg1IsHEtb0uGOXKsxHtMv3HPaR +sP02d+RzHHH/TC9eYxYgYZWNup9eYhYXrv7VFCxhi5+GPO7nhP3arcEz4l5K +WPwSsxPnMb9dLZ98PkrCjP99suUxd8g7XDgjRsL8ny+esOI41tt+zgNbJUhY +r5VlXQBzxylZneJSJMy11U7FiBM4nwwb6HMqU8J+htV/8sA8JNqg2DRfwnau +PePTiLlnfESkuljCitt3OrnppArMfjiZBFdK2LzIOyvTMH+vn7J8s0TC3m8a +amx8Cvfr/EjtPpyEjeka2tUb8/gjgQfTBQl7+M5M2fo09oN5WXvPNEhY1x2J +ifswf3zLtzL6K2FntJf4FmL22PRhYnULKRNiy05OO6OCsQ1/m19vJ2Wb9u60 +C8K80X3vVosuUpbb57tx97MqGDM0db2ih5TNSDyjdRyzNDD7280+Uvb6YCeu +BvPcBktti0FSNnzQ3aT5zlhv876k1elJ2d20oX6vnf//7yFLe7obSFn7Y6Gn +Bp7D+1dt/83QUMqc9KascsXcbSO/Ms9EypRZiSYqzEURLoscpkjZmpNLutmd +x3l12a+8XpZSlq5fzn3ErHezdd1raymzKNiZbHABz9vLraeXzpeyUOfvfjcw +25UV+n5bLGWDx509/Qdz7dg+C9xspex6SafVW13wfYdITg9dK2UtLt6dmIE5 +ZMnfie82SdkhY73uk1xxnv3T5+DiHVJWWxnKP8LsfLJxnGSvlC2/MiWl3UWc +ZzxX7nc8JGWJpklPDmA+IVOP7egoZZMkS84UY+6oc3Hvg1NSFuhZvnr6JcxB +2foG56WsD9s1KRhzl25XNr25JGVuyu/de7qpIO6VQ6/p16Ts952zX09i7lIz +d0b6TSnbO6NzqgTz8IpPiuX3paxcddd/4WXc/wV+7coeSdlCb72zUZjdJY+9 +NvlLWeycsDVD3HH/93X0lzyTsvE/pkx2w6zeIxuyM0zKfH2Teqgxf0p8pqV4 +LWU9Fi79tvqKCur+Hbff+U7Kzv8uT0248v/f13uaNFbKvgfsejrmqgrW9jU7 +tTlRyrYt+3H2NuZTKx7ql6dJWWEz57X/MIePaGZpmy1ls190Nt1xDfufbv3i +Mwqk7K3dPe1szM4uz0JmlEqZQZthKlMPFcyX72zxtkrKHrwMS/PFHHpZ+8Mo +qZR1Wm8e0PE69m+hW8u8OCk72THZ+TBmmws6mzqJUvb1zdJ1ZZi7jpPNcWqQ +svVbKkytPXE++HDthuSPlGVp7e4ZinmmUerUxS1kzOrDD1XvG3h/nVoyK7qt +jL3a6fz5DOYB52pChnSWMb1eXQLlmFO7Tz7k2l3GbsXdO7fkJvYz3zrcUPaW +sTb7h61/h9n2c6/OCwbI2NF+4WZ6t/B55veqDNGVMVmSea8rmK1aJXToMlLG +7A4nC98xex4rvrx7jIylDl6Wvu42ntdB2puSjGRsSnpFYBJmx/Wj3IdMlrFg +x93nDe/gvORR3M5pqowNGN6w/h7mv39KCrKsZOxqjvOUFndxvi2X1w+bLWP/ +TnXpvRvz0A0xGx1tZMx+1H0xF/OVxz36py2RsarCYRnm9/C+CvHT7bdSxpac +Dw/yxzzYbeThnWtlLN5w6oUu97FfH76ma+QmGTMuS95wFPP77T/FZjtkzP/S +MvNKzAv03gyYu1fGek+s7D3ngQoC9ZZcvX5Qxi5W71aHY3Yaem564VEZa7za +kNHXC/uz9Jbm/U7K2K4p556dw1wYd8VhrbOMlUi7uHCYg24WC96uMjb/5v2N +y71xvkjyCy51l7EP04ZPjcGsn+vr38dTxsby4TojHmI9GHK9ZNkdGXt0b2r9 +Ncyru4y0ueolY1rWKZk/Mf9RDG785CNjZ8Vlzzc+UkG7X8blv5/KmPiw0iUV +c9aBAb/HBcvY5nl7Nk14rIKG4zcWbAqXsbyGhqlemG+YLCr0jJSxmU/O9Wnt +g/sltNPNj+9kLHJR1+97MS/jz577GitjI/7ezyrAnCzd8aRPoozdDRoezHxV +4OLm9N0qTcbar3jpGoh59+v9R3ZlyZhTC4vN3fywHzVvPtQjX8aUISkWTpi9 +pNI/r4plbO3q5X1rMB86VNq8oELGMtpWfZ/3BPsHjzuGP2pljEXsyY7AnFKb +7aqtkLGwDT+DB/ir4HL7GW3Gf5OxIZ3PX3TBHHzl6bN59TLm+bbrlm//z/Xh +h7Y0yljLbQ/Yyqe4PpEDNxxvkrHD3Uf0+4i5v5X/Po+WclYX8/KHfoAKIn/+ +9fZrJ2crdlvkeGJ+b1TFRXSWs6TeqS9+Yz4zte2qhO5yNjlh+aUtgSr4snpk +bXZvOQuyr9qSjtko8c+lsv5y1nfA3mkTg/B+fGY+VzpEzi6n/Oz3CPOeMY+H +fhsuZ3+OnG9o+wzP844K7e+j5GyvrlauPeZxu1/2bzSUs/KMByFFmPebZ5v+ +NpGzhcdHuFk9x/35Rb3rt5mcxY54tfU5ZgOjnJBGJmdGeRaW2sE4zxrptfox +Q878zqT2P4k5Ptpnp2qOnGmPWfGzDrPj4xblsgVydqGoKnfBC9xv4U3rK5bK +2Y8Le0PfYO7+yuZr7ko5227U6DY4BPf7hgDXxLVy9qX8/LZLmO32xBu82SRn +cy5rWYmYHc/aFfhvl7PoSV4DVoeqYJvlkEuee+RsVO2IxnjMu2fmWJ48IGde +Hq/yRodhvz1Q799WBznrPJWF3cJ8ZHkGzD8uZ6fkqZebMDfsuug8/oycfbu1 +Yvv2cKw/he2ttS/I2QaraqsszIWdOrT/fknOsr/uHWj6UgVLDk1Lzb0qZ1YP +Ght9MOfv2XIx7IacvZp1Ib/DK/x9S8dYXb4rZ3r1WuGHMA91WvJjs7ec3Xrs +5V6K2Wr0ZT8zXzlrazNyx8wIFSwMuTq7a4CcHWt8NT0EM0zVrq16Lmdyfzao +92tc7265h1+GydmqJWm/TmPevdK58cxrOUtrWlEgw/zBvMjeJlrOzJ9Xhy+O +VEFl5dWi3iBnL2z3XYnGbLJxlVFlvJwNbPVrx9A3+Oe5ouPTZDm7FnZhhjvm +utldQ3ely1mztd0Gf8dsk7ota3SOnB1o7/17bZQKpq8JK+UK5Kz69cjCRMwm +f31znpXI2dJNES/HvcX7zP972LZKOUvoMu3qXcz5c9Y7DK6TM5N3aTubR6sg +r+D2kC9yOXu63XbmLszhJkvDr3yVs97aNYNzMWvPnadnqZazi7H7/kx5h/OY +aOIoNshZ455fhU8wL2yfGuLzR8529XF51fm9CnQ3x8QvaK5gpZ+6XXPAHJaV +EtnYWsFsDnrvqsDcc6Cfi28HBYsZqG89+4MK+vZobjSnq4KNS4sYEo7Z9tD9 +N3wPBXt8dNrfPjF4HzQN6+Who2Dd9D5/ccb8fv+q+YYDFMw5yzZCiXnF6a8r +M4YomPpEzbVlgPWo6q7ZruEKtkV//+4PmNdYa6lajlKw/Pxf1sNj8fOs7njs +wTgFs3Z20b2GeVnatGxDYwV7M7Z7UwNmffPtv+InK9jIEu+iDR9VoLPERFw2 +VcHuueq/TsE8JmTjmxpLBetg/NrDKA77xa++s+2tFex45bQ9DzDfCQ198muu +gnHun2e1isf+3M0i1Xmhgq01XTl0L+bOPdtEtVumYBl1NU35mK/Xvd/jvlLB +mOf+YosEnPev9pF2Xqtg4Ra/XwdgPvk4Z9iVjQqmq3S5rvUJ//7zhyM6bFOw +G3e673XEHDbFWHl+l4K1mvFwdjXmC+Vz9v/dp2BHVPp68xLx+QfefnnokIJJ +vF7/e4U593zmK+lRBbOdY1nSPwn7k/vBB+xOKFjy98+RFzC3k9Yoks8omKnv +Ss+vmNcKowZOvKBgzxbU7rVNxvrOpnTwuaRg/X7vnxOLecDK5BftryqYe8Bv +Pf0UnLfhUlt7TwX7u9S1mSfmJX9MeuXdVrB9zXqU/sKc6Hnui8kDBasIfvhm +c6oK7rXTt7n1SMEW2Rnc+Ix5V03pQdFPwT62jtxnkqYCFrR8tk2gghm9tJz7 +EHNQ5ZzP/sEK5rcufVjbzypQaNn//R2mYNod7ZrbY+4Td6p44WsFc3lTW/oF +8/yTozf5vFWwhs32UZbpKoj+PO6G6oOC7dD6c+MZ5qopbM/UOAUreu+6v0cG +9le23TjXRAWbu7PHvBOYg0LWdMtKVbB3PR8Nr8PcObuwuGemgo2OM2ixIBP7 +j0Wms+xyFcx7X2RZJGa/uEnL7xcqWJd+Vm8HZeH+fX+pZVGJgp1OSr95EXNW +Qd2inpUKpjpkZy9gnvSihfnCWgXbOLhu3qpsfP9lt+NdZAqW89l+RDzmn23t +JO84BZvu+KfF6BycD7L++X5VKVjEsIvlNzEnlFn+HPhdwYbl9Ij+i9mvKL92 +fqOC3T716Na2XBWcP3Bw+7G/CtZ21KgDmZjXrMg45dNcyY4VRs6fnIfzg/lj +/eTWSiY/ZzXSB/O1sofbuPZKttowo2WHfBUM/H7GuEsXJftcaldxEPOqGX+v +j+muZFMv1UWXYHbwSD05t5eShZgcuD2jAOuh36MfW/oq2aDqPwdeYC6fO+H3 +iYFK5nH1ok2vQhXkrDJ389RVsuZTtPVPY571/ICv/3AlOyh91EqG+XkfN6tI +AyWruTGqctEX7Jcumu9MGKtky6a9eff2/7mS9cwyUrJPnNUd3SLsH7vOm1k0 +Uckm3ss4eBmzul3PnxVmShYwc9WCesw3E5eNrrVQMh2xTn9tMd5fsz9W1lkp +2aWHB1onYp57s5t2nbWS/Zr7t3JsiQpGv/mTUDVXyXY3XHx/B7N5gqlYskDJ +Sv207zYrxX4o//T93CVKZrPo8aGdmK06ubxPXqFkMX9GLczBfM6jpe27VUo2 +LuiNwZQyfP7roTuer1Myn+XT2zzBvGKWperuJiXr3iKzqlO5Cu5+28+d26Zk +50JWfTiCeUi2uHrPLiWrXyW5W455v9nZqUv2KdnWtgcPz6pQwQjnnGsmB5Ws +4NXfhWGYZ7V9uLiXg5LN2nBpVJ9KfL7xD87WOypZVKeebZ0x77A/OzDrpJLp +v31crcD8eGq7EUFnlez+1tExS6tU0LKf9N7pC0rWsXvUvfeYC2/kH1l6SclO +xEw/Mqwa7zvzy2/1rigZvytz0VXM317BZrWHkq3rvXp0A+alPgaH4KaSZcZL +2m6owfks8pzk4l0lm2Z/sCYZ84gw5/cLvZQsvH9TzPhaPF9LK9Q9HiuZbsql ++/cx/zmw+HK+n5LdONLToWUdvg+Jx9mbAUrWStdn8R7M/reX5y96rmQOGaPH +5GPudtj2QodQJZM6RbWzkODnc1rg8fGlkq0cMaP2KWaTl2L9kUglS8nNhK5S +FZwe8yd8RLSSmZ1Z/eAY5g0dhyYWfFCy56OlDlWYTzgMMjz3Ucn6Fx1cMlem +gqv+QV9Hf1KyKxeaxrzCfK3EsVlespI1jXdr31+uAm777C3HPivZ/vKedecx +v3qartM3S8kq3XxiecxxzeL6v81VssWTxnitUKigUVm2b3mhksXVRB0FzNuU +RZ2/FSvZBI8ZS0cqVXD82r6fF8qV7Il51tjrmCc7LBvTt1rJespXd/iFedO8 +Gc+f1ymZyy1p3SYO+9fkH/ZmciVrsDz0MQ2z8FrvZCKnZDu+NnkZ89j/y10y +FqqUrOi+2zFvzF6zCjYXqJVs3qxey9p8VUG/hLQpqxqU7L3aZ9x+zPobBy8v ++aVkYx6P6fgF8xjDKyF2TUr2cP5bybRvWM8Xp1nnN+dY18YZcUGYt/y40X9B +a46d8c/y7q7CeXLt47EJ7TgmLF7jeBzz9sKg45M6cWxTk3RZLeaj8TtbBHbl +WO6zQ4Y2As5v1+997NmDYzNs/3WMxHwgXnxzphfHXre8LB0oqsBQPrZW1odj +w8N6xbtiXuDfduaCARy7s8b3oQpzs+cTi8IGc6xd+7FOdmqcB2KOPNLS45jj +67fL4zDbR566vXcExxQbZ44fVY/7w7HjhyQDjq3ukt3pJubhLQq6DRrLsc/R +a2R/MJuuvnvj0HiOTd0ui9/6XQUFvp0sPxlzLKTH4UcZmFe253W0J3NscOw/ +p0k/VJCRre6zYQrHru+5vOIx5tFLSqyCLDjWok9vo/YNWG/Vaz2/WXLs0Cff +zgcxmxpNbDthJsdqD4yVF2M2fjjw8aHZHFs+MDph+k+cN0qyV4fP41hi6szH +wZhdH3cw5RZwbNLR7OM9G3E/R5+bqLeEY4FD19qewjyj3c8ldss51idLZiTF +vPm07pXLKznmduJwl0W/VNBFP6YmejXHfo9spojCHDfUZbl0Hcf25F/+NOS3 +Cib4mVRpbeJY2dnePm6Yz6pcL0zayrEFY/1OqDE77JpsuXoHx6B47Mo1f1Tw +5kKLHid2c8zQNXrCJ8x/P/s03tvHMZ8J1l3H/sV+tCpbHXGAY90rsxW3MY93 +WtAs/TDHzrmvTfyH+evW3AHVRzn2fbLcZ0cT1u9L/efVO3FsW93hk9mY21bX +ubQ8xbHC683szP5hvXZWZnY9y7HZFu7Gfpgzb8v1+pznyMMJ8HOj1/lBrhx5 +OAHWsVhe140jDyeAt2+39UOvcOThBMjqbVM42IMjDydA9vRxy/vd4MjDCbC/ +9nBh99sceTgBgrOK17S9x5GHE0D9c0DtzwcceTgBlm//vVv6kCMPJ0ACM1Tn ++HDk4QSwiXU89u4JRx5OgMWWt349DuDIwwmQaDLt2LlnHHk4AfaOmqza9IIj +DydA1zSzjSyMIw8nwLsvrVN1XnHk4QRoZmyr/+01Rx5OAIMv3Mm4KI48nACn +S88ner7jyMMJ4HS4rPmGGI48nADtVRFjDT5y5OEESH2XMk+I58jDCTBvY7Ht +60SOPJwAlq7+ix1SOPJwAnwW5JOMP3Pk4QQId97W/lsGRx5OAC/T4oSn2Rx5 +OAFWGrfauTqPIw8nQK9Qv/pOhRx5OAH8Wx3b9q6IIw8nwMuQaR+2lXLk4QTo +YP2hoUsFRx5OgC/OL7QjqjjycALUmmd3X1HLkYcTIGe3TFUv4cjDCbB1xKsX +HnKOPJwA7wOEWfocRx5OgFszt8TEfOXIwwng7pTWdbHAkYcTYE2V1KxSzZGH +E0B3opPFnh8ceTh83hXT+3z/yZGHE2CXVatUp98ceTjcL/1OLfz7lyMPJ4Db +sIOBJ5rx5OEEqEjyyf3ZgicPJ0Af94zMA6158nAC6A+KfiBty5OHE+DQ4uET +V3XgycMJ0KV3vndKJ548HL7fixezTbry5OHw/VS2yHrYjScPJ0DG2TZ3W2nz +5OEEUKmWj9zeiycPJ8CzL69OJ+rw5OEEKG9X46XbjycPJ0CTw9NTxwfw5OEE +WGLxcWj2IJ48nABTHv9wG6rLk4cT4GSrDq8O6vHk4QQ40xhzP2Y4Tx5OgHvG +RdPa6vPk4fD8ePZ/Mn8UTx4O17eXTfzVMTx5OAFm8GO908fx5OEEaLvLxbC9 +EU8eToCGdjonLI158nACRO4LPOUwkScPJ0DSjj8TAyfz5OFwP/Nf/ArMePJw +AuQNaJnQfCpPHk6AJ1bTbusznjycAL8zl/dZYMmThxOgbHP7+fun8+ThcL0S +B4+5MpMnDyfATm7ju4BZPHk43M9qz+8xc3jycAJE6TkV58zjycMJMLChbEeN +DU8eTgBpxwuPVAt58nD4fsdPPPlrMU8eToDOG6OaNV/Gk4cTYHV8zshWK3jy +cAJcCp5f33IlTx5OgMcP+Y3NVvHk4QTYN+ekfeNqnjycAOlu8QO/reXJwwnw +fd/F3VXrefJwAsQZ3lyetZEnD4frbxZa8m4zTx5OgMKhvn/8tvLk4XA9I03e +XNzOk4cT4ITSqMOunTx5OAEcFZvqZ+3mycMJwHW6dlh3L08eToAPpWcuNe7j +ycPhn2u3MEq358nDCVDiU7rH+yBPHk4AX5/iybsO8+ThcD22JN6c4MCThxPA +Yc+u041HefJwArTcf73hnSNPHg7Xd1mbP07HefJwWE8m3rw88SRPHk4Ai21t +/L+e4snDCaC9deQsvzM8eTg8D4pP9sucefJwApyteja4xXmePJwAbXb7LQ++ +wJOHE+Bg6fEuS1158nD4PLHN5v64yJOHE2BkUVOb2248eTgBtvefPsPInScP +J8CQqPvN0q7w5OEECNHKNdtwjScPJ4Drx7eC4MGTh8Pz5N1f74wnTx5OgKDQ +lKwON3nycAJcNLv24/otnjwc1qu3U272vMOThxMgrdI78NZdnjwc/vfe5426 +3+fJw+Hz9ALDyw948nACdAzu79vMmycPh/vp554LBx/y5OHwfsw5XVT5iCcP +h/Vs9jDveT48eTgBZvmPyXzpy5OHw3phv3Nfryc8eTi83/YEOTr48+ThBPC8 +E/Mt5ylPHk6AnmOcMkcF8uThBOjn/6L32SCePJwAjREGSTnPePJw2D8sjC8d +HMyThxNA4j/HdvcLnjwc1r/hHiYvQ3jycHh+z+x0+B7Kk4cToO5RoI5JOE8e +ToA3YYP7HXjJk4fDzzPI/0TQK548HP682+3NyyN48nBYP1rpruwayZOHw/7j +Vlym+RuePBzu7yjw2RbFk4fD+7D/18/ub3nycFhvInQXhUbz5OEE2P3KyCDj +HU8eToCbHmo7+XuePBzuh9ZTypvF8OThBLjfteK1NvDk4QTY+O11hV4sTx5O +gGr926vGf+TJwwlw7eKKYWZxPHk4vL/7ZFiweJ48HNYDwzz/aQk8eTi8z7ZP +s7P4xJOHw/P1QGE7OZEnDyfAhvpnj8cm8eThcH+X2RkNSebJwwlwtX1WR60U +njycAE8PS0b9xqzxcAIs2+hwvTqVJw+HP3+V3ZTENJ48HO4vk50jn37mycMJ +0KnzGVvndJ48nABz5hxLWpXBk4cTYNj90UfHZfLk4QSY/uvo1mZZPHk4AYQQ +k+vpmDUeToArsy0bb2fz5OEEUPg6eK3J4cnD4X6pC3ccmMuThxOAP595rRSz +xsMJMNwyuOx2Hk8eDvfLyGGbbPJ58nC4v2727t+sgCcPh/eT4cYOoZg1Hg77 +V7Fi1KpCnjycAO2GHDzd/AtPHg7//qIfLf0xazycAD+2zYuaUcSTh8N+5M6i +B5WYNR4O74sddc+PFfPk4QRoHiqXdSrhycMJ8Pf61MXemDUeToDRbh9r9Et5 +8nACjN+04tFLzBoPJ4DepDLnSWU8eTgBWjlOuhKFWePhBOivtP5gUs6Th8Pz +/YTvFopZ4+Hw/Wp1cdOr4MnDYb/71U3vNmaNhxMgf+msypaVPHk4XI9nw9/v +xazxcAJYver0JhezxsNh/3y+LNOkiicPh/2828nWNzFrPBz2p5ezV3zFrPFw +Amj5xcTNqObJw+H5mGkw+w5mjYcT4Dz7VVeHWePhBNj2RvuBYQ1PHk6ALaM3 +bDuKWePhBIg/Gm8djVnj4XB90odNacSs8XB4/qP3WRnX8uThsD4YuKzejVnj +4QRYsGnZhYeYNR4O79sWcTHpmDUeToCADlFtGjFrPJwAPfIHrRlcx5OHE6C+ +b82H6Zg1Hk6AMWqlwWbMGg8nwIBcPd9TmDUeTgCda8d0b2PWeDj8PKtyngVh +1ng4AXqnDjB7i1nj4QRIzrLOTMCs8XA4j8Wb7vyMWePh8Lzb1bTJwqzxcAIc +DjIMyMSs8XB4n47tOicNs8bDCdDi0x5lHGaNh8P55cWkK5GYNR5OgBfu68Y8 +xazxcALU7MxKvY5Z4+EEsHt5YosjZo2HE8Bw8tLGNZg1Hk6AiRNmXDTHrPFw +WD8tp2npYNZ4OAGObZ/s8Q3XU+PhBJjUbWDbeMwaDyfAqO41hzwxazwc3vdP +HfPXYtZ4ODzfB/IMhmHWeDjs989KDsrwfWs8HO5/G7/gAMwaDyfAo8imgk2Y +NR4O+9f2vKoPZo2Hw/rzd1PjZ9xvGg8ngEnk8m/HMWs8nADKj9HZwzFrPBye +xwEXH6XjftZ4OHy+8RG29pg1Hg774XyTxi6YNR4O3+/CVueC8LxoPBz2S8t6 +1zPMGg+H9aDN9nk5eP40Hk4Al0al6wbMGg8nQJjRo0AFnl+Nh8P7e7hTsD1m +jYcToPSdg6eI51/j4QQYNO+anT1mjYfD+vMoppkC64fGw+E83PDPZT1mjYfD +fq71QmUW1h+Nh8Pz+S1gtAVmjYfD/ndh27kBWL80Hk6AcZN2WXXCrPFw+L5G +Z2jvxXqn8XACdB81PjYF66PGw+H6BFyfrotZ4+EEmO3+1dsB66vGw+H+M5+V +kYj1V+PhBIi++SC3O2aNh8OfJ1cGr8L6rfFw2J+0M7V7iPVd4+EEWGh+vrAM +67/GwwlgPTtzeB/MGg+H7293f+tFeH9oPBz235v2GZ/D+0Xj4fB+2ZKsCsf7 +R+Ph8H4ZMdapFO8rjYfDn1ful9kCs8bD4X1nNlalh/ebxsNhv7Y7p9gK7z+N +h8P5Vff21TV4P2o8nADNrp3ucBDvT42HE+DXxls25/B+1Xg4rG/p5Ss88P7V +eDgBTC9sHnYP72uNh8P+CUZFeeN9rvFweN9MnNblId73Gg+H/cyIZ8PvYz+g +8XAC2C891MwT+wWNh8P1bfb0/gXsJzQeToCHv+fXH8Z+Q+PhsH7dOtRpA/Yj +Gg+H6xs4rNoa+xWNh8N+58b+w/rYz2g8HD4/WxfXFvsdjYcTwKffv9Qq7Ic0 +Hg7vg6EbrrzBfknj4XB+L7rW7hL2UxoPJwCb7z1lBfZbGg+H/WTPu8MGYT+m +8XBY31Z6fKrB/k3j4bCfTL7d98lrnjwc7g/f90M3YL+n8XA4T77sUdkb+0GN +hxPg6NKQBWnYP2o8HPb/ex/tcwrjycMJcOPYL4th2G9qPJwAe07lxqRhf6rx +cPj5dBi/F/tXjYcToNuBJSkdn/Pk4fB8WA9d4o/9r8bDCWAkTXIxw/5Y4+Hw +fU2y3ZaG/bPGw2H/Gir7Zov9tcbDYb+86qZupR9PHk6AQyUH/27G/lzj4XB9 +d3qfrnnMk4fDP88ZE7gO+3uNh8P1OWnhkI/zgMbD4effqZDN8uLJw/3/frT5 +9xrnCY2HE8C2wTlq0D2ePJwAXW8F9riA84jGwwkweXdJVwnOKxoPh/3dcdNg +K5xnNB4O5+NNVdL7OO9oPJwAfqvEj19xHtJ4uP//+5G7qQXOSxoPJ4DZ6Uyb +SzhPaTwc3n+Tyv5mXubJwwmQ2KdwdnecxzQeDvulExVjFuG8pvFw2F/Y9gm+ +5MKThxMg0DIwLgbnP42HE2Dz0TD7bzgfajycAL0KV7zud5YnDyfA3prYa9NP +8+ThsL+P1/63DedPjYcTYNpMxxYuOJ9qPBzer8U97z3G+VXj4QQ497B7XCTO +txoPh/fBkrsnko/w5OFwHtuQlZR/iCcPh/NxSa1v+QGePJwAm84261yznycP +h/U9ekHrGpzHNR5OAOe7v93KcV7XeDgBFpVO8M7HeV7j4QT4KOhNScZ5X+Ph +BMgU/2yO3MqTh8P5IUDR6/FmnjycAB4XByy9sJEnDyfA+vefem9bz5OHw36/ +n/YWq7U8eTicJ8aZTeq7micPh/XGZJcHv5InD4f1r2/hvvcrePJwWO/PRWS7 +LOPJw+H+SZwYNX8JTx4O+1WV55Aui3jycHhfJDR1SrPhycMJMPhDmOO5eTx5 +OAFCO1ZumzSHJw+H63E/IVdizZOHE2BG5ztwfQZPHg7fz0cP/clWPHk43C9Z +Sq1ixpOHw/3Vtebw0ak8eTgBXnd+uUxrCk8eDs9zv1uhTybz5OGwvs1IOW88 +kScPh/VtuXsuTODJwwnQobj/A+vxPHk4PH8Do4uTx/Lk4f4/jz25bj2aJw+H +92tVPwB9njwc1t+ETZuMR/Dk4QRoMHzn9ESPJw+H53f6jrZaujx5OKyPFS/a +Hh3Ek4cTwDE4y6moP08eToCgfr02TOrLk4fD37c1IdKjN08e7v/3qe6pOm2e +PJwAd1cfe23SnScPh+dhV5c1Z7vy5OHw8/UbeyC5E08eTgDRe0h9hw48eTi8 +L75OqJ3dlicPh+tXFDbNuRVPHk6Aqobadm+a8+ThcH1eDzCXNnHk4QTIsAkq +6v6HIw+H921GQaVpI0ceDvufPj8WrfnBkYfD9a6y1XdSc+ThcJ4Ptdl5Q8WR +h8P7pVZfK5DnyMMJMCGCDYhScOTh8LyNqrkWL+XIw2F/Nm7n9tRajjycALKT +I/w+V3Hk4QT4F3rYKrWcIw+H9c00cEZ8CUceDvf/jr5Bb75w5OHw9+/W2x+Q +z5GHw/M+f/BtzxyOPBzW1/odAxwzOfJw2I+NXtVq9WeOPJwA3x8tmjs5hSMP +h/W6KOirViJHHg7vs1kJqto4jjwcrs/UfwsjgCMPh/2vKrrj6fcceTjs706u +HDrzLUceDuu31PR2m0iOPJwAKTpxm+NfcuThBMiuXXb5eChHHg7n+ba2ncYF +c+ThcD+OHCspC+TIw+E8VDxN56I/Rx4O69ODHz5jfDnycAKs+PLGOeMhRx4O +6zHHv931gCMPJ8CfIS1ntbjLkYfDeShik/6tmxx5OAHcM49tGHqdIw8ngOWN +l8rgKxx5OAHadj+VOt6NIw8ngPnSuX/CXTjycLj/lrqeGXOOIw+H81nbpyue +nObIw+Hvt9M51esERx4O57Vxi3+cO8aRh8P9viUhhjvMkYcT4EyepGDRAY48 +HNaHJWMtwvZy5OFwP4Z1q++wiyMPJ8AzaPdzwzaOPBz2Jzbuc15u4sjDCdDT +oJesaR1HHg7P47AhudarOfJweL/30O/gZsuRh8PzlvzMPXkpRx5OgLdD9Fa1 +WMSRhxNga1OPg5Pmc+ThcH60/pW7fTZHHg77N6nt6RszOPJw+LylIYeip3Hk +4XD+0XMOKzXnyMPhPJyx3OTXZI48HO6/+pDmPUw48nB4/3/o0XPEeI48nABe +azvunziGIw+H57nfwK6W+hx5OPz7Nvn11sM48nDY76x+N2j2EI48HPbvH1df +mzGAIw8nwL7O06eb9+HIw2E9r2syG9eTIw+H82+bgQ4DunHk4fB+szSsb9OZ +Iw8nQOT5xAhlO448HK53wcE3aa048nACXLZN/v20GUceDvvzWavPnvyjJA8n +QH7zj7MW/lSSh8N62txjQf96JXk4/POq9bdqvynJwwnwfl1Kv0ClkjycAENs +t1VtkyrJw2G/kJ5dN7hGSR4O5xF5wMiCciV5OJxHf14IcilWkofD+3tfhx1G +BUrycHh+lXmbi7KV5OEEuG7s4nU8XUkeDu+DqbHd+qQoycNhP6M3PD48QUke +7v/z2JqwmbFK8nA4b35rW5T3TkkeDueLX5nm698oycMJEDJhTUntSyV5OAHm +PF8esTVESR5OgJgn25KqgpTk4bBe3DXTtvNXkofDfmTiqftpj5Xk4QTI3Vph +Z+qlJA+H/bx15yW+d5Tk4QRYahTl3OaGkjycADWx15VbryrJw+H5/zTfPfaS +kjwc3v+Lvbf0uqAkD4f9fOTkw9vPKMnDCbDfNT/61XEleTish/dGTf7toCQP +h/dDq3rl1INK8nD4/MPl+cf3KsnDCVDpl/g9YoeSPBz2RzvmzJNvVpKHw34k +aFyBznoleTj8/JLJt6evUpKH+///bxl2eedyJXk4AV5lREW4LVKShxNgx6+I +7gHzlOTh8H4bVvEkxlpJHk6As7Z/d2VbKsnDYT3kC7ZUmCvJwwkw9o6xp2yS +kjycAH1XVYqckZI8HJ7/G/4u3BgleTgBnBoW2UhHKsnDCeB6O8y6bKiSPBzO +t8U3DmYMVJKHE2CEe1pWdB8leTi8/7L0N/pqK8nD/X+edx56oauSPBy+D9eg +3ps7KMnDCZBm4DDVvLWSPBzehwlwU6vZfx5OAMPt6wdU/lKQhxPA/8q4wmff +FeThcL36doqzVynIw+H7XJ1TNl6pIA+H69Fuo8HXOgV5OKwPs+4G+FcqyMPh ++3tht3xliYI8nADG7OKENgUK8nB4/x9sZxWapSAPh/O4YeyZpWkK8nACTPly ++5v4SUEeDu87iyNXr8QqyMPh/gmduXboOwV5OAHkKvXqiNcK8nBYT2bsdZsW +piAPh/V5+2NZ0jMFeTisB7dOOsz1V5CHE8DG7ceE5EcK8nBYb5ybBlveV5CH +E8Dawm3q65sK8nAC3G/ucUnvmoI8HNabO21bX7ukIA+H8/ViRWj9OQV5OKyn +HSe4LT+lIA8nQPjo8hvhxxTk4fD5A+Wf2x1SkIfD+bDZcpPVexXk4QTYaT0k +PXC7gjwcPu+AxTeFjQrycDh/fZC5maxRkIfD8yTwYYdXKMjD4X3Zb0eb0EUK +8nA4307ccLl2roI8nAAOXypZz5kK8nACPDf8MdSSKcjDCfCyc8ikHaYK8nBY +f0t7H3eboCAPJ8D84BlfA8YoyMMJIIyedT12hII8HPZHERO25A1RkIcTgDMf +vL2mn4I8HPZ/u/Tu8z0V5OFwXnWz+yt2VZCHw/p7q9hD3V5BHg7P77iEZd9a +KsjDYf/+wniO5K+cPByen17z931pkJOHw3530OiET4KcPJwAAyf9nBuilJOH +w3pxKr+FZ52cPBzWuyyF0r5CTh4O63HshjbziuTk4bDffLRxyeBcOXk4AU45 +9clSfZaThxOg3cDI0+8T5eTh8PPrbN94LlZOHg7nrei1R2ZGy8nDCZDUJ/1d +iwg5eTic37QVxu9eyMnDCXBrgbJyX4CcPJwAD0zbxw7wkZOHE0DZ7VRO0n05 +eTjsL6sv6Oy9KScPh/OQ6zrPzlfl5OHw84XOmhbkKicPh/uj2Fl32lk5eTjs +jzctNc1xkpOHw/1xrOn8+sNy8nBYj4antZbtlZOHw/expMX73dvl5OEE2BD3 +NUCxQU4eDutD37KULavk5OEEKKvup1u8VE4eDs/nhd9hc23k5OHweZdmOERa +y8nD4f52kR0aME1OHk6AX5aPA0+bysnDYT9yy6ZnmZGcPJwA8SfnRpuMlpOH +w8+rEO9cGiYnDyfA2ugnIV8GysnDCXAxHpp0deTk4fB8Zflf3NFNTh4O56en +0fODOsjJw2G/3mLLvLqWcvJwApz0aX+h/18ZeTgBJOY9fy34ISMPhz/fQ3x2 +4puMPBzeF6u73vWXycjD4fy4oeRjSpWMPBz2m3uSR8qLZeTh8L5fNje9ZZ6M +PJwAvo0FoX3SZeThsP+bK8kySJSRhxOg2yzRcBLIyMMJkNfsaJZFlIw8HM5j +D8VQy3AZeTjsz9bWZbJnMvJwWD9uZBua+snIwwkw7NWU3DFeMvJwAsC8Z28G +3JKRh8Pn7/S0vN1VGXk43H+Jb2d/c5GRhxPguP3BH1mnZeTh8PwNXy97cUxG +Hg7n1zHdBrkckJGHEyD2R78Hdrtk5OFEGDqk59qRm2Xk4USoc7q5VVwtIw8n +Qm4r49dvlsnIw4mwuf7a/GM2MvJwIvSItB5tbC0jDydC4refy5QWMvJwIgw/ +NyfJe5KMPJwIHT3Kzs0zlJGHE6HMYc3l+pEy8nAitC7ZX353iIw8nAhL/ONP +Tu4rIw8nwr42bXbldpeRhxPBxlHqt7OjjDycCI8/9R39u6WMPJwIy1osb3nx +j5Q8nAjN79oYaH2XkocT4cD0GJ8bvJQ8nAh9dTbv6i6RkocTwc/513n3cil5 +OBG2z5spNi+UkofDn3evzauDmVLycCJkiy2Ty5Ok5OFE8Jze2sg6VkoeToSa +kjwhMEpKHk6EisOsXdtwKXk4ESKMtB02BEnJw4kwOUDP/LWPlDycCJfzZqxu +fV9KHk6EV+Pm5S3ylJKHE4H5aQXecZOShxNBcd2+sMhZSh5OhDlFszf3Pi4l +D4fPn+c4f9EhKXk4EQLWSW6c3y0lDydC94JDZhGbpeThRNgzsINlxWopeTgR +PvrdCGy9TEoeToTBa/8eGDFfSh5OhA2ZEx/OmCElDydCSVejsWvNpeThRDBN +qtE9YCwlDyfCWOPpJ8+MlpKHE2He2LkWl/Wk5OFESB35fdv1/lLycCKsMZn+ +01NbSh5OhKY2E9XXOknJw4mQU5+98mIrKXk4Eb7/GWBw4o+EPJwIG5OG7thd +LyEPJ0JK4LceKzgJeTgRzvp7jDWvlZCHw/1/WOftgFIJeTgR3p19EPErV0Ie +TgQj0VA3N01CHk6EBH+xRUC8hDycCGFmf20d3knIw4lwSMte1/KVhDycCHFz +925u+1xCHk4Et7w+/VN8JeThRBA2edu43JeQhxNh7THdRgtPCXk4EY5vLxik +viQhDyfC4hXyON+zEvJwIlhbXq2xcZSQhxPBoumXc729hDycCM/ZDt/bOyTk +4UR4cafbdOMNEvJwIow5brIt3VZCHk6EfzN6dt60UEIeToQOpt8ni9YS8nAi +2Lv2V560kJCHEyF6Yb5e64kS8nAidHqyR+o6RkIeDs+ru5Fxm2ES8nAiXGzv +1OlMfwl5OKwXOvcOfO8hIQ8nQrs3si3bOkrIw4lwviZBmtNCQh5OhHw/999m +v+rIw4lQ0MbX56FQRx5OhDYjd5X8ldWRhxOh2Mjm2crKOvJwIvTaFtk1tLCO +PJwII3prd26eWUceToQTrwv9FiTWkYcTQUw/kn/nQx15OBHGddnmVxpRRx5O +hN6zh3YdEFxHHk4EwyOj+tr51ZGHE6Ff9L9PHvfryMPheu7S0064XkceTgTj +DkPaqC/WkYcTYdXyM94DztSRhxNhNssqmX60jjycCLY/feK27qsjD4fnQ3Vp +6bmtdeThcP+UDfLwWlNHHk6EzKgmx/CldeThRLiQktA9bm4deTgRBj3psSbD +so48nAjzx3nYFkyuIw+H9SGguk3xuDrycCKY+0buLhpeRx4Oz1P3d655A+rI +w4kQOc93TZp2HXk4EZJb63IfOtaRh8P7ZIN6UnCLOvJwIgTJcixvN9aShxOh +670zHU+oasnD4f1RBbfXSmvJw+H99dS2zqy8ljwc1o953Rt75NeShxOhT9iH +XFlaLXk4/PkTRx19G1dLHk4EdfK4ugtva8nDiaAs9hu0IKyWPJwIlfs2j+we +UEseToS9fRY0z/GuJQ+H9aX1rOArN2vJw4nwocF41MzLteTh8PctbXHm59la +8nAi7B/oHRxwrJY8HO7nlg0vl+yvJQ8nwtWVrW81bq0lD4frPeTjMq81teTh +RFioPaLebGkteTisZ96TjuTPqSUPJ8LX2h9Fu6fVkofD+p+5R69pYi15uP+v +v/8K9zG15OFEWN0QcrCXXi15OKwH5d5OXn1rycOJcPPTRfuB3WrJw+F5v+S2 +wqttLXk4/HwVH8f2aqohD4fPv3Lx78v1NeThRPi2bX3MX0UNeTg8Dxt6Oe2u +qiEPh/fvuSeG+YU15OFEiPo7pdYso4Y8HN6HXPc7Xgk15OFEmL5l87xf0TXk +4UQ4dWh3y2XhNeThsF6P2PsxKOC/74fD/X49xPW393/fD4fnKdVx5Zyb/30/ +HN6XP/pPvOH23/fDYT2dp9AtOvPf98OJ4Kw9eUi/o/99P5wIaZEbJtjt/e/7 +4fD+fx23+sbm/74fDvuVN7FeKXb/fT8cnie3jz9/L/zv++FEOD3S8KCB9X/f +DydC6YfjHZeb//f9cNgPPe+ddNzov++HE2G9+/GAhyP/+344Ee5PGRr6YeB/ +3w8nwtHCq5VftP/7fjist0lWFqoO/30/nAjlMUmpLZv/9/1wIrz+9Oxij4Zq +8nD4Pk1POg3iq8nDiSDLzfMdUVNNHk6ErNB5rUYXVZOHE8HH5ozP6Mxq8nD4 +fu72PKn/qZo8nAg7lS/v6b6rJg+H/UPPVr96h1eTh8P7b8Pbx+0DqsnD4Xrd +dPVo8KomD4f9458Rn6s8q8nDiXBsz8oVyRerycOJ0Gp2yfjnp6rJw4kw8fzR +jW6Hq8nD4fsO+SnduquaPBzWQ3Fs8tQN1eThRPAY+K1V9xXV5OGwfgd096me +V00eTgTf0KOPQi2rycOJgLdBS8dJ1eThRNg6/3aGxZhq8nC4P94Mbd18aDV5 +OBHerrofBDrV5OFEGNZBFePUpZo8nAjdtg+abdSqmjycCLvrRsyWNFaRhxPB +LLtz3O1vVeThRBi4rDhqel0VeTjsP50ejOOLq8jD4fs026J/I6uKPBz2r0fn +B5kkVpGHE2FluwMv895VkYcTYVeMepZ9eBV5OBEe7vy9p11AFXk4EWLKX+l5 +e1WRh8Pn/2tzcKxnFXk47Pcj/q1871pFHk6Ek+1blVqfrCIPh/Vx4IOm9INV +5OGwPzXpkLB4RxV5OKyX0Q/G5aytIg8nwr3pj2YsXFpFHk4Eb6+dbVJmV5GH +EyE4ZdkxZlFFHu7/922s98sJVeThRHgvdnXS1a8iD4f1fl6I1rWBVeTh8P4y +77D1Z48q8nDYT1vddVrXvoo8HK7v5JxlH5sqycOJ8NNuUsPg+krycCIcXjh/ +60l5JXk43L/jHvgWlFeSh8P6cfHVy9F5leTh/t+/Gdw7nVJJHg7P1/z7dpkx +leTh8HlX+TX0i6gkDydCrJHSYWtQJXk4EQZoDSh5/rCSPBzOB6nyEd9uVJKH +w/Urabtx3KVK8nAijNo+wW3PqUrycCL8mDY24OmhSvJw2C9iSSzbUUkeDvvb +uNdp3dZVkocTQR5dXWy1tJI8HL7PsEG8/exK8nD492fPa+M1tZI8HM4z/+YY +JBhVkocToa1Rm7XyEZXk4UQ4M8jet+OASvJwIhTVn2wy6F5JHg77qexRR2a1 +rSQPJ8Jo7wbtuJ8V5OFEaNmJO/+tuoI8HJ4vo8VWvdMryMPh89kvXG76poI8 +nAjtl/ZLXO5TQR5OBKn3d689lyvIw+H6rxtVffJIBXk47E8aej68tL6CPJwI +Sw37FV2bU0EeToRqqxcPPCZUkIcT4aBi7tfLAyrIw4kw5ODporNtK8jDYf2L +r956UCgnD4f91JE+XmtLysnD4foW552f/qmcPBzup265I/VCy8nD4cvRqvD4 +d7ecPBzu77dZn/Kdy8nDYT2occ96uqecPBzW132tow+uKCcPJ0L4ItPLptPK +ycOJ8Mnf2Oa3fjl5OBGcYrTaR/UoJw+H9dawPmn/3zLycCLwtd1v6ErLyMPh +edUPOZSVVUYeDn9frPYhx+gy8nAiNHK+9wc8KSMPh/ONa5z6/ZUy8nAi/Oqg +dLU9WkYeDt/nU58N/IYy8nB4/811dD01t4w8nAidY7q36WhcRh4O779n/SWe +A8rIw4mw/MXS8b3alpGHE+HvTmfhpqqUPBzWr7tnDbsWl5KHE+FJ78k/z8eX +kofDfvnP/SU/gkvJw2F99HsxZ/PtUvJwIuyIOytNO11KHg7X+9ikiYY7S8nD +YX+z7i/zWFJKHg7r6X2trtyUUvJwImz5+v7F9GGl5OHwvk5bM/xOl1LycCKo +NtqdkzSUkIfD+TnCIHN8VQl5OFzPp7bajqkl5OFwXtN2WPv+VQl5ODz/pQav +f3uVkIcT4XGD/vBJLiXk4bBeXpr4bt/+EvJwON8Iuqd9V5aQh8N+qjzrRI5l +CXk47FfWj4cmgxLycLifG2YsHqFdQh4O++EX/Szm/y0mD4fzn16+x15JMXk4 +ERYEPl52ObOYPBzWL8sPN/2jisnD4X5Pc1zz3qeYPBz2I61N3mS6FZOHw/vQ +d21YxaFi8nBYrzcdXMqtKSYPh/V3cIvg7zOLycNhf6p8/un32GLycNiPdxsU +3NS7mDwczpvm/XY3NSsmDyfCutP2XX7Li8jD4X7a88+nPqeIPBzWC/2345Xv +isjDiVB79lFK+ZMi8nAiLGpKOJJ5pYg8nAj19fOtPjgUkYfDefTx7imB64vI +w+F9OX7bXo/ZReThRPgs86w9Mr6IPBze98PsXqzsW0QeDuffE+PzJrcsIg8n +gl6m/9Ze3BfycCKkr128T8j7Qh5OBIeyyl8pH76Qh8P+/0p3ncdPv5CHE6Fq +XmTOoWtfyMNhvQx+MWnmsS/k4UQo6cQt1d74hTycCLeeORhXzflCHg7vv7db +JM+NvpCHE+HK0QqHw/2+kIcT4Zm1rtqs1RfycNifgdOOf1wheTgR7JJm137M +LyQPh/f1s6ZDzjGF5OFwvcYNGWYZUEgeToTmwbNaN10rJA+H9XVIf923xwrJ +w2F/vmD1pYMbC8nD4edPypttMLeQPJwIK7R276s0KiQPh+c9pEe7W/0KycPh +es0sHDq7VSF5OJwPn2VnNnIF5OFEePlvfN9n+QXk4USAJLNudjEF5OFEMGlu +Fd8moIA8HN4n5uEWr64VkIcTYU1ka891xwrIw+E8vycrrd3GAvJwWM8mR/4K +n1NAHg7ne9n+MauMCsjD4ftZkXqweb8C8nA4b5x7WhTQsoA8HK53cKO9DZdP +Hk6E8TvSZ4l5+eTh8H54z/be/pBPHg735+RzKtOn+eTh8Hx2r6gsvZpPHk4E +Y9NQm9NH88nD4X11ZIv1kA355OFE0Dpzvjhudj55OJxP+z/rs2V8Pnk4fD8T +LvRo3TefPBz2D3xxoX+LfPJwIrh8vuBgrcwjDydCUtKRf5LcPPJwOJ9tDXN2 +fZ9HHg7vk4JFWiP988jD4fprXYxKvpJHHg7vE6XX5Z0OeeThcN4x5e51WJ9H +Hg7rQ51M/XxWHnk4fJ9d+j+zMcwjDyfChCHD0r/p5JGHw371x9u9ns3zyMPh ++vJPvUwUueThRPDvmLmrKCeXPJwIVtqj5Sff5ZKHE+FuYMbIoU9yycPhvLW5 +3CzFPZc8nAjbftwztD+SSx5OhERr234663LJw4nQ9/K5Th+tc8nDYX+ww6/n +7nG55OHw/tFaOruXTi55OBGM1llHxjXLJQ+H/cn32Qfs5Tnk4fD3rbe4PCgn +hzwcrq+Bbq+s6BzycHgftG2n5+yXQx5OhE3yfkkm7jnk4bAf0/XtpjycQx4O ++zGXhp6+a3PIw+F8c+G6ZJV1Dnk4PF8Lmm71HJdDHk6E1K+1E3N655CHwz/f +37rUo1kOeTgR+v+cfHexPJs8HO5H7sAp7Zxs8nBY3zq/9ymKziYPJ0JvL/Oe +Pn7Z5OFwvx8x4na5Z5OHw+eb+MN00pFs8nDYf6/91a3NumzycFivd6W5Flpn +k4fD/drUNfT5uGzycNiPNLv0wFknmzwc3kfQbeOa5tnk4XC+6fK4h6kiizwc +9u97ZyTp5GaRh8OfP8rA4/e7LPJwIkx1vuNc9SSLPBzOy7H8y9QrWeThRLi9 +K8jkjUMWeTic11ZtGhSwPos8HM7DsrTz92dnkYfD5+nw2un6+CzycCKMcWrX +y71vFnk4vL8KP+92a5lFHg77oQdzdPsUZZKHE+Gq/cOJw55nkofD3+e+gJme +zCQPJ0LEtDnbly/MJA+H/bPOmyLHIZnk4bA+6f/6+FSdQR4O68GKkHmlnzLI +w/3/3/eCfPvezSAPJ0LosT/ixl0Z5OHwvDcKO16aZ5CHw/01S2t8p64Z5OFw +v+bpHNtflU4eDvuFF+12l75KJw+H5zNdZ9JSl3TycLjft8a0z1uZTh5OBPfx +z7XWj0onDyeCzqd0x/q/n8nD4fsoWXzoZtZn8nAihAQ8HsX8PpOHE8FxxMbs ++iOfycNh/Tl47Mbr2Z/Jw2G/0qmDz9l+n8nD4Xxldkzf7msaeTjcH4u2rDH/ +mEYeToQj7UJ3jrqZRh4O77PCY2eHb08jDyfCA3PdvDFmaeThRFjbf76nZec0 +8nAi/Nb6wm2sTCUPh/99nEz72qtU8nDYf06tnpLikkoeDt/vrF03tFalkofD +/R29w2brmFTycCIUfmnrldQslTwcrkdgRPikvBTycFgf5wz6+DoghTwc9lNL +p/y1PJ5CHk4EN4Np90oXpJCHw/t3XVDyBd0U8nDYT7aYBFN/JJOHw/rW6WtY +q9Rk8nAipLzQySn2TiYPh/sz3No29kAyeTjs/4ttjryemUweDp+Hzd0Y3SeZ +PBz2Qy8eLsrkk8jDYf1v/v1Y/cck8nBYb90ttAxuJ5GHw37/QMR8+11J5OHw +fbf9siXJIok8HL5fs0PXxvVIIg+H/Q53t2WQNJE8HK73Kv+fE94nkocTIfmk +xdMsj0TycNgP2y+bcXprInk4fP6sIR2nmSWSh8N6rT96Qo+uieTh8D5eOuP7 +z5pP5OHwfZS5uAlRn8jD4flxWDP675VP5OFwPRY4afff/Ik8HJ7Xc9UnFkz+ +RB5OhJHutY9udv5EHg7nsfsDor5WJ5CHw/m6s2OztVEJ5OHwPss3jKi4kkAe +ToRmdeldjm5OIA/3/38/Hzl/qGkCeTj8vCN3BtZ2SSAPJ0JTl3Yb3tXGk4cT +weNURmhAdDx5OBFmpBXkBXnEk4cTwbbUvVPctnjycDjPeIXcVJnHk4fD87Bz +/nOTHvHk4bAfck25el0eRx4O5y/P0pOtYuPIw+H7F6+9uXo7jjycCG1srI4b +7o0jDyfCiD9P2ymmx5GHE6GHc+Kjd33jyMOJUPav6Yy/8JE8HNaz4FZ8QPJH +8nDYf86t1ot/9JE8HJ4f38adDQ4fycPh7/vTsmnGgo/k4fDPb3UfGjzsI3k4 +EbIGXes35m8seTicP03NDZLzYsnDYb/LmXieDI4lD4frNUK8bXM+ljwc9tNT +LU9MXhNLHg77/W0LXCyMY8nDiRBv+rhxfadY8nD4PrcZTTRLAfJwIrzaqFrP +OQJ5OBFMFeG/CwyAPJwIl5btvPWrJIY8HO7P1IS3tldiyMNhv7l03I+vFjHk +4US4VpH9JVb1gTycCGOX3FSl+34gD4fnz2Ngeq/lH8jDiTDRo6jpQdsP5OFE +GLBT1Wtd9HvycDgfb18csHbve/JwItwcHGr1YPB78nAi3Lh09kTvvHfk4bAf +6tpWnuP6jjycCBdDZw7ImPKOPBw+b69Ooe1V0eThsD69E41dnkSTh8Of/2+N +9Qy7aPJwIsxf5HR8Rpdo8nA4j8ydtdc1/i15OBHCy6++7uz4ljycCE8dlV8L +x74lD4f31ajkCEltFHk4EYbmb/QzexBFHg7XY3Gybd7iKPJw2I8GVOyPaBdF +Hg7rhdlGtxJ4Qx4O9+evUuu5R9+Qh8P98yB6ZvNxb8jDiSBJNxvZXBpJHk6E +hgPHPOY+iiQPJ0Jg4c4h5baR5OFECGusOvVeK5I8nAhf31+3kaS8Jg+H+2V8 ++wlrzr0mD4f1oiAqf+DU1+ThRPheIZaObYggDyeCeJxTuYdHkIfD/mMQRJvu +iSAPJ8Kf7J21JiMiyMOJoO+Yo+Nc/Yo8HM5Ho5NaDnr4ijwczj8X/i3uvOoV +eTic174PCLfp9Yo8HJ6PQeGZlTkvycOJcH60ydqkay/Jw+H9a9CzQwubl+Th +sB/cE+x6vcNL8nAinKudddEhOZw8nAhvro6AcJdw8nAiXM86XTl9Zjh5OBFU +1U4+Y1qFk4fD55tiFrU/Pow8HM4/vwpLOpwLIw8nwjrbHQn/rMLIw2F90/5t +sLBlGHk4fJ81pjfUrqHk4bCftH4Z2axDKHk4nIfuHpmc5B5CHg77B97Lc7ZW +CHk4EXYZzLJ0ufmCPBzOoy294s72eUEeTgTPVpm3zR8Fk4fD+eO+7rSoYcHk +4bB/Ga60+hH8nDycCGd7eg77afycPBzuz1937eHDM/JwInwO8wqxmfWMPBz+ +/XMr9zzJDiIPJ8Jhu6DJn9YEkYfDflfq8SRcFkgeDvtvxeTpu48EkocToXHd +jyB1i0DycCKkpc23n389gDwc3ncXhHFHBweQh8P7tFnm+SNhT8nDibBhaLvO +cyyfkocTobXv7x3qHH/ycCKw9bOmOGz1Jw+H91NO2sjcn0/Iw+H9cij0S8cr +T8jD4fkcu6l+iO4T8nB4P5c8HtE7yo88HNbv2GOD+QV+5OHwz7XmXfOX+JKH +E8HmVNZwq9O+5OFEGJ3c3ytBx5c8nAjWy3yfjn3lQx4O61e6STPnBT7k4bDe +pXTbGqt4TB5OhJWHim8oXR+ThxPhAPOxaT38MXk4Eb5ZZz8f6PaIPBz2dy8W +1vVKfUgeDs+XofeXHx0fkofD/vzn9X5xC73Jw+F+ON9mzolbXuThcL+vLGmm +X/aAPBzeH6nNZGnDH5CHw3qXdSpwx8H75OFwvatPCC1i75GHw88vnXP0Ydd7 +5OFEmDt5i9pi413ycHj+n57Vk0XcIQ+H9fHBnQzvDnfIw4nQkdVEb950mzwc +9pPhwpup72+Rh8P6vizw3jidW+ThRJgy2MZ0qsNN8nDYL3a6dmRXwQ3ycPjz +z181ejf5Bnk4nD/4OrsJ3p7k4bBeTn5ZXNDSkzwc1tNrD4KC9lwnD4f9dYvy +2NBCD/JwIpxeuGQwP8ODPJwIBof5+2k7rpGHU8PHsm9Pp8y/Sh5ODZ1+HHIx +Mr5CHk4NJ57GbYsa7E4eTg1vCt+cye92mTycGm7H5+l4tnUjD6eGNTuXnP7R +/BJ5ODWc0TPW6tj8Ink4NZhtWzXhSytX8nBqMPe8tWZbZxfycGpIb4rqGNP3 +Ank4NQTohHyWjj5PHk4Nuc9cJ3HTz5GHU0PN3Fuq3PXO5OHUkCd3CAw4c5Y8 +nBoqLhn2t396hjwc/v4JJwz2+J4mD6cGd/mC3FYtTpGHU0NIT/2f73afIA+n +hg4r44/6VTiRh1PD+cbtc9PXOpKHU8O2wf2d50mOkodTQ8bSlLmGjg7k4dSw +fsF2xZVeR8jDqSF18PCPDjGHyMOpoYX/3Tl/7Q+Sh1PDa6sKHeOxB8jDqeFe +x6cfVnP7ycOpYWXXTZ9/Xd9LHg5/nq27/m6b3eTh1DBx08TCnIE7ycOpIXqM +VZeVbbaTh1PDvJfvLIa02koeTg1PW03QWq6zmTycGh6M7L2p7/SN5OHU4D98 +6F3D9uvJw6lBJybBnHu7mjycGhSbnRIi764kD6eG+a9sIir8l5OHU8Mn04BW +b6qWkIdTw2m3TrpX5i8iD6cGdglKtqbPIw+nBskgy1PqDbPIw6khfPjaxG3L +ppOHUwP32MQpeREjD6eGoWaBkbrvJpOHU4O9p2svfbkReTg1XDK2C1wWNYo8 +nBrsEhqGfTUYSh5ODR4NC91c7XTIw6lhwKluWr+adyQPpwZpWMG4VdYNFhoP +p4ZW4tc5qzvmW/wPgJt4bg== + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJxMmnk4VVG7wGVIKFIRqWRMJaRCWs0oRBkyRIlkSiElFRmTzPM8z/M8WywK +IWMhGjVJsvc+pNJ813fPufd8/dPze/Y+e83v+y7PT8zKSf8CKwsLy3YeFpb/ +/H8vZInYN1YCsTD+XfwgNP6QjUDKBjWRAtKdLTr7FcIT2QkE0utMdmzrbJGP +P6pxkYNAVvG9HVrbO1v4aWf/gKUEepbk3rBbobPlyzG3Gl5OAv01jJeBmEcz +Qy9OYibahz2ini9rqf+ZI161jEBOSpPf6mW/wiQDOOHHRSBn6Rc7fy0fBh4l +TyJOcRNoQ2NIe1zsAjzL8fnoZh4CNelv0GDZPA8OnmX9t4j5/Y/T1UsGWFrE +64XrepcTSP/Y9M4xmWeAfeWOSykrCDSqZbJt00A1mLI7JnmZl0DD558khNoM +wu52i+cH+AhEO3n78JUv07Bo3fUo/pUE2tQmHhkl+gSGuIZpvsPMusbZ3Fz5 +I3Dqy2Wp5WfOj55US33AKgJNr3jk+DqGADtvj1w2WU2gVpbb4yUds0Dg6Wep +rWsIdOla6tb+yAn4XZ7t5S/Mfvfbbqql9oKJwHUx/QIEMtWwcRrJnwTNb3Zo +pwsSKCBu8v2k9AJMVdVkdVlLIK89iVELY5+hV/S5xsNCBLpsfpY/3vMjtJy9 +7rxGGI+n5bfl3SVtUE09fPMU5jCH3GV1UTVAOi3vVf06AsV6WkiErngCl31v +ib0nQqDHopNt1lvrwcyJ0eNm63H/bBNNby3SYF/BLNv2DQQy+nGnNVaRBsuW +sDf/xdwS6qk/FkDBiNMiV4Y24vWW59lT6l0Ir1QrbskSJRDvamM9C3MSGi7X +mnTdRKCZbYrBPpmPodIFy3h1MQIF35S9JM7xBAi3uuuuFcfri/an9VZ+gL8E +Izg+YW6LzUyM/jsEXzrlwyYJAhUM9msITkxD1N3qGiJJoF/BdR1P3tJgptjY +1rNSBDr7Mca8MrQH+N0k3shLE6jWSWt9u/cEvPCEPXHJZgL9qNETvJGcC4/K +rj/5BPM/4QWx7TGjYMudnZy5MgT6qc4t/+/QG8DzSqvVbQuBjjsaPU+VygGk +ktW1Y1sJdH845DuaeA+Hwm/IrttGoBUvwk7/+TEFq6Yj3n3GvEratqb32AiM +OVSQ1CJLIK1403M+sAm4JSG98O14/HvUH7a5TUOTL2PLLOXwepwLe8D+6C1U +PU4iRXkC3eReH9n/dQquz+W4zq5AoFN+e29oVk+Av3/Wy41h7mazW9RvewEn +jXZ9yN9BIInNa5sMFarhg3LtlBuKeD12DhQ48b2BucvOG2jvJNDGj+Efx15+ +gHctb3Jv2IX30x1yqoy1B9g3RbaTmLn5J341BFZC7dWF7m27CTRxK66CnZyA +2x3b5KOUCHT3r/6d5bzdkK/z6dR5ZQJViGormGbXgbkNVOpuFQLtrzzruEz3 +PXzitvQU5x4CyV262DDZPwZrBzcsn8D8+giZYOv9ECTI7H5QpEogNpU3Xb9n +nsGbPsdveuwl0HZhjYz+d+XA/Nn5HbqAQAOJvRHftUfh/p23pkX3EejA2tbQ +2c8TcFNIVPoc5thhSbF9h15B1g+FRg/2E+jqi9uzh/6Mw/f72lfEHiCQAn/G +tepvlaArbrzD5iCBSrmGOa2vjsECirqlcgh/r3y2JkyhGQYd49zJfZhAD3mG +ohxuTsJLmRtnnmMOqu54uvZ1OTjxc3dm6RECGSw6pHWsnYA7DHRMvNQIdCJY +9k52VC9YXWLNp6eOz/+ihL7W2CD8yu7RJa5BoLyl235uqRoGT89Eey5g/lR0 +Y3+d6whorCva1XUUf0+ds8G1BIJkvvuf448R6NxWlkkl4xHgaTeRZa9JoC3R +esvZyefQop1muleLQOuKHvXkjFbBQ+uW8a/QJpBhEop++qYXSLqKdr/C3LpA +sGSbNsClfUpeFcfx/njV2nb7RTecltRV8tXB6z3TLlNAG4C9nhcIA10CsUs9 +nlBsTAYlYx45UifwfI0/C/QQHoNh8jFm3zG3yfJeMTbLAc6Bxat6ThLo9Ou0 +mZPUONR/c78nSY9AfPVrPwwF98Ndqs+8HfUJZLFsr5AGzxgUjJ5T3m+Az4+M +9MQH2xT44/Myis+QQI2DR7uq3jyDz9U25b3BLHrjm1u0TgtoSVU+U30Kz8/Q +X9HhkjaY/k13zR0jAjkYvmUf2fQM+pyweWRkTKC6Qb8LRXx98HyBp6+MCYH4 +n/Op22c9hupLYvf8xKzx82/g1DIEN58uoT0yJVDqLas31qVDgKv6QX7qaZxf +Np9bEfKxC37meX7WyQyft79eRdcyHoIB63mBQ+YE8lxmXCrAmgkqWrj6V53B +8zFotPkZ930YJSjm/x7z2njZ5R5KfvCqk8reurMEuqK1b51sYCsw6j4xf9cC +5z+B9P0JSglQRcy20PQcfr+SS+3l6Vaw7ubtc9ss8XiqVvvcc+2Cvx/Hrv2D ++XDxwrdzy6rgq22lAwNWBOo0U9CIC+mHbf4ddzLO43y0Ktr/qdxjmP3yObhi +TaD+gEDTh2sawB2lL1+OXMDfUz0mmSxZA23DuYsFbAh0Y4/dW//7nUBzWszq +I+amT0ZZten34bZDe4QbbQkUNeMwJD7VA1cknRwKssP7Z5VMk65jFqTmbe+a +2xNoZfjF53NCnXBY22u/nAOBXD/GE4Js9bA6J+7rP8zL27Su8I1Vwtg/pSXD +F3E+uJx2MPjWXeBu1Hk+25FAjifevRVuG4Kny1+su3YJ//5Rcg4r5zAEyxaG +NS4z8+FGS557Qk54/+1X283blQVZmsQPzmD++6i+aj5pGL5dpfq92Rmvj9rM +bfOQVtBxUa8s1IVApFF5akZJKszrsLtgcYVAyd/7KnQiBmHgBu/1O1xxfm+x +iJIDUcDBLf4J61UCcQkMei5xQkBnsCxo5CqzfXmZrkN513B9YrvVMSh1GPL7 +vFy87kYg3z9s1g1X6uGXiYVyzesE8llItct93QNHFZfbirgTyC5VbgJZtYH6 +YImNBGYFyyHTPfMZMPG96mjrDQL1ZcSrd+wpBrf26YdE3MT72/dUwUU0BM/E +2R+xusVs/wDl/XOnB4EW9M/1O46NQPFjCZUcngT682iPQox+P2TPLLd7ilku ++4+M8pvHcOpHl2jhbQLN3+w8wH9xDHbrvxq76UWg9G/Rh2KbnsCi4q+hx70J +5GYzZGdreR+GsK9Q3+hDoMigmwmxJ5rB5TOSvynM0peWXBkLbwEn6/ZWt/sS +SEn1o82C7xOoyGfgEO1HIG3JZ3ajsh1wjZ2D2AV/Av32bl+ty9kOv7f5jCvd +wfFq+NLSIbeHcEI4MXxZAI53NP4LHRrZsPlKhcYzzMvHuQQps4cg9dHDP8V3 +CfR18LBI8JXH0EvydY1nIIFU4tme8BmkQUvPbxdP3CNQ/q+duwZq6+CRsRUS +YkH4/LusH1SLLYJS8lLP5jF3TPudcloPIWcgiOwIxu33uOmKiA3DT5MGx+JC +CJTpR2Rpx1XBvj0X/9mG4u+rT3UMsPXCsijfuj1hBOLZrHPCoqsfRnxOvMQT +juev21dnW1s3vKJWKfkSc/0zjYoRyQZgmNr9vCwCf/+btZ3K3WGo9O11lHck +3j+qlj6Keq1Q6MR3Tf0oAnloLrJ+ONIPf+bzLpGMxustEnZ2H0c9eMEi3fAV +M9/GhvTDRQi2mu5zehhDIGHJQNoFnyGYWWUonRiL81/aNUl9m0fQj8fxpUMc +gaaGXPr5xFvgBWu/GBCP41efmfwqzyF4tCVJmzcBx3vRQdLFpB5sEaxincRc +Iqlw2qm8DfI49TRWJhIoeyC/O1L1PiAeTjr7JREoMaX9sOveODC4aXHzqWQC +eXs6dmmz5cDKG3yvpVMIJPaVn+WhTh+Mfiwdt4j5edMxwc6tg9Bt236d3lS8 +/56oy4hPdUAT/1PsKWkEOih9yagwvBuqvnRsvpSO18P3XJvaRCNcr+R/5UAG +Xl9bo3fOshHwb1jyFv5MHJ/73rtHXW8Bkx+rJt9irjHeuizyQBW8f7A3viaL +QB/Cv6160FMBchLf6AZkEyhheXXOXd1uGDC/yGGSg/ebeZU0tK0AdtorW7bk +Emhwr834DeF6oJ2z+eovzGXbrJVHFUrh9j/7t/XnEejo99z9uzZDyGdk9DYt +H7c/KfCFzbQXzpVdSnQuwON1DNbqOdkNn3DeOXm4ENefR+0Xa/cPw9pzKZxr +igj0VI7t4q2CIRjfWN36AfOAu2f1z4IScGPVo2v1xQTaOqPy0PDrADS7+Fb2 +XgmuF337Wc8F5oB9HT/enS4l0BqjhCbJnAYouoE/WbaMQDu4VNPc/uVBVjcZ +/b+Ye98V1O/1bIfvBw5wDZXj988FSpm1tYKuzcZtmRU4vwsn/ps90AYLvC9f +d63E8Yctq/ScXS0Imrgjp16Fz3O+W+fJ7XXQUTH1g2A1gcZVeadTRR9B3eCa +lOlqZjxReP/IoKkGx9Olvp8lXjSBVfvecYfUEmjZjXtyItGdcCH2Z/uZOgJR +SSSu/e+ApyT/Dfl6vB9rvPcbfqwHjUe3KCxpwPmviFNrVq4KJGcc/PgY8wgn +x1DUiyro+cM4LacRt79pZ7vk0kpooe90yq0Jn68bNyy2ThWDQ8UBy481M/sj +wZ72QBgS6M6dcx4nznRAjjO1Nz9jjtqitjdXMxN+rO3b0dJCoG+fAtc8VImH +Pbzvp8NaCTRbFdM1kVwISmx/pZ9DOD5QL2aUT+WBsLZVxoptBHrkwOVuVVkK +nIW38rK3E+jBc9qUxHQK1L9yqHMUs7fVneeKt7vgrkcmHvn38f3pTcGWhcIH +UFDSeeeNB/g8RifLI49iuOhxd0arg0A6E7f33pprgs9G0zLXdxIoQ2HiZfzL +Cgjl6kxIzA+mpWYe5dfCtLv9fG1dBCp6xudYeKMA+Ey+74p8iOdr/zNuriUD +8Pye357nu3G9IbHbRlquAapHrd69uwfvz/2mwnGr68Hmz1tnl/YSyFj8wpqD ++7ohl9rh7HHM3ouT1+K35MPPKaanix4RyKUjbdvLkT7Y/9WZ36MP51fBfNPe +5GJYrhvYrdNPIIGYLduWbxuEkfnpXqIDOD69y4ud0asCriz1SnMDzPk3Mh0g +7g/i+84a9eUOe+KgStWHnJghXM/mHFl7vCwNruP5Y2YzjOvBj2UrL7I3wN/n +16xWeYz3f7CHcPvtGPgKbuvlekKgC8cvpxpr3IdtAkd8nmO2+HfunfvGeph1 ++bRK6QiBzO4I/tMdSQX+D12o26O4HtGo5w/pLIE2m+7lnRzD9YVffvoXlm54 +7EbGGfGnuF4/eIb9UT6uRx7Xr1nA7OvZH//TBtcj2wYfdY7j+qFVXX3vtzZI ++U35xk/geFtPCNRW14DhF3/22D/D92/NE4NNdaWwerfAnOpzXM+PflSeSX4A +Y8NkC5a/IBAhQ6iw7KyH1z8esXiFeYtOwsmYhwPQ9KCZYMVLPL5+3ls7r1XC +vYlX+n1e4fx4aZH395FsuGH+nr/BawJF3P7SRXG3ABbtzL1SkwQq7lg0DnqW +CN9mN8x/wxxbZRF2M+AB7Pg9WNj9hkCQZp+zI6MP5p36eC7pLY6fPrQb+Sw1 +MLDs71rHdzi/vqmUOjuXDB04BQf3vcfxWzkhf+xYJjh+bnsA3wd8fwwJODr9 +tR7KNarte4P5TYD4mRbxLrhylflC1RSBllqXBlfk9sB5B9di/4+4HuBFCfa9 +sWD0QZCV0TSev+Xtx9Iy62D9+ixhmU/4vrv9qZjtYBtMvNY49AOzZkuS3Cxv +J7w1MHT30QzO7+ueG301rQBnNk/vT/2Mz6eWLff1jkp4wPvf18uzBDofFJiY +F9EAxCYESw8SBOoiA5w9XnVANkU561Ukng/jAfmh5Eb4IUhd5D3mxio0yeMd +Ax6+M39cS+F8sda8wcyvGhSBq/fu0nB9rjkYMaeUBUNigw+azhFo3/jEh2+h +UeAymfV96zzej4Fx29tKa+DJo01lvzHLjctJthx9BBUzhi8MfMH3Z0m3qFnx +MrDmx/T6jAU8f54FWV+uJoBveiwjLl9xfago9CVhpASMF60NPvIN39+uLYU6 ++wJAE5v8YYHvOF696pAa2VkDUsw1fkxhltUS7J27ch961Z6paFgkkNROgRxF +gyhgyXvNNugHjrdQilU+PwUcsQ3ZaP4Tn/+Q6u8VMnVQqi17dPsvvJ7w8JnF +rDrIKdwc8g9zXV6V6A3jCvDJ5fGR4d84vpwd7BOsyIWPej/9zPpDoIvdU9d3 +r2yHpRJLqq7+xd9/0lURUBkJwz2E7DX+EcjcZu3LXYoPoMuo/CYhFhIppZSu +mae6oKHc0aefMD+Qrgs0SOuASnfPhjUvIdGylyorJx9XQaHJa+qhrCSq8zVf +8WB1FPipEvr7LBuJ7Dl+neosbocvInOqFdhJNDRUf1P2QRxonWl2YOUg0fJR +tt2NYW0w48gTsRHMVfdTbK9ZPoS+KTPjuUtJFK3k+8dMIxNYf10ScZ2T/P94 +oqErfFRzGYnEak+OjUrUwS35Cn/XcZFo/89BthD5B5CH5VjtLOaLp7me6GzL +BISJhWMrN/P3g5VuEhE8JHqtdd5bD8fXSu6wZ5bLSZR/i6dBWyQTRp/Pjdy5 +gkTcqt1jduxt8BqExzh4STQpuDbnw81KYCww8m8Ms2/BKe4gow645/LnugI+ +Ep2U6gJr5XOhyEPWyzdXkijkumuUVGYN/CO6Tuo4P4ks/3xs17G6Dyfdd7zY +sIpEGq7JSq8utsH7w8eiKczJLzMvESZBMGfrOa321STq8E+RPJhZAgP8ri+J +XkOioo2DRm/v5QO7F2EN1gIkWhWggQQv1UCt3XlOSoLM8cmGtUgvW0uiz1t6 +M/CVCvJ+HHk5gdkrtyA9bX85oB2YjSkWYr7/OIHtuKcw5vHLTveD80Dt3Dq2 +E+tIdKPZctm+8joYr6XYtEmERIdXsXy+ABrAjWxNl3nMeeU6TRqt9dDs9zmZ +jvW4vSd7nRtL78F9p9xfx24g0Xjsnimv+8FQtCw8znYjiYJftgsNGnXCJZz5 +OntESWTCEffzU1w9fGfRys6zidmfzobR5hf/xfn8xJUyMRJll/w03LCzCQY5 +sG/1FicRx1C2QsClNuj4QOSNngSJvi/fVXTkRB3QXb8zQUKSRG2ljmZzVDVU +uKZ14ut/WJvXInBDO1w1YLn0oRTz+wvSN1oSpEl0fQ3PFIdmOhzzirjqsJlE +MRoWXJ+KG2DDeP42IEOiNQeGYoyuVMKkHejtii0kathgxl+WXQk8gsYSX2MO +8hNR2vAIQot3xMnKrczvHwIcy/y2kUhbXIYr62IRkIhdjwxlSeSnnZWh5ZsC +OcidbtLbSbSX/ZRKj10G/KihvX0R86lif/HImCbYk271vkeORFd45F/YmTbC +4sUbycnyJFL7unKud+c9GKoXqX9JAe8Htg61eatS4FRUwHVgB4n2lb2unXeM +gHpsbW0rFUkU23Ji9efgWrjL/On1t5jPR8XYjUdVQsFaUq5mJ7O/iyuWTt3Z +RSJ8wVaN+9sGn9lsSDXejc9/7zpHnZtZEKJdhluUcH8/2HhEvMuAaULHeX5h +luOJY9/Jkg29Xc7f71MmkeDk55v+x0uAVe/NG2kqJKoeWBP05XQ0UJOIUnDe +g+ODiNKSr2ZtUNqj8OMhVRIN123vEdSLAFyjbWmr9+L9Mj0UcUq7Fn7ePn7q +A+bD7kpmVyRzQH8AtbwekOif4OIa8fexoPz10o7Affh8pOvpaskkgUiVjbdO +7yfRX6EE1mbDYOgauVtR9gCJtgvVHamTiYKnZo5/+oOZZ7ecI+doDFA+Yp0x +eBD3f99zDo+SAiiccss48xCJuvLCZ6M3NsNfC1G8rodJ9F7mvJbAwQrwSqeo +U+0IibKkrDgtY+tAW167h6AaiVqrR2/52cWDrH/jO6cx162vrNFrLIH+JrSZ +RnUSlXU5R+iNl0ObSs6sYA0SPaYIVq/XNfAYt6jpmaMkMuvhfXxIKgRsPa+0 +Uv4YiVJstsf1cWWD5VDnIYsmc33INRduP8Z8wZHGc+pNNhi65LE7RwvPT4jt +0g2vakB1V/TsNW0SaZlcd14wyIWxosXZR4+TKOfIp5/aNnfBdff7p4V1cHxf +xc/jJdYMTYcn+D9jPh8fdVWdJRrs3TrXDXVJ9E02rMjFOB5s8FvmHXaCRF+5 +hu4+T8gF/56LKp87SaKNX09MTa1JBW92KZM79Ei0VTf3y3hqG3wQqpvLpo/X +YwOXRcz6GJg7dcF8VJ/Z/8ADnqvzDUjEJu5zwEAvFDgkxPS6GzKfH58r9tE6 +RSIR39UbmqoroJzWA5X1Rni/i0qcOOeWBFdmP6MIzAWaatdOGzTD+V9zeciY +RG6bvrKWPsiDI4ZcZyNNcL6xn/AM2RgA6ko3CZw3JdHozeokYiwHJixV6dt1 +Gsef77l8q1hDwU2LE35LzUj0W5+v6n0drkcabFTHMTcPc+tr9+TAA/y35wrN +SXQt3FM0hyiGYg6xBbfOkKiwdszM0rsQsD0osdA5i/cXq3LSD5dY8EGkQ1DU +gkQr0749UShuhg+vPu+nYX6zKnj24m0ICvvn/e+fY443WJobxFgy+ZKX2JcL +ViRaP6zTl3e9AJ4YVylSPk+ig/y39nn7VkHFHSctuaxJ5HpI/7aJbgZcE2Qr +9Byzv8UrmRsRtfDb29uDJRdIlDnzj12zMhKM740LuG1DolrDqaNyHyFsiind +d9IWszUr+fMuhClEx4KYHYlUXQ/t+/uuDtzWeFH8BXPMbd3smMOJ8Fz6F6tO +e2b/Di9yr4t3IJEncdT6pU8NlNQTH7a7iPt7U/uO42gK5CzaE6jqSKL5WOss +9qwW+IlV78DySzhezn6QeOZcBR+Z2X17iVlstDUwLCgRltZ4lZZfxvu9p9Tz +3dZ8GL4i3trHiURL7ePNznVFARebMhEDZ7yfpys3d66rhQao87GkC7M/u4Ve +3vuG2WylrydxshyudVk42H2FROwG1iMf6wrgjx6exURXfF6afR58eV0CXohL +lF+8SqIZeE/hpUMybL2larPvGokyxt4GKGxrhRkjehv43Eg0dnbc8I59JvTd +bj8yiVled1deumg5tA7wDq66jusBW8EX9vMQaryOP+zvjs/XTkNn/c3+UEal +/MepG7iekAw5PmnZBLkjuyo23ySRix3HvfBVpWD200vbH5i/KJnln76SBQYO +f9346BaJHN/d2j+v1QArkpePpXjg/abnSCXaN8HoBYnQy564XrK9brRHKhJe +09mrdvA2iRY3PL9mEeoPjPP0f/F7kSgwSXPpIZtouOeffdU7zL4/rWNFlhQA +ERMf+1pvEjX17T7ywSsO/KlI2HTXB++XdXYWXJ2t8DVXxVMTXxJpahf5HnGq +Ae1WD8O2+pFI9uXPj6Wr4mF28yv135jFC/ZRH16mwTtrvv3u92fOv92lFTXp +d0hkaB4Q3lUaDrS6JC+6BOD5FrguNvAzHciKAvEjd0nUF/b5l0VMCuB1N5hY +E4jj5bfEwFxBCGlDDhFTmM/K7B7ZX1kGH2/xPdpwj/n9Gt/Ev/eCSCTQkXo2 +dTEXxj2vqDULxvEr93fji02ZwH1Xt+P2EDz/l72m58cq4enQ1xL/MOsl/FS/ +kF4M9019ezYUSqKjFuzQa6YOiB7gjcoKw/mJnU9p+lc9XJIgpXk1HJ9XYOPY +5pcB3tEAi0YEiRoff+VnGyuFnZqG9WsjSTQLLFt1SzNgftbFy58wLwZvX7Fc +qxre++Ur1RzF7O9Fw6QXIdF4/DI5h1qDq6BOaWX02RgS6bMnlZ4oqYPyS3u0 +FGLx+SL4S1oGiuAqi8klrHG4Plvr1fK3AMGF+u8NTzA7x+8wFxKLgGMr+Zxz +40kkZaeetC6oCDbYS2++nsBsL+n+vlfHEpnsIXIqdl0SPl+bl9ff/V0Mzl51 +PD6LWYtd8JJvYS042O/H1ppMIqHzizJ9LlVAXDq5KTwF75chche+HUF2ryoX +y1QSGa/VXLu5uwp+fNojszMN16vDFzOc5vJBj8Kb1+zpJDrd7DQTJ1sCi+8t +xo1htl4sk5FzqoGhb/l0CzLw+Th440+5egJ02ruZ42Ymzgd87kMXH9VAvZj9 +UDsL13Ncr4SuvaqAO4lTrhuyScR7SVZyhWEhENC4tJXCfDGm6XV5bwr8nub/ +pi2HRHfUD33/eTIMTnxPTojKxesZUHioFEZDeLL6hHUenk8pY0PX+1UwrbB3 +qVI+vj/IcwX7RcRBb9a3LZwFJBI1tEvJNk8GVmY/rk5g3mWY+jZcMxeq1ayU +LS4k0TvX02pDWcVAeoXMO48inK/rqtlWXc+Ay2wOJOkWkyj1rV/sqGcznGk1 +0ttUgs+PkNmvrxYVsG/t5WXzmFVXPNuemlwBypzvoAelJEoHYk+uHMuFkT0p +brFluJ6fgE4iEc3QVbxmu205iXpqniUf+RsDT9169F6lAuevbdYiYw4FUHnk +bTJ3JYm6uxyfXpvMBcLbf+q/wJwwWiPY/KYC/rrDz11WhevJbLEVxw9WwJev +ZNq9qkkEeMJuzkjGAqR80F2vhkTTesk/c1QKYGaEsbxELa5fFCYrJ843Qb9P +l6cWMJcv/cXecSYf2BwOSO2qI9ElfeQ0ei0CHEtONUyox/GY/LXuuFsu3LpQ +w+PQwNxfy3X67u9tJFF8X0QObI4FZO67GyuaSBTF4bejwaIRDv39qfC6ifl+ +lfGq6YpmEql0ec7v+pQFYyq2pPtCEnH6rddu3ZYL3bgOGRm2kMjHRKs6Wz4Z +mFiZrJBuZf5+b7NTx3fMr4bnE8qeVsINa+7e6kEk2uLunzRblQz+OaYpJreR +KFHC0v0KTyx401n7ybEdx7tx9T7pB0Hgwcb+jP338X53cJBUCK4CudffG698 +QKKFrB9ifeGZ8O7QL963D/5zHxMrMGiqgvZbVndVd+D74ZMR5+Lsaqjtu9Xz +Tieu9wxVL+VtKQHbnx/aZdzF7N/KXaafZR6SSKamuS+MaIHzIc5ZPzEbt6Ul +XboRAkc+3DXt6yaR0XtB8ac6ebBuf/rKtB4SWTVZ1s1klMOE+LqHTr34fhhb +rm/xPhLcpPXfPvQI7we3M9uvZWdAc80Pu1f3kehZT+u/U/kBYH/W79n3mOPX ++OYMCVfCTb9W59T14/jrZNLTfLUYshpuMwscINGfNnVDS4lk+KHk8KrTgzgf +LS7w5ZTlwYccp3u2DZGIjHu7ZHZfFSw86+L9Z+i/6pH6QOXBYTyfme7KFlO5 +8NLKDDLjMYkGjAv9td2q4An7+twrT5jv77g/YK42gvN/yI7vIRcy4WqRqdWC +o/i+cPonm5pnHvzq+qf3I+aayYzM5r0V8GnfGt/GMXzeinr6Pq6pAk1SsnuC +n5IofIfJLpPaEphy+wjNfBzXd0ve6rYb1cHbT0/ny02QaKe0gk7w03p4TuHK +WZZnOJ/qhC66/iqCh+/dE3iMeTDHlYCtoVDybUZf9nMSLZHMeHgkIBQs3dvg +d+0FiUJFDIQGTxeB6ehB1aMvSVShJ6ieEVMLemen5oRekcjuQr6PD28lLFH/ +WzCDuUuR/0CQXiIISxM4B1+TyD31h9ZQYwVw+S67NmySRE92uf6xVWuEBifV +BizekCju+suVZ/5lgN2FZnd2vMX1kb8475lPmWAtqytge4fb31yT2WV+F/w4 +HfRlBHOCl4CluUk+fF6dWZT3Hudbw9MdORUZsGV5o6X7B7x/XY7eaPLwB+kX +hoS0pnD+Wz4ikm1ZCX1aPw6KfCTRo8mcd8n8SfD82n8BBOa8UoWLKTMVUMNZ +cD+aJlHL06kyXp54INOz/WvEJxyfvRd1L6yLhtzi6iVWM7ieWfeaY/fhdDh7 +0/z8rs8kOrRj429VsTIw8MR13dJZXP8bKj908qiBFbLBw08xJzr/fj19MQFE +3ckKLCTwfnvzMeynaB28+qrxwC2SuR+MlIe/HadwPs5KfZ9dXQhVIqZLN9JI +FOAdl7tCNhiIfPpnTaMx3/9zaO36+3MkUn/wmPfn7nD4OknuSfQ883n7F/Wg +C19wfW4lxhoVkwmzj585pLxAol/+UsfPmWWBO7lXF5d9xfXa9umtkUsaoO3f +4PJnmNWqv+r6i0dBTeNsm5Jv+P5iIVbW7wHhtoqmDbe/k8j8Vvc7RclyuILr +8ciJRXz+FJbe24/vmzTLT8FiP/B9+WGj0pnFJvi4ieXIF8xjeZNmLVQeqFkt +9LPjJ4lOvMjdKbClHsY5ylfG/cL1J495ifNCIHDv1LCz+43rfanfnNczquHp +jWdFVf8wxwOuXxvj+Uui49rfMgZqquDGoZDQl5jltl89FXK3ErJsyVEr/4fj +4e0gWpxREXjr0/zLm4VCpsmT38+/TgWdzx5X6S+h0KxRZNmf8VCYv3PGXpKV +QiGi4XqBihWQ7sNRKGhjCntidT6k+3AUukUaeNrMl0K6D0eh6TiHPXxLIgDd +h6NQpv9G8VMwG9B9OAqd6LAJnWnPBXQfjvr//tN9OCbTfTgKNXB+/PLWvZTh +w1FodP2XcCmDKIYPR6G7eyXd3UzzAd2Ho1D5oblj/d+LId2Ho1BlVPYaWZcc +SPfhKJTUPaSSv7IW0n04Cl1xmU8X9WuGdB+OQifrl7kQI6UMH45CdmkaB0QS +Sxg+HIUEhYtjeFekALoPx+wv3YdjMt2HYzLdh6PQQ9qPXyr2dxk+HPM53Yej +0O+JsC+/GrIg3Yej0NWjrpkWV6og3YfD4+PjkwrnrmH4cBTSVPwtITebAeg+ +HPN7dB+OQlF5/q9m+iMA3Yej0JdLM3d3ONRBug9HIXdKZHlVcR3Dh6OQl315 +R2lOA6T7cBQKW2f459NYI8OHoxCb23lbmfPlgO7DUWh/WbC31dlcQPfhKCTT +N3f44LN4QPfhmP2h+3BMpvtwFBre+PqpklYSw4fDfEfM1HewFNB9OAq1rrvW +r5qdCug+HIWU1bcFb3UvAXQfjkI9Z7seCX3MAHQfjkIDy/6MuivnMXw4CrmG +0ebvS9UwfDgKRTyxcchTLmL4cBQK1tQai87MhXQfjkJanFo8RH0Kw4ej0Gsp +herGFQ2Q7sPh88LDoyK+oobhw1Go/UzZtvvn4xg+HIUe/GyvS9NKYfhwzPHS +fTgK/Xr/UDl1TRXDh6PQ3Nond7+SVYDuw1Ho55UOore6meHDUYjm1xxi5VAE +6T4chZZd+M36laMU0H04CrlNizx9u6QC0H04Cg0dOyAqL58F6D4chS4/VNwZ +E1fK8OEo5C1mGaHaXwfpPhyFer1Snt+TzAd0H45CXdPbdDWb6xg+HIUEAEdy +GpHE8OEotCVbW3PEPR/SfTgKxRypUuDMKWb4cBRKrznw6q9rFaD7cJglCmyo +M1WQ7sNRKLJq9++v1eUMHw6Pp4bt/svfkZDuw1Hoq1zHrKxNKcOHo5Cv6mZB +D4EYhg9Hod2rhXaETVcxfDjm/NJ9OApFN6iwmrZmQLoPRyHZ9OEgtKeW4cMx +36f7cBSaWeow5nAqm+HDUejgnuuRxlG5DB+OQiqcr6JKaFEMH45C5yZ4eGR/ ++DN8OLzftgwZOD6sBHQfjkLjUbHbJS0qGD4chawDw07nTaUwfDgKdS89Obek +thTSfTi837iM/2Svb4R0H45Cedw1vUnOBQwfjkIGOcXVktuqGT4chc6Mrf63 +VrwO0n04CvUJHFe7rZHF8OEopF2V/Yi9K5fhw1Go3reqa3FFHaD7cMzx0304 +JtN9OAp9lB+Xc3pcBuk+HIWuWanOv3oZAug+HIWq1ocnXeDIBHQfjkLHOGcK +ragahg9HIYcSdOSxbgqg+3AUOhr8Z24gOxTQfTgKJcRef/BbMx/SfTi8PlYi +5ZXyjQwfDp8HretDHn8rGD4cbn+2aYuOfQTDh6NQmnDvXNnbIED34SjkItSu +OZBVw/DhKBR39snL+7HhkO7DUajfJmk357s8hg9HIauMR0bvAvMh3YfD7c85 +cVfLJEK6D8ecD7oPx2S6D4e/PwTOqARmMnw4HI+KVO8+UM1k+HA431X+S9oH +IiDdh6PQhpolS0N9YgHdh6PQVB+lKj31fz4czpcuV5ylr1UyfDgK2aYqLtUp +jAV0H45C/Ivm504eLId0H47ZH7oPh+N5ek7Nraoshg+H5+fhQJooaz3Dh8P7 +Vbhl1KQsjeHDUejwrVDNAf90hg9HoaLmuCfNltUMHw7n/2Z+O+FbdQwfjtke +3YejUOHWxNzinExI9+Fw/uGXPHfrfgqg+3AU4vvg4OxhG8nw4ShUttzaIkUq +EtB9OArV+Iz9PjZWzfDhKJS48z21vbeY4cNR6Obsk8gh9UpI9+EoZKh6P68q +LhfQfTgKDVZLalU3xDF8OArtGr6AOtvrIN2Ho9CpJu3wVbj+pftwFFK74GOr +EJ0F6D4cczx0Hw7vj7QSNf2n2YDuw1Ho4ou7kxyHshg+HIUCfIp8b5hXQLoP +h/tPVKZ+qYgAdB8Ox0t5aq1BZxqk+3A4nspLpHzbEsfw4fD6SQcnXV4VBug+ +HD4/HIpqd7YFAboPh9fD98LIo1VlDB8Or2ehxlxWZArDh8PjvT9n7sWWB+g+ +HLP/dB8Ox/ehdUcX+RMYPhyOj9tpYe1ydZDuw1FI93h4rF9mDKT7cBTac/Vq +p29KLqD7cMzv0X04fP77vOyevMxl+HDM53QfDvfflBU1vwtl+HC4/qoLaICP +IiDdh6PQ5LJZFstrQQwfjkL5XodTVilVM3w4vN87hFUvGNQzfDgKFSuYxn9S +CwV0Hw6vx5cy6aUncwHdh6OQKI+qiYdIBMOHY/aH7sPheLLnziMRv1qGD4fX +f6Kz5pdLJKD7cBT617XmnZlMPsOHo9DzI+dd12jWQLoPR6HPur4gXCWL4cPh +8e0PM7cPKGH4cDhfDnLrQ8sEQPfhmO3TfThc/65MGdfoSWT4cBTKeebw6a5b +JcOHo9Cfaf4FFadsQPfhcPxxu/Xeb009w4ejkN4BER+PG/EMH45CL5aMJDex +FDB8OFyvcB4ZmU3LAXQfjkKwpKLNfmU2pPtwuD6ZStTxL6yEdB+OQk2lPpur +jtQyfDhc30nz3pJBxQwfDu9P9VgvLZF4hg9HIe7gcjme/RmA7sNRaGsxjwzx +Ix3QfTjcXly960BRKsOHw+cJWsMug1BA9+GY80H34Si07qWhpvHpOoYPh9f3 +qkShqGwDpPtwOF7udt8VwNnI8OH+Ey9c83sXChk+HPN7dB8Ox/eMI8aW+ysB +3YfD9cA4aty+KYPhw+H7ya+orVvbIwHdh6PQYiXiXdaUBeg+HD5f6dISE6qV +DB8Ox68uW9p5uWKGD4fPr8/ipuk9RZDuw1HoZV7EjqnkSoYPRyGFwg3wh08R +pPtwFCJ0+yxOKIUDug9HoTpECz6clsXw4Shkvnli9fftWYDuw+F68Kv/gn1o +OqT7cBS6MX5RVMw7keHD/Vc98r8+HK7/89cZt8lEMnw4Cn16q+ex90c+w4ej +EKe2Bf95IhvQfTgK7dPZGnXteQWk+3DM79F9OBz/ZbKhgXYKpPtwFNppsiOB +j7cI0n04vN4ycGjxUj2k+3AUMku/OjqXWM/w4Sj0bjrhbEFWEsOHw/lyL/eJ +gKB7DB8O129RPD8O8sUCug+H61VZ6RzzoHCGD4frOaQYK6tUAOg+HK4HXZzD +L7SUMHw4CjUqcPeP2eUwfDgcf+u4Cpfi80n34ZjjoftwuP553GZ/600ZoPtw +uB6XElrj/S+T4cMx36f7cPi5oD2rg1wRw4ej0N9P5Ww7NkUwfDgKqe8e32Rp +mM/w4fB986go2/auWED34SjUedLlVsPBGIYPR6G9PtY/u7LLGD4csz26D4fX +zx+XqTszId2Hw/ttk+sRm4P1DB+OQlyvlU74Vd8FdB8Oz1/ZaaHrAhkMH45C +YxHzIcqmyYDuwzG/T/fhmEz34fD9FN27XN8cDeg+HIXii+5fdWLPBXQfDt/H +9vUe+fg3C9B9OByfzLZtA9/zGT4czjenDs9/kw1k+HAUyn63gjuwKgjQfThc +vw397eOva2T4cLi+6B/sTOWqgnQfjkLVxGgdbWUGw4fD44u/BHVKsxk+HLO/ +dB+OQmsEl1ZKKwcBug+H6+W44wIcN0sA3YfD9++8TZyn3+QyfDjm7+k+HK5X +0itHhu4EMHw4HG+CApdNeJcCug+H80WQ07cTy8sA3YejUHKMKMekQQag+3A4 +vzgfX3eczGH4cDgeupSxn2tNhnQfDsePZa75SlvqGT4chW7vvrJEgv8OoPtw +uH0Vl70e2qUMHw7PN5dd26ZftQwfDtfj8cEZ1t8rGD4chRbc526boEhI9+Eo +ZP/2vMTSwEKGD8ccH92Hw/MvmuagfqaW4cPh+4emb0Ld0kiGD0ehII2mZ06x +xQwfDu/f23N7phpKGT4czl/vyTyom8Hw4XA9rrOY3plVBek+HK7HFvxedTyt +ZvhwFDqkaGN71D6G4cNRqCBHufW9TCjDh8PnsfmPzrL2IoYPRyFJe25r8k4J +pPtwOP4EObKfnquGdB+OOR66D4fr3xBHaHioEtJ9OByPo/z4WnH+p/twzPfp +Ptx/1SP/68Ph/JqrmSoSkMPw4XB/GzTuy/FEMXw4PJ6s16+XPchj+HC4XvLe +nxRoXg3pPhyuvy7l7H/skg/oPtx//X3kf304Cl1J8T7QsO//fDgKbbTmRU8X +CwHdh6PQ97fbz7Q+KGP4cPj8byXXsVzPg3Qfjvk9ug/HZLoPh/OlpsWZAu5U +hg/HfN44s3blchYaan0cfs1DrxJujc/+fBVzTZH9WQd7fD88Iv/wJeaA4IKY +tPlMsJzWlKWxhPb/v/dM0bhdjjnP86DQm8Z6SB57bCrEynxu8fXMbh/Mswpz +LBwd9XAo89PKGcy0vLurct/lgkO612b12Whoq6WwzrfKbFD1k6W7GfPBmAX2 +4V2VUCI/JFuSnYYual9c92+wAsQYCHmFYo4SKOV5djwRcLDknP6Gea6ItfAV +Zz50K5FXsuCgofY3bmrr5mrgR5Nm/m7MDsOsux7wRkITjqOEwlIaejmWt1n3 +YCzsrXzcnYjZ9vcM9+6lUWDv2bM5rJw0ZHQZ5F9rTwQl3DNeFzmZ49lQf81s +BLOobHzf5rM4P5xforxvGQ3db8weXD5eDf/xha7Kwxx8I+WRhlc5dIZCJC8X +DfH2KzScESyCb+xyeq5j1q5R35Ugnwn0BRRyJzHfztAeUngaAx+0N3trctNQ +25NvBV2HIuCuy0fNq7iZ7eeue6IswkNDN9mSJeZgFhB8eHa1P+axuS2OAg21 +8K7rDDmLObfpi+Df1Dq4KOrWe2o5DfW5lGkXpTVA+74lea2YhbtTRwN/FcBn +7qE+m1fQ0NWUIKn7TfegtpTwmQjMjqb9+YmVmRAO56j8wCx0qEe1O78Qbr+t +sMaKl4aO7bScVioogmlbIdWL2cbqkHtjaDZc+fToo518NDQkeL/Q5UEc9PF7 +kpeC2fscy2ZpuTIwL2/hy7ES93f9wwHa5iB4/sXMmcuYz0+GkVWnA+BIoNue +p5h3e15QWLWkGKjvZhU4yE9DunLGrYdP5sG6N6G0Asz2vwYODgQXws1hwn38 +q/D+PKfF5rOxCSao5ubfXMWcL66PCn7vMIsEGXd/WB4DbkbDs8dX09AfKZvH +e4aT4OcDx1RrMXvt+s5lLFAIzWefCGxcw/x9f4LFXABmt5KBDPkTVXC/+uc+ +CvPTVB8VsJgLy+fcCkwEaKhxhd3lPc0hcFMaq3+7APP3kVphFlsFacigTuzT +WHEsZP0uvDcac1y++qfFimLgmp0r+BuzopZ5fnZoHvhwYse89VoaCk3Qnk3Z +nAmNfsP+fsxV8t0ZUu/C4cOCY4VKQszvq5wa8U/HrLSXI+vLjghYuOTcuWXC ++LxFnbm5H9eP68o+73XBrFGiHpGqngqDT19f+wxz+gLfwG2tFPB7KduXw+to +qLNzqfbc8XJwqTpsoBjzirtV+1bszgOvLNYVrRGhIVDy7U/vqjR4YnneHU/M +O+0Ss1J3x8C2hh2WU5jDAjiMuaVSwY4LLeDEehqKv6Xcw6kbB7P4NYUa1jP7 +u7p15MumDTR0xuPe64A11dDf4dzgvQ3M518FZ4vmMRvflrTWGq2BNg+uB5ht +pKFLBdbbtLZkg6dObFYdmOdjuqa92krhsfXh+7aL0lBxqfYVxc5I2NS9TjgO +82aJX6cHz+fAbdfyFv5ibl44oMuP81+KmOKQ7SYaCimXyLwrUA5XDLQUD2E2 +YO8eajMoh7dvat7dI4bnz71ix6HqDEBJj1plYW56tSX7+vVqeO7Juf084jSk +TO5QDM2rhsNes8JXxZn9Pyzr/vUFZgcHpXsLsiGwepxtWF2ChlL6Uj2jpZKh +5J3wkjLM1VudttCiMkDsDpHAtZJ4PcR2OAhG1MClr/LOe2NeNaE26/cvG14P +UjzwCTP3z8sRy+cq4LRS6zp9KRracc/5t5hpLDB9p/mtSYrZfm/46LCENI43 +eypl1xnHw73AsjQE86/S6coi81RQMj0b+BXz4W1f/6bLRIMNse7WZzfTEJvf +sWx5qgyGH2I/+BCzDbFfK/BHBmAhw0UUZGhIj3jRlHMqGLgkiXxPwDxUthI6 +X82AbzXyHy/ZQkMfTcx9EzSjgMEXxTIHzDdPpvyYYsmAHemt955sYfZv93Gt +C2ArDQWJ7uJe8MgFeYujB3MxBxvpJj3PT4Rrcy3X827D72uW7bU90ggD9Yjv +bphz2Md73T0K4I8/7k9eYy437j3roZ8NHYrYy4/J4vkZ9e0xTkqDz40igiox +63FePyrypBAeZ1tvs247DW3Id22/qJUGWsrzD/lhVsn8rTPWGwvlzHdumMX8 +wVXU1PpZKUhfhhYN5WjISUp4ZsXONLiyVmukRY7Zfx/LsXJpeRpa0souumlb +EZxfYRUcjjn/77fEU18zgXUTYbOIueXGy5Im5So4anPjsKUCDYn9nnuVvrYE +aqzm2NiLmYX256zZkzRYjyJ+KO5gfl/Gcf1oMmYno8N/j12phYlCBRXsivh8 +B+zSGM/JgdydO0MuYb7hEGhEGVXCWy7IdgzzC1JvsWAsE85u0D5yYCcN9V83 +c+aWLoFnesc2Fuxkfn/Azernyl009Piu+o0uhSp4QIIcvYFZO323orhzNqgY +vFH5FrP5+FpFX/k8IObBEaq9G++XK3Hxk6y5IEom0q4Gc4Ywf4icwz3INrpe +bYMSDcVwanVPejfCqz4FogGYx23dFwa8s+CH7bt+kUrM9o2eoTFjZZw/y0+s +tTNvgg8DtKvaMGeVOwfnbsoCe3Y+Dd2igusDa32u5a2RoOi1lX0U5mhVbnfK +oAKKhJBqv1SY3wtRubnJeg+OF+svS5TPFsE/7zl+92G2mXG870ulg8uRkU93 +q+J6gRDeIBlTDV/v21Cdhnnd9jDeg58r4cmZgjDOvXh9GkRUavkCYXvcLgdn +zB4potvUurKA4pE29QnMPZW0Kv3tOSCb0hY7DGjoAhdM1+BLgWtSnv4uAsz+ +3Dl2fnz1PhrKXGG/8cOKGPBtgaz2wFwyunROaEUhtM28Gf4Bs9DPKGEZkRo4 +rrP0ou5+XH8QWxL1TJOh5s9IjXrMsOhphMJoLWzK2yC+6QANdT80IGN3VMBt +BoV/AjHbXTl+LvlXI0z5t2tiDnMpP/eI0a9suKKkreb0QVzPbRJYKROQArxM +jkc8wPxX8JIN6EuCNPbxi7KHaIi0ErrzOSMCWlaePxqL+XLqDq8A+STw+Awl +/hfziPuVTfec08AR7lt/bQ7TkIzuppVL8ytgTd3SZ4OY/YPfdA0IZUOp81G1 +KkeY44/j2xiZifkN91n3Xb3lkBMWOnKr0dC+XAG50OPV0N1u9zFXNeb7n9a0 +S7zAvNdo+E9sfyU83X78n5o6Hm92/B5WzQLw6NL4s1LMgXFtBx955UOwzrpO +UIOGUr9Pr5SxjgelXVSkF+Y/Ic4RD2tj4EbXW5emMXekVmu7K+SDcFFOTb2j +zPZY+qIkm/6LXdw3skgcoyEdrlxi649o+Fay6HkwZpGzcS9SUhug4fDu+oVj +zPc7PdujzmgyWWmrzuUuzO9thdx2rWyE+WPjmvJaNHRIJXLjkEUmEPKzlkrA +vPB1dfr8mkhwT57GskSbhlDxt5Ao3kLw8/mtF/aYb3Qo/e23TgQXAzkbHmMu +q7J4bv02HbzYFR299zieP+Ii50hnFNR5s9EpB/OxtolBLpN82BpapLVCB58P +FprxI7c6KK+qJO2GeQqYT+rL1sGMqfYlrzG/Nrgck/q2HPJH67w8qktDaySG +nkcczgO+ByYaKjAXdIOFDtZ8+OWzdYzwCRoyPX77pHxWMLROoDn5YraMfmRW +TKTBUTUP7c+YeT5P2p06VAo15jg3G55kzkd9ajRrC+aLGvETfTWJYIuW6Csp +PebzpG9FjWGYj2i+Ed6WWwt5spViv//Xc48T953P6dPQ3aY4heBVmZD4pXO8 +B7P93T1nn6xsgmcLJjYrGtBQcrxHz3R9Hhg0vMCWjFkvj+9r19licHDJ3Cs2 +QzzeFx9yJUziQGWpR5MjZpmVFhqnk8ug+OllcaOYyyJ7BV+5VcLopTEu+09h +FmBPNPTOBuzVojr5p5j9uWZRLLPSCO+3dWzBAZfC4RSPMvsNzLX5C7oLIWXQ +uOH+6zeYnUs09tqnVMNua91mLWPm7/fwP4urxmzyaPd84toaWNRy4cp6Exq6 +5r3mRLt4DBBxmNO5Y/Jf8UzQcwuJ+WFK0x2N6nDw9/4yDmNTXO9Ymlk9X58N +nZxiJpEp8/1JkU1Q5jQNVajuDticVQD0uovjIzFz2awFThzh8P5VZdefp5nv +7xR7oHvejIaG3T/JjujfBTn9ulv7MG+xMp4UPlgNBW4+49htznw/QNrmTSrm +bY6RW6Y1M+D3x3Nw6Rmc346uE7gpUwHtvDwTnM4w35/YxnV1HPOPo/uRrmUs +1BqPOXHoLI4XTyWHr7vlwWZ/nDUxK5w8WPhmvgLK7ihZutqChiQW2xe22FTA +1JfKb29hdg4TWulvWgJ5gx60vMe881T9y77TOcBL6USizjl8XxTweix2OQXQ +3j67Wof5Vs34Y5EzCcAy3OakqCU+f7+VeQMiisCTvfPbAjF7C2sIJ1TehWrT +npxzlsz+1sZwvTO1wvWhT9JT3d5cKH0otvU+5hmuT7yzZXEwntiUtO08DclV +BEmjmGi4LKnkWgxm/bMfr7G/qIQ3NFT0/mDW5ohbOV7WCGfmH8jaWOPz/MnS +/m98HDRLP7FsEPPtsz6dml1FsE/7+TvlCzTEoRP/3G64HO5btEEZmJ9Nm12e +7MuAZTnzSVw2+P5pzHfPgb0Uiurddrtiw+xvxB8u/eeYOS9e6Ja9mwGXFMVu +V7PF9WzakMuBlEJwxUiMqxSzS6GX8E/eSviOtfS9gB2Oh5ftTLnG0oBhuUrb +bcynXqd5moSXwk6zjuSPdv8Vz5advH7SnoaW2aiqljcmwYKa5/qN9v+p969d +le3MAcKWtnLiDrh+0jNb+PMtHAat+MIVjLlxvPnN07FG+Kvx9ocvmLsVuc4L +fI8Cjjbc7eYXcf4RvXxGFNcDL1fFpXRiLk1y/dv9PhPqIjF3OUdm++hiqUE8 +5p8h7Fbm0hVQQWiPPMslHG92dUh8lSuGmR0d3PaY/y78YRGOyYarXE5ODWM2 +mU6GH30zoN+GF+2ql/F9IL6T9ZZzI1zosU3NxvzaoTlYf1kAuOD2xX25Ew0N +DOuLaGzOh2PiXobXMG/pTHV5yxsOjg5yK7zCHCtttme9YzBsuBXHc9SZhq4v +f3EkqDoSbJER/1ju/J/7dfbTVVQOTBopvS/kQkPux4f4WFMLAY/PnjQfzEcH +vq9vFU4HHts7b8xg5vUI2vfxVyYgJ06eMriC42PY3pFts6XAIuCFAsT8ke3C +twfHM8CQot1yKVd8fhVu7UuYLwCHXn/5GIo5pjQuWU08EVQFez34hlnXYbFk +uCkPSKjwpFtcxfXU/siALPEcEPM+7mY35hW7HruYSWcCjkhxox3X8P3GTk0x +aVUFcNtXtiMJ8/LVqSV7P2WCj5/2rGBzw/VmkfHWzMg8YBLXOX0Rc9LaaVl7 +wwzQc1ivYwRz2fc3AsMny4Eq9SJ933V8P323tXxvYxooTra7lYf5Ue9Q5k23 +LLD+2IIRnzsNLf4Z4i8wTAOhC16K7piVebTUQxdLwN8MHt43mPuyLxzqfREH +nHTiP2neoCFN9c7guuIMMPlDvLMK8yfVsgv7eHKAXl5ZhshNHP8nvY3EDULB +A31VD3/MHq9ND6mlZYJd/zqNCcxlpl05xtFlILdYb6fRLTw/1e7+asr5QNDk +JS/CfMDGT9xwPhXcZbef2exBQ/KjMqqSQflgsWKhMwLzARH2F4kv8oD9Ge/M +H5i3WIRY9Mhmgmdcyz2tPHH8e2zg2xKeAbTr4k0eYQ7y97H5GZ8OoJXErl23 +acjzl1TMG+ccsJ2vnC8V873f8/6X1fJAWrPqZw4vXI/3Pt7r2p8G+Oy6ui5j +Vu4SGI5XTgHea/SznmJ+XfLNRpAIBHNtLz0PeuP7Y6X4crV9+cDqkr1pIeZ9 +GraV1bj+fCL8ddcqHxpafYUjynUqG6h1ea+8hflMVsrY7dJ8UHtl+ew7zAHT +X417gouBtGjCw+O+eD+rmF58diwPJDySyK7F/M75j7/++hzA5V5+e6MfDUnv +f57uppcDbkruPX0Xs9DfKpEyz2zweahrNw3znMn7/VZXs4C5pz6/qT/eXyuD +L1idiQT9W17NtmPebZh5hScmGuwfs+/eegffvwndyJe5haDc92t2NOZw2w7/ +53EZYJO8j9dvzNU9I+m+fpkg8vlyswsBON9rbRjr00wHrIEJSgOYm+S+2UxX +ZQDXXZKrlO/i/DYmkGKA49v7yXIiHXPpgXTVAd1icCp0b8+yQBr6tjS/TXl7 +Eeja8zDHBXOA6snP3mZhQHlK3/sZZrbn2U5WDuWgIOqV2ZF7eH3OLapKx2YA +4QMOyiWYQ1exDf9QrARBn7+uEgiiIcci5ev1asXgV7wP6Yn5xZiJpKtgOrik +tqJ3CjOve0EkOVQEXtESck8E09Dzj/yeFTsLwIlUSZ8GzDcLp0Y2/80AbZoV +5mIhuJ6s+zox8j0f7Pi2VyUIMzVf/kkxPBtkZT1c/QXzqeyWiWLif5q673gu +v/dx4A2lrUiS0i4a2vuIpKIhLaJBSUVSqbQXDUrZe6+XPV72uLmMKGmKSklF +lDTctxJCv+v9Oaef75/X48X9uu9zn3Od63g/31dORGbjlh87bjfB6geFY1XF +EeTqn+oHdzEeJeUZJ785ivyKMBfNvIP1k5N+o88nEdm/teWKB8YuK1vzzVQT +ycseNrv+Yjz+8tZ7slVRRCtu8JKDjk1g1f7E9vE5b5Jp4D38GcZvhs4j2kfj +yLS+k5uWOOHnxhLnjseGE9+kxNIQjH/8ONpwvyqIDDIiEQOdcX/5cna03NlA +cmHgfZsTGA9rUE3s3R5Ovqdv2f0W4/zzJY3OrZ7EaN+7Jatd8Lx0qe+SgENi +8nToIdkEjDdIt+tvWedNNHJamuRcm2DczvoXuY3BJNnM5uFljOe/Xu9UPyuR +TBoxJLIB44evNdWXqfkS9wJv281uTZCkvie6b4eI9D0y2Sgb4/N2K5ZEhrmT +UwripZPcmyBDpmOAWV48+XyPjLiN8dRptet2vvYnBifu878wnt8kIYx7KSYP +xm19tNsD78/h6NO3M6LJskfvIu9hvP/IoDljWiJI7JlDV2d7NsEEt6YZYpVQ +MmbKbyNvjF2qL87WUwgmd8pslvXywvPf6Gi3gV7B5O/FIXKHMLaSzvMfs8WX +HJ3uIzz3+q9eb24bNE9EPryc/Jh4N8Hk+jlng+/4kc1XxVHhGFsNWPlY3zmO +FM5WvTbEpwmuudbPPTsigsx/e9/4FMZd+rG6jieiSLj9VvIe474hhmZdb9yJ +3ML3ctq+TaD7pWyi3qggYldzqFmM8azUkcabPiWStju/H4/yw/qkbdH51Kdx +xHyZbbQtxipBm0V6ErHkzach179ibHvrC1EviSDr3Xz2bPNvghOl72y954hI +jvoU1VyMG1W2m+bv8CAq38QjpwbgfCY9NCtWuZNAb9WfjhhLjpM7VPvVjwxd +XfKkFeOgA7GnPGz8yBVha8yeQHxfSuKwbdKhRAh4f/0BxvVB14/P3BBPTNZZ +7J0XhPdfG39d8VEkKf/9W9UP4/3nxmzVbAwlq8Js5fsEY3689eH0jSEBJE1X +6tdhjF0GfX9X4xBJpnb6PH2Bcdqw03PEeV7EK2pKrFpIEyScWDLoQm4g6a+X +dCMS4/0Fy7cGrwomZ3stNxkWis8DuVL7L0eRxviS5WcxfhQ8J7PhdhTZtWPb +qFqM78WGuJT+CSKPJT/8WheG86ep30Wlb8FELcXiWQrGl8lDrR7ng0iicWvs +mHCc//3TLmkP8SfjB1+1u46xyTUv8VBxJHHJlNr3A2OJDWkP+u0Skd77fdW2 +i3C+rjHZ+assnpyQnqqQj7HfIqIPNyJIXW5Si3IEnl9Va6/s3BtH9A4tL3PB +OPFSISgt9yP35B7E/cH4+R3X8fpzA8jiu9vs90U2weHYHYdft0aTqKMf9j3C +uEbnqn72pkAyasxh9YVReL5Qt+x8fyaQ3CppVQjEeOHusY+qJMJJx8mrvyWj +sd6QFq3xmhtFDk8Y+vwoxmsd5ewGbfAh1Y994ysxth3xuWba7Fiy8dzUmxox +OF5BDy0kQkQkb2qyaQzGWyoUnRvfhZC55ctXDI/F89gXm623AoNJ6OUHoy9g +fCjebL5lSRQZPlOvtQ5jD5e/p0KPism1yg/PdeKaoOxM7uk9NbGk5drhhHSM +5w+cKPNtcwQ5MLft5rh4XM+Oe8tWjg8kr6qv7rfH2GJPsWGFZiTRvjVUQ8C4 +/ZF8xtvVYSRrkd+YHQlY3xIXlb7TEsn0j1PbCjEeOs27T/6yYOLnlFw+I7EJ +XposObDeO5IMVlVLdMfY1OWhoWNFJLnY8OBWF8YF32dxYYUu5Ie73oEDYqy/ +nHfJ6He6EmONGo2nGKeOWmsboBxEnn0/rLgkqQmMxu3vOITvQ8O3rS0YY23t +y55bJ0aR5DXXKgYkYz6/dLUiEKLJpJ9DxccxnrW//YOZTjhxD/JzqMJYZ56V +1NSP0URyg9LBVSlNYPnqmdyV5Hhyui15ZTzG1/23LRkkH04awtXGyqViPn55 +fHNUbSwx3FzafgljpY7XR1PHRZHSLr0XnzEm/H17O8kgQmJqxJvSmuDpArOl +NsFhJE7f8nYWxp0mP6DdNZQoSrQfnJjeBEuXuNsuNwwijonXNB0wfv58g+1c +v0jSY9ewcb8w9jua1Pa2KZYc6+//Z1cGjt8miYR3HXGkJlXpZTHG4t6m0xyL +E8mWvSlJszKxnrj9K1JWO5jcHaJ+xwvjdWF7VxVvFZEF2aVmPbPwPLMy4Pjl +xFgiOqC/yhzjefYinR4Xwojc8NpxzzG+bfR+7+MhkcQuz7JjWTbWW38qXjiM +DydtFu0vwzBueTs8zPhUBDGXv548mGsCrVTrwuE6IaSqaJijNcZ91pkVjlwT +TDZY+Zu/w1gmZ1ej9A8XkquovForB8f72bh5UplhZFZpyngxxvuLlC4H5oeS +oFPqnfK5WP8dcQ29WBFGhk16+MoG46mHA3cPO+pNbJ7qpzRivHeOs9arnyGk ++Xyt41ZoAilND1PLOXFkn/KRQzkYTz/eq8R6ZQipqGhfPSUP898AC6c9HxLI +apvrExwxtkuTMjjrlkDSVaS7fmPc6nYyy1Uzmii98a80zsfz7MQyB33/COJ9 +Qzm1BOMeAbt9552NJAPmpzrNLcDnTZZqf2vtR869V7fwxfio7+/3pVsDyVeH +h2skCjE/O2ww2zgsiOxasn3iYYzTQvIT82+Ek8d1tV0VGF/8u+LXuPYoouZy +5PXyuzh/RxGJCt9QIl7+JzUC4+NGJ10V8wPIhMbrzkOLcH3dOnsh44s/cfWU +PnwG43HDM7fIjY0mEpoBWjUYS+q4boqYHU5ONilPWlfcBEXj766U3uRK6v1S +/yZjvCDZX7fnIRHR117xZvQ9XI/lj1/JuEWS+78epl3D+KBJtuv6vFCyJGS7 +y3eMidnEx6IVkSRa5+Nh/ftN8OTngZppW8KJwp8j2nkYFywxGjVweBBxiPgz +SbmkCT5rhi6Ibo4hnVtu9HDB+Fi/xuQ788OJZQ+ZqnaMTwRI9HpaH0TexQak +mzzA5y1Y5a1lE0F0Daa5PsRYNNthYVadiOT3SbNcUIr7Q21dzrsLmM+SVqwN +wLiXu3ypMMCZhO5+NFnyIdaPhtkDLmu7k+EDDXoexdgrbmfnF9cAcj39Y9Ur +jOf7Hc++fsWD/DY5mrHiUROkuM97HmEZTg4O7XCNxthZpTP64/5YUsndOCLz +GM9fXlW/q/MiyVozmXXnMd534tIm77fOJFs2cEodxnPeWh0UFgeTGQXTeuk8 +wfmkdTfv+KNw4m+Z9jYNY42kD0Y9rsSQIQoamWOfNsEX7RtFI0eJyKV7j9zs +MC5wmlGUOj+KNB03OMpjHDl+r8WdET5kz7i6dYbP8DwQGz58So+bpOzh0amF +GBvnDf5aKxNEVp7p6DWjrAnm3t6puD4phKRMtqt2w3jvxarUZ5oBZHKZTFYn +xoOXS46RsY4iHhcD3fc/b4KOlsv9/8tXktOnH3uCsQr3V3JH/xBy+mXa+sXl +uB/09hQZKnqSBlsNpWCMHT4nT6hXCyM7Zj/uPaAC57dPxuNpu+PJwyqDd1YY +r9qxLJvUxhBV+7qsNxg7Vpup6j0JJ/ELjnlovsB6Q++P7LudQWRsTcexOIx9 +96gPljscTZzu2G0Y8RLn+4vQnH4LgknPZcOVL2Gs2CdaGKHvQaw+BUp8xrhH +hOSbP0phpNZ1+nvdV03woaHE6l56JNmqnp6difFf39IbLTgfi75qeE6obAL/ +nOCsJVPCyULvx1a3MDaQTKk073QmEasMdX5iHH/V+fGjYn8yUqhT3vW6CeQO +7Sleq+tE7AOO9SnGuN1U0rUV97/2tZ3vVd40gU3eSSdJtRBy6Lcd54lxReKC +PtqPI0hV6HCvHlX4/WOOSy7v7UU26AYdN8N47cKcpt5LEklux/SNZRh72dV6 +K6p6k1lR6dOWvcX6Qq/c/+YmEQnetrJvGMbmxMxx77kQIt3ryYdB1U2guaBk +vNhOTGzjDXNOYpwdlO2irx1BfhrWe1Vj/OukZ7bp0ABiKml1Ys07fN/nY2zG +8qHkRXLnxkSMQ2cunfCuPZKsMbafLv8e13tX0rcJvTxIxiBZSRuMJa/eeqej +4kmUM4NqvmA8iPN7MO5wCPExnZG75QPuf4WXpPNWepGB0hneHMaJvTn/se8d +yfnclScn12C9d7S9z7z+weSb+RPdOxifV1a4OfFcNNktt2PGb4z7T7i5/mqk +F3lSWC9pXNsE1gOvDPLL8ybqR61q72N8uF42fsSFWCIe3ZU75yOeJ4XMkUmp +jmRCib2PD8buVo5Nfo+iiOtJWevedU2gf0BGeu3+CCIxIXiTBcZth6Jjd7hE +E+vHM2ZWYLxoxPxmhXli8ulsRr/l9Vg/1Q5f+XpFMNk+VfOjCGP1jhGHE5PD +ScnzJyD1CfePAK9Hnx1EZOnlHb6nMTa278vrrhCRmBmfrD9g7GHZcv/0LH8y +utJq89rPuN6nbClQ3C8it691zUzG2Fqz7f2u6Ymka87N/qMbmmCE5HWNh9MT +yJFq2bqrGE+9/3Bf6rkw8v5mcN43jAe1bTcZdjqIbFo000/vSxO86vtaNXrP +HVJQm3EKMO4aVrRr4Ek/Ms9Jc4tSYxNwPbScbUq9SRh5quKMcZY56eV9xZnI +NuwY0I6x0YJnQ3TVfch19091e79ivkmQH2DzKIT8XnE8vxRjT/u4nruORJKD +37v85n/D/b42aPkzrE8rfW6e9sd42ez2eg+/ULJuzYitfb/j/BbfTfK+5EG4 +5uBZRzD+sL/txi7VIDIzaObAVxhPCs+3sXAKJgHrM+vVf2D9c3/ZECe3aCLV +plkQhbFK3IXG/qeiyOXwp/7STbjenaZusRzkTPhNO8+cw9go38Rli2Qk2dv1 +aetHjG+vWnT950Iv8jz6+OwNPJ6fQ11l9i53JJr6fwemYaxa/mie6HUMSe19 +65Oi0ASzdVRX11R4kSmJIwpvYGzro/LVMCaaeO4MCWjC+NPUlSpDLYNJv/4q +Zw2am6By7fN9zUYR5Exq5rYCjD9Vl+q91xWRL3tWzZn+swmW1AoPSUko2THk +2SA3jE8umXZgU14weZi183MHxj1VnHMUiyOI6oHPhaa/muBAy4DjFvGYz2RO +BD7GOBce1kZqh5BxeX/PLmrB9dipJ6sRKybOFrf0gjBWdPtdM5l3I73k5eb2 +/437u7HTaK41hBwvChlshfGOnX/ejr8bQj4eU2l4jfHZhEVtVi8DyTbFrLsr +W3E/rvp03md7MCl+sCooFuPR7crVCztDyKJTz87JtjXB69IEmx2LnUnkxF36 +FzF+KL3WaZNGHJF/+nnuJ4xvGKhFGZeIyM3zJ4botmP9Msd2b7BsJPmj1ONL +BsYrXRO/gZ4nsai4VTT+TxPc3DBS6UBGFHl7RS74Jsay3PAUbrYf0VEJPd+M +cbmxKcw+4EPgtcr2nR2YH7YVR2zOiCazb2TNK8J4TeKhiJhNcSR43moplU58 +/+njVqw/5kyk3z/74oHxyoM+r3+/iSG2DruK/2J8eMhO+6XjPMivxQ3BB7vw +fX37Kal4K57srztx4RnGDZVD3DJHhZCXzj0Mlv5tgjPa+tJuNq5Ea7nD/FCM +lVuPSrl+DSTUw/HQePz9AjXZUEI9HA/7rh2cva4jhlAPx8PHgYMHyk4PYx6O +h/7mh/1ELh6EejgeHmmabHs43IdQD8eDkYPm8JxCEaEejgeFh0Kb9uhIQj0c +D8k99ft8+ShiHo4Hrn9j+tISZ+bheDBrXj9h65YAQj0cD9b+blLTfMKYh+Ph +ti/3xCf8DvNwPPjd2L6vKs6bUA/Hw2vldrWlDkGEejgeBiUUPN3sGUKoh+Mh +vCXp8vkLwYR6OB6E92P8YsL8mYfD651JvHqzOJh5OB5Mbly/YTkgklAPx8Op +GIOWs4VxhHo4HuKdW3THcmGEejgest4McH06PoxQD8eD866axS9mRBPq4XgY +Mzx16dtFcczD8dBRFaSuPSOGUA/Hw48lzXYlJ6MJ9XA81FxQl88aFkKoh+Mh +d5Vhk/IWb+bhePis995GxzScUA/Hw7p7i4rn5XsR6uF4KNVUy8lUDCPUw/Hg +uMZJb5KUA6EeDp9X/Zr6kInBhHo4How/LbM5ddOfUA+H49ev6E2jeSShHo6H +Pu4e5itXuxHq4XiIKTCLLp0TRKiH4yG25MzQSTXRhHo4HjqVRKcvrgtnHo6H +Z1o1luVaoYR6OB5upJRP1X/sS6iH46HZVOrJQutY5uF48JZtvLCnXkSoh+MB +HjR96SQ+hHo4/Hn1/L9LMyII9XA8BJdt76wLjiXUw/Ewa8Lo761FwczD4Xxq +T30kbx9KqIfjob59qPbzcx6EejgeArzixsluSiDUw/FgWjJE4+MNB0I9HA+t +icfUWtPjCPVwPMjdSTsnZxJNqIfjYf/trcd0G8MI9XA8nHf2Cb18PpxQD8eD +140vtZH9w5mH4+Gh2+mdw/tGMQ+H82nR+jU68tGEejgeJkn96bfvbzShHg7H +96F7hUt5IKEejof7ZxTFs0eHEOrheHjx8oHNzdhYQj0cDzZnBtiWuIcQ6uF4 +WFvXr8eXc6HMw+F8OJFjYoznKerheJjx7XOTTh835uF42DjrUvHVIj9CPRwP +1b7KwsVdgYR6OB6SXo69KDM4ink4HpY9cpG1GSom1MPxoPP9ygznj5GEejge +fgsrtNdpBxDq4Xisr+OnzWq5SaiH46FC6ZlJTFsIoR6Oh0M97EMnzIxiHg5/ +/8aZsWt0wwn1cDxsf2Xb3oLnL+rheHDvU1YyBPM39XA8fA9Pr7fC90s9HA8R +vdL9ffqICfVwPAyMFG6Y7Ykh1MPhejjiZedRJWIejod20T615TURhHo4HvK3 +Fu246B9OqIfjIXroAcU03oVQD8dD7+H1p8d5+RLq4Xio/eD44dchP0I9HA9L +/rxxNg6NYR4O38fLkPFbCkMI9XA8jHX6sdv6SSChHo6HC/MO7tiU6U6oh8P5 +PO3iiZYUX+bheMi5Yff1snwYoR6Oh4uNq12PdEUT6uF4WNH6Uuaqqi/zcDx8 +cnubV6wexDwczie7bQZWezwI9XA8zIwxHnswJpx5OB6O/cxp+z0gnnk4vN63 +tpU/65wJ9XCYT21Llwb/CmEejofNZ2w7NBWdCPVwPAzxqfFc8t6dUA/Hw5NP +OmPcNwYxD8fD9coQz1BRCKEeDsfP0KB2/Ht/Qj0cDxO2m5+sEIcQ6uF4OJcn +NdvFPJRQD4fr69lgJeuP8YR6OB7Ea080zz3tTaiH4yF776UrgSf+eTgedm9q +yalbH02oh8Pv+2qW+svXg3k4HtQWK95/vTyUUA/Hw9VJA3QlIIhQD4f5sTDo +wL2J/oR6ONwP5GV6Fai4MA+H+1fjx7xhwX6EejhcDz3c/zy+FUyoh+Nhw+Zp +sh4hwYR6ONxvDTde1ZYSEerhcP6ZL87MvhZAqIfD9dDqsrAez8/Uw2H+JDqu +tYIHoR4O81H7ct/ghGBCPRwP791OJJ3yCyLUw/EwUnHPi7PysYR6OB7aYvpf +c18bR6iH42HngN0/njfEMQ+H+2dAea3l8RDm4XC/1fJKc14VxjwcrrdO6+f9 +9sUQ6uF4qBR9+znlUiihHg7vX7LXynqtREI9HA/vRvCnvp7yI9TD4XpcIbro +5JRIqIfjodw067LxdT/m4TB/x98Sbd0QxDwcDz+l1r1SfxtLqIfjwcpr4qL3 +kjGEejge/CefDP9SF0Koh+Mh5Xz929NloczD4fWPlyd63gwj1MNhvQNhDs2W +YkI9HA+qKaZBJEdEqIfD/eHA0AcyeiLm4XgILJ44zrpXLPNwPMg0u0XMMvEi +1MPx8OdFhVT9mQhCPRwPTd9H9Ap6EM48HK7HuMgm0VpfQj0c7i/K65aaGUQQ +6uEwHwUvajgrFUWoh8P9/srDJ4/GRBHq4fD7suVM3X8HEerheLjz6/ztYRrR +hHo4Hpxe2irMTgsl1MPxwA9criyrGs08HA+extn3p3NRhHo4vJ8h6cuCZ7gR +6uEwPyVstNt7xYl5OB7e6s49+awynHk4HrZtM+8XuT6BUA/Hg1TKxqrPvu6E +eji8/3MqdU+f+RLq4XB+GRxoCNkUS6iH4yHDstq0I92PUA+H+c1krtyi7zGE +ejgcv8vp/R9WBxHq4TA/lMXP6vPFl3k4rKemXN9efFBEqIfj4Vt5nP+j7bHM +w/GgXvRljHpyHKEeDvcTpUmuQmYo83A8yEpfsnwjhfnsfx4O14OH2YG2/DBC +PRy+X6971uNuBBPq4XiQHzf85qIiL0I9HA9Hi9SKh+pEEurhcP6uk24tmS4i +1MPh/rg27fT4llBCPRwPmTlFRYaKQczDYX63aVx9dWg883A8XJ45sNnRyZV5 +OPy8PKP5vIUn83D4fdrGc6LCo5mH42FNY9DLt5pBhHo4zB/xx2cHDvEj1MPh ++j+S/OzahjuEejjMP2fSxSW5wYR6OKyPQlNKh5QFE+rheOi3TDNMy8uNeTic +X4ZPVaXnRhHq4XB/MGsz+l6dSKiHw/324MncNSHRhHo4rBd2V/mk8QmEejge +Dof/+nVLIYpQD8fD8y+X1lgGiJmH42Gir4VCn0NRhHo4zPfRP/uvPuhBqIfD +/DBvoL78Rk9CPRzmj/WSdQUJMYR6OKxvd32Y5TrZm1APx8O8FKlLwydGMg/H +wwO5Y6NX7IlkHg7fX9uGSd+l45mHw/Vhpec2vW8EoR6OB9faFapVV2MI9XA8 +PL01ZPOBVg/m4XB8dVrHLhqaSKiH4+GgoDBlvFcAoR6OB02n4t8rpoUT6uFw +fzEbEWsYFE6oh8P9KGjJufPbwgn1cJi/uWRJmxH+hHo4Hr4+3hG/MjyCeTjc +3947r7ZdEkmoh+PhQFmgcXxrKPNwWJ90HPJv3hlFqIfD8UvWULP9Hkqoh+Nh +vd6k+kOhvszD8aDSeeHVU5kkQj0c5pMxTyVuLIki1MNhPZ/8VVHqnDvzcLhf +H/VzikiJJdTD8TC3sE/VvekhhHo4PI88HKncJZFAqIfjIdRicplFVCShHo6H +xMNT7r1SiSbUw+F5aVVEyoKMUEI9HNZPkdu5FcMSCPVwWF+EK8eopwcS6uGw +njGWvnKzJpFQD4frdeOgz41/ggj1cDw0xB8gvw77MQ/Hw9l22z8S328xD4f5 +5U/6d4/ekczD8XB6YKH9L31P5uFwfuzp/W6tczihHg7H97Zt1pr7PoR6OFz/ +/cH8zKpwQj0c1tuDa6+lJ4US6uHwfHgle3Lo7QhCPRy+75xdp+OURIR6OB4W +1VnorNwYSKiH4//7/0H67h8TTKiH42Hq99P5MljfUw+H91MvV+/QEEOoh+Mh +76LSxwHNCYR6OB6I7Ik/5i88CPVwOD/GHbvfVy6cUA+H+/HFoVL79X0I9XD4 ++aHRy+b9SGQeDp/fYHGneVcEoR6Ohx7hKZeMF8QyD8fDq8e3VQKckwj1cHi+ +OjMmY8PQKEI9HObvoT10TXaHEerheFjoHWz/oV3EPBzOv4qqE3/OhxHq4XhY +Vdqe+eRCPKEejoeW6UeOOavHMA/Hg6+k52KLxZGEejgeJIYHZm+1jCXUw+H8 +LCo7TrA+ph4O89HR7aMv/U0k1MNhvSk+NPb30DBCPRzOb6OfO4eIogn1cDxM +Mb1W5agXQaiH+6/+bJ0ZEORKqIfD/SO8IdLG3o15OHz/hb02nJFPINTD4fxR +3iy+5uDNPBzO70MSwe6LEgn1cFg/aIzlVfuEMg+H++MPy04Pj3BCPRy+rysa +Zqs5R+bheNjbXnApKC2eeThc71cmfZbyi2YeDtdfdvwCMx1/5uF4mD2n/mRf +5wjm4Xi4tGWgQnpZFPNwPGhlPl8w5HoY83D4fQscJEw4d+bhsF6VcRg0wNuD +eTisRzenaFus+ufhMP+0Hd5+QiGeeTj8/VLX6/u++jIPh/XQ5FIzk4PhzMPh +/HEePmNPiCfzcFif2qqpHc+JZh4Oz2P61yw+uIUxD8fDHC29D8rfEpiH4yHo +lJvFOd1Q5uFwfw9qMB6aF8w8HOb3EjvlBDyvUw/Hg+TKZLmOmgTm4XD/cr+s +cfm2G/NwPBgt3rT1x8gw5uF4uFJza8oA1X8ejgf9d8Ep9qrezMPx8OuYYpDm +80Dm4XA8OvOqZ70MYh4O88flyCsnMyKZh+PhzQ6DnbVSgczDYT3oVpI/5nEM +83A4n+aOf7hCJ455ONw/jcfptX4XMw+H9f3PrQcy34YzD4fff9CyXDJezDwc +D+nhLxylpiYwD4f7h9UCiRU5iczD4fn542PDMbJBzMPh/ntF6UzQumjm4XjY +OvlBr7uNIczD8bClaMr2et9I5uGw/jUUNs38Hsw8HA+lPYWKGebhzMPh91t8 +32ttEMs8HA+6YcLosX6OzMPheJzcUjXGwYV5OB6K7ms/6VzuxjwcD6aqGhnj +XYOYh+PhlrKadUDPQObheFBU77lz/exw5uF4SLAtMd7nG8Q8HA99lL4UnR7r +xTwcD8WFLmYnFJOYh8PxPrRPvmKfiHk4HmZovCntPSiMeTh8/sGHpku5ipiH +w3zzYmpllWEg83BYD5cW97GelMg8HI7nyOW7HVcnMQ/Hw5jOttUn7scyD8eD +T59dO7+tCmEeDtdH1IqthpJxzMPh/BwVFrdrZhzzcHi+FxIk+R2xzMNhvt4w +/sw83WDm4Xhws9DroWefwDwc5qvkS/f8xycyD4fzY4rbEiWjBObheFi3X313 +5Hl35uEwf39fNDjEW8Q8HO4f5OJqdVEc83C4HxaoZ+tfETEPx8OXBSd9jQIj +mIfjoXrAFveUolDm4XgoW7/w7GiZUObheLCtkDzq3xjGPBwPdi9zj52dE888 +HA9H6qxXJLRGMg+H5webyMqaiFDm4Xiourdq7CL/cObhsP5SnPRbZ0AE83C4 +P+orTV3aFMI8HM4P+dC3icXRzMPxMFSIFg9dHM48HA9LRNfF6x5EMg/Hw/Q1 +p2+/loplHo6H4cnrHg36G8E8HObfv9eOh8kmMg/HQ93x5bVZA+KYh+NhT+63 +ZV9NRMzDYT1ytKRkzwYX5uEwv26vMpo+0ot5OB66wg5dX4HnA+rheIgqqFkz +C9839XCYfzoKL3jt9mAejof9Nx5plhyIYR4O97/L0UOGyYUyD8dDz5deHy2e +xzAPx4O0+ibX9cE+zMPh/hvh73i2KYZ5OFwPjo0RZzrDmYfD+lzx97BR//ne +/3k4HnJDb2me+PbPw+H8f/s25NWoOObheBixSPNdubMX83CYLz5s2cXnhDMP +h/v7+cldmfujmYfj4e8yrYfOx3yZh8P9MOHDvJtyCczD4Xodo32lc1Yk83D4 +/Wnea1P+RDIPx8OQydNsF8tFMw+H82ncx4RFmK+ph8Pz1Grr4b76YczD4fm3 +zmTetKhY5uFwPAws++nh/k89HA/DMl1snKbFMg/HQ5zykwz9zGDm4XjIuX7p ++YJGP+bh8HxxvmDDWMkQ5uFwPlkUfDzpGMw8HA8h+40Gaw3xYB6Oh499Wq31 ++jowD4fnRaexxXpDkpmHw/Ho0fquZp8j83A8WF18VzsM8yv1cFhfJFyPuqQe +xTwc1v8e/fx+lEYyD8eDhZmtzzG7eObh8DxvFNm0Z6eIeTh83h9mnno9E5iH +w3yh6XJEzj+MeTg879xemZ22Q8w8HA/mF5efHbwzmHk4XN9XM3xUC9yYh8Pz +5vRn+8rEIczD8TBf182x59Qg5uGwXjpslt72yYV5ODxvlSxQSD4Zxjwc//// +fwPq4XhIi/DZ0ZHwz8PxoLf9iEbjsEjm4XC/eJtxYaa/D/NwmI/vSNxeauHD +PBwPZ1rFARqFsczD8dA/oPTi/FlRzMPhes7zXHrUNYJ5OJy/Jjpre7dFMA+H +891gsWVqXALzcHge29DToc+6JObhcL0uPzTsflUI83C4X2rssIteGsY8HF6v +0tV9ZqSYeTisX90TzS6t/ufhcPzUfDQHnUxgHg73xxM5BpMKRMzD4Xx+46X9 +DvMX9XA86KyR8jRLEDEPh+/3Ze3AHD8R83CYTxJ1ri10EDEPx0N+gv3h+3j+ +pB6Oh8FGWzRUa2KYh8PzQHrt8ycD/ZiH42HHuY8nu7xcmYfDenPcSIfO40HM +w/Gg4ZRsdXlyBPNwPKQ2Lg006whjHo6Hn/P7yepFRTAPh/vn/uVdXblezMPh +9+vcUxsmdmYejgfICTO6fj6GeTicv4ZzljikhjMPh+f3m1J2FstjmIfDeuGP +S49n6/55OB5unulveXxfFPNweP4fJPVmVU4o83BYj8VPTjwzQcQ8HA+vp4hl +f/wMYR6Oh4fro543VMUxD8fDs9WtRRInRczD8XB5xue4RRdEzMPx0HF458eS +a/88HD5vXHldpRDGPByOt7bM8J9rApiHw/zQLnfj3oQI5uF4cO+aRy7u8GMe +DuvZKXE7XNfGMA+H5yX30PCuJhHzcFgvXylxyHwpZh6OhwX2V6ou7w1gHg7P +0xf0QncPTGAejocPi8/uXqYSyDwczs+fC46OWRnFPBwPHtZitdxF/zwc5r9v +7/i71cHMw2F90JZYdWt0NPNw//19Y9fNln2xzMNhPfJLUnneq3jm4Xjwav4i +/XBFCvNweP/CozCNKfHMw/Ewrk+JzYgjfszD4fu5daLqcLI/83BYz+zavVf3 +YxTzcJhv1b7WWTWGMw+H6+/ogpw1gcHMw+F6enU72f9dPPNwuD9MlUtXux7D +PBzOpyd7qm8uSWYeDus99y1OJ7CeoR4Of37mdykzX2/m4Xh4PLMgfeXBUObh +cH4cdtjyozaEeTgetsuVGAw38GQejoe+B8o0NbWDmYfD89c4rX2/8PxNPRzu +d4n9tJZtjmQejofe38hiv77BzMPh900pqz+mFMQ8HOaDlI6WHzdCmIfjIdxa +1ceqM5Z5OMx3a5LVzJ2imIfD+XSnqLpIM4h5OB46H47Xm+6fyDwcDxf1Dq05 +HRzNPBwPxvd65g2+Esk8HJ4/gm/uTvaJZB4O87/U/T5Wy6OYh8P8u1t++tvb +EczD4fON0DsRMz2UeTisx0JcT7R4hjEPx8PynbZD1h6MZx4O9z+Frwur+7gx +D8fD53tlJY0HYpmHw/NW9JbNjpVBzMPx8L7APTFMypt5OB5ObjhlUPguhHk4 +HkzcMpV3icOZh+PhWum5GJlncczD4XlA18mEk/RjHo6HCxZ7XB0Uo5iHw3p1 +0Zme3qII5uHwPOFxbNyW+mjm4XgQGX4vUrcKYh4O5+t7helJgph5OB64oRek +R1eJmYfD6+8pTNAeFMU8HA+798qcP1MUzTwcDw6qA1QfS6QwD4frffSyRr2C +aObheBilWjJo1qdw5uF4iL82REXvvoh5OFwfM7WsKjLCmIfDeuN2umLswkjm +4bAeGKHbMmaBmHk4PO+6lydH3Y1mHg7vZ/fPfZ9+/PNwuL8uLcu/UhzCPBzW +g7MMjHOrg5iHw/VgF7gooDWOeTjMd6KUKeU+EczD4fe1yyRsDYlgHo4HZYm2 +aQ0lYczD8eDyuOTsRPlk5uFwfAz7eUtnxTAPh/nghNvhQ3J+zMPh+WlnqjHf +lsw8HO4v/IxYrdBg5uFw/xzb795YfN/Uw/FwaemyAm/cr6mHw/UoI/v+0nQx +83B4XmrWs5+3I5B5OJyP5uXWdysjmIfD+rhS0kjfOI55OB58bw/+PLYkmHk4 +HkrkZY60FQYwD4f7S+OoZTqtoczD8RBmGvJXfWc883B4fy5D9PqoJjEPx0Ol +9hrjU+MimYf7r17ZaLVtYgJHPRzmb8dtPrK/gznq4XD//H3dKqhPEkc9HA8R +Pe7W/lZxZx4O6wu/mUEFM5yZh8PzVUafd/PnZ3PUw+H7jd7VtH50Ekc9XHe9 +RD0cvt/6+1f2V4o56uF4aLj4atY0y0SOejisfw/6NYlTPJmH4+HGd11vdYkw +5uFwf2jfUCgoFnLUw3Vfn3o4fL+Os7vCdiQzD4f1YEG13ouUAObhun+eejis +B6fMfHfxUAJHPdx/77fOd0BdFkc9HM7fU7Py76UmctTDdf8+9XBYDxxxuNBv +SAzzcFgfDVbydHTN4aiHw/OO5hkd+dspHPVwPGwWFzTqfMviqIfD/emQUcL3 +fC/m4bBe0h3qd/1iEkc9HA8SW6veLn7uxVEP999575SrXN8sjno4zPeqV3u0 +vE5lHg7zn9beoe3K/hz1cFhPh4Oqkqk383C4/xpGpaQrBnPUw/FgejVoeFff +ZI56ODwPHA7UVuDDOerhsJ7//VW7fZgT83A8TFJdONo9RMQ8HA8tXH3ckzdi +jno4vJ+6k9J9a72Yh+OhSG9i/DLZOI56OB56de0ouGOYyFEPx8PCyA+7+zz3 +5qiHw/ng8sPY7XsU83B4/or/7V5yRcRRD9c9/tTDYf2vtGejvEYg83B4vp6y +jqTuCeWoh+Oh9eZFg4U12Rz1cDzcHfFtQnlAJkc9HM7HHvU3ZppnctTD8bD2 +0sgIVT/gqIfr/j7q4XD/7elseelnMkc9HJ73pHusF5dFMg/X/fPUw+H6UjxX +3feNK0c9HA8GSsNTU6eGcdTDdf889XA8WE7yX+ClnsdRD9f9OfVwPLRrf1g3 +STqVox6Ohzv51+0kdiRz1MNhPgm3+br9mT9HPRzWK+brd/ppZnLUw/3332c/ +b/zw1515OLz+92lnk3/aMw/Hw4lj9cKAL/Ec9XC4/k+q2dxeksJRD4f57Xbe +q+KF+Rz1cN33Rz0cD/2sDq2d2iuUox5OgF1x/Nms2mTWH06AoTdEG+risll/ +OKH738/5n4cTwLh2mPe1olCOejgBTj64tHOcbgzzcALM/yIesCkslqMeTgAN +vsrqbFYO6w/XfT3q4QRIWS/hVW6SyDycAFd+GbpI6v/rDydAqZLG6oyydI56 +OAH8Sr+4DzQTc9TDdV+PerjumHo4AWRzi3r81hex/nAChPYse51dZ8/6wwlg +mtNL2u2OiKMeToCVf19Vfcf8TT1c9/WohxNAfo79Hh/1fNYfToBNioFC8ud/ +/eEEuC7lf964vzfrDydApv3a30Nvh7L+cN3Xox5OAOtLrTe847NYfzgBZm+x +cw3tFLP+cALo+KiIPO5kctTDCXBX59a+jin/PJwAl/X63TY8ksf6wwlwI/qS +lacom/WHE2BY6QbLePjXHw6/vy6sNDWEY/3huu+HejgBFE2t7JaZBrH+cN2f +Uw8nwNRA/VyPua7Mwwmwtl9SwkXzGNYfTgApr36vF89OZf3hun+fejgBqkod +0n3OpbD+cAJYNR6X22rqyfrDCTBxbcy+wMAIjno4ARbYVrdJm7ux/nA4v77P +dNlxJov1hxPgzeGE0wvksll/OAGCJT72XWgWxDycAL7L5u3hfMQc9XAC9NG+ +38/UL4v1hxOgUkH+75pz3qw/nAC9c03i/NZ7Mw8nwBKlnT2eKQay/nACqN7M +1VQozWL94bqfj3o4AZReTeilVpDJUQ8nwK1N+2pWJ4hZfzi8vmNXzdguL456 +OAGq9fXkarQiOOrhBHh6cG5SIGSy/nA4P565BlaNz2b94QRoyQuV/9s7hKMe +Duf3/alKT9ams/5w3fdDPZwADVk7b70q8WIervtz6uEEOFEUe3GegwtHPZwA +y08MuNTXIZOjHk6AIwq6d3drJzIPJ0DGslg7I/8o5uG6r0c9nACJErLjt4QE +s/5wmF/CcxSu7nBhHk6ARZMyNMWD0lh/OAH68uJZEbqJzMPh/NiuJDr0OZb1 +h8N8FBF2b82NBI56OAH6jbIUBQxPZv3hBDjuLzX8+h4n5uEEaLMrL0mN9WL9 +4QT4lfEhQKoRWH84ARxtQo/nTUpj/eG67596OAHsk/om6yZ5Mw+H38999u/T +L5F5OFyfLwoqU9eHMQ8nQEVkzK/KE8kc9XACbI8dZDLgoIj1hxMg3rgjt9H6 +n4cT4Nua9RmVb1I56uEEyPsUvcA3NZb1hxPg/Mkjr8qtE1h/OAHWScrXRRum +sv5wArR7W6V8LU5mHk6A078XXln0IYN5OFwPRsKtFiMP1h+u+/moh8P7H/SE +D7gXwTwczu/1VVKvb/mz/nACNC5q6FwsiuKohxMAZHPSpkRkcNTDCaDgujRk +wytn1h9OgJd9h9nsHFDAUQ8ngG1dH8Nbv0WsP5wAK1bP2rpEHMBRDyfAaOvF +64vxfEA9nADSC01vdqYmMQ8ngMTud8BNTmf94QQ42Lt4YsHEUObhBBh8VdU+ +akQI6w8ngFdca8R3hXzWH04AyxmpkfJfxKw/nACrH8y96aXgxlEPh/Nvy+Nd +zrLRrD9c9/hQD4fxzSp7u6AE5uEECG+fuun4NFeOejic/0vOknsz01h/OMyv +WZqOqdvzOOrhBAhcusF5lFQY6w+H4xWwo6TRIop5OAE2a2+KmnY1j/WHEyCn +9M0vg/Vi5uEEEL2+p68tzmP94QSQW3km3XxlGvNw3fdLPRzON1Wf57x/DOsP +J4BL65Is0z9+zMN1/zz1cN0x9XC4XkzPlStLRzIPJ8DhxJl9JvwUc9TDCXA1 +uF00WiGcox5OAJkpD9q2rfNgHg7n5+3Bq7ROerH+cPi8zuscRl3OYP3hMP9Y +pemWXCjgqIcTwH9B5PbIma7Mwwnwd86bGB2bXI56OFxPB2a8mm1WwFEPJ8Cl +p2rynsaFrD+cANzSHbNlMhI56uFwP9bctmDN3yTm4QRIWvs+wfZoAEc9HO4H +Le6SZ5xiWX84Ac74Oi6euCCMeTgcf8OXzfK2Qaw/HH5f+VXJuXIijno4AdT6 +rV2wqjGNox5OgC/96xr1fuez/nAC1OsOmj7NPp+jHk6AuH3PRZeOZXDUwwmg +ffHl2DdeoczDCXBH30v5ft801h8O643leh2aiTGsP5wAbnUV5447JTIPJ8D4 +4BX18ooRrD8cPq/qnUqFncGsP5wA57ifjVF78lh/OAEO3SpvHeUTx1EPh/M9 +5V1nk0U+6w/X/f6phxMgOmWp1dnLzszDdX9OPZwACZm7RkdLuzEPJ8CBK0da +V9TFs/5wmB+0lo3IseU46uEEiPj4YGTriTDWH04Aw9aRfYfGRzMP13196uEE +2Hc/MqbDOZz1hxMg/9vqnCnJKRz1cFjflFxdXJqZyvrDCfCzRthuZ+zCUQ8n +QJqncb8y2xTWHw7zFxhaH1aO5aiHw/1dt39glLMr83C43xkf/FFoHcFRD9d9 +P9TDYT3yaM55GQkf1h9OgEeRmtVaDbkc9XC4f8z7q2H3Ioh5uO7fpx6uO6Ye +DvNDxXdPcjqPox5OgK8JspM+mHly1MNhPZISO+JvUzRHPRyu//V2L2+9TmIe +DtdTWmfnlMOxrD8c1jPnx9WeWerM+sMJcP/y/Y+9G4JYfzjcH3/+fWmZH876 +w2E+uqWXNHdOAOsPh+OtJatzYBvHUQ+H+VF54+5YgWP94XC/97ssF7I4iPWH +EyB5dYfpSkk31h9OgA+6Sx+L9iWx/nACTOgx0HzIxTvMwwlwdMvMklUleRz1 +cAKMlPvZpm/gwlEPJ0Bd9jWFrrAs5uG6x4t6OAHmuLrtlN2XzfrD4f6ru33s +g9Ag5uEEOLuuc3RcTSLzcDi/tZOekEI/jno4AUpu+h75mpbIUQ+H+ab/kurF +UzNZfzgBzHvEDxrwOZJ5OAE+tZX3n741j6Mervt+qIfrjqmHw3p0vn2RrJ+Y +eTgBwtpMlxRKAesPh/NLYfCXPlH5HPVwApiYLZljoR3N+sPh+xjrMOmUegFH +PRyuD6PgzKedjszD4XrS/zV/9pYQjno4nM+nL5berolh/eEE4BUMQ/O3iznq +4XC8d50zOLylgKMeDutNr5fW+/LTWH84Afr3jdyt0JrNUQ8nwJOLvqqOMwM5 +6uEw321/fUEpOp/1hxNActD6zwNtA5mHE2CS6VCpUo1k5uGwPldOW2zzNIP1 +hxNgoeVzHyMDB+bhBIiSvnXSdW8m6w+H42/98afRPo71hxNgWtocT0EnnvWH +w3xyUL9uxIs05uEw/y+TCS57n8f6w+H1p3f02veqkKMeDuunp45Do66FMA+H +7/vGfLnFDZ7Mw2F9oRVv+TwjiqMeDusbI3HkNuMg1h9OgD9frHrPqU7lqIfD +8+BY/xS9p57Mw+F8euIzvtKqgPWHw3r9U/32G4/us/5wWB+umpqSPKyQ9YfD +8/C2h9X7tPNYfzjMp3dUZ/PBSczD4X7g/8L+xlk31h8Oz6+zXkyaI8pn/eEE +2PPqhsekA77Mw+H7uVv4cnOvRNYf7r/zy5Etv6ILOerhcPwCvavuaIpYfzgB +Zv36NJkoJjEPh/V7x9jXs9vDmIfD8ZM3MTytXcBRD4fr2fTEy8KMFObhcDyN +OmJ3nSzgqIfD+Zj39t6TugTWH657/lMPJwBxUi91b09j/eG6P6ceDs9nmx/4 +3Vway1EPJ0CWRIFV4ORI1h9OgM7Y6v1HRqey/nACLFu9XH0w1svUwwkw1+Jh +r5dpEaw/HK5/Uxk+rX8q6w/X/X3Uw+H4adW+1/2Ty/rDCbChZZt0Wk4O6w8n +QPGBn55ql/M46uFw/T/nB1fOSGH94XB93FWpjf4WzDycAHsnz4xRirrL+sMJ +EGLudUz/WiFHPVz391MPh/kje9SLTZ53OerhcLytW2bPjktkHg7HS1klsqgO +OOrhsH5oCPKy3p/CUQ8nQOvwsHYdqRSOejg83638eKZd3515ONwP5zjvduzn +yTwc1iOaMY9UA7M46uEEEPR12v0Dwjnq4bCeNk9tMWtJYx4O9wP9AJXltqEc +9XD/5+8j//NwOD7+g6fHZ2dx1MPheO4prFkxK4l5OKy3by0NmbAtkaMeToBj +44eZ1urf56iHE0Dxu6KcJx/HUQ+H89MTGtc9TuWoh+v+Purh8H1P3jXyp3sq +Rz2cADbpnIbiynCOejg8/8xcpy+em8Y8HJ63LRT3dK4u5KiHE6Bg9KZePmvz +OerhcP1+GhDgN4XjqIfD+TVW21vJ4C5HPZwA7/hxey46OjAPJ4Cm8WwTL7ts +5uHwfW86a7VqYDxHPZwAysHPYyea3+GohxNAfckPr9tfgjnq4bBevN//yhuN +cObhBEiXNiseqlLMUQ+H83+/V2TSvFCOerju56ceTgAnm2eHw7H+px6u+3Pq +4XD/85SV1TXM56iHw/l6dozSxrdijno4AUZY9eiYMyyTox6u+/eph+uOqYfD ++6v85mKRlcg8HNYb675wL7XFHPVwAkx91EdVHH+fox4O68cPSnFeSXc56uHw +PLd52KOSo9HMw3Vfn3o4rD/y1z7L2Z7MUQ8nQEB0veZizIfUw2E99a2qQv5K +Ekc9nABKldzz9c+yOerhBJiSuvZx1oZEjno4rO/yOypbdMXMwwlwAZ6WhWoA +Rz0cXv9pTYn2xHTm4brvh3o4fJ+9Fk7tv5vjqIcTQOwy7a/J8nSOejgB1vw5 +rj0w2Zd5OAH0PvRYbtm/kKMeToAt4wYPFemlcdTDdV+fejg8P4TIdaYtyuGo +h8PzGamYsnS9iHk4AZqH9Bz22DeLeThcLycmz9gQ5M48nAAqoX16XzvOMQ8n +QFlMyuPc/R4c9XBYH4RoPaxVT2YeToDM3DVh3vJZzMNh/ugaq3QoOI55OFy/ +HXzhEdN//eG675d6ODx/5z4632ISylEP1/059XD//b0radDOtAKOerj/8/fe +/3k4PG8ZdFVE/fZiHq77c+rhMD+FKVnWluVx1MMJ0G4wdcrl9kTm4XD+bTWV +Hnv9n4fDekh854X6j3COerju61EPh/uzXueS5iNJHPVwWL9vHq9TNOwuRz1c +989TD4f1Z574T2a/fI56OAGkrkdMt1fL4KiHE6DjVe6l8X9KOerhun+fejgB +NFb7rVmfns08HObPn7KjpZYnctTDCRDbNinqZcJdjno4PG/pFxTsz07nqIcT +wP3Qy2vX5yYxD4f7p2Fy7Zj4XI56OAEy+HMqV+fncdTDCeD14rNp2ZkYjno4 +AfJOLokQa6Vy1MN13x/1cLh+1JOqYj7GMw8nwCCtv1cicX5SD4f5tFmi4tuy +JObh8PzV+cJhm14JRz0c1oMbypfneuUyD4f7zWoZafN7HEc9nADPX14SkeR0 +jno4AYZbn02OlX3CUQ+H+VbvVFpejwcc9XD4/kort7rmFHLUw+H+Msn/5UGs +F6iHw3ritOv4u31zOOrhup+HejjMN7MOu5qPEHHUw+H1clwWrDlWylEPJ8Di +TtUycyMR83ACjOn34GCrUgFHPZwAs+W+V4IgZh5OALt71i0JfZI46uHw/Oy6 +YnvxvIcc9XBYf8g02itPzuSohxNgZi+5P8bLxBz1cAK8CPN+dzrfjaMeToCN +W0tGVD7z46iHw/PvxBl5ry4GcNTDdT8P9XBY7/tNsRg06hbzcDi+Xlfc+9jG +cNTD4f70y1VLyjeUeTgBzBb/fX52RTLzcN3Xox4O509Dze8Mtacc9XC4H4cZ +CcV98jnq4fD9RJtYvDycwzwc1n/HPFUbios56uEwvxc5CE6ZyRz1cP/n773/ +83CYP0r/dtVPdmEeTgDnH+/i24ancdTDYb4pU/PVqkjhqIfD+Sk70VHtezBH +PRy+jzctPaMM8zjq4bqvTz2cAJs/Weu1aIg56uG6P6ceDveval+jKzfjmIfD +emnCwfo7W/71hxPAY/nPux/nJjMPJ8AOq0kTX7sUcNTDYT1Ya3fg3tUnHPVw +AlQfOOhT5HuXox4Oz6e2tp92v3ViHk6AwsYdE06p5nPUw/2fv/f+z8N1x9TD +CZDmHXNh1ttY5uFwvV3uKbq/O5F5OJxP9lFHBkvGc9TDdf8+9XD4/GNCHm+A +Yo56OAFcdi9csW54DEc9HJ5PJtQ/qNr0z8MJ4PNI02B4RBZHPZwA2yOys8yt +HnHUw2E+PJ4fUu7ylKMeTgCtmZoWd8+IOerhcP6PHfyid14eRz0crg/5qXdE +w2OZh8PPA7r2uCQlMQ8nwLr3urcXrSnkqIcT4Ouc2GNVUkkc9XB4//0lK5O/ +5jMPJ0BTy5ctmTOimIcT4GqNwfd4gyjm4fD5I59+0rqVyTycABbktffThU4c +9XBYP83+MGbbXI6jHg7fh4NXllZUOkc9nAA3b9acOlCZz1EPJ0DfybMPZsy6 +zTycAB8nHzWRmebGPByeb5THbz/akMY8HO735odumSx+ylEPJ8A1v1XzR+Dz +UQ+H+7M2N3ipbgjzcAJYx3+72l8zkXm47vdHPZwAM8aenHvh5FOOejgBxnbo +27abp3PUw2G98FGldvrEaI56OMxXGzpUHgwq4qiHE2D1n4EXXR+WctTD4X6o +ZdWvJDaLox5OgO9RFcofJ8Vy1MMJEJRpPuuL2jOOejgBTv0NKws96c08nAD7 +y6ZFz9bMYx5OgJghnQ3vLUs56uGwvrlcfNAmNo6jHu7//L33fx5OgNpTOjYj +jt3jqIcTIFf9y5uVQ8s56uFwv3y3pizEJJ95OByvUbldAzKyOOrh8Dw82WOM +yoYnHPVwmC+m7i2cbZ/DUQ+H56PAaTe6rj3jqIcTYOfZtusNGgXMwwlwts+8 +7c+eAfNwAuzqf3vX1UulzMMJsMBmgMdIowLm4QQ4kmw2Jj7nPkc9nACe9dOf +6B391x8O852qU99Ld9I56uFwPMd6xTy5ncFRD4f1M+jOkaku5KiHw+d5rGgS +/SKDox5OgLVBzd98TLw46uFwve0csdOpVyrzcAJMOvOiokH0kHk4HM+WTX7J +vtkc9XACOHxxHLZe/p+Hw3ohxX7/X6GEox4O7zcBXilNKOeohxPgdZimbwd+ +Tj0c5g8t78Fqea856uHw+9UPwdvBpRz1cFj/9sjKGeaRz1EPJ0CU3N2r1h8y +OerhMF7maHf1bz5HPZwAl78cXTP7UQBHPRzuF6OCeiunZzAPJ8C9az8PaSSH +ctTD4Xo7f8eAv3SXeTg8f/WPVdgX+oyjHg7Pl1s+9rRp8uaohxPgkuWcrEMu +hRz1cAJ0DQzJm+2WzTwc1kO64xrnVdzjqIcTYNuHrZe/3hMzD4fr9fk8j+R9 +iczD4fNu8xh59lQu83C4/z9yHObqlc1RDyfA1qk7onuZ5HHUw+F4rd87OMwi +h3k4AZZGhncNtC9iHg7P1/FmJz6UP+aoh8N8t/dO6IpjEczDda8H6uEE4E/1 +q7Z0KuSoh8P6e83KGS77c5mHw583kDCDZZnMw2E9k3Rkuun6Mo56OAEORu76 +uW1qBEc9nACWu2Qi7we95KiH6/4+6uFw/auXnjuP75N6OMw/T63tJymVc9TD +CZBaMStuAinmqIfDfKdsbGCuImYeDuuFBY/Gp80u46iHw/z55tbW8uRE5uEE +kD35afIdhZcc9XA4Hjv9Nk40v8s8HMY/Z+77k5LOPBzmr3qttZP2ZTEPh+fh +sS7ycnwZ83ACaPdPFnW1FjAPh+s3Y+oN2f5ZzMMJ0KIw/6CCZj7zcFg/7ZrR +cHtwOfNwAoStcRwpN/0ZRz0c1td9Ez5rDg1iHk6AR58r7UzvF3HUwwnw94+p +Hbf9IUc9HNYbpy80xP1MYx5OgHllg+3EO94zDyfAqpkuYzWvPmUeDt/34vff +1xdUMA8nQHblxc/22yo56uEE6GmiXJ0Z/4CjHg7n9+b358fOqmQeDusNOa0f +01a8YR5OgJS509ZE2Sey/nAC1O83Gr7v6xvm4QRINPfXkb13n3k4nK+778+M +MnjHUQ+H78sh+nr/01nMwwlwp+r04EOGT5mHE+ChkZasYshbjno4nH9S6yum +vErnqIfD8/FlQe5BQSLzcPi+fAdq+xXlsf5wArzc3XOAiXch83ACiCSMZnau +KWb94fDnb0++aNXmxvrDCXDc1+CX08V3HPVwApj6fA/cMKmMox4O6yUJuzfD +4t4xD4frM2PClE36/zwcnu8sv7n3e1XDPBzuB15/A05aP+WohxPAddS+DWce +lDMPJ8DAAUvV7PbXMA8ngGSB78iVKtXMw+H+/KRhysx1WczD4fOO3mM3vl8l +83ACDPC5UDrBPImjHg7PH/1vKITKe7P+cJjfXEbpyPWvYR5OgAZpGdvwY3XM +w+F+8vSX1tqAao56OAECymMmOL9+yDwcXk/tw7y4eZnMw2G9vN1SZfSTx8zD +CXB7QbHt67Zw1h8O7/9br7udL/xZfzisb7wzjkUceco8nADT1S5PeKvzgaMe +Dn8+4InVbvu7zMPh+W2pl7nHtSqOerju/EA9HNazO8n92pUVrD8cnv+zdQbk +Fr1jHk6ANyrj9pRU3WMeDusvhWMTKqXzmYfD87XsN32r+mfMwwnwYP7cRdv6 +vOWohxOgqGlz73EXXjAPh+dls2tWM05+56iHE0BQ2RXff91njno4nE8KZ2Q1 +7MuYh8P6MPHYO68en5iHw/HtFe29s+o9Rz0crr+4zDXDv1YwD4f1vL9CgPfA +Vxz1cN3PSz0cvv+Dvn8UFj5m/eG6P6ceDuen/W7DM8OLWH84rDcUPx07fu8x +83ACXJ/Wq98Y/QaOejh8H3omyb+mfWMeDvONfyVX2NXAPByez4c8tVDdUsw8 +HOZXD/nELsNKjno4AXwdHYpGdzxh/eGaIXp91++xzfdYf7hmmL50bcG7kHrm +4ZrhhVfEohXV7zjq4ZrBOT1LpHznE/NwzeDq7Jgme72O/XupzfBgVHuH7Mlq +1h+uGczGKgUaO71gHq4Z/FrCuaUu+aw/XDPExjivcEqLYR4O74fPVAj/0Mw8 +XDOsM0pa5NoqMA/XDGvjbb1C7N6x/nDNsOp5wOrq4gTWH64Z1CeZvV9r+p79 +e6nNcKBjXdkUn+fMwzXDs1kr4k1Cq5iHa4Zv9zRKG55ms/5wzXD4Q712+8gW +jnq4Zqi19Zhf/bOOox6uGUzEazUC+vxhHq4Zjo+eflAh8xbrD9cMRiNr5E+3 +1DAP1wzWNy44rJjUyjxcMxTvHPfpyLCfHPVwzTBwxbNtLb155uGawT+kZl7P +OW3MwzVDuZJk9Zo9bczDNUPS2TLbE/c+Mw/XDIFXG5bFzqhlHq4ZlkxSyKos +FZiHa4Yc/0KpyGsSOdTDNcP9P3N1k/o2Mg/XDDLNftZ5hwX276U2Q3vu4U9n +kyVzqIdrhvGKD5dcvVjG/r3UZvhkofS+U5pn/15qM0T2LpEtiPjG+sPh+BVV +10X96JVDPVwzvL+ZaDNB3M76wzWD+SvDnnMi+uZQD9cM135slX6v2cw8XDNM +SS9fq/PwL/NwzTDYqaLndtNBOdTDNUP1V9JmvL2R9YdrhsawVeu8K3rmUA+H +1/84JPvCOPkc6uGaodfjaLVcF9kc6uGawfHsefs0pWfMwzXDtG/zJ88+LalK +PVwzdLy/N8/DRVaVerhmuLwhdcUrNYlc6uGawXRicu7I9RK5/w8qDbPw + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Hue[0.1421359549995791, 0.6, 0.6], + Directive[ + PointSize[ + Rational[1, 360]], + AbsoluteThickness[2], + GrayLevel[0], + Dashing[{Small, Small}]], + Line[{{-1.3, 0.}, {0.1, 0.}}]}, "Charting`Private`Tag#3"]}}, + "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + ListPlot, "GroupHighlight" -> False|>|>, + "DynamicHighlight"]], {{}, {}}}, { + DisplayFunction -> Identity, DisplayFunction -> Identity, + DisplayFunction -> Identity, AspectRatio -> NCache[GoldenRatio^(-1), 0.6180339887498948], Axes -> {False, False}, AxesLabel -> {None, None}, AxesOrigin -> {-0.8500000000000019, 0}, DisplayFunction :> Identity, Epilog -> { + EdgeForm[ + GrayLevel[0]], RGBColor[1, 0, 0], { - PointBox[ + DiskBox[ NCache[{(Rational[-732, 325] Log[ Rational[13, 4]] - (Rational[535824, 105625] Log[ Rational[13, 4]]^2 + (Rational[1464, 169] + @@ -128310,8 +166966,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2])^Rational[-1, 2], - 0}, {-1.1927019496292608`, 0}]], - PointBox[ + 0}, {-1.1927019496292608`, 0}], + Offset[2]], + DiskBox[ NCache[{-(Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -128330,8 +166987,8 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0}, {-0.9056115040444783, 0}]]}}, - Frame -> {{True, True}, {True, True}}, FrameLabel -> {{ + 0}, {-0.9056115040444783, 0}], + Offset[2]]}}, Frame -> {{True, True}, {True, True}}, FrameLabel -> {{ FormBox[ TagBox[ "\"(\[Mu] - \\!\\(\\*SubscriptBox[\\(\[Mu]\\), \\(0\\)]\\)) \ @@ -128363,52 +167020,53 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== "DefaultMeshStyle" -> AbsolutePointSize[6], "DefaultPlotStyle" -> { Directive[ RGBColor[0.368417, 0.506779, 0.709798], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.880722, 0.611041, 0.142051], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.560181, 0.691569, 0.194885], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.922526, 0.385626, 0.209179], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.528488, 0.470624, 0.701351], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.772079, 0.431554, 0.102387], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.363898, 0.618501, 0.782349], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[1, 0.75, 0], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.647624, 0.37816, 0.614037], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.571589, 0.586483, 0.], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.915, 0.3325, 0.2125], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.40082222609352647`, 0.5220066643438841, 0.85], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[ 0.9728288904374106, 0.621644452187053, 0.07336199581899142], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.736782672705901, 0.358, 0.5030266573755369], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.28026441037696703`, 0.715, 0.4292089322474965], - AbsoluteThickness[1.6]]}, "DomainPadding" -> Scaled[0.02], + AbsoluteThickness[2]]}, "DomainPadding" -> Scaled[0.02], "PointSizeFunction" -> "SmallPointSize", "RangePadding" -> - Scaled[0.05], "OptimizePlotMarkers" -> True, "OptimizePlotMarkers" -> + Scaled[0.05], "OptimizePlotMarkers" -> True, "IncludeHighlighting" -> + "CurrentSet", "HighlightStyle" -> Automatic, "OptimizePlotMarkers" -> True, "CoordinatesToolOptions" -> {"DisplayFunction" -> ({ Identity[ Part[#, 1]], @@ -128440,7 +167098,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00007367762342155748301523487167256014`12.359602911792443}, { + 0.00007367762302569534818062616964418574`12.359602909459023}, { Rational[-1, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -128459,7 +167117,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00007367762168187108116500353983195545`12.359602901537821}, { + 0.00007367762168187108116500062441130649`12.359602901537821}, { Rational[-11, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -128478,7 +167136,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00008912996205952914995811703421820709`12.442226613324836}, { + 0.00008912996205952914995811703421819828`12.442226613324836}, { Rational[-3, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -182800,7 +221458,47 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), 5.01736681618155517742722926959185`11.030439346200719*^-6}, { - Rational[-717, 2500] - (Rational[19289664, 2640625] Log[ + Rational[-287, 1000] - (Rational[19289664, 2640625] Log[ + Rational[13, 4]] + + Rational[-79152, 105625] (Rational[-1647, 52] + + Rational[732, 25] Log[ + Rational[13, 4]]) + + Rational[-26352, 8125] (Rational[535824, 105625] Log[ + Rational[13, 4]]^2 + (Rational[1464, 169] + + Rational[-38232, 4225] Log[ + Rational[13, 4]]) (Rational[-1647, 52] + + Rational[732, 25] Log[ + Rational[13, 4]]))^Rational[1, 2])^Rational[-1, 2] ( + Rational[732, 325] Log[ + Rational[13, 4]] - (Rational[535824, 105625] Log[ + Rational[13, 4]]^2 + (Rational[1464, 169] + + Rational[-38232, 4225] Log[ + Rational[13, 4]]) (Rational[-1647, 52] + + Rational[732, 25] Log[ + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.9072779775390178998515246863`7.101350901354929*^-10},\ + {Rational[-2869, 10000] - (Rational[19289664, 2640625] Log[ + Rational[13, 4]] + + Rational[-79152, 105625] (Rational[-1647, 52] + + Rational[732, 25] Log[ + Rational[13, 4]]) + + Rational[-26352, 8125] (Rational[535824, 105625] Log[ + Rational[13, 4]]^2 + (Rational[1464, 169] + + Rational[-38232, 4225] Log[ + Rational[13, 4]]) (Rational[-1647, 52] + + Rational[732, 25] Log[ + Rational[13, 4]]))^Rational[1, 2])^Rational[-1, 2] ( + Rational[732, 325] Log[ + Rational[13, 4]] - (Rational[535824, 105625] Log[ + Rational[13, 4]]^2 + (Rational[1464, 169] + + Rational[-38232, 4225] Log[ + Rational[13, 4]]) (Rational[-1647, 52] + + Rational[732, 25] Log[ + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.8933491716604456781053067276`7.100378909567528*^-10},\ + {Rational[-717, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + Rational[732, 25] Log[ @@ -182818,7 +221516,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 1.167004894985176260472171786039`8.39714265426269*^-8}, { + 1.916032168901276410714628`2.612472772890876*^-14}, { Rational[-2867, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -182837,7 +221535,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 2.84937401753227870026583608652`8.784872673704738*^-8}, { + 1.04225448891747109486549`2.3480969881850373*^-14}, { Rational[-1433, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -182855,8 +221553,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 5.610368900337784495021887660755`9.079167866951646*^-8}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.5251780321149311881151`1.3090495501466262*^-16}, { Rational[-573, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -182874,8 +221573,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 9.748966110277053603027428118753`9.319188240923063*^-8}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.916086441936132745170031`2.6126447781078777*^-14}, { Rational[-179, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -182893,8 +221593,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 1.5519666889485772045960035071985`9.521165304698822*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.231718676363737084140774`2.678922357167215*^-14}, { Rational[-2863, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -182912,8 +221613,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 2.3185765463703383551075336634472`9.695557573995202*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.92005142859607705661663`2.2290641372603206*^-15}, { Rational[-1431, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -182932,7 +221634,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 3.3003101681077797859944459827042`9.848944116570584*^-7}, { + 3.03593036260394668394811`1.8126811647590906*^-15}, { Rational[-2861, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -182950,8 +221652,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 4.5223000161212505205670129478946`9.98580195068465*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.261030130662192325647701`2.43116804361305*^-14}, { Rational[-143, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -182969,8 +221672,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 6.0092320802138881776121615678154`10.109314775180925*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.06058981188412306955771`2.179336779877361*^-15}, { Rational[-2859, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -182988,8 +221692,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 7.7853511795487095187777615749019`10.22182722097297*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.27858727589863166326982`1.9618494089434444*^-15}, { Rational[-1429, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183007,8 +221712,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 9.8744663869879958722551933310767`10.325115862171598*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.005999350996779777765427`2.33319992700836*^-14}, { Rational[-2857, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183027,7 +221733,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 1.22999565004219418888642932392305`10.420559012032017*^-6}, { + 5.92679968181829420823718`2.1034756855082843*^-15}, { Rational[-357, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183045,8 +221751,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 1.50847755159213722105183927860013`10.50924749558345*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.40935596121780275327728`2.2554713803199786*^-15}, { Rational[-571, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183065,7 +221772,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 1.82514580759271007098104580012849`10.592059413997696*^-6}, { + 3.29240026948096079039783`1.8482744775526863*^-15}, { Rational[-1427, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183083,8 +221790,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 2.18221248772831286269185075322307`10.669712087738928*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.79123095905720468254027`1.9095952933819502*^-15}, { Rational[-2853, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183102,8 +221810,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 2.58184880255783351348319661490622`10.742799056304756*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.02663461649365216371225`1.3422841547847733*^-15}, { Rational[-713, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183121,8 +221830,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 3.02618563414889934090432524970587`10.81181701293*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.052540015877436717726706`2.353160063945648*^-14}, { Rational[-2851, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183140,8 +221850,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 3.51731406000634284271664517560632`10.877185790179734*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.09199740922588710850918`1.9429099924982705*^-15}, { Rational[-57, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183159,8 +221870,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 4.05728587142010082448918361951836`10.93926344259968*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.00050632614459559938014`1.80822238108652*^-15}, { Rational[-2849, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183178,8 +221890,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 4.64811408558568480481469743583527`10.998357802309464*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.11364124886020818744469`2.2907729526236094*^-15}, { Rational[-178, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183197,8 +221910,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 5.29177345158035797481189381661652`11.054735453161493*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.17361500915311125287596`1.9516465960378528*^-15}, { Rational[-2847, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183216,8 +221930,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 5.99020095020481696473721310997651`11.108628785932929*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.12879748082294721670124`1.659321742410389*^-15}, { Rational[-1423, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183236,7 +221951,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 6.74529628772345020486880110604166`11.160241606801105*^-6}, { + 2.7510351360000285805359`1.7707367137789614*^-15}, { Rational[-569, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183254,8 +221969,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 7.55892238355050114338841747213903`11.20975364109804*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.63549270758335036941684`2.0822256479912697*^-15}, { Rational[-711, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183273,8 +221989,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 8.43290585193857965672411956818921`11.257324183589208*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.5936199231151492263787`2.079039886116215*^-15}, { Rational[-2843, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183292,8 +222009,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 9.36903747773175297082472034691778`11.303095082288186*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.77819498514341077748061`1.908684474196642*^-15}, { Rational[-1421, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183311,8 +222029,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001036907268624905776081835777716026`11.347193196692954}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.45601638960765021868079`1.9804000583470138*^-15}, { Rational[-2841, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183330,8 +222049,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001143473200736644500352883664295205`11.389732437764161}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.36051762870889670602119`2.060713176786914*^-15}, { Rational[-71, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183349,8 +222069,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001256770153386637813194973863279895`11.430815472238702}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.10529039728574275938375`1.6548716247190502*^-15}, { Rational[-2839, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183369,7 +222090,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00001376963337412486800966182287491996`11.470535155449257}, { + 4.809186806811981176322`0.013684426732603084*^-17}, { Rational[-1419, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183387,8 +222108,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001504214609920585598477933270228923`11.508975742948943}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.00007734385271206788858`2.1098227905175873*^-15}, { Rational[-2837, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183406,8 +222128,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001638682518443269066327341256891643`11.546213920693404}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.43766291437385606756916`1.7186927517590935*^-15}, { Rational[-709, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183425,8 +222148,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001780522344550608365011692850863036`11.582319685439744}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.90664600109286569905543`2.02255698504982*^-15}, { Rational[-567, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183445,7 +222169,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00001929886146923744356634825479395257`11.61735710075852}, { + 3.8602548120746240688561`0.9184413868924101*^-16}, { Rational[-1417, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183463,8 +222187,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00002086922803896592776181121751484666`11.651384949169277}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.58974165812025061283081`1.5332051212586557*^-15}, { Rational[-2833, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183482,8 +222207,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00002251778055472695781708780203469026`11.684457297069704}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0881381833722577480795`1.3686157669371313*^-15}, { Rational[-177, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183501,8 +222227,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00002424594544823935381397162262288316`11.71662398608847}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8202854530703629132332`1.5921243630657298*^-15}, { Rational[-2831, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183521,7 +222248,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00002605511859277768875839019662761551`11.747931062069554}, { + 2.2049604614713115016813`0.6754388165201097*^-16}, { Rational[-283, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183540,7 +222267,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0000279466657079959894367490529402737`11.778421150953152}, { + 1.75047371122580074811364`1.5752467464142008*^-15}, { Rational[-2829, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183558,8 +222285,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00002992192275977450139164373877492392`11.808133789251446}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.21240739218524075363977`1.9566746607469125*^-15}, { Rational[-707, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183577,8 +222305,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00003198219635512004897219311249397879`11.837105715545368}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.41456329332327775745231`1.9770851810737868*^-15}, { Rational[-2827, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183597,7 +222326,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00003412876413227242041247660674097882`11.865371128393896}, { + 1.9229852900206823028428`0.6162265317406571*^-16}, { Rational[-1413, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183616,7 +222345,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00003636287514596923457871975652561285`11.892961915193816}, { + 4.8769901323187340541365`1.020455580689345*^-16}, { Rational[-113, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183634,8 +222363,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00003868575024802159549231699706658446`11.919907855831363}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.25255219142363437722082`1.4301526668494764*^-15}, { Rational[-353, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183654,7 +222384,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00004109858246323765706382040448921541`11.946236804385421}, { + 1.69072349249637218930399`1.5604825525923136*^-15}, { Rational[-2823, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183672,8 +222402,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00004360253736077443794792000762024739`11.971974851661443}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.38961837162797109474102`1.710791641355844*^-15}, { Rational[-1411, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183691,8 +222422,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00004619875342100028808546681757615647`11.997146470932726}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.61516620005908791216419`1.7500155126146797*^-15}, { Rational[-2821, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183710,8 +222442,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00004888834239796297593706454026487765`12.021774648929487}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.76903950591479590073386`1.5803068727315406*^-15}, { Rational[-141, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183729,8 +222462,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00005167238967757959825778016933676679`12.04588100383224}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5131260173499196171862`1.7328367282174892*^-15}, { Rational[-2819, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183749,7 +222483,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00005455195463170084269109332726603799`12.069485891787462}, { + 6.647378912321395761448`1.1553260156606566*^-16}, { Rational[-1409, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183768,7 +222502,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00005752807096826551798224001145311799`12.09260850326062}, { + 8.8775692410990784181643`1.2810227654232058*^-16}, { Rational[-2817, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183786,8 +222520,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00006060174707787459162050026261620728`12.115266950370087}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.40751014892067399063191`1.4812333465179037*^-15}, { Rational[-176, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183805,8 +222540,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00006377396637732252989090140054726519`12.137478346199119}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.196475560959059495907`1.1249796648582542*^-16}, { Rational[-563, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183824,8 +222560,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00006704568765102056036599679759300425`12.159258876959134}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.88604409484168671799452`1.7931909960081485*^-15}, { Rational[-1407, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183843,8 +222580,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0000704178453920308750697073860963057`12.18062386777265}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.23827760114531858670093`1.843255217611277*^-15}, { Rational[-2813, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183862,8 +222600,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00007389135014605025992958933539239039`12.201587842758059}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.81333697807187957371787`1.7822160021311373*^-15}, { Rational[-703, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183882,7 +222621,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00007746708886519611263543847215095849`12.222164580032299}, { + 8.5429178453218864669156`1.2646535812528585*^-16}, { Rational[-2811, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183901,7 +222640,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00008114592528652649877381202790010681`12.242367162210423}, { + 5.8508688726992637962634`1.1003208180183552*^-16}, { Rational[-281, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183920,7 +222659,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0000849287003701026250447684195994896`12.262208022999122}, { + 0``16.33315355264122}, { Rational[-2809, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183939,7 +222678,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00008881621397944872014816785480418397`12.281698898194115}, { + 8.3853381139404699575493`1.2567272291285165*^-16}, { Rational[-351, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183958,7 +222697,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00009280929071495930936840596003395778`12.30085119065316}, { + 0``16.33325974569986}, { Rational[-2807, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183976,8 +222715,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00009690869096090949437021288316174166`12.319675557279924}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.33478299350563700156262`1.458723503835225*^-15}, { Rational[-1403, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -183995,8 +222735,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00010111516610904423037380407751920225`12.338182220798911}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.21692569637579927085782`1.4186299923010923*^-15}, { Rational[-561, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184014,8 +222755,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00010542944604871447207713022705353887`12.356380934827133}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.87192350150495931460357`1.60570711683335*^-15}, { Rational[-701, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184033,8 +222775,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00010985223946101336438697164345828527`12.374281012137281}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.55377193328288419179961`1.7406542171233192*^-15}, { Rational[-2803, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184052,8 +222795,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00011438423412091325754981293797348429`12.391891350867782}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.02896383691171822913134`1.3459253046268522*^-15}, { Rational[-1401, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184071,8 +222815,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00011902609854360075059928856227159566`12.409220463727033}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.69707772471213794725205`1.764471738596652*^-15}, { Rational[-2801, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184090,8 +222835,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00012377847535994585161513132985281753`12.426276475301604}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.38148503228445331287474`1.8627388273349774*^-15}, { Rational[-7, 25] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184109,8 +222855,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00012864199526692754840071964745642213`12.443067192889258}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.24837749745227016598637`1.430030369188384*^-15}, { Rational[-2799, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184128,8 +222875,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0001336172641944050710204158523199262`12.459600077923193}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.61097976830811379821175`1.5408276013936457*^-15}, { Rational[-1399, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184147,8 +222895,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00013870486897933157611708811000503845`12.47588228421983}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.9365972354480598193327`1.331028277485474*^-16}, { Rational[-2797, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184166,8 +222915,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00014390537822260935848862823128390372`12.491920676310496}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.7388830943016414072167`1.0095196595419582*^-16}, { Rational[-699, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184185,8 +222935,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00014921934093594674652233916226286869`12.507721839883041}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.6231597444636192868166`1.154961966326859*^-16}, { Rational[-559, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184204,8 +222955,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00015464728755284919304775409606783969`12.523292098906104}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.76372422412972318048312`1.5803804861606086*^-15}, { Rational[-1397, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184224,7 +222976,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00016018973004634639887101684993139821`12.538637528894412}, { + 1.18045542397136662788398`1.4060524664168597*^-15}, { Rational[-2793, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184243,7 +222995,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00016584716218323508947257393902156811`12.553763969742837}, { + 0``16.334055940595757}, { Rational[-349, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184261,8 +223013,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00017162006835334455530191704845960414`12.568677059450422}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.58327941989750620862736`1.5336665715987463*^-15}, { Rational[-2791, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184280,8 +223033,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00017750888642180855478660161550690213`12.58338215028748}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.700050825630256101872`1.0900407955733247*^-16}, { Rational[-279, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184299,8 +223053,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00018351406999253478808180118505999061`12.597884477995597}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.92158086651522775384678`1.6178737937939571*^-15}, { Rational[-2789, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184318,8 +223073,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00018963604192615290052301215964625933`12.612189051252308}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.1238953870302192611351`1.2944484839543833*^-16}, { Rational[-697, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184338,7 +223094,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00019587520840143300291337807705753482`12.626300697034894}, { + 1.0352763666074552745016`0.34937754386574543*^-16}, { Rational[-2787, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184357,7 +223113,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00020223195900205519978831350044278189`12.64022406892839}, { + 1.5248906665414107904308`0.5176130052740899*^-16}, { Rational[-1393, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184376,7 +223132,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00020870666688441531168516830599486133`12.653963655165768}, { + 8.5512218871427555918086`1.2664555280944427*^-16}, { Rational[-557, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184394,8 +223150,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0002152996889779071750693637366204034`12.667523786290056}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.4974608782419839687154`0.8782332693234273*^-16}, { Rational[-174, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184414,7 +223171,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00022201136619833712630682535448204622`12.6809086424142}, { + 8.0085319184518000693095`1.2380863647019555*^-16}, { Rational[-2783, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184432,8 +223189,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0002288420236661839549305210987147457`12.694122260084871}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.69965824743145256744402`1.5649481079321845*^-15}, { Rational[-1391, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184451,8 +223209,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00023579197092596751327931338252194045`12.70716853876767}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.38075467816009737456098`1.7113541946582809*^-15}, { Rational[-2781, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184470,8 +223229,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00024286150216490340649292236356992186`12.720051246974506}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0023440525093703431373`1.6362312949984272*^-15}, { Rational[-139, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184490,7 +223250,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00025005089642998359960654392447077217`12.732774028054754}, { + 8.708362181844428853153`0.27468211880441384*^-17}, { Rational[-2779, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184508,8 +223268,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00025736041784302203765269369719865521`12.7453404056711}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5555382015839043243615`0.742281054241467*^-16}, { Rational[-1389, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184527,8 +223288,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00026479031581343765546998504061357924`12.75775378897992}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.38954190758605224188354`1.4777233655735746*^-15}, { Rational[-2777, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184547,7 +223309,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00027234082524865958109414435802173015`12.770017477534786}, { + 3.6489780245899929157142`0.8970760018796349*^-16}, { Rational[-347, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184565,8 +223327,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00028001216676210111193085966944237926`12.782134665930595}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.67536602955453016780868`1.559067495271949*^-15}, { Rational[-111, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184584,8 +223347,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00028780454687868328199237037107879124`12.794108448204515}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.04286770432365099778701`1.645251067108621*^-15}, { Rational[-1387, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184603,8 +223367,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00029571815823790827350870131500711011`12.805941822008649}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.15412753944562871279723`1.3973176612385172*^-15}, { Rational[-2773, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184622,8 +223387,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00030375317979449417292246709536606399`12.817637692568702}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.1386521990724170025315`1.295999037291825*^-16}, { Rational[-693, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184641,8 +223407,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00031190977701658917079396922812089864`12.829198876441493}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.09209105669196514136919`1.6557505004810795*^-15}, { Rational[-2771, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184660,8 +223427,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00032018810208158720473915647835724029`12.840628105083493}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8228921956883294141373`0.7859172383604439*^-16}, { Rational[-277, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184679,8 +223447,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00032858829406956934174771469644418421`12.851928028241586}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.75837420926531605247676`1.580387276619884*^-15}, { Rational[-2769, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184698,8 +223467,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00033711047915439652389996110340438942`12.863101217176828}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.00707272851221760609273`1.3383898314428881*^-15}, { Rational[-173, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184717,8 +223487,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00034575477079248003219820705774674568`12.87415016773059}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.748290367455178563032`1.5779955836770478*^-15}, { Rational[-2767, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184737,7 +223508,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00035452126990925638059637465696315596`12.885077303242596}, { + 7.276957949720623677563`0.19738490561496536*^-17}, { Rational[-1383, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184756,7 +223527,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00036341006508339347302685644468307853`12.895884977329137}, { + 6.1842772369660647432343`1.1267770105235788*^-16}, { Rational[-553, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184774,8 +223545,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00037242123272875482521569047100680033`12.9065754765295}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.4398617461933536523532`1.071128940516486*^-16}, { Rational[-691, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184794,7 +223566,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00038155483727414852394505251065890341`12.917151022828063}, { + 4.655141820612893584326`1.003527011353492*^-16}, { Rational[-2763, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184813,7 +223585,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00039081093134088740348337646796860466`12.927613776058948}, { + 2.3260416097069285185781`0.7022645893854047*^-16}, { Rational[-1381, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184831,8 +223603,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00040018955591818668442674354404127529`12.937965836199727}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.309067262908142623942`0.8554057186345764*^-16}, { Rational[-2761, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184850,8 +223623,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0004096907405364250588113940157124152`12.948209245560397}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.64497701750201101669527`1.5519129702407306*^-15}, { Rational[-69, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184869,8 +223643,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00041931450343829492679017109976445729`12.958345990873081}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.0778473590571124326345`1.2937890220477009*^-16}, { Rational[-2759, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184889,7 +223664,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00042906085174786720094605641919845941`12.96837800528805}, { + 3.6732971325549417410611`0.9009152148985545*^-16}, { Rational[-1379, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184907,8 +223682,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0004389297816375957988719496203252445`12.97830717028088}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.74028778688953258015691`1.576533234996263*^-15}, { Rational[-2757, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184926,8 +223702,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0004489212784932866460053572941255527`12.98813531747554}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.8771846191095903425194`1.173375851852307*^-16}, { Rational[-689, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184945,8 +223722,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00045903531707705571095514105114694425`12.997864230387801}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.55006019648749292987775`1.526366737500909*^-15}, { Rational[-551, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184965,7 +223743,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00046927186168830029613955355652056485`13.00749564609314}, { + 2.2950723581475126538011`0.6968675586524317*^-16}, { Rational[-1377, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -184983,8 +223761,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00047963086632270750847530707782491361`13.017031256822882}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.51293207858649219677198`1.5159436092230976*^-15}, { Rational[-2753, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185002,8 +223781,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00049011227482932353881656381394392678`13.026472711492495}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3979969524050426266362`1.4816834027869685*^-15}, { Rational[-172, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185021,8 +223801,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00050071602106570708532804696014355495`13.0358216171653}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.4058880189086799287578`1.2058073178782693*^-16}, { Rational[-2751, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185040,8 +223821,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00051144202905118996532566120503196787`13.045079540454832}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.40632703049058586096404`1.4843694984786322*^-15}, { Rational[-11, 40] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185059,8 +223841,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00052229021311826767256266861203788579`13.054248008868985}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.20786638502677262342`1.418355065147256*^-15}, { Rational[-2749, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185078,8 +223861,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00053326047806214235263532365997030764`13.063328512098899}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.1685905975384305486409`1.2986917454015092*^-16}, { Rational[-687, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185097,8 +223881,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00054435271928844038823021600671224614`13.07232250325501}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.81612254847182429352854`1.5955873083282706*^-15}, { Rational[-2747, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185117,7 +223902,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00055556682295912650839833329821595993`13.081231400053168}, { + 3.1857542558971353640529`0.8397074214993502*^-16}, { Rational[-1373, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185135,8 +223920,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0005669026661366360619514034073408737`13.090056585953011}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.8677694310470905771455`1.2323997641270734*^-16}, { Rational[-549, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185154,8 +223940,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00057836011692624682444697901553223342`13.098799411250948}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.0459413294308662294132`1.1180650573367719*^-16}, { Rational[-343, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185174,7 +223961,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00058993903461671144105730965837099289`13.107461194129938}, { + 1.114632793472798052701`0.38378593281222684*^-16}, { Rational[-2743, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185192,8 +223979,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00060163926981917134388952622124459745`13.116043221668024}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.5303327263373263576996`1.3158151660406654*^-16}, { Rational[-1371, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185212,7 +224000,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0006134606646043727220190786220106382`13.124546750807646}, { + 1.11316215342493683043293`1.3833185201452183*^-15}, { Rational[-2741, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185231,7 +224019,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00062540305263820486558680386248718691`13.13297300928743}, { + 4.7922896757369627817723`1.0173561331683678*^-16}, { Rational[-137, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185250,7 +224038,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00063746625931558095176020637509402799`13.141323196538309}, { + 3.613149327014943417565`0.8947519646828588*^-16}, { Rational[-2739, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185269,7 +224057,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00064965010189268109013611518984381135`13.149598484545521}, { + 5.2659642392422041216182`1.0583969404529072*^-16}, { Rational[-1369, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185288,7 +224076,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00066195438961757719822723239542649911`13.157800018678035}, { + 1.0514788332408746683332`1.3587725492463927*^-15}, { Rational[-2737, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185307,7 +224095,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00067437892385925903399003345442689608`13.165928918486902}, { + 3.6625117860904579869453`0.9008040240961619*^-16}, { Rational[-171, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185326,7 +224114,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00068692349823508047187581423109271721`13.17398627847393}, { + 3.2900017331608903657117`0.8542740970895158*^-16}, { Rational[-547, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185345,7 +224133,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00069958789873664487157953090694442566`13.181973168831924}, { + 5.6661901012249305842858`1.0904220873401684*^-16}, { Rational[-1367, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185363,8 +224151,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00071237190385414815448121569574649198`13.189890636157802}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.28273157414310866653516`1.445319705900302*^-15}, { Rational[-2733, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185383,7 +224172,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00072527528469919797168078866250658483`13.197739704139819}, { + 2.3179317705005951654052`0.7023375425465394*^-16}, { Rational[-683, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185401,8 +224190,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00073829780512612711947767132458268127`13.205521374219849}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.00976333485500232637968`1.341509463752966*^-15}, { Rational[-2731, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185421,7 +224211,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00075143922185181913310053168959452295`13.213236626232034}, { + 2.3843857803246066693445`0.7147193607742495*^-16}, { Rational[-273, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185439,8 +224229,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.000764699284574063767408796784653441`13.22088641901858}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.1714256265246950733258`1.1277813069248548*^-16}, { Rational[-2729, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185458,8 +224249,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00077807773608845985412562096064702744`13.228471691023866}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.11892759002175622835121`1.386250758546827*^-15}, { Rational[-341, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185477,8 +224269,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00079157431240388280888153793202067562`13.235993360867601}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.881605388956315441512`1.0260644130229435*^-16}, { Rational[-2727, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185497,7 +224290,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00080518874285653384790921224168683482`13.24345232789811}, { + 0``16.337554709476212}, { Rational[-1363, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185515,8 +224308,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.000818920750222587763593152259544367`13.25084947272634}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.31162091054357490307202`1.4554160062852903*^-15}, { Rational[-109, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185534,8 +224328,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00083277005082945590020503509419878022`13.258185657741576}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6066075770045133410024`0.5435704491567974*^-16}, { Rational[-681, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185553,8 +224348,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0008467363546656807660069956449810905`13.265461727609525}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.01576628923083341032977`1.3445073965848138*^-15}, { Rational[-2723, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185573,7 +224369,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00086081936548947851544391867409003459`13.272678509753488}, { + 3.5018362429543740097988`0.8820623957769782*^-16}, { Rational[-1361, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185592,7 +224388,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0008750187809359453353340219824840149`13.27983681481938}, { + 4.2189401863002670263987`0.963022891203098*^-16}, { Rational[-2721, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185610,8 +224406,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00088933429262294357176791868322665895`13.286937437125044}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.4301540211575809945605`1.0726846303430924*^-16}, { Rational[-34, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185630,7 +224427,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00090376558625568323980349724868544245`13.29398115509481}, { + 0``16.33792544038667}, { Rational[-2719, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185649,7 +224446,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00091831234173001436596147101672364703`13.300968731679585}, { + 1.6266438071508936634414`0.5492708615436716*^-16}, { Rational[-1359, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185667,8 +224464,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00093297423323444542394894533734081826`13.30790091476315}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.5574783832097317040102`1.2703771641386634*^-16}, { Rational[-2717, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185687,7 +224485,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00094775092935090293693095823374690021`13.314778437555287}, { + 5.9772573765075378033372`1.1145862648981706*^-16}, { Rational[-679, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185706,7 +224504,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00096264209315424713499829965421068718`13.321602018972133}, { + 4.0834527757984986156199`0.9491647994636607*^-16}, { Rational[-543, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185724,8 +224522,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00097764738231055837421013336257327287`13.328372364004286}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.5482394022109111285958`0.996033530981888*^-16}, { Rational[-1357, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185743,8 +224542,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00099276644917420884368865528067541726`13.335090164073131}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.8297135030941245748702`0.9214094524485616*^-16}, { Rational[-2713, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185763,7 +224563,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00100799894088373390967733082931588582`13.341756097375947}, { + 4.2281049200370175048071`0.9644418727297102*^-16}, { Rational[-339, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185782,7 +224582,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00102334449945651727021175095064245958`13.34837082921993}, { + 2.2750364475639648656295`0.6953374252662853*^-16}, { Rational[-2711, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185801,7 +224601,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0010388027618823039210608965643501533`13.354935012345944}, { + 2.4676028544634567014048`0.7306772790122903*^-16}, { Rational[-271, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185819,8 +224619,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00105437336021555476284513756366196032`13.36144928724202}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.6149373375727594503655`1.1589806970850105*^-16}, { Rational[-2709, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185839,7 +224640,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00107005592166665651069461082779380688`13.367914282447247}, { + 3.827336906250293120776`0.9214046022764157*^-16}, { Rational[-677, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185857,8 +224658,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00108585006869200040144717376301794783`13.37433061484623}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.4323091270854813676529`1.0735453301428484*^-16}, { Rational[-2707, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185876,8 +224678,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00110175541908294302916881661959436611`13.380698889954587}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.999566285022807449077`0.2928353790425326*^-17}, { Rational[-1353, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185895,8 +224698,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00111777158605366247768158215375230804`13.387019702195753}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.7911935660816999403085`1.3295023788900335*^-16}, { Rational[-541, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185915,7 +224719,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00113389817832792275877546533838975328`13.393293635169382}, { + 5.6898309553223467946003`1.0938190487240274*^-16}, { Rational[-169, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185933,8 +224737,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00115013480022475940683265884106036932`13.399521261911737}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3669782156713510149148`0.4745342202141028*^-16}, { Rational[-2703, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185953,7 +224758,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0011664810517430989246765053418250972`13.405703145148246}, { + 3.4299604235236133183286`0.8741146761300311*^-16}, { Rational[-1351, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185971,8 +224776,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00118293652864532462154566590800760397`13.411839837538615}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0686423249811299184982`0.36771087777963984*^-16}, { Rational[-2701, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -185990,8 +224796,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00119950082253980123215877568840830995`13.417931881914653}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.9954807095733921261572`1.037508731737845*^-16}, { Rational[-27, 100] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186009,8 +224816,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00121617352096237055584909962713124178`13.423979811511138}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5916706692921697306101`0.8942808913543652*^-16}, { Rational[-2699, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186028,8 +224836,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0012329542074568302066856854126488234`13.429984150189965}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.8811533170931816605714`1.0275597691655918*^-16}, { Rational[-1349, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186047,8 +224856,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00124984246165440741933089421964918081`13.435945412657777}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.5090391826297300811724`1.2146746265387771*^-16}, { Rational[-2697, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186066,8 +224876,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00126683785935223971108801375398090093`13.441864104677297}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.48497670350636606362358`1.5108628314978372*^-15}, { Rational[-337, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186085,8 +224896,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00128393997259087405814134452262463708`13.447740723272641}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.2023406598581709317562`1.196669784181083*^-16}, { Rational[-539, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186104,8 +224916,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00130114836973079610335949517245728665`13.453575756928771}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1176301978774795814763`1.3875471861401234*^-15}, { Rational[-1347, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186123,8 +224936,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0013184626155280007741957906281590296`13.459369685785248}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.2023524930037933799117`1.196776363317808*^-16}, { Rational[-2693, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186143,7 +224957,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00133588227120861555215321477233991285`13.465122981824589}, { + 1.6721913412812021269234`0.562640892589324*^-16}, { Rational[-673, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186161,8 +224975,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00135340689454258749996106179406777191`13.470836109055293}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.8314087167714825451939`0.9227663358896148*^-16}, { Rational[-2691, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186181,7 +224996,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00137103603991644501901269288614445285`13.476509523689788}, { + 7.952529064041655992023`1.2399660477117702*^-16}, { Rational[-269, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186200,7 +225015,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00138876925840514517771506959826393102`13.48214367431744}, { + 2.9157253354032101932738`0.804260322207572*^-16}, { Rational[-2689, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186218,8 +225033,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00140660609784301732117798450527536623`13.487739002072804}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.46166289347057867654`0.2670223591361053*^-17}, { Rational[-168, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186237,8 +225053,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00142454610289381354410139203442413224`13.493295940799248}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.447039515238172674011`0.8770658290462394*^-16}, { Rational[-2687, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186256,8 +225073,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00144258881511987648178054570256360073`13.49881491720811}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.514333533246407305683`1.0811655243051648*^-16}, { Rational[-1343, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186275,8 +225093,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00146073377305043474881868618298767289`13.504296351033544}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.4075450859801640170071`0.8721670299923046*^-16}, { Rational[-537, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186294,8 +225113,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00147898051224903623139403122415802445`13.509740655183226}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2691385372959994482162`0.8542116692725068*^-16}, { Rational[-671, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186314,7 +225134,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00149732856538012931675034232984888637`13.515148235884928}, { + 1.140672598337769331256`0.39699227703924306*^-16}, { Rational[-2683, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186332,8 +225152,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00151577746227480202294724344133450529`13.52051949282929}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.8669897636103271898373`1.1766505914572645*^-16}, { Rational[-1341, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186352,7 +225173,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00153432672999568887279690831354756066`13.525854819308725}, { + 5.0991069433739388024115`1.0474312344840662*^-16}, { Rational[-2681, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186371,7 +225192,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0015529758929010552383071813317816108`13.53115460235267}, { + 7.6322596815408683154868`1.2226431742163955*^-16}, { Rational[-67, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186390,7 +225211,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00157172447270806876582741281882265759`13.536419222859319}, { + 4.4158566517296863432869`0.9850579235692366*^-16}, { Rational[-2679, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186409,7 +225230,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00159057198855526737743232769100876155`13.541649055723857}, { + 5.0685499805469765309489`1.044979610419541*^-16}, { Rational[-1339, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186427,8 +225248,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00160951795706423323086144599875892517`13.546844469963425}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.727449423165275618815`1.3281477779714366*^-16}, { Rational[-2677, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186446,8 +225268,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00162856189240048190853755850908825949`13.552005828838793}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.0989295732088594855499`0.9528721718016933*^-16}, { Rational[-669, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186465,8 +225288,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00164770330633357599579843147715985306`13.557133489972946}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.6879073151718879946115`1.2791698125499886*^-16}, { Rational[-107, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186484,8 +225308,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00166694170829647209947244762696593609`13.562227805466643}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.7079947129053863750512`0.9094466570479686*^-16}, { Rational[-1337, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186504,7 +225329,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00168627660544411025029273051146413852`13.567289122010944}, { + 4.8264734452234040306079`1.0239903885797317*^-16}, { Rational[-2673, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186522,8 +225347,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00170570750271125452635715799053929716`13.572317780997029}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.11374995042244064411656`1.3872010811838593*^-15}, { Rational[-167, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186541,8 +225367,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0017252339028695936298855203728791833`13.577314118623114}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.5063925664501981345511`1.153806561876786*^-16}, { Rational[-2671, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186561,7 +225388,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00174485530658411004588215031107550091`13.582278465998774}, { + 0``16.34051921338401}, { Rational[-267, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186579,8 +225406,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00176457121246872630896512905733320491`13.587211149246631}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0590380017448043596548`0.6542364893952548*^-16}, { Rational[-2669, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186598,8 +225426,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00178438111714123680355439128712410663`13.592112489601504}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.6142202031092357157785`1.2222504730752066*^-16}, { Rational[-667, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186618,7 +225447,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00180428451527753342280368854227711488`13.596982803507114}, { + 4.5148239493183622408959`0.9953187734037503*^-16}, { Rational[-2667, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186637,7 +225466,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00182428089966513331309865191135358645`13.60182240271047}, { + 8.833049218451697239471`0.28684151563446725*^-17}, { Rational[-1333, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186655,8 +225484,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00184436976125601683360857894844123277`13.606631594353829}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.5754888090370261769154`1.3219447296811642*^-16}, { Rational[-533, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186674,8 +225504,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00186455058921878376425675412708715115`13.611410681064521}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9528896900603111172161`0.6315144000161546*^-16}, { Rational[-333, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186693,8 +225524,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00188482287099013570054702385223619893`13.616159961042557}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.7018919207887061261099`0.9093133325821287*^-16}, { Rational[-2663, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186712,8 +225544,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0019051860923256924799371416453607419`13.620879728146125}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.715807313892514027231`1.2283238805272587*^-16}, { Rational[-1331, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186731,8 +225564,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0019256397373501503918664564732629774`13.62557027197503}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.8425375859393994483627`1.1075969308861466*^-16}, { Rational[-2661, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186750,8 +225584,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00194618328860678983211143826658883965`13.630231877952147}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8136456516536178450666`0.599600763227698*^-16}, { Rational[-133, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186769,8 +225604,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00196681622710633997184213814885088773`13.634864827402936}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2498843929806368226698`0.8529691442414439*^-16}, { Rational[-2659, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186788,8 +225624,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00198753803237520792257099987666815322`13.639469397633091}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.1365479660457873524083`1.3019362777323205*^-16}, { Rational[-1329, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186808,7 +225645,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00200834818250307979010771777482761481`13.644045862004347}, { + 0``16.341207045763014}, { Rational[-2657, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186827,7 +225664,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00202924615418990092364552734304035892`13.648594490008474}, { + 2.2573290809144452009055`0.6948548283872897*^-16}, { Rational[-166, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186846,7 +225683,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00205023142279224258019107489212705556`13.653115547339631}, { + 1.2707464128503433364161`0.44537174971802396*^-16}, { Rational[-531, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186864,8 +225701,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00207130346236906213969770100879751876`13.657609295964944}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.3506317596065305413435`1.0697708253001592*^-16}, { Rational[-1327, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186883,8 +225721,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00209246174572686392245664707379690164`13.662075994193552}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.0040580159447513456538`1.2958569512980032*^-16}, { Rational[-2653, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186903,7 +225742,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00211370574446426757752860796816931758`13.666515896743956}, { + 3.1796607690294049593957`0.8438523590975084*^-16}, { Rational[-663, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186922,7 +225761,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.002135034929015990929245653828853576`13.670929254809904}, { + 6.995917159456463785882`0.18636913217348824*^-17}, { Rational[-2651, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186941,7 +225780,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00215644876869625408806746553314280465`13.67531631612477}, { + 5.063034640373515379673`0.04598827556366966*^-17}, { Rational[-53, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186959,8 +225798,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00217794673174161155232289587855702857`13.67967732502441}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.9541579313838433297671`1.0366001263186477*^-16}, { Rational[-2649, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186978,8 +225818,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00219952828535321894859508885655000053`13.684012522508688}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2836602887879466447225`0.8580414018609829*^-16}, { Rational[-331, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -186997,8 +225838,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00222119289573854098070295221951026295`13.688322146301513}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.9130658821600866242729`0.9342532423759675*^-16}, { Rational[-2647, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187016,8 +225858,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00224294002815250708038105177013723838`13.692606430909663}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.625403094194793734831`0.09194263107646042*^-17}, { Rational[-1323, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187036,7 +225879,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00226476914693812117685152369119557936`13.696865607680147}, { + 3.5449533864011245458381`0.8914524126907449*^-16}, { Rational[-529, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187055,7 +225898,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00228667971556653192750310155604003324`13.701099904856434}, { + 1.7590321897777339399758`0.5871685707758305*^-16}, { Rational[-661, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187074,7 +225917,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00230867119667656967783171615302625214`13.705309547633338}, { + 1.7468459233192122176003`0.5842022840066041*^-16}, { Rational[-2643, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187093,7 +225936,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00233074305211375634564240607615825345`13.70949475821075}, { + 4.9750812522698623092892`1.038800760381841*^-16}, { Rational[-1321, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187111,8 +225954,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00235289474296879435225169825661585757`13.713655755846178}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5066001300992461265222`0.7411385389418436*^-16}, { Rational[-2641, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187130,8 +225974,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0023751257296155406521322170932563109`13.717792756906137}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.3507729931522849990558`1.0705229056940269*^-16}, { Rational[-33, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187150,7 +225995,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00239743547174847154277115984897441397`13.721905974916433}, { + 2.5948057763759463156209`0.7562641331624221*^-16}, { Rational[-2639, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187169,7 +226014,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00241982342841964684547753392477392168`13.725995620611329}, { + 1.9484876512229101473493`0.6319098322491425*^-16}, { Rational[-1319, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187187,8 +226032,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00244228905807516891038609322396457717`13.730061901981726}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.6490751622931381783152`1.0096316390447437*^-16}, { Rational[-2637, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187206,8 +226052,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00246483181859116502343890570755315834`13.734105024322236}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4252341004659608613921`0.7270716341872192*^-16}, { Rational[-659, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187225,8 +226072,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00248745116730926788553189143219304632`13.738125190277252}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.2001723263487885274492`1.3061668264072144*^-16}, { Rational[-527, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187244,8 +226092,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00251014656107162666156765502064491529`13.74212259988612}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.1731679928964915782438`1.254814185731242*^-16}, { Rational[-1317, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187263,8 +226112,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00253291745625543973280892419837252559`13.746097450627268}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.6987988014955551297081`0.9105373638428047*^-16}, { Rational[-2633, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187283,7 +226133,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00255576330880701907927757272153573062`13.750049937461418}, { + 1.1324118918489045447422`0.3965339721000229*^-16}, { Rational[-329, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187301,8 +226151,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00257868357427539115082457181136440192`13.753980252873959}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8790020439682685547194`0.6165096979727579*^-16}, { Rational[-2631, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187320,8 +226171,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00260167770784543961054767630727866241`13.75788858691635}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.3136387765283149465677`1.1429150707336446*^-16}, { Rational[-263, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187340,7 +226192,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00262474516437059527184131703613383186`13.761775127246702}, { + 0``16.342688233897846}, { Rational[-2629, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187358,8 +226210,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00264788539840507848872590716887086594`13.76564005916954}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0835797510836849165542`0.661551255784235*^-16}, { Rational[-657, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187378,7 +226231,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00267109786423569919821188188390813802`13.769483565674685}, { + 2.2718284409990494076732`0.6991695531892762*^-16}, { Rational[-2627, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187397,7 +226250,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00269438201591321975329771455819993364`13.773305827475374}, { + 2.0028427460697365868667`0.6444937659424578*^-16}, { Rational[-1313, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187416,7 +226269,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00271773730728328562577145689463491625`13.77710702304563}, { + 0``16.342899806873447}, { Rational[-21, 80] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187435,7 +226288,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00274116319201692899927273174779304657`13.78088732865681}, { + 0``16.342952699345755}, { Rational[-164, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187453,8 +226306,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00276465912364065021506738986509477223`13.78464691841342}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.7850887402052155277406`1.0228955877703787*^-16}, { Rational[-2623, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187473,7 +226327,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00278822455556608197568117446631332398`13.788385964288258}, { + 5.851128392723161799629`0.11029811146291704*^-17}, { Rational[-1311, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187491,8 +226345,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00281185894111924115492279307488686982`13.792104636156816}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.7200033417774303124427`1.1005076575547894*^-16}, { Rational[-2621, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187510,8 +226365,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00283556173356937300689196841368442777`13.795803101830954}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.7481876382337418539218`1.2850823559482074*^-16}, { Rational[-131, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187529,8 +226385,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00285933238615739251130564343553130751`13.799481527091972}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.1552209752903364616372`1.05546444324352*^-16}, { Rational[-2619, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187549,7 +226406,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00288317035212392753787698170563055666`13.803140075722958}, { + 2.6643691757427844741219`0.7688644489297213*^-16}, { Rational[-1309, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187568,7 +226425,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00290707508473696845853868179378405725`13.806778909540563}, { + 1.618594094265948491894`0.5524608903370287*^-16}, { Rational[-2617, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187587,7 +226444,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00293104603731912878300607615503631642`13.810398188426097}, { + 2.0498211865755574601254`0.6550918068307199*^-16}, { Rational[-327, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187606,7 +226463,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00295508266327452134051828729084576326`13.813998070356005}, { + 0``16.343428719336917}, { Rational[-523, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187624,8 +226481,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00297918441611525447856925428943702854`13.817578711431816}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3955242395003498932568`0.7228821789215243*^-16}, { Rational[-1307, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187644,7 +226502,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00300335074948755269803671842340898985`13.821140265909392}, { + 0``16.34353449889898}, { Rational[-2613, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187662,8 +226520,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00302758111719750609332837281171875705`13.82468288622776}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.5391860693610529744532`1.0005653739792473*^-16}, { Rational[-653, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187681,8 +226540,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00305187497323645291598255033939564023`13.82820672303724}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2441892887726785977211`0.4385267358078533*^-16}, { Rational[-2611, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187700,8 +226560,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00307623177180599953057836328150174204`13.831711925227138}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8911788430552894837308`0.8047681238639682*^-16}, { Rational[-261, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187719,8 +226580,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0031006509673426819828195381888242439`13.835198639952807}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.0644840639837233576389`0.8301034228450026*^-16}, { Rational[-2609, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187739,7 +226601,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00312513201454227335124983345107459783`13.838667012662304}, { + 6.2963717471156078391`0.14288930578507356*^-17}, { Rational[-163, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187758,7 +226620,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00314967436838374100622850807491120731`13.842117187122454}, { + 0``16.343851832822892}, { Rational[-2607, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187776,8 +226638,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00317427748415285785253455130062737463`13.845549305444443}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7373753226262611039269`0.7812390689780799*^-16}, { Rational[-1303, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187795,8 +226658,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00319894081746547158527110419566611123`13.848963508108914}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.3218864779211075107649`1.1448043023617185*^-16}, { Rational[-521, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187815,7 +226679,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00322366382429043594259962312999059574`13.852359933990648}, { + 4.0841036594511925534803`0.9551072539497829*^-16}, { Rational[-651, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187833,8 +226697,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00324844596097220789323986286474736316`13.855738720382696}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.7247114378720159428077`1.0184386744927347*^-16}, { Rational[-2603, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187852,8 +226717,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00327328668425311465161979930236144339`13.859100003020133}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.0199604132294536474453`1.2993209047180299*^-16}, { Rational[-1301, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187872,7 +226738,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00329818545129529436904236647207322796`13.862443916103313}, { + 0``16.34416916087059}, { Rational[-2601, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187891,7 +226757,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00332314171970231430524663774554019233`13.865770592320711}, { + 2.6722763527371450492111`0.7711034169933114*^-16}, { Rational[-13, 50] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187910,7 +226776,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00334815494754047024127321595477140431`13.869080162871402}, { + 1.3604188579693830713963`0.4779475793025386*^-16}, { Rational[-2599, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187928,8 +226794,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00337322459335977085159057777305502637`13.872372757486993}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9090148875030897649401`0.6251371384596931*^-16}, { Rational[-1299, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187948,7 +226815,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00339835011621461071099449831114325333`13.875648504453299}, { + 4.701404552429109467184`0.01660833395468999*^-17}, { Rational[-2597, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187967,7 +226834,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00342353097568413556985010216761366661`13.878907530631498}, { + 0``16.344433597586214}, { Rational[-649, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -187985,8 +226852,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00344876663189230348979927162191779288`13.882149961479016}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0580250166538594387139`0.3689824212265071*^-16}, { Rational[-519, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188004,8 +226872,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00347405654552764539109889921623841772`13.885375921069912}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.99884854267926210141`0.3444893616156274*^-17}, { Rational[-1297, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188023,8 +226892,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00349940017786272852228169087756296095`13.888585532114991}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.201097576672966634095`0.20199095433918648*^-17}, { Rational[-2593, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188042,8 +226912,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00352479699077332632283487834821344321`13.891778915981524}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.391413256290903412096`0.7232997777830801*^-16}, { Rational[-162, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188061,8 +226932,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00355024644675729811006733736698243865`13.894956192712563}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.4895926538548782470726`0.8874727662428415*^-16}, { Rational[-2591, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188080,8 +226952,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00357574800895318198227636096723224966`13.898117481046008}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.8276955058662972206392`1.2383848424761414*^-16}, { Rational[-259, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188099,8 +226972,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0036013011411585042917259133598016564`13.90126289843325}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5010849264545166659902`0.7429322445904187*^-16}, { Rational[-2589, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188119,7 +226993,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00362690530784780900280287371374373499`13.90439256105752}, { + 2.4908186511065682453671`0.7411988015111345*^-16}, { Rational[-647, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188137,8 +227011,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00365255997419041021302093085861321296`13.907506583851895}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.0404237521588863267822`1.0473766285982598*^-16}, { Rational[-2587, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188157,7 +227032,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00367826460606787107728784412409086846`13.910605080517003}, { + 1.5524195618627638270495`0.5359715724227497*^-16}, { Rational[-1293, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188175,8 +227050,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00370401867009121233903525026630952727`13.913688163538435}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.6168218447012300972028`1.226789150169643*^-16}, { Rational[-517, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188194,8 +227070,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00372982163361785363542565217729895002`13.91675594420377}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5326326124154877078709`0.5305063016748615*^-16}, { Rational[-323, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188214,7 +227091,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00375567296476829070789332370074662861`13.919808532619411}, { + 0``16.345121125799594}, { Rational[-2583, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188232,8 +227109,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00378157213244251161373932864496579758`13.922846037727059}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.0282263946624950021563`0.9502878832908225*^-16}, { Rational[-1291, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188251,8 +227129,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00380751860633615499938047265602963842`13.9258685673199}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.2132386906270831224507`0.6902551528761932*^-16}, { Rational[-2581, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188270,8 +227149,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00383351185695641346114264409160368382`13.928876228058568}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.4790975505235529988789`1.0839888186273772*^-16}, { Rational[-129, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188289,8 +227169,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0038595513556376849851855819934377506`13.93186912548675}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.2172658303424068125756`1.2037053744164592*^-16}, { Rational[-2579, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188308,8 +227189,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00388563657455697542424362980051337892`13.934847364046615}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.6277281040222627916369`1.2812820096486588*^-16}, { Rational[-1289, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188327,8 +227209,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00391176698674905493536055228795338296`13.937811047093867}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2890557224275146935536`0.8625096775568512*^-16}, { Rational[-2577, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188346,8 +227229,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00393794206612137126968113475514771056`13.94076027691269}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.9938833155967687188419`0.9468867056669388*^-16}, { Rational[-161, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188366,7 +227250,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00396416128746872277263323590448906775`13.943695154730275}, { + 4.2461385678150377841026`0.973538382922358*^-16}, { Rational[-103, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188384,8 +227268,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00399042412648769392048648022965476541`13.946615780731236}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.0324976097427364639097`1.1927067004257939*^-16}, { Rational[-1287, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188404,7 +227289,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00401673005979085618730316517089782463`13.949522254071713}, { + 2.5304893985708243326068`0.7488545164944951*^-16}, { Rational[-2573, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188422,8 +227307,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00404307856492073700469859705486936973`13.952414672893216}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1000908402854823061581`0.8370773014274087*^-16}, { Rational[-643, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188442,7 +227328,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00406946912036355954559739248742829195`13.955293134336342}, { + 2.1436496610748039389323`0.6769095784136495*^-16}, { Rational[-2571, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188461,7 +227347,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00409590120556275603230478245176834427`13.958157734554126}, { + 0``16.345808656185625}, { Rational[-257, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188479,8 +227365,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00412237430093225723870318756955109074`13.961008568725264}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9537429131779287787193`0.8162342363302357*^-16}, { Rational[-2569, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188498,8 +227385,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00414888788786956082622990533297523028`13.96384573106711}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9065212374092066021725`0.626156078677065*^-16}, { Rational[-321, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188517,8 +227405,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00417544144876858112348733116889149711`13.966669314848398}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.497830422230930517545`0.9989703965881616*^-16}, { Rational[-2567, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188536,8 +227425,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00420203446703228292987844875471411634`13.969479412401824}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.2577252247344481585149`0.9751978373641986*^-16}, { Rational[-1283, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188556,7 +227446,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00422866642708510189454315034113603187`13.972276115136383}, { + 2.5253917228668881283723`0.7484018475433926*^-16}, { Rational[-513, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188574,8 +227464,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00425533681438515399309111892049530265`13.975059513549494}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2934817033361774487745`0.8637812380574746*^-16}, { Rational[-641, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188593,8 +227484,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00428204511543623659618040883468741043`13.977829697238947}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.741763861988985990183`1.105224198794089*^-16}, { Rational[-2563, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188612,8 +227504,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00430879081779962359587344096606320188`13.980586754914702}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.2540883126528098999252`0.699202686867886*^-16}, { Rational[-1281, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188632,7 +227525,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00433557341010565702790987659603127279`13.98333077441037}, { + 3.8463687355000400404675`0.9313355641563972*^-16}, { Rational[-2561, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188651,7 +227544,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00436239238206513760056479569561948379`13.986061842694625}, { + 0``16.346337536990426}, { Rational[-32, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188669,8 +227562,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00438924722448051651360687721532005972`13.988780045882418}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.7662820353219575058068`1.2366035839738556*^-16}, { Rational[-2559, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188688,8 +227582,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00441613742925689092403100758983437071`13.991485469245921}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.3651119121938424008487`0.873442826985427*^-16}, { Rational[-1279, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188707,8 +227602,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00444306248941280538870912553816203885`13.99417819722542}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.7279091414465427540309`1.0211653262253595*^-16}, { Rational[-2557, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188727,7 +227623,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00447002189909086158787839165547449365`13.99685831343993}, { + 0``16.346549093814392}, { Rational[-639, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188745,8 +227641,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00449701515356813860746324389445926144`13.99952590069772}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.570382180066325752143`1.0924869763481373*^-16}, { Rational[-511, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188764,8 +227661,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00452404174926642603260390608562696348`14.002181041006601}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5586900781738030327074`0.7546725584815566*^-16}, { Rational[-1277, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188784,7 +227682,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00455110118376227207943484440126400515`14.004823815584118}, { + 0``16.346707763529633}, { Rational[-2553, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188802,8 +227700,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00457819295579684896711895071812712899`14.007454304867512}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5220174496508911374328`0.5291802854402208*^-16}, { Rational[-319, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188822,7 +227721,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00460531656528563770739335248337495607`14.010072588523602}, { + 1.493521391208832865293`0.5210249916804293*^-16}, { Rational[-2551, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188841,7 +227740,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00463247151332793446441723132484509044`14.01267874545846}, { + 2.3562426869542698234311`0.7190864548835918*^-16}, { Rational[-51, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188859,8 +227758,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00465965730221618061352744713236682682`14.015272853826902}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.6695377595415926750185`1.0161932179076885*^-16}, { Rational[-2549, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188879,7 +227779,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00468687343544511860360072439919965157`14.017854991041963}, { + 0``16.34697221759424}, { Rational[-637, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188898,7 +227798,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00471411941772077570408832025042421195`14.020425233784062}, { + 8.662319209277144796504`0.2846592927303883*^-17}, { Rational[-2547, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188917,7 +227817,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00474139475496927769442715847780661406`14.022983658010117}, { + 0``16.347078000966583}, { Rational[-1273, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188935,8 +227835,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00476869895434549453043712283315153419`14.025530338962545}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.1937182514355962077424`1.0626092794011859*^-16}, { Rational[-509, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188955,7 +227856,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00479603152424151999948433911852024881`14.028065351178038}, { + 0``16.347183785417652}, { Rational[-159, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188973,8 +227874,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00482339197429498735362166353629769198`14.030588768496257}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3454848243186104549383`0.47611548179791424*^-16}, { Rational[-2543, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -188993,7 +227895,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00485077981539722288760709902403294753`14.033100664068403}, { + 0``16.347289571000978}, { Rational[-1271, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189012,7 +227914,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00487819455970123940664538646264335548`14.03560111036561}, { + 2.2754349314249230169119`0.7044068851234955*^-16}, { Rational[-2541, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189031,7 +227933,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00490563572062957150689450760825011781`14.03809017918727}, { + 2.0560318261853748299759`0.6604251907729531*^-16}, { Rational[-127, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189050,7 +227952,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0049331028128819545702242740479128333`14.040567941669169}, { + 3.6221027061845630316614`0.9064090123635834*^-16}, { Rational[-2539, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189069,7 +227971,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00496059535244284935340558238421152847`14.04303446829156}, { + 2.6719565339972705076044`0.774330534761224*^-16}, { Rational[-1269, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189087,8 +227989,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00498811285658881403084334893787977837`14.045489828887066}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.772029197489373543593`0.2380884637245773*^-17}, { Rational[-2537, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189107,7 +228010,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00501565484389572552914069349238455847`14.047934092648505}, { + 3.9484038745584994771997`0.9440285044852125*^-16}, { Rational[-317, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189126,7 +228029,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00504322083424585197119375371338190044`14.05036732813656}, { + 7.503087581129809541771`0.22289984608725022*^-17}, { Rational[-507, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189145,7 +228048,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00507081034883477802716274883217264908`14.05278960328735}, { + 3.6926868610221241524132`0.9150552068633266*^-16}, { Rational[-1267, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189164,7 +228067,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00509842291017818494954277772868003108`14.055200985419912}, { + 1.547218070634800068884`0.5373171506467626*^-16}, { Rational[-2533, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189182,8 +228085,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00512605804211848704966457271482990524`14.057601541243516}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.0547615175311712285862`0.9557838338514172*^-16}, { Rational[-633, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189202,7 +228106,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00515371526983132635328831094434000348`14.059991336864941}, { + 0``16.347871414322757}, { Rational[-2531, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189220,8 +228124,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00518139411983192715350991964972589006`14.062370437795602}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1000856625821981569762`0.8392980058198958*^-16}, { Rational[-253, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189239,8 +228144,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00520909411998131215997644240700418896`14.064738908958546}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.2837840804036957659181`1.0709222706028627*^-16}, { Rational[-2529, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189259,7 +228165,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00523681479949238192440233786240295021`14.067096814695418}, { + 9.610156758857046893391`0.3307605780913805*^-17}, { Rational[-158, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189277,8 +228183,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00526455568893585920358946931993583893`14.069444218773269}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2257132144849916144308`0.8567087576698852*^-16}, { Rational[-2527, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189296,8 +228203,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00529231632024609990257745532563160771`14.071781184391273}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.9367574708817537666004`0.94327456312278*^-16}, { Rational[-1263, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189316,7 +228224,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00532009622672677222218546204788882793`14.074107774187365}, { + 0``16.348188801662708}, { Rational[-101, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189335,7 +228243,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00534789494305640561704893368220096381`14.076424050244773}, { + 3.2110209872905115050423`0.8548848450747563*^-16}, { Rational[-631, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189353,8 +228261,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00537571200529381115230271440024268278`14.078730074098445}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.3382398018648072204687`0.9856081551723556*^-16}, { Rational[-2523, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189373,7 +228282,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00540354695088337482931308246566732045`14.081025906741415}, { + 2.4031147426394081685017`0.7291220085114496*^-16}, { Rational[-1261, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189391,8 +228300,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00543139931866022543331299242930530658`14.083311608631012}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.2625834096174733727729`0.703004999632195*^-16}, { Rational[-2521, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189410,8 +228320,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00545926864885527843844493320870847534`14.08558723969507}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.8604645228477258583942`0.9350928679699064*^-16}, { Rational[-63, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189429,8 +228340,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00548715448310015748856191639241257404`14.087852859337971}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5679875541243787661087`0.7580991182577542*^-16}, { Rational[-2519, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189448,8 +228360,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0055150563644319949551768975724307136`14.09010852644665}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.5202771661894039184533`1.0037241704594801*^-16}, { Rational[-1259, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189467,8 +228380,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00554297383729811305718212003172319922`14.092354299396497}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.6848865333646946470615`0.7775379444620358*^-16}, { Rational[-2517, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189487,7 +228401,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00557090644756058701038019930150503533`14.094590236057169}, { + 0``16.34866491063634}, { Rational[-629, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189506,7 +228420,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00559885374250069165847601165211017031`14.096816393798317}, { + 1.8346837410134560342743`0.6122790261317329*^-16}, { Rational[-503, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189524,8 +228438,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00562681527082323302097040990826370297`14.09903282949528}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.7447497195548781786168`1.1080418301970578*^-16}, { Rational[-1257, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189543,8 +228458,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00565479058266076617737129385827578822`14.101239599534614}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.8507399594569898477212`1.1845611046500455*^-16}, { Rational[-2513, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189562,8 +228478,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0056827792295777008912924647232887528`14.10343675981964}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3992541495032961325369`0.7289527810396407*^-16}, { Rational[-157, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189582,7 +228499,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00571078076457429636234387507199875858`14.105624365775801}, { + 2.3215067655562803416877`0.7146993852838938*^-16}, { Rational[-2511, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189600,8 +228517,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00573879474209054647822625490100842126`14.107802472356092}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.3489823372801}, { Rational[-251, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189620,7 +228536,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00576682071800995692412658498272720288`14.109971134046258}, { + 0``16.34903524344621}, { Rational[-2509, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189638,8 +228554,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00579485824966321549136645924516320752`14.11213040487002}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.4787685164653227523746`0.890513681092629*^-16}, { Rational[-627, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189657,8 +228574,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00582290689583175691228101338557716598`14.114280338394217}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4791958882199250907349`0.7434519002626503*^-16}, { Rational[-2507, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189676,8 +228594,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00585096621675122353349980656081719467`14.116420987733841}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.8163652121706288602834`1.0319133759229195*^-16}, { Rational[-1253, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189696,7 +228615,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00587903577411482312516086087143324512`14.11855240555704}, { + 0``16.34924687327141}, { Rational[-501, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189714,8 +228633,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00590711513107658510911304777724143613`14.120674644090004}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.5847074042431998983959`1.010611406291367*^-16}, { Rational[-313, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189734,7 +228654,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00593520385225451647484824382585908429`14.122787755121868}, { + 0``16.34935269136808}, { Rational[-2503, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189752,8 +228672,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00596330150373365863775126606684668498`14.124891790009453}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3324025815661845147386`0.47404106671157575*^-16}, { Rational[-1251, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189771,8 +228692,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00599140765306904648026066953060611739`14.126986799682012}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.1561709804338901460777`1.1387691850011523*^-16}, { Rational[-2501, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189791,7 +228713,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00601952186928857080269519747325170392`14.129072834645852}, { + 1.4752894646254059430872`0.5183886636633158*^-16}, { Rational[-1, 4] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189809,8 +228731,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00604764372289574539681719476287585624`14.13114994498898}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.243187712833716136899`0.44410104326516026*^-16}, { Rational[-2499, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189828,8 +228751,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00607577278587237994167382328257788058`14.13321818038557}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.276093253072157512641`0.0719297092388614*^-17}, { Rational[-1249, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189847,8 +228771,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00610390863168115990787767517139659039`14.1352775901005}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0847232572073057513687`0.6687185704144544*^-16}, { Rational[-2497, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189867,7 +228792,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00613205083526813464325860659013670513`14.137328222993721}, { + 3.8210511488307947486494`0.9319059234925309*^-16}, { Rational[-156, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189886,7 +228811,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00616019897306511479973657453609855291`14.139370127524584}, { + 4.95446082541105644439`0.04477238496607158*^-17}, { Rational[-499, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189904,8 +228829,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00618835262299198024832923637637468342`14.14140335175621}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.6477810589647076473821`1.1017067531852938*^-16}, { Rational[-1247, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189923,8 +228849,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00621651136445889961641637158111359734`14.143427943359644}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.9067120776124233549023`0.9416932208361135*^-16}, { Rational[-2493, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189942,8 +228869,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00624467477836846256873413371249915001`14.145443949618084}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.7993310691192151758264`1.0311154409778902*^-16}, { Rational[-623, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189961,8 +228889,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00627284244711772594106408463293717609`14.147451417431009}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.3505266224181713323644`1.078384176956419*^-16}, { Rational[-2491, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189981,7 +228910,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00630101395460017482321326891552286029`14.149450393318187}, { + 3.0805939061867328786052`0.8386750165617678*^-16}, { Rational[-249, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -189999,8 +228928,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0063291888862075996756506412956882288`14.151440923423774}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.4753601459636590823843`0.518991529757119*^-16}, { Rational[-2489, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190018,8 +228948,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00635736682883189055207037010653107257`14.153423053520225}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9956253931220692785658`0.8266339036175474*^-16}, { Rational[-311, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190038,7 +228969,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00638554737086674948819233083769208461`14.155396829012208}, { + 0``16.350199319103844}, { Rational[-2487, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190056,8 +228987,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00641373010220932210528292127455044809`14.157362294940494}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.0261690321497147631846`0.831145421234024*^-16}, { Rational[-1243, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190075,8 +229007,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00644191461426174946518363707482399942`14.159319495985734}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0777623861196159068819`0.6679010386176762*^-16}, { Rational[-497, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190094,8 +229027,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00647010049993264120206912677192113771`14.161268476472229}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.7566267911641900294351`1.1800880103578202*^-16}, { Rational[-621, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190113,8 +229047,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00649828735363847094471919916205518377`14.16320928037165}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.2665979813056877132656`0.7057854985182509*^-16}, { Rational[-2483, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190133,7 +229068,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00652647477130489503177900314594067662`14.165141951306694}, { + 2.5236200750552054177951`0.7524878964856412*^-16}, { Rational[-1241, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190151,8 +229086,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00655466235036799551129687764484265488`14.167066532554713}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.7099665486188389509859`0.9198868395248927*^-16}, { Rational[-2481, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190171,7 +229107,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00658284968977544840476873223106745505`14.168983067051256}, { + 0``16.350569769223622}, { Rational[-31, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190189,8 +229125,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00661103638998761820497984015653496244`14.170891597393629}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3539633007543468178057`0.4822295863058248*^-16}, { Rational[-2479, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190209,7 +229146,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00663922205297857956611819437575783071`14.172792165844319}, { + 3.1866945718096719816522`0.8540160588021494*^-16}, { Rational[-1239, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190227,8 +229164,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00666740628223706713393670085898238824`14.174684814334515}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5001609710910677418579`0.5268664062140218*^-16}, { Rational[-2477, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190246,8 +229184,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00669558868276735445316308574501873668`14.176569584467394}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.6945497765229901215039`0.9183429921677622*^-16}, { Rational[-619, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190266,7 +229205,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00672376886109006287889511409741562203`14.178446517521566}, { + 7.991329002517921831103`0.2534534078707175*^-17}, { Rational[-99, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190285,7 +229224,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00675194642524290140837321503100482106`14.180315654454308}, { + 7.405071595000964667277`0.22041658643379855*^-17}, { Rational[-1237, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190303,8 +229242,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00678012098478133833929155380859506126`14.182177035904843}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7838563225345510425075`0.79558707020043*^-16}, { Rational[-2473, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190322,8 +229262,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00680829215077920565069067521953343415`14.18403070219761}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8311030095930260643858`0.8029488536052625*^-16}, { Rational[-309, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190341,8 +229282,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00683645953582923699246876899112062214`14.185876693345376}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.4266739240535684529968`0.9971236443494763*^-16}, { Rational[-2471, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190361,7 +229303,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00686462275404354015965309760006778227`14.18771504905242}, { + 2.3143315861342826851355`0.7155246252967009*^-16}, { Rational[-247, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190379,8 +229321,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00689278142105400491778691549575025501`14.189545808717615}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.7151762415753974869246`1.1081816014612862*^-16}, { Rational[-2469, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190399,7 +229342,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00692093515401264703610904747461997702`14.191369011437526}, { + 0``16.351204906084494}, { Rational[-617, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190417,8 +229360,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00694908357159188937563194882283913563`14.193184696009373}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.4181285945199936264572`1.0851071473644909*^-16}, { Rational[-2467, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190436,8 +229380,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00697722629398478086975832175974389211`14.194992900934094}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.7345175287404720556338`1.1098076571622446*^-16}, { Rational[-1233, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190456,7 +229401,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00700536294290515422571500718656064564`14.196793664419221}, { + 1.2440318764908233752997`0.44619521562816294*^-16}, { Rational[-493, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190474,8 +229419,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00703349314158772316582471773958627637`14.198587024381856}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7998696400787517631111`0.7985544535195839*^-16}, { Rational[-154, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190493,8 +229439,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00706161651478812001848005189135799673`14.200373018451504}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.5799393058369324958703`1.012329300797052*^-16}, { Rational[-2463, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190512,8 +229459,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00708973268878287445962896764933451185`14.20215168397295}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.1274011212271692226333`0.9671991926573074*^-16}, { Rational[-1231, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190531,8 +229479,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0071178412913693341966253504819537155`14.203923058009051}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.2995749818091917299267`0.9850009795497462*^-16}, { Rational[-2461, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190551,7 +229500,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00714594195186552837744134939769811377`14.205687177343489}, { + 0``16.35162839072074}, { Rational[-123, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190569,8 +229518,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00717403430110997449947865711063372635`14.207444078483563}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9196017435401766585787`0.8170049441955524*^-16}, { Rational[-2459, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190588,8 +229538,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00720211797146142958355276782123336999`14.20919379766284}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6455044484257595273234`0.5680333305122729*^-16}, { Rational[-1229, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190607,8 +229558,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00723019259679858637005636541703767531`14.210936370843854}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5547599453334985482914`0.5434505541333242*^-16}, { Rational[-2457, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190626,8 +229578,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00725825781251971528583429499052068409`14.212671833720764}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9297501726179618197954`0.8186707406984756*^-16}, { Rational[-307, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190645,8 +229598,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00728631325554225292192198350063462015`14.214400221721915}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6755322294589789753862`0.5760458806624194*^-16}, { Rational[-491, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190664,8 +229618,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00731435856430233775401064591093755698`14.216121570012469}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.958757986248016728343`0.6439268180431734*^-16}, { Rational[-1227, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190684,7 +229639,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00734239337875429382930509851759589594`14.217835913496906}, { + 0``16.351998981953017}, { Rational[-2453, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190702,8 +229657,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00737041734037006313533247113708174312`14.219543286821581}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1889557903155207192376`0.8557004253923635*^-16}, { Rational[-613, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190722,7 +229678,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00739843009213858735824154630085361911`14.221243724377159}, { + 0``16.352104872686706}, { Rational[-2451, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190740,8 +229696,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0074264312785651397302018506404146076`14.222937260301128}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.2133476809884340348988`0.6972074592349105*^-16}, { Rational[-49, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190759,8 +229716,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00745442054567060765766798721790065221`14.224623928480188}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.123251997125121826536`1.0617564848700773*^-16}, { Rational[-2449, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190779,7 +229737,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0074823975409907268145170454327534453`14.226303762552652}, { + 2.8757188721926596383789`0.8110101427598069*^-16}, { Rational[-153, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190797,8 +229755,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00751036191357526737639428672223790782`14.22797679591082}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.0756600845021904478476`1.057809194304209*^-16}, { Rational[-2447, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190817,7 +229776,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00753831331398717306501372047967453949`14.229643061703335}, { + 1.45559314068224340706`0.5154096149746318*^-16}, { Rational[-1223, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190836,7 +229795,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00756625139430165366365470769641648399`14.231302592837482}, { + 2.5143922064877586954737`0.7528555875287388*^-16}, { Rational[-489, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190854,8 +229813,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00759417580810523165767242326123651534`14.23295542198145}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5451393565205481778012`0.5414431722104008*^-16}, { Rational[-611, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190873,8 +229833,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00762208621049474364649794616231853015`14.234601581566649}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.4589127319604862152559`1.0896346219408302*^-16}, { Rational[-2443, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190892,8 +229853,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00764998225807629716634201550342417384`14.236241103789881}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.221705677940933238895`0.6992679490108786*^-16}, { Rational[-1221, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190912,7 +229874,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00767786360896418355563418553423246891`14.237874020615603}, { + 9.507291495296186239705`0.3306911883506399*^-17}, { Rational[-2441, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190930,8 +229892,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00770572992277974748812534173197107419`14.239500363778054}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.8986335477730712216622`1.0427622866117499*^-16}, { Rational[-61, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190949,8 +229912,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00773358086065021379155541981343925515`14.241120164783464}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.104952269690647622541`0.20430145540175035*^-17}, { Rational[-2439, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190969,7 +229933,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00776141608520747216283882825583031276`14.242733454912127}, { + 2.5857908166781232266992`0.7653866367924521*^-16}, { Rational[-1219, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -190987,8 +229951,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00778923526058682038384665058180042431`14.244340265220556}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.5204660594523575405891`1.008029419179307*^-16}, { Rational[-2437, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191006,8 +229971,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0078170380524256666350663445786901238`14.245940626543545}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.2927518787776135171684`1.0765807005873054*^-16}, { Rational[-609, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191025,8 +229991,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00784482412786219149769552004838899382`14.247534569496219}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.8841728111094599244984`1.190803889526853*^-16}, { Rational[-487, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191044,8 +230011,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00787259315553397022807563278650912371`14.249122124476074}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.1205222451678517991293`1.0623193441083099*^-16}, { Rational[-1217, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191064,7 +230032,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00790034480557655588179325819803070658`14.25070332166499}, { + 8.262079569553791180596`0.2701474222185612*^-17}, { Rational[-2433, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191082,8 +230050,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00792807874962202385827019084776547756`14.252278191031209}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.0347856708515812804866`0.8352390368612528*^-16}, { Rational[-152, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191101,8 +230070,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00795579466079747843022815342118137718`14.253846762331326}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1363345717127675655004`0.4086701958556397*^-16}, { Rational[-2431, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191120,8 +230090,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00798349221372352181604859654486508914`14.255409065112186}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.7848206386159879323728`0.6048115202585429*^-16}, { Rational[-243, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191140,7 +230111,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00801117108451268634675214549025462359`14.256965128712835}, { + 8.295798813633479713936`0.27212811815340077*^-17}, { Rational[-2429, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191159,7 +230130,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00803883095076783027309492593905574669`14.258514982266426}, { + 1.2852296291464753044718`0.4623036029495442*^-16}, { Rational[-607, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191177,8 +230148,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00806647149158049775211951276847420884`14.260058654702062}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.5081706020832405175334`1.0943832248294902*^-16}, { Rational[-2427, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191196,8 +230168,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00809409238752924354640583621535178611`14.261596174746673}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.6846454344965183876853`1.024105536876257*^-16}, { Rational[-1213, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191216,7 +230189,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00812169332067792296324130063777736448`14.263127570926871}, { + 3.169171434055130001151`0.8544275133192342*^-16}, { Rational[-97, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191234,8 +230207,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00814927397457394755496888298123994326`14.264652871570684}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1030669663266765025683`0.6763878533620427*^-16}, { Rational[-303, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191253,8 +230227,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00817683403424650709587635016910973184`14.266172104809476}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.9401938908400390561584`0.9491053167811063*^-16}, { Rational[-2423, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191272,8 +230247,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00820437318620475834515824468789553846`14.2676852985796}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5228851153085240677731`0.9005391770499532*^-16}, { Rational[-1211, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191292,7 +230268,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00823189111843598109971422175456549746`14.269192480624206}, { + 4.917787542348071455782`0.04546343282126047*^-17}, { Rational[-2421, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191310,8 +230286,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00825938752040370203484197407509206944`14.270693678494967}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.443701854628892041388`0.8907721898197591*^-16}, { Rational[-121, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191330,7 +230307,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00828686208304578682523965397723798889`14.272188919553821}, { + 2.6729883490043452691652`0.7807966870886281*^-16}, { Rational[-2419, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191349,7 +230326,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00831431449877250103315070838198064082`14.273678230974612}, { + 1.0932886694106407630334`0.39258744556597375*^-16}, { Rational[-1209, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191367,8 +230344,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00834174446146454024496269842980721766`14.275161639744807}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.9029337126306926987134`1.0443615980943417*^-16}, { Rational[-2417, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191386,8 +230364,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00836915166647102993211030927540745444`14.276639172667124}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0817030232966369827448`0.3880665991057403*^-16}, { Rational[-151, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191405,8 +230384,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00839653581060749550673070109295757356`14.278110856361216}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.8815017352009560217517`0.9430113203932322*^-16}, { Rational[-483, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191424,8 +230404,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00842389659215380303717595190032376366`14.279576717265241}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1579515686402464891898`0.8534699822220179*^-16}, { Rational[-1207, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191443,8 +230424,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00845123371085207108320194624225217528`14.281036781637503}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.0864555044395208731346`1.060532748980312*^-16}, { Rational[-2413, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191462,8 +230444,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00847854686790455410542502842893848536`14.282491075558028}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4073388675308521289066`0.735707710402673*^-16}, { Rational[-603, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191481,8 +230464,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00850583576597149789846642970399488425`14.283939624930102}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9349089079889287839708`0.6408839911105901*^-16}, { Rational[-2411, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191500,8 +230484,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00853310010916896749208926756032005635`14.285382455481884}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2715491387898958369317`0.4586096006562637*^-16}, { Rational[-241, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191520,7 +230505,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00856033960306664795957318183558609461`14.286819592767879}, { + 5.549634734841036110324`0.0985938389093797*^-17}, { Rational[-2409, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191538,8 +230523,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0085875539546856185675668027736009273`14.288251062170518}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.0658971390812045063806`1.059038794249259*^-16}, { Rational[-301, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191557,8 +230543,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00861474287249610069670763458849487649`14.289676888901571}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8240136576984047518731`0.6154635015331226*^-16}, { Rational[-2407, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191576,8 +230563,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00864190606641517995740198486540242684`14.291097098003748}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.901703069682949520524`1.0448354050049504*^-16}, { Rational[-1203, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191595,8 +230583,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00866904324780450292031368293647099217`14.292511714352065}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.3106591400658536697238`0.9890850794452898*^-16}, { Rational[-481, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191615,7 +230604,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00869615412946794887631892357253155041`14.293920762655329}, { + 2.4438377999911629823111`0.7426667665779353*^-16}, { Rational[-601, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191634,7 +230623,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00872323842564927703594506706194633273`14.295324267457628}, { + 0``16.354647381831406}, { Rational[-2403, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191653,7 +230642,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00875029585202974957362305080401151995`14.296722253139675}, { + 0``16.354700376164928}, { Rational[-1201, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191671,8 +230660,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00877732612572573091744565530121462646`14.298114743920259}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.5321473557288411826356`1.0110573934558942*^-16}, { Rational[-2401, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191691,7 +230681,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00880432896528626368053665977174461955`14.299501763857622}, { + 1.7282289032957191820685`0.5924076323164501*^-16}, { Rational[-6, 25] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191709,8 +230699,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00883130409069062162559836682158603857`14.300883336850864}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.4907093171136399284942`0.8977730511293627*^-16}, { Rational[-2399, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191728,8 +230719,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00885825122334584004971652536236550795`14.302259486641253}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3196091195816912063166`0.7203271723303278*^-16}, { Rational[-1199, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191747,8 +230739,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00888517008608422397206179615127695763`14.303630236813612}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.979047677430179949788`0.3540544624154939*^-17}, { Rational[-2397, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191767,7 +230760,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00891206040316083450273505107253606889`14.304995610797658}, { + 2.3433274762858312743947`0.7248513508040348*^-16}, { Rational[-599, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191786,7 +230779,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00893892190025095376665944780122195128`14.306355631869291}, { + 1.1546182686128869236113`0.4175097930470358*^-16}, { Rational[-479, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191804,8 +230797,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00896575430444752875212485406275646158`14.307710323151921}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.8012580517707891033778`0.9350517248925925*^-16}, { Rational[-1197, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191824,7 +230818,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00899255734425859444933929456490187149`14.309059707617749}, { + 0``16.355177376482928}, { Rational[-2393, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191843,7 +230837,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00901933074960467664013714897816824619`14.310403808089056}, { + 3.6976675017570194147041`0.9231582386678834*^-16}, { Rational[-299, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191861,8 +230855,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00904607425181617469583433704320475677`14.311742647239454}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.366087748287350392172`0.15915600984282294*^-17}, { Rational[-2391, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191880,8 +230875,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00907278758363072473610618872042318813`14.313076247595147}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.6591122897321968470171`1.0236395750742855*^-16}, { Rational[-239, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191900,7 +230896,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00909947047919054349769362068432208461`14.314404631536169}, { + 5.289589503163716295556`0.07881137697456116*^-17}, { Rational[-2389, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191918,8 +230914,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00912612267403975325771713844228484705`14.315727821297623}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5525651385803394464045`0.7624192510958717*^-16}, { Rational[-597, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191937,8 +230934,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00915274390512168815239557451542950491`14.317045838970833}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.948737476972893197605`0.1974013336313184*^-17}, { Rational[-2387, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191956,8 +230954,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00917933391077618222802688153755856424`14.318358706504654}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1255734764153669587595`0.40692229286638076*^-16}, { Rational[-1193, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191975,8 +230974,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00920589243073683955719125430453720368`14.319666445706526}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.0173340533337263966354`0.9595394025664573*^-16}, { Rational[-477, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -191994,8 +230994,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00923241920612828674928189159704958501`14.320969078243788}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.9320779353911613074085`0.9502765889979885*^-16}, { Rational[-149, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192013,8 +231014,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00925891397946340818065536715098430131`14.322266625644708}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0475016624666404390542`0.3758622093862897*^-16}, { Rational[-2383, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192032,8 +231034,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00928537649464056426592140483600776032`14.323559109299731}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5095978350274972528025`0.5346217706623027*^-16}, { Rational[-1191, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192051,8 +231054,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0093118064969407930881603964668294279`14.324846550462597}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.173381923771189291738`0.42525291895081185*^-16}, { Rational[-2381, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192070,8 +231074,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00933820373302499570216581735653231459`14.326128970251427}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.977309741366938149951`0.13237230710231807*^-17}, { Rational[-119, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192089,8 +231094,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00936456795093110542115734541728959477`14.327406389649884}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9724760868416514108927`0.6509313140913694*^-16}, { Rational[-2379, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192109,7 +231115,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00939089890007124139379853999050585088`14.32867882950825}, { + 2.6164759689990813866656`0.7736893404412071*^-16}, { Rational[-1189, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192127,8 +231133,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0094171963312288467747799572422897321`14.329946310544562}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.869434630896985008875`0.6277358978256959*^-16}, { Rational[-2377, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192146,8 +231153,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00944345999655581178869414534981918085`14.331208853345625}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.123221410928540042674`0.2658069302574127*^-17}, { Rational[-297, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192165,8 +231173,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00946968964956958198343265509720089761`14.33246647836816}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.5712529826026880811082`1.0161669227825145*^-16}, { Rational[-19, 80] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192185,7 +231194,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00949588504515025196587660491162347643`14.333719205939799}, { + 0``16.35618469391548}, { Rational[-1187, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192204,7 +231213,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00952204593953764490923104351282739276`14.33496705626017}, { + 0``16.35623772302991}, { Rational[-2373, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192223,7 +231232,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00954817209032837811796895256648836233`14.336210049401933}, { + 1.168372113546767372385`0.42387193619915914*^-16}, { Rational[-593, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192241,8 +231250,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00957426325647291493300282495707270274`14.337448205311812}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.289800199928867938495`0.9887808502176559*^-16}, { Rational[-2371, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192260,8 +231270,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00960031919827260325638994498328956863`14.338681543811576}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.026086239387225968715`0.31189629670598984*^-17}, { Rational[-237, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192280,7 +231291,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00962633967737670097160139286269428237`14.339910084599115}, { + 0``16.356449852303214}, { Rational[-2369, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192298,8 +231309,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00965232445677938853214400976428169081`14.341133847249369}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6281370164350078154109`0.5681938381445104*^-16}, { Rational[-148, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192318,7 +231330,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00967827330081676898811870788969009059`14.342352851215368}, { + 6.789358819820862177656`0.18838468658546043*^-17}, { Rational[-2367, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192336,8 +231348,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0097041859751638557171272139361548591`14.343567115829176}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9541192631037654055016`0.647560028752186*^-16}, { Rational[-1183, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192355,8 +231368,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00973006224683154812280221890005842411`14.344776660302868}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8470175654535251551883`0.8110521489776524*^-16}, { Rational[-473, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192374,8 +231388,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00975590188416359556113260214203995196`14.345981503729504}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.375830591637497366333`0.1612518122722142*^-17}, { Rational[-591, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192393,8 +231408,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00978170465683354975168553661767255416`14.347181665084058}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.0150544773284025430833`0.8360632487854934*^-16}, { Rational[-2363, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192412,8 +231428,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00980747033584170592779050298615497686`14.348377163224376}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8726756897935216232244`0.815107727810068*^-16}, { Rational[-1181, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192431,8 +231448,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00983319869351203297674618481355952331`14.349568016892075}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.4967375739177591269548`0.532019834684962*^-16}, { Rational[-2361, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192451,7 +231469,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00985888950348909281813953117885626208`14.350754244713524}, { + 0``16.356927219515455}, { Rational[-59, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192470,7 +231488,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00988454254073494926542660653353547882`14.351935865200675}, { + 1.8512921753967762878383`0.6244552325241116*^-16}, { Rational[-2359, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192488,8 +231506,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00991015758152606661301685444959708749`14.353112896752037}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.4194833265367636742815`0.509163611353712*^-16}, { Rational[-1179, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192507,8 +231526,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00993573440345019818822573958469172154`14.354285357653533}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.9571962354487356390451`0.9544739531454933*^-16}, { Rational[-2357, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192526,8 +231546,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00996127278540326510461506230214272131`14.355453266079412}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1438085944793558954524`0.6883254250571283*^-16}, { Rational[-589, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192546,7 +231567,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00998677250758622545042522819860263933`14.356616640093081}, { + 6.964189011892110662354`0.20006301984616107*^-17}, { Rational[-471, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192565,7 +231586,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01001223335150193414301906935175431751`14.357775497648014}, { + 0``16.35724552447874}, { Rational[-1177, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192584,7 +231605,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01003765509995199367750212814115279559`14.358929856588619}, { + 6.072180390748061959732`0.14064324506348802*^-17}, { Rational[-2353, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192603,7 +231624,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01006303753703359599495930441312480835`14.36007973465104}, { + 0``16.357351637052616}, { Rational[-147, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192621,8 +231642,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01008838044813635569305211256357294163`14.361225149464047}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.8365623288124840556933`1.0419414843106085*^-16}, { Rational[-2351, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192640,8 +231662,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01011368361993913479905418038223404214`14.362366118549852}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0540495734823766796941`0.6700686760247725*^-16}, { Rational[-47, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192659,8 +231682,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01013894684040685932276473334932806203`14.363502659324936}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.5467793556367382017172`1.0152146957266033*^-16}, { Rational[-2349, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192678,8 +231702,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01016416989878732780413033710078455268`14.364634789100881}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2045781007823193456457`0.4383988422532624*^-16}, { Rational[-587, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192697,8 +231722,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01018935258560801206782381102845653697`14.36576252508516}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6041045362899101221558`0.5628496097220449*^-16}, { Rational[-2347, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192717,7 +231743,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01021449469267285039447567491396524003`14.366885884381956}, { + 2.2987531519851364413025`0.7191623458232769*^-16}, { Rational[-1173, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192735,8 +231761,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01023959601305903331572744893090888221`14.368004883992956}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9212792910857364648261`0.641313576477345*^-16}, { Rational[-469, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192754,8 +231781,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01026465634111378223777729944375573437`14.369119540818126}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.0567897847767508957169`0.8430417163471511*^-16}, { Rational[-293, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192774,7 +231802,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01028967547245112109561661622380646101`14.370229871656525}, { + 1.3598215312606103947403`0.49131112604215627*^-16}, { Rational[-2343, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192792,8 +231820,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01031465320394864123771083168685285673`14.371335893207021}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.3921968095319555711984`0.8883633249375175*^-16}, { Rational[-1171, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192811,8 +231840,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01033958933374425973845886344073204524`14.372437622069123}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.8671621413775835441106`1.045211170308698*^-16}, { Rational[-2341, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192830,8 +231860,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01036448366123297133337269489616349663`14.373535074743684}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.986613917978314275392`1.0557941747447503*^-16}, { Rational[-117, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192849,8 +231880,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01038933598706359416955152516478352559`14.374628267633693}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1806536269674628155764`0.8605578822517412*^-16}, { Rational[-2339, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192868,8 +231900,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01041414611313550956168334226728453086`14.375717217044988}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5328437920078920265556`0.5435924813033758*^-16}, { Rational[-1169, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192887,8 +231920,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01043891384259539594149042919558951695`14.376801939187022}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.145758124057341421712`0.4172406055020737*^-16}, { Rational[-2337, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192907,7 +231941,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01046363897983395718624393003705557814`14.377882450173571}, { + 1.4021817511889937560668`0.5050050505012762*^-16}, { Rational[-146, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192925,8 +231959,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01048832133048264550970591559584061662`14.378958766023448}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9820497164108851570949`0.65536836481149*^-16}, { Rational[-467, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192944,8 +231979,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0105129607014103790966151301152520656`14.380030902661268}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0560826305267703174261`0.3820048033653433*^-16}, { Rational[-1167, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192964,7 +232000,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01053755690072025465961451112236015439`14.381098875918106}, { + 2.6363883719074595545537`0.7793693750821562*^-16}, { Rational[-2333, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -192982,8 +232018,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0105621097377462550953243942819905472`14.382162701532222}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3202332831784502234331`0.7239447254939455*^-16}, { Rational[-583, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193001,8 +232038,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0105866190230499524140947885190594764`14.38322239514975}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.3782827031174965985729`1.089109786819144*^-16}, { Rational[-2331, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193020,8 +232058,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01061108456841720611582298043316365362`14.384277972325405}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.110051703121414152413`1.0669445429591302*^-16}, { Rational[-233, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193039,8 +232078,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01063550618685485718209875086414001179`14.385329448523153}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.2339760765420387934198`0.9853207383952649*^-16}, { Rational[-2329, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193059,7 +232099,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01065988369258741785283841281405949328`14.386376839116885}, { + 6.653826798893990918046`0.18169692195792794*^-17}, { Rational[-291, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193077,8 +232117,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.010684216901053757353490463958257286`14.387420159391095}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.1323814857653448035899`1.0689974527229633*^-16}, { Rational[-2327, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193096,8 +232137,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.010708505628903783736839646553795469`14.388459424541551}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.4001142305506665610663`0.8902251254188367*^-16}, { Rational[-1163, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193115,8 +232157,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01073274969399512200140238321320445768`14.389494649675939}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.485619845222215583474`0.2874682850368809*^-17}, { Rational[-93, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193135,7 +232178,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01075694891538978864639467192423544271`14.390525849814516}, { + 7.734882399750453885712`0.247291526125699*^-17}, { Rational[-581, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193153,8 +232196,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01078110311335086282126334364039397348`14.391553039890788}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.4645164212470184544911`0.5245851556870251*^-16}, { Rational[-2323, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193172,8 +232216,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01080521210933915422580287909397099602`14.39257623475208}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4915686330075326930826`0.7554168653300297*^-16}, { Rational[-1161, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193192,7 +232237,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01082927572600986791493251909167025389`14.393595449160271}, { + 1.670257735957523125778`0.5817806044979303*^-16}, { Rational[-2321, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193211,7 +232256,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01085329378720926616028195785835235877`14.394610697792334}, { + 0``16.35905021617594}, { Rational[-29, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193230,7 +232275,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01087726611797132751882825790052276862`14.395621995241006}, { + 0``16.35910332129593}, { Rational[-2319, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193249,7 +232294,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01090119254451440325694154573022849139`14.39662935601537}, { + 1.0055158437708108225027`0.36154534605936567*^-16}, { Rational[-1159, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193267,8 +232312,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01092507289423787127633232141622336753`14.397632794541542}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9163123331677897689431`0.6416758308389996*^-16}, { Rational[-2317, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193287,7 +232333,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01094890699571878768654862451037052872`14.39863232516316}, { + 0``16.359262645915265}, { Rational[-579, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193306,7 +232352,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01097269467870853616684663000526570641`14.399627962142095}, { + 3.0896600718871771643085`0.8492264576763053*^-16}, { Rational[-463, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193324,8 +232370,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01099643577412947525845328853653691807`14.400619719658986}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.6331065022658424937046`1.0252411536344674*^-16}, { Rational[-1157, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193344,7 +232391,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01102013011407158372645416529288926311`14.401607611813848}, { + 2.4006141084081585379423`0.7397443386407546*^-16}, { Rational[-2313, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193362,8 +232409,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01104377753178910412877346457677850768`14.402591652626658}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.5841874633111172046458`1.020737469650047*^-16}, { Rational[-289, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193382,7 +232430,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01106737786169718472796614647661052961`14.403571856037923}, { + 1.7642465833588495532589`0.6060875031451867*^-16}, { Rational[-2311, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193400,8 +232448,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01109093093936851987981384571621963231`14.404548235909255}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3918726684526977901891`0.5031808441280311*^-16}, { Rational[-231, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193419,8 +232468,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01111443660152998903100678970783015557`14.405520806023965}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.5370708246745338725244`1.0164100167257264*^-16}, { Rational[-2309, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193438,8 +232488,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01113789468605929445650288460900542016`14.406489580087582}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.0779297657490486058948`0.8479462858805852*^-16}, { Rational[-577, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193457,8 +232508,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0111613050319815978654823983987958054`14.40745457172846}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.649480305968420222197`0.18252840829220954*^-17}, { Rational[-2307, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193477,7 +232529,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01118466747946615600316202441245608835`14.408415794498312}, { + 2.1945697628934145997085`0.7011432208399135*^-16}, { Rational[-1153, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193496,7 +232548,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01120798186982295537409536529304212573`14.409373261872735}, { + 0``16.35984695681518}, { Rational[-461, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193514,8 +232566,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01123124804549934621096784591341897127`14.4103269872518}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8099583891154639702234`0.6175686760950475*^-16}, { Rational[-144, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193533,8 +232586,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01125446585007667581129255654259435168`14.411276983960535}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.97629326640441277691`0.2035779448411551*^-17}, { Rational[-2303, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193552,8 +232606,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01127763512826692136282935847310868189`14.412223265249526}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4861299165859276354891`0.7555301674176662*^-16}, { Rational[-1151, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193571,8 +232626,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01130075572590932237698256961059747863`14.413165844295388}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.7487320613749478389018`1.0366371473328369*^-16}, { Rational[-2301, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193590,8 +232646,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01132382748996701284788250527286170635`14.41410473420132}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2551435179746402252899`0.45880600409079914*^-16}, { Rational[-23, 100] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193610,7 +232667,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0113468502685236532533228997499468824`14.415039947997624}, { + 1.3280821641970154884585`0.48339069760174147*^-16}, { Rational[-2299, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193628,8 +232685,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0113698239107800625122095990926219727`14.415971498642213}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2123486286058716153191`0.8670415638863587*^-16}, { Rational[-1149, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193648,7 +232706,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01139274826705085001167571910735608902`14.416899399021105}, { + 2.5966589951352344662838`0.7746869517274353*^-16}, { Rational[-2297, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193667,7 +232725,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01141562318876104781553453053904592978`14.417823661948976}, { + 1.6378973374346153163053`0.5746118504878385*^-16}, { Rational[-287, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193685,8 +232743,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01143844852844274316427349370229414209`14.418744300169632}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0648524082908804599452`0.38766773437212215*^-16}, { Rational[-459, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193704,8 +232763,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01146122413973171137534094703154852005`14.4196613263565}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5936536873116948293008`0.562825413872038*^-16}, { Rational[-1147, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193723,8 +232783,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01148394987736404925104078965985261488`14.42057475311314}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.0072374194637147419587`1.060082792696222*^-16}, { Rational[-2293, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193742,8 +232803,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01150662559717280909992992053052356435`14.421484592973712}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.191205394311197835262`0.1523129690035346*^-17}, { Rational[-573, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193761,8 +232823,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01152925115608463347620804082714426338`14.422390858403498}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.4212819349863772328086`0.8947797711912145*^-16}, { Rational[-2291, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193780,8 +232843,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01155182641211639074019952959040978009`14.423293561799326}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.7797782085925851378768`0.611009943636567*^-16}, { Rational[-229, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193799,8 +232863,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01157435122437181154165230295610295514`14.424192715490108}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0250930698513711912245`0.3714605094422521*^-16}, { Rational[-2289, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193819,7 +232884,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01159682545303812632621870592206324332`14.425088331737287}, { + 1.6500529450845717740795`0.5782482465859152*^-16}, { Rational[-143, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193838,7 +232903,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01161924895938270396413840408406885613`14.425980422735277}, { + 0``16.36080352368747}, { Rational[-2287, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193856,8 +232921,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01164162160574969159881278522675211305`14.426869000611978}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.762837509468487683297`0.2508771777503715*^-17}, { Rational[-1143, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193876,7 +232942,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01166394325555665581164439255914603798`14.427754077429194}, { + 3.4527593541493957299593`0.8990761526822033*^-16}, { Rational[-457, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193895,7 +232961,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0116862137732912251982132399549728394`14.42863566518311}, { + 2.7446169406277674987211`0.7994447435513357*^-16}, { Rational[-571, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193913,8 +232979,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01170843302450773444957435365375986229`14.429513775804752}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9634864214577835003301`0.832819109082465*^-16}, { Rational[-2283, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193932,8 +232999,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01173060087582387003118739499072294347`14.430388421160409}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1832664331863630434796`0.7001760703282927*^-16}, { Rational[-1141, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193951,8 +233019,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01175271719491731755072959695002533677`14.431259613052106}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9921147759965094296455`0.6604368562486393*^-16}, { Rational[-2281, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193970,8 +233039,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01177478185052241090479734737417443837`14.432127363218017}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.0335166173791818595155`0.843122046425911*^-16}, { Rational[-57, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -193990,7 +233060,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01179679471242678329326942878090206219`14.432991683332931}, { + 9.875619315047826041478`0.3557931788515613*^-17}, { Rational[-2279, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194008,8 +233078,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01181875565146802018888603576830174832`14.433852585008662}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5547569129152040880391`0.5529445069785522*^-16}, { Rational[-1139, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194027,8 +233098,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01184066453953031434839209429737106104`14.43471007979449}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.700124957001909966588`0.7927190472677783*^-16}, { Rational[-2277, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194047,7 +233119,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01186252124954112295040096262269283119`14.43556417917758}, { + 1.4085711639017073682494`0.5101671530983887*^-16}, { Rational[-569, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194066,7 +233138,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01188432565546782694395516269568957206`14.436414894583427}, { + 2.2247947520457183949686`0.7087314884450812*^-16}, { Rational[-91, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194085,7 +233157,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01190607763231439269059423638004907176`14.43726223737623}, { + 8.915279581909939977582`0.3116296831500895*^-17}, { Rational[-1137, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194104,7 +233176,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01192777705611803598158600715742686011`14.438106218859344}, { + 0``16.36154789674569}, { Rational[-2273, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194122,8 +233194,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01194942380394588851083632098223472805`14.438946850275707}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.073036489179598854582`0.8491687963216558*^-16}, { Rational[-142, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194141,8 +233214,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01197101775389166688286360682504346142`14.439784142808175}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.4295410536639052333403`1.008012994615456*^-16}, { Rational[-2271, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194160,8 +233234,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01199255878507234423410820690890396924`14.440618107580017}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.560738531545661911692`0.29421868217313724*^-17}, { Rational[-227, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194180,7 +233255,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0120140467776248245447422487813337548`14.441448755655252}, { + 5.683284441484653984785`0.11636002972626171*^-17}, { Rational[-2269, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194198,8 +233273,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01203548161270261971705373766119862044`14.442276098039079}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.647957659901161268858`0.7847248633307135*^-16}, { Rational[-567, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194217,8 +233293,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01205686317247252949539841081425496833`14.443100145678251}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.187724933411510948068`0.7018597345223337*^-16}, { Rational[-2267, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194236,8 +233313,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01207819134011132430164459026600823435`14.443920909461495}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0058879969666294510951`0.664226890733809*^-16}, { Rational[-1133, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194256,7 +233334,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01209946599980243105897967152381449468`14.444738400219858}, { + 2.2641681669296996098384`0.7168820861268431*^-16}, { Rational[-453, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194274,8 +233352,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01212068703673262207590187105275254214`14.44555262872715}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.0599939112660015904304`0.8477471649598043*^-16}, { Rational[-283, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194294,7 +233373,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01214185433708870706118730224698015067`14.446363605700267}, { + 6.369031886484608258577`0.16615322424400558*^-17}, { Rational[-2263, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194312,8 +233391,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01216296778805422833960023808055068157`14.447171341799583}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2236101681425395687493`0.4497760785827499*^-16}, { Rational[-1131, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194332,7 +233412,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01218402727780615933710342931335263305`14.447975847629383}, { + 0``16.362186203312785}, { Rational[-2261, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194351,7 +233431,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01220503269551160640332546215030599141`14.44877713373814}, { + 1.7773795616747447200072`0.612019588990331*^-16}, { Rational[-113, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194370,7 +233450,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01222598393132451403805324194235683361`14.449575210618965}, { + 2.2602201051510415858669`0.7164433465218907*^-16}, { Rational[-2259, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194388,8 +233468,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01224688087638237358753966446114885267`14.450370088709928}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5453548603789754268083`0.9120055311601126*^-16}, { Rational[-1129, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194407,8 +233488,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01226772342280293547544926928997701174`14.451161778394448}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.435012505028690790728`0.17094842467676927*^-17}, { Rational[-2257, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194426,8 +233508,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01228851146368092503230804798508358179`14.451950290001628}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.362452240561}, { Rational[-141, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194446,7 +233527,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01230924489308476198637749111042425614`14.452735633806627}, { + 8.600224856947758241763`0.2970152596931881*^-17}, { Rational[-451, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194465,7 +233546,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01232992360605328367793729246415679577`14.453517820031008}, { + 0``16.362558668200688}, { Rational[-1127, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194483,8 +233564,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01235054749859247205803577640149718391`14.45429685884311}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2324453478871496485289`0.8721430756098805*^-16}, { Rational[-2253, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194502,8 +233584,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01237111646767218453185196688733439842`14.455072760358357}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2500841521277637390988`0.45960435264030486*^-16}, { Rational[-563, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194521,8 +233604,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01239163041122288870590816770498396462`14.455845534639643}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.7653592320703420784652`0.9385247395634579*^-16}, { Rational[-2251, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194540,8 +233624,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01241208922813240109747686616644380398`14.456615191697646}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5733264290328201449717`0.9158442370151589*^-16}, { Rational[-9, 40] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194559,8 +233644,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01243249281824262986364060290207168816`14.457381741491192}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6157006203317215447917`0.571185661175191*^-16}, { Rational[-2249, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194578,8 +233664,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01245284108234632160658806415623051471`14.458145193927573}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.671075681219896659772`0.300950972016832*^-17}, { Rational[-281, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194597,8 +233684,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01247313392218381231086394788396414964`14.458905558862888}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4542417208563597521737`0.7528485575264876*^-16}, { Rational[-2247, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194616,8 +233704,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01249337124043978246743402932503410481`14.459662846102391}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5747479880433951459081`0.9162298828265819*^-16}, { Rational[-1123, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194636,7 +233725,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01251355294074001643858020519836837253`14.460417065400772}, { + 0``16.36303768381331}, { Rational[-449, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194654,8 +233743,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01253367892764816611680302884743793217`14.461168226462544}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.7183290939024729996449`0.9334387418140307*^-16}, { Rational[-561, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194673,8 +233763,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01255374910666251893008126326792444294`14.461916338942345}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0797049576756153871765`0.3964492477312389*^-16}, { Rational[-2243, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194693,7 +233784,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01257376338421277024501917769574543673`14.46266141244522}, { + 8.777446029006994540568`0.30656555696537796*^-17}, { Rational[-1121, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194711,8 +233802,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01259372166765680021860260009157559276`14.463403456527018}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.840694610078701453913`0.3097370168297138*^-17}, { Rational[-2241, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194730,8 +233822,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0126136238652774551484840172108889882`14.464142480694624}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.6930065479508237501792`0.9306839465939737*^-16}, { Rational[-28, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194749,8 +233842,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01263346988627933337092519179001197345`14.46487849440632}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.443106404115667322403`0.7512994937144806*^-16}, { Rational[-2239, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194769,7 +233863,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0126532596407855757547427494979216957`14.465611507072104}, { + 1.1387405348760899320823`0.4198351363422218*^-16}, { Rational[-1119, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194787,8 +233881,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0126729930398346608388278844783328399`14.466341528053988}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.759584263244153330434`0.9386034257248655*^-16}, { Rational[-2237, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194806,8 +233901,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01269266999537720466004565028863946145`14.467068566666264}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.6740129706304202901984`0.9286575362278471*^-16}, { Rational[-559, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194825,8 +233921,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01271229042027276531756215254875462152`14.467792632175863}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.082651150141855602477`0.21376595285639202*^-17}, { Rational[-447, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194844,8 +233941,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01273185422828665231889925131700145728`14.468513733802656}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6392113984108670518526`0.5782583188517532*^-16}, { Rational[-1117, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194863,8 +233961,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01275136133408674075227602672523806161`14.469231880719692}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.117053691059687196973`0.2730750263298018*^-17}, { Rational[-2233, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194882,8 +233981,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01277081165324029032906417328356943337`14.46994708205356}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8425636211453386103044`0.8174400573978735*^-16}, { Rational[-279, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194902,7 +234002,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01279020510221076933946057997657990944`14.470659346884647}, { + 0``16.363783121698525}, { Rational[-2231, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194920,8 +234020,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01280954159835468356376453920584058288`14.47136868424747}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9404766799247253052785`0.8322541210947855*^-16}, { Rational[-223, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194939,8 +234040,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01282882105991841018093922307624516784`14.472075103130887}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9023668459743143131747`0.6431839120053778*^-16}, { Rational[-2229, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194959,7 +234061,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01284804340603503671543718665932987446`14.472778612478482}, { + 6.660509874066195766356`0.18745038370656578*^-17}, { Rational[-557, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194978,7 +234080,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01286720855672120506257762176258290142`14.473479221188759}, { + 0``16.363996172964043}, { Rational[-2227, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -194996,8 +234098,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01288631643287396063207880932947612`14.474176938115509}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1376621624912428655645`0.4200627547648983*^-16}, { Rational[-1113, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195016,7 +234119,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01290536695626760664867262269720301709`14.474871772068019}, { + 0``16.36410271026724}, { Rational[-89, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195034,8 +234137,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01292436004955056364805893720710013417`14.475563731811393}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.9739859290726794219032`0.9633823068954194*^-16}, { Rational[-139, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195053,8 +234157,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01294329563624223420579632460396821823`14.476252826066807}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.4345797530921997207309`0.9000828608146383*^-16}, { Rational[-2223, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195072,8 +234177,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01296217364072987293607137461678061333`14.476939063511796}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.8373121904748016984955`0.9482896642398077*^-16}, { Rational[-1111, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195091,8 +234197,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01298099398826546179664231325609989129`14.477622452780532}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.5616220376609325694117`1.0234351064729992*^-16}, { Rational[-2221, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195111,7 +234218,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01299975660496259073561320068086594946`14.478303002464074}, { + 8.726453139173738754433`0.3052068487098747*^-17}, { Rational[-111, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195129,8 +234236,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01301846141779334371506281477873669526`14.47898072111063}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5467443181809382006207`0.9142522509353898*^-16}, { Rational[-2219, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195148,8 +234256,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01303710835458519014592728446795802767`14.479655617225873}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4967218884342773601438`0.7618458213529636*^-16}, { Rational[-1109, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195167,8 +234276,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01305569734401788176791755455785381408`14.480327699273122}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.7028755338635495333825`0.9330680522543562*^-16}, { Rational[-2217, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195187,7 +234297,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01307422831562035500764176797306757239`14.480996975673705}, { + 0``16.364582225445346}, { Rational[-277, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195205,8 +234315,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01309270119976763884749856820484952747`14.481663454807107}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3566259317537148908156`0.4970956291213294*^-16}, { Rational[-443, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195224,8 +234335,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01311111592767776823731008271703277687`14.482327145011327}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.686325451040006407433`0.3035249032635626*^-17}, { Rational[-1107, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195243,8 +234355,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01312947243140870308007287518019231807`14.482988054583062}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7629281896554373072012`0.80611169703368*^-16}, { Rational[-2213, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195262,8 +234375,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01314777064385525282262138005997082864`14.483646191778025}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.7884906226615727580114`1.0449940362304626*^-16}, { Rational[-553, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195282,7 +234396,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01316601049874600668142118721159741363`14.48430156481112}, { + 0``16.36484869234837}, { Rational[-2211, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195301,7 +234415,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01318419193064026953313895743348339083`14.484954181856748}, { + 1.3858632821427340278137`0.5066223802273188*^-16}, { Rational[-221, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195319,8 +234433,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01320231487492500349907165383552668039`14.485604051049055}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5652026115685869946407`0.5595258570373359*^-16}, { Rational[-2209, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195338,8 +234453,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0132203792678117752519601005274923875`14.486251180482137}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.49248237330681697767`0.017494978414824124*^-17}, { Rational[-138, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195357,8 +234473,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01323838504633370907316056238527081964`14.48689557821032}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5503995807283172938494`0.5555055443622864*^-16}, { Rational[-2207, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195377,7 +234494,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01325633214834244568760301106652962599`14.487537252248396}, { + 0``16.36511520960535}, { Rational[-1103, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195396,7 +234513,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01327422051250510690342593727516322414`14.488176210571845}, { + 0``16.36516851914429}, { Rational[-441, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195414,8 +234531,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01329205007830126608264492245816673042`14.488812461117094}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.41616907487575595647`0.7483491521099356*^-16}, { Rational[-551, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195433,8 +234551,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01330982078601992446868563027937494096`14.489446011781757}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.3061226402281926012492`0.8845941039790393*^-16}, { Rational[-2203, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195452,8 +234571,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01332753257675649339609135564714021754`14.490076870424826}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4064027555308921850724`0.7466967762247394*^-16}, { Rational[-1101, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195472,7 +234592,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01334518539240978240720071373664189823`14.490705044866981}, { + 2.2745642417038498636057`0.7222799850725841*^-16}, { Rational[-2201, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195491,7 +234611,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01336277917567899330008240096119949731`14.491330542890738}, { + 0``16.36543509750108}, { Rational[-11, 50] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195509,8 +234629,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01338031387006072013151115247976681202`14.491953372240726}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.1256485614811898690032`0.9809806496815495*^-16}, { Rational[-2199, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195528,8 +234649,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01339778941984595519827199549454173346`14.492573540623928}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9874283884852393761272`0.6638332322320889*^-16}, { Rational[-1099, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195548,7 +234670,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01341520577011710101958859384447720415`14.493191055709863}, { + 1.8952196613902060912664`0.6432546223874926*^-16}, { Rational[-2197, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195566,8 +234688,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01343256286674498834298583741957036959`14.493805925130845}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3719282510469333448176`0.5029797965041479*^-16}, { Rational[-549, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195586,7 +234709,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01344986065638590019541679051376084083`14.494418156482187}, { + 0``16.36570172733348}, { Rational[-439, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195604,8 +234727,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01346709908647860200100961782340294593`14.495027757322422}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.8412717647020705895469`0.9502300935118326*^-16}, { Rational[-1097, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195623,8 +234747,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01348427810524137778632109741757255034`14.495634735173544}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7602315173516835308385`0.8067539042970081*^-16}, { Rational[-2193, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195642,8 +234767,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01350139766166907249351974929404422583`14.496239097521185}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3189735434774960794207`0.4860978145250058*^-16}, { Rational[-137, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195661,8 +234787,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01351845770553014042146339932418695468`14.496840851814898}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.3659150686014}, { Rational[-2191, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195680,8 +234805,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0135354581873636998141831053093999736`14.497440005468292}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.7778369204525496729085`1.045199731254458*^-16}, { Rational[-219, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195699,8 +234825,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01355239905847659361583773892985881485`14.498036565859291}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8067569799779210653661`0.8142265631347392*^-16}, { Rational[-2189, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195718,8 +234845,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0135692802709404564107610895540694023`14.498630540330346}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1451055907859626114886`0.6975237713195392*^-16}, { Rational[-547, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195738,7 +234866,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01358610177758878756678607875590357236`14.499221936188642}, { + 4.502624593485277932161`0.019594182546005628*^-17}, { Rational[-2187, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195757,7 +234885,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01360286353201403059959849408194397308`14.499810760706266}, { + 7.632620834143814216059`0.24885548082281558*^-17}, { Rational[-1093, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195775,8 +234903,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01361956548856465877544551381490453778`14.500397021120484}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5066409321427938139605`0.9111264413537916*^-16}, { Rational[-437, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195794,8 +234923,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01363620760234226696910214842918367604`14.500980724633903}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0345114737267434330137`0.38102380841578287*^-16}, { Rational[-273, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195813,8 +234943,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01365278982919866979358151692361850497`14.501561878414654}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.6861204819821466007905`0.7954673403780954*^-16}, { Rational[-2183, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195832,8 +234963,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01366931212573300601766255557585811401`14.50214048959666}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9241701198235967721411`0.8323978444473585*^-16}, { Rational[-1091, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195852,7 +234984,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01368577444928884928690127176206393671`14.502716565279757}, { + 0``16.366448569003254}, { Rational[-2181, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195870,8 +235002,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01370217675795132516338895572405936095`14.50329011252998}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.5109256695461444778501`1.0207676016294214*^-16}, { Rational[-109, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195890,7 +235023,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01371851901054423449912279846947796641`14.503861138379675}, { + 0``16.36655529457882}, { Rational[-2179, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195908,8 +235041,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01373480116662718315746108480226115371`14.504429649827742}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3985421420916136782809`0.5122842180241461*^-16}, { Rational[-1089, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195927,8 +235061,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01375102318649271809674648776107326883`14.504995653839847}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.888785917963100895986`0.20480471716725682*^-17}, { Rational[-2177, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195947,7 +235082,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01376718503116346982979693595735932068`14.50555915734856}, { + 0``16.366715399025534}, { Rational[-136, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195966,7 +235101,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01378328666238930127258401042464883476`14.506120167253592}, { + 1.7224428713626156458071`0.6029135979581212*^-16}, { Rational[-87, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -195984,8 +235119,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01379932804264446299504380508312339365`14.506678690421976}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7693221960728417286356`0.8091956326556852*^-16}, { Rational[-1087, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196004,7 +235140,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01381530913512475488659460774986341822`14.507234733688234}, { + 0``16.366875522867062}, { Rational[-2173, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196022,8 +235158,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01383122990374469424856958023274856067`14.507788303854609}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.3868125445839781320874`1.0090779785227935*^-16}, { Rational[-543, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196041,8 +235178,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01384709031313469032541079036480747401`14.508339407691194}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5270060824275059912251`0.9143884915441175*^-16}, { Rational[-2171, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196060,8 +235198,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01386289032863822528611343027293014188`14.508888051936161}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5555481399441783124893`0.9179422293071051*^-16}, { Rational[-217, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196079,8 +235218,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01387862991630904166705579860835282251`14.50943424329595}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.8696326433282094941282`0.9547587896797404*^-16}, { Rational[-2169, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196098,8 +235238,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01389430904290833628700158524251520215`14.509977988445387}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3448736349977897589402`0.4958239190522138*^-16}, { Rational[-271, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196118,7 +235259,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01390992767590196064471613085774657332`14.51051929402796}, { + 1.0844866220714470032013`0.40242002820548733*^-16}, { Rational[-2167, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196137,7 +235278,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01392548578345762780929759720243848089`14.511058166655914}, { + 0``16.36724922118476}, { Rational[-1083, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196155,8 +235296,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01394098333444212581298733325194223207`14.511594612910482}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5617356162013360463396`0.5609101301291614*^-16}, { Rational[-433, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196175,7 +235317,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01395642029841853755589111528274341581`14.512128639342036}, { + 1.7993906072521551772882`0.6224814609709416*^-16}, { Rational[-541, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196193,8 +235335,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01397179664564346723171433253636856163`14.512660252470285}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.959604134759561284743`0.31969823194653935*^-17}, { Rational[-2163, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196212,8 +235355,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01398711234706427328328954276451575861`14.513189458784419}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.2695374514112532810023`0.7234001650376721*^-16}, { Rational[-1081, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196231,8 +235375,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01400236737431630789635409198718075811`14.513716264743312}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0180344824365695892357`0.6724447971169256*^-16}, { Rational[-2161, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196251,7 +235396,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01401756169972016303971863916726494546`14.514240676775673}, { + 8.634347196621685647484`0.30379912768257483*^-17}, { Rational[-27, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196269,8 +235414,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01403269529627892305965440853944315881`14.514762701280242}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4783838432438350036057`0.761791596246163*^-16}, { Rational[-2159, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196289,7 +235435,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01404776813767542383601776977908417659`14.515282344625911}, { + 1.5706247234132406548867`0.563748866162985*^-16}, { Rational[-1079, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196308,7 +235454,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01406278019826951850732527922430637924`14.51579961315197}, { + 5.5754794370178106758`0.1140120670471327*^-17}, { Rational[-2157, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196326,8 +235472,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01407773145309534977169056454825146305`14.51631451316819}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5225197925713293935037`0.5503462098777462*^-16}, { Rational[-539, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196345,8 +235492,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01409262187785862877023636160268919356`14.51682705095505}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.6405703265464217942559`0.789534417718634*^-16}, { Rational[-431, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196364,8 +235512,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01410745144893392055930057700410313701`14.517337232763873}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1879379859431833509871`0.44268386746281063*^-16}, { Rational[-1077, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196384,7 +235533,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01412222014336193617746441519364704801`14.51784506481698}, { + 8.810710939328694767318`0.3129544717998201*^-17}, { Rational[-2153, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196402,8 +235551,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01413692793884683131314333634961893247`14.518350553307917}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0118285349796956686771`0.3731038646555099*^-16}, { Rational[-269, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196422,7 +235572,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01415157481375351157819786423453156498`14.518853704401515}, { + 3.0330344059773694050242`0.84992770390998*^-16}, { Rational[-2151, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196440,8 +235590,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01416616074710494439274100376968434429`14.519354524234142}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.6115273607652602414634`0.9257947040461197*^-16}, { Rational[-43, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196459,8 +235610,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01418068571857947748604222018443679066`14.519853018913796}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.612932057184118850703`0.3033082458091175*^-17}, { Rational[-2149, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196478,8 +235630,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01419514970850816401815453869637444151`14.52034919452032}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5665915892394135117417`0.7775674233531106*^-16}, { Rational[-537, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196498,7 +235651,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01420955269787209432662130992867511463`14.520843057105498}, { + 8.589500046933012394944`0.3022319773831392*^-17}, { Rational[-2147, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196516,8 +235669,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01422389466829973430235251611688401809`14.521334612693277}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.7368699090613040794085`0.9408255067353017*^-16}, { Rational[-1073, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196535,8 +235689,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01423817560206427039849713142037014925`14.521823867279872}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8520474034015544903572`0.8235277061255849*^-16}, { Rational[-429, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196554,8 +235709,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01425239548208096127587796151741315289`14.522310826833916}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.1045513885594331731948`0.9816901050999196*^-16}, { Rational[-134, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196574,7 +235730,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01426655429190449608829853866689405741`14.522795497296668}, { + 1.877305051244858981745`0.6420126999171234*^-16}, { Rational[-2143, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196593,7 +235749,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01428065201572635941077800446116354953`14.523277884582109}, { + 0``16.368531296966317}, { Rational[-1071, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196611,8 +235767,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01429468863837220281351943981827619221`14.523757994577096}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3189893427438138179795`0.733883497785036*^-16}, { Rational[-2141, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196630,8 +235787,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01430866414529922308416976696101645321`14.524235833141576}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.732388444138315380988`0.9406250314745986*^-16}, { Rational[-107, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196649,8 +235807,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01432257852259354710068511814201009003`14.524711406108633}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1801938490502745150283`0.7071867580024398*^-16}, { Rational[-2139, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196669,7 +235828,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01433643175696762335687440797281080899`14.525184719284711}, { + 0``16.36874510288487}, { Rational[-1069, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196688,7 +235847,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0143502238357576201424557280147479477`14.525655778449739}, { + 1.065342104766971429701`0.39628765178541137*^-16}, { Rational[-2137, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196707,7 +235866,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.014363954746920830379225071733000922`14.526124589357279}, { + 2.6864602684490418313335`0.7980324415698857*^-16}, { Rational[-267, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196726,7 +235885,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01437762447903308311470476327846394712`14.52659115773465}, { + 0``16.36890548147915}, { Rational[-427, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196744,8 +235903,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01439123302128616167440977344313736219`14.527055489283088}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.3071135730538908932652`1.093817327329895*^-16}, { Rational[-1067, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196764,7 +235924,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01440478036348522847364382945608699436`14.527517589677911}, { + 1.3889313796904030377873`0.5116932020016118*^-16}, { Rational[-2133, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196783,7 +235943,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01441826649604625648951383129001524664`14.527977464568611}, { + 8.224615484218552062103`0.28418148392854503*^-17}, { Rational[-533, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196801,8 +235961,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01443169140999346739363054538813933463`14.52843511957903}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.280166502966720124478`0.7270859133395148*^-16}, { Rational[-2131, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196820,8 +235981,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01444505509695677634574582706805400751`14.528890560307476}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4770769299991198484439`0.7631123200261841*^-16}, { Rational[-213, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196840,7 +236002,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01445835754916924344836169548941043201`14.529343792326918}, { + 0``16.369226301223357}, { Rational[-2129, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196858,8 +236020,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01447159875946453186213442047069513328`14.529794821185016}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5133435603413433690645`0.7695316376684564*^-16}, { Rational[-133, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196877,8 +236040,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01448477872127437258168734939227264444`14.530243652404375}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.7753039236752925749706`0.9462851792087976*^-16}, { Rational[-2127, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196897,7 +236061,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01449789742862603587123947601451792506`14.530690291482593}, { + 5.958721277663319899575`0.1445398141223369*^-17}, { Rational[-1063, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196916,7 +236080,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01451095487613980935925270265291253828`14.531134743892446}, { + 7.349492541215766352281`0.23569758120063153*^-17}, { Rational[-17, 80] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196934,8 +236098,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01452395105902648279109934446178741449`14.531577015082018}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5010520713635976096291`0.7676164489485187*^-16}, { Rational[-531, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196953,8 +236118,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01453688597308483943855264155051416046`14.53201711047478}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2465843207414350196414`0.4652688651830139*^-16}, { Rational[-2123, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196972,8 +236138,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.01454975961469915416470685354274763031`14.53245503546982}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1606343492509816902902`0.7041819733745815*^-16}, { Rational[-1061, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -196992,7 +236159,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0145625719808366981427398845279166977`14.532890795441858}, { + 1.674134548666944377011`0.5934445507305125*^-16}, { Rational[-2121, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197011,7 +236178,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01457532306904525022674029696235740454`14.533324395741479}, { + 2.5310812714254031090537`0.7730137790538542*^-16}, { Rational[-53, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197030,7 +236197,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01458801287745061497263199405146433662`14.533755841695198}, { + 2.1066736410540620501589`0.6933584493963425*^-16}, { Rational[-2119, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197049,7 +236216,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01460064140475414730704375485644092578`14.534185138605626}, { + 1.3856932066020460000571`0.511481777552638*^-16}, { Rational[-1059, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197068,7 +236235,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01461320865023028384178716846279922629`14.534612291751548}, { + 1.0673935627397492706976`0.3981927730935835*^-16}, { Rational[-2117, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197087,7 +236254,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01462571461372408083142530693791163521`14.535037306388121}, { + 1.2341269051068049504573`0.4612815206380408*^-16}, { Rational[-529, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197106,7 +236273,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01463815929564875877123567567135490345`14.535460187746938}, { + 2.0383925921433649324819`0.6792630413739086*^-16}, { Rational[-423, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197125,7 +236292,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01465054269698325363269455848086483538`14.535880941036176}, { + 1.6549715802963894546222`0.5888192605467871*^-16}, { Rational[-1057, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197144,7 +236311,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0146628648192697747334358082833428083`14.536299571440717}, { + 1.0878886380045703483113`0.40666667478127927*^-16}, { Rational[-2113, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197163,7 +236330,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0146751256646113692384653971410517696`14.536716084122292}, { + 2.3828050605632096312101`0.7472242635718009*^-16}, { Rational[-132, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197182,7 +236349,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0146873252356694932892436073181321534`14.53713048421958}, { + 1.7476256498767563879973`0.6126376784015012*^-16}, { Rational[-2111, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197201,7 +236368,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01469946353566158975707959309665771057`14.537542776848309}, { + 1.5051773116556373018575`0.547830452316374*^-16}, { Rational[-211, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197220,7 +236387,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01471154056835867261711814722963309501`14.537952967101445}, { + 1.2238701472597058533852`0.45803165373591814*^-16}, { Rational[-2109, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197239,7 +236406,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01472355633808291793903584202431331433`14.538361060049224}, { + 2.7722739912633684433017`0.8131859883216592*^-16}, { Rational[-527, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197258,7 +236425,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01473551084970526149040325937122470898`14.538767060739362}, { + 9.746190997271844690453`0.3592382838426026*^-17}, { Rational[-2107, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197277,7 +236444,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01474740410864300294851175302475552007`14.539170974197095}, { + 1.9239364462449669929531`0.6546476180365766*^-16}, { Rational[-1053, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197296,7 +236463,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01475923612085741671630707680215811917`14.539572805425339}, { + 2.6504073417047809453292`0.7938230549702521*^-16}, { Rational[-421, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197315,7 +236482,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01477100689285136933791824103975115735`14.5399725594048}, { + 1.4425068160257332291613`0.5296818382967041*^-16}, { Rational[-263, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197334,7 +236501,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01478271643166694350911810380400068001`14.540370241094104}, { + 1.6399454484496246774393`0.5854469038871415*^-16}, { Rational[-2103, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197353,7 +236520,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01479436474488306867790244040978885415`14.540765855429859}, { + 1.6379120053532576155937`0.5849616083768039*^-16}, { Rational[-1051, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197372,7 +236539,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01480595184061315823022654238865491117`14.541159407326827}, { + 2.0796672858863608738713`0.6887184450187238*^-16}, { Rational[-2101, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197391,7 +236558,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01481747772750275325579275304379017668`14.541550901678029}, { + 1.1705978140106405716641`0.43918583858530724*^-16}, { Rational[-21, 100] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197410,7 +236577,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01482894241472717288863872922022592219`14.541940343354826}, { + 1.3028062959297713874954`0.4857115259380868*^-16}, { Rational[-2099, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197429,7 +236596,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01484034591198917121713460622574592674`14.542327737207053}, { + 1.5221937979283408176444`0.5533551752848628*^-16}, { Rational[-1049, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197448,7 +236615,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0148516882295166007578576134992540275`14.542713088063143}, { + 1.8574180009388974299542`0.6398484296780257*^-16}, { Rational[-2097, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197467,7 +236634,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01486296937806008248767502139684864393`14.543096400730207}, { + 2.388018732240148392259`0.749030063532785*^-16}, { Rational[-131, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197486,7 +236653,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01487418936889068242823057332635679644`14.54347767999416}, { + 1.680153505392506113353`0.5963948539900733*^-16}, { Rational[-419, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197505,7 +236672,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01488534821379759477689575159782875137`14.543856930619855}, { + 1.4378780758970580783069`0.5288215131769933*^-16}, { Rational[-1047, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197524,7 +236691,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01489644592508583157811531917231502987`14.544234157351148}, { + 1.5808580140118547000233`0.5700458787177033*^-16}, { Rational[-2093, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197543,7 +236710,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01490748251557391892894655259549000996`14.544609364911004}, { + 1.5198302113492300004016`0.5530016514024185*^-16}, { Rational[-523, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197562,7 +236729,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01491845799859159971246341361684536401`14.54498255800168}, { + 1.8567882493154761850591`0.6400225243457275*^-16}, { Rational[-2091, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197581,7 +236748,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01492937238797754285257057834383088521`14.545353741304716}, { + 2.7781626927867575677539`0.8150713899199833*^-16}, { Rational[-209, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197600,7 +236767,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01494022569807705908364773349390930956`14.545722919481138}, { + 1.8576379989092118514698`0.6403283732106732*^-16}, { Rational[-2089, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197619,7 +236786,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01495101794373982322832183981579354246`14.546090097171524}, { + 1.7380541011902838178914`0.6114841523870449*^-16}, { Rational[-261, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197638,7 +236805,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01496174914031760297654413368586330057`14.546455278996097}, { + 2.4785456115417614120587`0.7656713540774053*^-16}, { Rational[-2087, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197657,7 +236824,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01497241930366199415902947007357880059`14.54681846955485}, { + 2.4907896764491010459547`0.7678650752220068*^-16}, { Rational[-1043, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197676,7 +236843,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01498302845012216250799818453525657329`14.547179673427646}, { + 1.1411913678004952806169`0.4289400781304732*^-16}, { Rational[-417, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197695,7 +236862,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01499357659654259189804494985499173162`14.547538895174302}, { + 1.866542053786071054756`0.6426729639239023*^-16}, { Rational[-521, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197714,7 +236881,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01500406376026083905984510581336425744`14.547896139334712}, { + 1.6411019892022160979903`0.586824343689295*^-16}, { Rational[-2083, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197733,7 +236900,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01501448995910529475929662992700401104`14.548251410428945}, { + 1.7430038325879342956481`0.6130407033898724*^-16}, { Rational[-1041, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197752,7 +236919,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0150248552113929514345852746500915668`14.548604712957331}, { + 9.72493555712202959863`0.3596826855105183*^-17}, { Rational[-2081, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197771,7 +236938,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0150351595359271772835514044340074658`14.54895605140058}, { + 2.0689496219174008207591`0.6875994638413536*^-16}, { Rational[-26, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197790,7 +236957,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01504540295199549679362970635784610749`14.549305430219865}, { + 1.5052423061033462512633`0.5495095610465555*^-16}, { Rational[-2079, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197809,7 +236976,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0150555854793673777065272031078281207`14.549652853856925}, { + 1.3096520081230708124247`0.48911265779803226*^-16}, { Rational[-1039, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197828,7 +236995,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01506570713829202440970084941337228907`14.549998326734162}, { + 8.694818031081385503421`0.31127084405199457*^-17}, { Rational[-2077, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197847,7 +237014,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01507576794949617774659342533770450746`14.550341853254755}, { + 1.1691927401175732735254`0.4399500613847945*^-16}, { Rational[-519, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197866,7 +237033,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0150857679341819212374854349412843506`14.550683437802732}, { + 1.9710581496856460560214`0.6668169954499926*^-16}, { Rational[-83, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197885,7 +237052,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01509570711402449370272125983356234402`14.551023084743061}, { + 6.946846561465987312042`0.2139588747282871*^-17}, { Rational[-1037, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197904,7 +237071,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01510558551117010827996988722039504599`14.551360798421793}, { + 2.1099358382292959087242`0.6964940293557301*^-16}, { Rational[-2073, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197923,7 +237090,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01511540314823377782708411463038950297`14.551696583166096}, { + 1.4289683575739796847364`0.5273010073238872*^-16}, { Rational[-259, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197942,7 +237109,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01512516004829714670202721212177872188`14.552030443284396}, { + 1.3302265495446570716631`0.4962576240889799*^-16}, { Rational[-2071, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197961,7 +237128,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01513485623490632891124258115834877038`14.552362383066427}, { + 1.6343978955841161789236`0.5857434268705963*^-16}, { Rational[-207, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197980,7 +237147,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01514449173206975261774997138986000175`14.552692406783379}, { + 1.7516493357569568736963`0.6158864235855844*^-16}, { Rational[-2069, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -197999,7 +237166,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01515406656425601100016128633538691408`14.55302051868794}, { + 1.7072662352149550510891`0.6047941311485783*^-16}, { Rational[-517, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198018,7 +237185,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01516358075639171945371991066497546028`14.553346723014403}, { + 1.7003146154079115829996`0.6030757952566381*^-16}, { Rational[-2067, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198037,7 +237204,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01517303433385937912437980978491526551`14.553671023978778}, { + 1.8478789027837632531741`0.63927364459946*^-16}, { Rational[-1033, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198056,7 +237223,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01518242732249524676685437129289803468`14.553993425778838}, { + 2.3056476882997424974098`0.7354467162835385*^-16}, { Rational[-413, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198075,7 +237242,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01519175974858721091748006227650687316`14.554313932594281}, { + 1.1288639647828660714193`0.42534901517741136*^-16}, { Rational[-129, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198094,7 +237261,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01520103163887267437265645123350334563`14.554632548586731}, { + 1.7738672495100924102784`0.6216821586302762*^-16}, { Rational[-2063, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198113,7 +237280,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01521024302053644296354197360041208302`14.554949277899894}, { + 2.0115874206207832598391`0.6763535945970015*^-16}, { Rational[-1031, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198132,7 +237299,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01521939392120862061760399064561388431`14.555264124659617}, { + 1.2858467986325093619693`0.4820575543709716*^-16}, { Rational[-2061, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198151,7 +237318,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01522848436896251069754218812364841304`14.555577092973971}, { + 1.1211856444066976906552`0.4225995004026391*^-16}, { Rational[-103, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198170,7 +237337,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01523751439231252360802616905757258553`14.555888186933375}, { + 1.1814036709425529375865`0.44537393606898446*^-16}, { Rational[-2059, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198189,7 +237356,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01524648402021209066061119992375433054`14.556197410610629}, { + 1.6876234480789278064483`0.600304821678702*^-16}, { Rational[-1029, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198208,7 +237375,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01525539328205158418712045711074997928`14.556504768061043}, { + 1.3668966570136637059724`0.5088186054415993*^-16}, { Rational[-2057, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198227,7 +237394,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01526424220765624389170777671118995837`14.556810263322502}, { + 1.199470935753248955335`0.45212630905692297*^-16}, { Rational[-257, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198246,7 +237413,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01527303082728410943174182152347144896`14.557113900415548}, { + 8.100453217021611149721`0.2816995566145877*^-17}, { Rational[-411, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198265,7 +237432,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01528175917162395921758073077462694538`14.557415683343478}, { + 1.3107827726335544199684`0.49077462445244946*^-16}, { Rational[-1027, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198284,7 +237451,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01529042727179325542123569685019911796`14.557715616092427}, { + 1.3466507972437193352671`0.5025525557918786*^-16}, { Rational[-2053, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198303,7 +237470,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01529903515933609518385250569773767369`14.55801370263145}, { + 8.884237745607383300659`0.3219714014849659*^-17}, { Rational[-513, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198322,7 +237489,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01530758286622116801187187015764923054`14.558309946912578}, { + 2.1769303285055124329579`0.7112494283910623*^-16}, { Rational[-2051, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198341,7 +237508,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01531607042483971935166236500902787818`14.558604352870939}, { + 2.6679735112688063268054`0.799640083445263*^-16}, { Rational[-41, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198360,7 +237527,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01532449786800352033235392587110883728`14.558896924424834}, { + 1.9098895879221649791405`0.6545205052943086*^-16}, { Rational[-2049, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198379,7 +237546,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01533286522894284366653518828107733964`14.55918766547578}, { + 2.1422794151224124265021`0.7044420357166437*^-16}, { Rational[-128, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198398,7 +237565,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01534117254130444569841440541562508566`14.559476579908633}, { + 1.2227849567436646741206`0.46096968759175594*^-16}, { Rational[-2047, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198417,7 +237584,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01534941983914955458898128030728553344`14.559763671591671}, { + 1.5209862505875921130305`0.5557985705718783*^-16}, { Rational[-1023, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198436,7 +237603,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01535760715695186462764576842779717698`14.560048944376636}, { + 1.7966081099462799024391`0.6281803228831014*^-16}, { Rational[-409, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198455,7 +237622,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01536573452959553665976973669746266948`14.560332402098831}, { + 1.0304567996862369254291`0.3868104437998459*^-16}, { Rational[-511, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198474,7 +237641,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01537380199237320461944829298829182195`14.560614048577227}, { + 9.865003688507989322741`0.3679315963568346*^-17}, { Rational[-2043, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198493,7 +237660,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01538180958098398815683961379963110851`14.560893887614474}, { + 2.0920386536442923099836`0.6944577415182465*^-16}, { Rational[-1021, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198512,7 +237679,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01538975733153151134928518490499777143`14.561171922997072}, { + 2.8112262754559739307905`0.8228375355913575*^-16}, { Rational[-2041, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198531,7 +237698,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01539764528052192748540651842610633642`14.561448158495347}, { + 2.1402692565373895703915`0.7044638433335416*^-16}, { Rational[-51, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198550,7 +237717,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01540547346486194991130960813582151681`14.561722597863618}, { + 1.9702563822993957486069`0.6685718736934919*^-16}, { Rational[-2039, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198569,7 +237736,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01541324192185688892797462109753941299`14.561995244840206}, { + 1.8118499149557209817756`0.6322250538442906*^-16}, { Rational[-1019, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198588,7 +237755,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01542095068920869472885558640555450689`14.56226610314755}, { + 1.2399937076796651175431`0.46757602113199853*^-16}, { Rational[-2037, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198607,7 +237774,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01542859980501400636666311930822684974`14.562535176492274}, { + 1.2641101163289157187168`0.4759951552046362*^-16}, { Rational[-509, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198626,7 +237793,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01543618930776220673825250000047943977`14.562802468565248}, { + 1.7529150314030919106686`0.6180248246913058*^-16}, { Rational[-407, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198645,7 +237812,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01544371923633348357648969960568660794`14.563067983041668}, { + 1.0817941473120888839733`0.4084623009188394*^-16}, { Rational[-1017, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198664,7 +237831,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01545118962999689643791920018733105921`14.563331723581138}, { + 2.1541388681063898257936`0.7076450869384909*^-16}, { Rational[-2033, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198683,7 +237850,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01545860052840844967500968000802370634`14.563593693827745}, { + 1.0541837808305321668473`0.39734143928657434*^-16}, { Rational[-127, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198702,7 +237869,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01546595197160917138170681877066466217`14.563853897410123}, { + 2.4761685781725856872685`0.7682590393526905*^-16}, { Rational[-2031, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198721,7 +237888,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01547324400002319830097660942822765763`14.564112337941527}, { + 1.5918784072363240032816`0.5764424475920132*^-16}, { Rational[-203, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198740,7 +237907,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01548047665445586668297763263923888725`14.564369019019912}, { + 1.8937151157145921056527`0.6519009287620294*^-16}, { Rational[-2029, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198759,7 +237926,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01548764997609180908245674648853879859`14.564623944227984}, { + 9.838447746007306592042`0.36756659633950606*^-17}, { Rational[-507, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198778,7 +237945,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01549476400649305708391955720981456809`14.564877117133316}, { + 1.6053725745447412373186`0.5802695850969292*^-16}, { Rational[-2027, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198797,7 +237964,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01550181878759714994308485596471962896`14.565128541288354}, { + 1.0505855939061880600691`0.39617892233214497*^-16}, { Rational[-1013, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198816,7 +237983,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01550881436171524913309092198794247073`14.565378220230565}, { + 1.4536037204615855664218`0.5372472450114406*^-16}, { Rational[-81, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198835,7 +238002,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01551575077153025878388119343712727426`14.565626157482425}, { + 1.7015980206800548197452`0.6057119316510355*^-16}, { Rational[-253, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198854,7 +238021,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01552262806009495200315728403269556311`14.565872356551559}, { + 1.5604969295270863666559`0.568171621904082*^-16}, { Rational[-2023, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198873,7 +238040,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01552944627083010306724866608127235429`14.566116820930763}, { + 1.558274626353556186811`0.5676064486770609*^-16}, { Rational[-1011, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198892,7 +238059,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01553620544752262547021053889316890927`14.566359554098101}, { + 2.0721579253764217881934`0.6914390497888098*^-16}, { Rational[-2021, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198911,7 +238078,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01554290563432371581942444617716091082`14.566600559516946}, { + 1.3153903760339144098076`0.49412461026251975*^-16}, { Rational[-101, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198930,7 +238097,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01554954687574700356594008707005324634`14.56683984063609}, { + 1.5359012195866999652057`0.5614869898311902*^-16}, { Rational[-2019, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198949,7 +238116,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01555612921666670655776147348058074159`14.567077400889762}, { + 1.3696923569899412818417`0.5118004940434249*^-16}, { Rational[-1009, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198968,7 +238135,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01556265270231579240424611193834254103`14.56731324369773}, { + 1.7619507463775224456766`0.6212249854694476*^-16}, { Rational[-2017, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -198987,7 +238154,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01556911737828414563975222177886177002`14.567547372465354}, { + 1.6858846174039557218826`0.6021128320858904*^-16}, { Rational[-126, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199006,7 +238173,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01557552329051674067463613399944366291`14.567779790583652}, { + 1.8904213999102551735472`0.6518973743010182*^-16}, { Rational[-403, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199025,7 +238192,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01558187048531182052166993731690557623`14.568010501429375}, { + 1.5063763354350743523302`0.5533260018626152*^-16}, { Rational[-1007, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199044,7 +238211,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01558815900931908128591814077030102915`14.568239508365059}, { + 1.9072538949929960098509`0.655854798653443*^-16}, { Rational[-2013, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199063,7 +238230,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01559438890953786240608159665487426572`14.568466814739107}, { + 6.138030865143392428875`0.1635291296481276*^-17}, { Rational[-503, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199082,7 +238249,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01560056023331534263528716475552154453`14.568692423885837}, { + 8.585520623095387506014`0.30932047373431704*^-17}, { Rational[-2011, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199101,7 +238268,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01560667302834474174927258996640326569`14.568916339125547}, { + 1.6418442827145580187389`0.5909395819790713*^-16}, { Rational[-201, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199120,7 +238287,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0156127273426635279698878017272404284`14.569138563764591}, { + 1.4561953492828623938419`0.5388810384691438*^-16}, { Rational[-2009, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199139,7 +238306,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0156187232246516310918063166523207241`14.569359101095447}, { + 1.6061104676596682849004`0.5814905958978793*^-16}, { Rational[-251, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199158,7 +238325,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01562466072302966130031362674166934998`14.569577954396749}, { + 1.1416622906699186303894`0.4333066270864061*^-16}, { Rational[-2007, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199177,7 +238344,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01563053988685713366801337619683993567`14.569795126933395}, { + 6.799294724247788608642`0.20828662733984996*^-17}, { Rational[-1003, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199196,7 +238363,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0156363607655306983182667617558204459`14.570010621956548}, { + 9.730459475989763320234`0.36400990184589654*^-17}, { Rational[-401, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199215,7 +238382,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01564212340878237624315592633716510406`14.570224442703774}, { + 1.9348236373791489125223`0.6625717336025632*^-16}, { Rational[-501, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199234,7 +238401,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0156478278666778007637381454507083603`14.570436592399046}, { + 1.6750335172216764905185`0.6000076491925198*^-16}, { Rational[-2003, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199253,7 +238420,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01565347418961446462033432218558973521`14.570647074252815}, { + 1.564561460966938497786`0.5704305770146139*^-16}, { Rational[-1001, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199272,7 +238439,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01565906242831997268057270159963898876`14.570855891462113}, { + 1.5690407042962251750769`0.5717259629473179*^-16}, { Rational[-2001, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199291,7 +238458,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01566459263385030025288678106710157766`14.571063047210531}, { + 1.5741023017483586031717`0.5731785133921293*^-16}, { Rational[-1, 5] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199310,7 +238477,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01567006485758805699314512173208826608`14.571268544668364}, { + 1.5988925181543637808955`0.58001863924062*^-16}, { Rational[-1999, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199329,7 +238496,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01567547915124075639207014988344270865`14.571472386992635}, { + 1.5791789518639552098821`0.5746845281351693*^-16}, { Rational[-999, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199348,7 +238515,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01568083556683909083108306811173018397`14.571674577327133}, { + 2.1827830129840967228918`0.715317561725516*^-16}, { Rational[-1997, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199367,7 +238534,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01568613415673521219419266690939720446`14.57187511880251}, { + 2.2586629324934508113706`0.7302122389198843*^-16}, { Rational[-499, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199386,7 +238553,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01569137497360101802352713038645232852`14.572074014536314}, { + 2.4503934383001757779335`0.7656504558851176*^-16}, { Rational[-399, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199405,7 +238572,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01569655807042644320608985753048128012`14.572271267633065}, { + 1.4036802707409598945541`0.5237366543566364*^-16}, { Rational[-997, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199424,7 +238591,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0157016835005177571793028655509330735`14.572466881184301}, { + 1.3088438524697723254134`0.4934101224094344*^-16}, { Rational[-1993, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199443,7 +238610,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01570675131749586664288449699923927029`14.572660858268645}, { + 2.5386711328816098703604`0.7811825587686737*^-16}, { Rational[-249, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199462,7 +238629,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01571176157529462376459191030963036417`14.572853201951851}, { + 2.1981409512352974924755`0.7186854832524538*^-16}, { Rational[-1991, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199481,7 +238648,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01571671432815913986734318699478139978`14.573043915286847}, { + 1.6634406668326904136567`0.5976910954835403*^-16}, { Rational[-199, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199500,7 +238667,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01572160963064410458521883086482514934`14.573233001313863}, { + 1.6359088546841620998399`0.5904967215569583*^-16}, { Rational[-1989, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199519,7 +238686,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0157264475376121104758279582984107231`14.573420463060389}, { + 1.7475184330584845163125`0.6192132243141335*^-16}, { Rational[-497, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199538,7 +238705,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0157312281042319830765105768341654194`14.573606303541293}, { + 1.9958156855687947164038`0.67696573366512*^-16}, { Rational[-1987, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199557,7 +238724,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01573595138597711639183401529412181176`14.573790525758868}, { + 9.167239333987958013246`0.339137718097341*^-17}, { Rational[-993, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199576,7 +238743,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01574061743862381379982879549306412439`14.573973132702875}, { + 9.233577579318869542103`0.34232299960370777*^-17}, { Rational[-397, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199595,7 +238762,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01574522631824963436439701659389613847`14.574154127350612}, { + 1.6701914868675329052009`0.5997731146646293*^-16}, { Rational[-124, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199614,7 +238781,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01574977808123174454131465167341339243`14.574333512666938}, { + 1.7824245152671999867615`0.6280718503422135*^-16}, { Rational[-1983, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199633,7 +238800,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01575427278424527526523802546795591546`14.574511291604368}, { + 0``16.377114561032702}, { Rational[-991, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199652,7 +238819,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01575871048426168440511414604476162619`14.574687467103118}, { + 1.4196813304869321708952`0.5293592925286598*^-16}, { Rational[-1981, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199671,7 +238838,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01576309123854712457538449482982399942`14.574862042091143}, { + 6.55938987871341184233`0.19408572988574302*^-17}, { Rational[-99, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199690,7 +238857,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0157674151046608162903623326211429112`14.575035019484176}, { + 1.1207956484283426962241`0.4268025866289974*^-16}, { Rational[-1979, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199709,7 +238876,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01577168214045342644915454759683094485`14.575206402185836}, { + 1.2971996119540946061886`0.4903368294922722*^-16}, { Rational[-989, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199728,7 +238895,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01577589240406545213849054862558243196`14.575376193087621}, { + 7.202139061596804176633`0.23484539378376915*^-17}, { Rational[-1977, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199747,7 +238914,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01578004595392560974081268720254816183`14.575544395069006}, { + 1.2045628835066039022381`0.45826724277049796*^-16}, { Rational[-247, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199766,7 +238933,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01578414284874922933497516792865452802`14.57571101099747}, { + 2.4089420751780875787234`0.7593180004138327*^-16}, { Rational[-79, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199785,7 +238952,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01578818314753665437689137455397263213`14.575876043728536}, { + 1.4303585296970712670696`0.5329904337323259*^-16}, { Rational[-987, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199804,7 +238971,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01579216690957164664746299020317867423`14.576039496105878}, { + 2.1514198430290084946578`0.7103245766972413*^-16}, { Rational[-1973, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199823,7 +238990,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01579609419441979645511822054573829833`14.576201370961293}, { + 1.551597006908567215773`0.5684322260509554*^-16}, { Rational[-493, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199842,7 +239009,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0157999650619269380802808314764426588`14.576361671114828}, { + 2.1186513274926191357042`0.7037666713805466*^-16}, { Rational[-1971, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199861,7 +239028,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01580377957221757044908658250699244429`14.576520399374777}, { + 1.7206114936239829962993`0.6134438933855251*^-16}, { Rational[-197, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199880,7 +239047,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01580753778569328302365896776897871054`14.576677558537758}, { + 1.7522058783605114320887`0.6214001014794328*^-16}, { Rational[-1969, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199899,7 +239066,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01581123976303118689625196258550400477`14.576833151388756}, { + 1.3127167222476880844026`0.4960398837439833*^-16}, { Rational[-123, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199918,7 +239085,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01581488556518235107456370933454154795`14.576987180701172}, { + 5.44600594411019895171`0.11400087769654353*^-17}, { Rational[-1967, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199937,7 +239104,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01581847525337024394552175621120586401`14.577139649236862}, { + 2.6445528496133742425823`0.800328920638291*^-16}, { Rational[-983, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199956,7 +239123,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01582200888908917990483758096608389667`14.577290559746228}, { + 4.861520361095428221681`0.0648026854609831*^-17}, { Rational[-393, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199975,7 +239142,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0158254865341027711396256832774271299`14.577439914968206}, { + 7.652138273682835492643`0.26186729479348037*^-17}, { Rational[-491, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -199994,7 +239161,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01582890825044238455138050868718863874`14.577587717630362}, { + 1.2184970160260785525285`0.46396286782023544*^-16}, { Rational[-1963, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200013,7 +239180,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01583227410040560380660286863194712839`14.577733970448909}, { + 1.250931400638484180041`0.47542580713301213*^-16}, { Rational[-981, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200032,7 +239199,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01583558414655469650236633972254294686`14.577878676128789}, { + 1.0033220806980739556543`0.37968660099830764*^-16}, { Rational[-1961, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200051,7 +239218,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01583883845171508643411335581796057784`14.578021837363693}, { + 1.2298491933277564208986`0.4681520123724101*^-16}, { Rational[-49, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200070,7 +239237,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01584203707897383095297034340176133831`14.578163456836105}, { + 1.9544274218734621272416`0.6693736230121172*^-16}, { Rational[-1959, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200089,7 +239256,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01584518009167810339987128915895792367`14.578303537217394}, { + 1.6806044582643531524238`0.6038735136005642*^-16}, { Rational[-979, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200108,7 +239275,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01584826755343368060377956337653498485`14.578442081167776}, { + 1.9887425166562660295077`0.6770404904383822*^-16}, { Rational[-1957, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200127,7 +239294,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01585129952810343543129864881350575238`14.578579091336477}, { + 1.4115243752954134905549`0.5282042468371463*^-16}, { Rational[-489, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200146,7 +239313,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01585427607980583437496363702069999676`14.578714570361658}, { + 2.2123734939404220522065`0.7234282467009271*^-16}, { Rational[-391, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200165,7 +239332,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01585719727291344016750694780179671773`14.578848520870554}, { + 1.8104064122981651259998`0.6363998177192669*^-16}, { Rational[-977, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200184,7 +239351,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0158600631720514194093936977123258168`14.57898094547948}, { + 1.7335591142145399242708`0.6176163355844022*^-16}, { Rational[-1953, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200203,7 +239370,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01586287384209605519692448536016039052`14.579111846793866}, { + 1.6783423213467555501932`0.6036121702260822*^-16}, { Rational[-122, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200222,7 +239389,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01586562934817326473820607001678680399`14.579241227408339}, { + 2.1574376004636508818999`0.7127238150381588*^-16}, { Rational[-1951, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200241,7 +239408,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0158683297556571219442934909408785866`14.57936908990672}, { + 9.521422175202953619649`0.35754134378611246*^-17}, { Rational[-39, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200260,7 +239427,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01587097513016838498281060317107145339`14.579495436862143}, { + 1.8319564979083362694867`0.6418086315745991*^-16}, { Rational[-1949, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200279,7 +239446,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01587356553757302878135978672795679931`14.579620270836992}, { + 1.508651827139997125042`0.5575364542032298*^-16}, { Rational[-487, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200298,7 +239465,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01587610104398078246803571559037741589`14.579743594383041}, { + 8.524311264149077545238`0.3096606897672511*^-17}, { Rational[-1947, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200317,7 +239484,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01587858171574367173636254593841359473`14.579865410041473}, { + 2.0195559187507221164888`0.6843112349844105*^-16}, { Rational[-973, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200336,7 +239503,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0158810076194545661219786954938404809`14.579985720342894}, { + 1.7990855579713330630619`0.6341611346206756*^-16}, { Rational[-389, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200355,7 +239522,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01588337882194573117839853289320276176`14.580104527807388}, { + 1.2224655523509973743422`0.4663999153692432*^-16}, { Rational[-243, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200374,7 +239541,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01588569539028738553918577350042727546`14.580221834944602}, { + 1.525872315464965405743`0.5627354497855798*^-16}, { Rational[-1943, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200393,7 +239560,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0158879573917862628538791815521818619`14.580337644253733}, { + 1.9386832509096872748765`0.6667780884871234*^-16}, { Rational[-971, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200412,7 +239579,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01589016489398417858501730372293612767`14.580451958223588}, { + 9.239163149394146904484`0.3449578432839986*^-17}, { Rational[-1941, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200431,7 +239598,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01589231796465660165361540183490620862`14.580564779332647}, { + 1.9308083135566007252955`0.6651183471427082*^-16}, { Rational[-97, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200450,7 +239617,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01589441667181123092045450830346538115`14.580676110049092}, { + 1.3246420643415460971502`0.5015317119753833*^-16}, { Rational[-1939, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200469,7 +239636,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01589646108368657649054959282647282373`14.580785952830842}, { + 1.9016326615863578721176`0.6586137838144642*^-16}, { Rational[-969, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200488,7 +239655,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01589845126875054582817119866670544361`14.58089431012561}, { + 7.760984331635925049551`0.26945795129847727*^-17}, { Rational[-1937, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200507,7 +239674,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01590038729569903466980257755251580668`14.581001184370912}, { + 2.0245265670718384107218`0.6859186167130203*^-16}, { Rational[-121, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200526,7 +239693,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01590226923345452272242231968868024799`14.581106577994158}, { + 1.3686035695968935180583`0.5159267997325618*^-16}, { Rational[-387, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200545,7 +239712,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01590409715116467413451073562521868331`14.581210493412652}, { + 8.359428372321054607815`0.30187971006969194*^-17}, { Rational[-967, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200564,7 +239731,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01590587111820094272718679581621180758`14.581312933033663}, { + 6.349876659197294797806`0.1825224196231676*^-17}, { Rational[-1933, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200583,7 +239750,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0159075912041571819728912676947507944`14.58141389925444}, { + 1.5536407852072833847557`0.5711617474366676*^-16}, { Rational[-483, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200602,7 +239769,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01590925747884825970904080511647260008`14.58151339446226}, { + 2.0151876125835199777202`0.6841806256378232*^-16}, { Rational[-1931, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200621,7 +239788,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01591087001230867757408713724536542007`14.581611421034493}, { + 2.2321784111572414214751`0.7286480541123421*^-16}, { Rational[-193, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200640,7 +239807,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01591242887479119515342516957529180025`14.5817079813386}, { + 1.6650770409719418547806`0.6014074960825948*^-16}, { Rational[-1929, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200659,7 +239826,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01591393413676545882260374504138213489`14.581803077732191}, { + 1.9471874528244991406068`0.6694349418514773*^-16}, { Rational[-241, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200678,7 +239845,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01591538586891663527530301436045363285`14.581896712563093}, { + 1.7201668902172768380326`0.6156517824775337*^-16}, { Rational[-1927, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200697,7 +239864,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0159167841421440497235528281694592541`14.581988888169338}, { + 1.4723642257108766739484`0.5481504770999482*^-16}, { Rational[-963, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200716,7 +239883,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01591812902755982875767728556683143334`14.582079606879246}, { + 1.8579676864719035896382`0.6492274018400279*^-16}, { Rational[-77, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200735,7 +239902,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01591942059648754785346155070126394514`14.582168871011424}, { + 1.3141146048931117662587`0.49887651533685257*^-16}, { Rational[-481, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200754,7 +239921,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592065892046088351404827753268769971`14.582256682874863}, { + 1.5987397111938073177007`0.5840750669326539*^-16}, { Rational[-1923, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200773,7 +239940,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592184407122227003408245928487804392`14.582343044768898}, { + 1.4997810179460606949912`0.5563791910844774*^-16}, { Rational[-961, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200792,7 +239959,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592297612072156087363523992917314196`14.582427958983327}, { + 1.3638954694646572054121`0.5151864623421122*^-16}, { Rational[-1921, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200811,7 +239978,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592405514111469462944918683225040633`14.582511427798373}, { + 1.7327406308436192002977`0.6191929749866709*^-16}, { Rational[-24, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200830,7 +239997,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592508120476236559105972305197651529`14.582593453484792}, { + 2.095079290749835796172`0.7017139229637224*^-16}, { Rational[-1919, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200849,7 +240016,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592605438422869886935985129418674432`14.582674038303871}, { + 1.4516423519785764182105`0.5424271355750098*^-16}, { Rational[-959, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200868,7 +240035,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592697475227993008518796590629355813`14.582753184507453}, { + 1.3235699536722721922909`0.5023684542344083*^-16}, { Rational[-1917, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200887,7 +240054,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592784238188308960553144117172589451`14.582830894338018}, { + 1.3719779987415960701982`0.5180227539252035*^-16}, { Rational[-479, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200906,7 +240073,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592865734620469131495180030910511957`14.582907170028678}, { + 1.6391438623760620170602`0.5953467342155051*^-16}, { Rational[-383, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200925,7 +240092,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592941971860942590985060673247423082`14.58298201380325}, { + 1.5616150682153584783365`0.5743577117403724*^-16}, { Rational[-957, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200944,7 +240111,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593012957265885870320877408935965042`14.583055427876248}, { + 2.0573416764797029452405`0.6941442062650182*^-16}, { Rational[-1913, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200963,7 +240130,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593078698211013192744576119087820836`14.583127414452974}, { + 1.5681989707993207269143`0.5762930115137902*^-16}, { Rational[-239, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -200982,7 +240149,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593139202091467152305909904561742682`14.583197975729517}, { + 7.454781269509056888075`0.2533808200704658*^-17}, { Rational[-1911, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201001,7 +240168,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0159319447632168984007188867026697344`14.583267113892774}, { + 2.176849501595090560232`0.7188283902325535*^-16}, { Rational[-191, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201020,7 +240187,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593244528335294416450628742788717989`14.583334831120556}, { + 2.2386694957437564310853`0.7310440409100756*^-16}, { Rational[-1909, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201039,7 +240206,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593289365584937128399965384319429773`14.583401129581526}, { + 2.1882820920569564376917`0.7212114425526892*^-16}, { Rational[-477, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201058,7 +240225,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593328995542189770292670704522730202`14.583466011435325}, { + 1.2013505064466474308342`0.4608319520719351*^-16}, { Rational[-1907, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201077,7 +240244,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593363425697412587211618741315222152`14.583529478832562}, { + 1.9678110929873426615884`0.6751997038228752*^-16}, { Rational[-953, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201096,7 +240263,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593392663559627619449758087311188237`14.583591533914827}, { + 2.0245756923161484440339`0.6876044038235151*^-16}, { Rational[-381, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201115,7 +240282,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.015934167166563924869912900898419485`14.583652178814779}, { + 2.0142722256182187030879`0.6854426392109737*^-16}, { Rational[-119, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201134,7 +240301,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593435592533674612752007060908180218`14.583711415656163}, { + 1.2679547056952313964335`0.4844823070173351*^-16}, { Rational[-1903, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201153,7 +240320,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593449298755725883358319814346927246`14.583769246553805}, { + 1.9972235150476511168054`0.6818593334212115*^-16}, { Rational[-951, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201172,7 +240339,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593457842904957746246096918913845529`14.583825673613708}, { + 2.5362524752784593244596`0.7856792451523614*^-16}, { Rational[-1901, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201191,7 +240358,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593461232581816741862049039068467819`14.583880698933049}, { + 2.2402116108569401178567`0.7318299067566709*^-16}, { Rational[-19, 100] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201210,7 +240377,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593459475404660469752020354107608459`14.5839343246002}, { + 1.087842745643612719024`0.4181610876875197*^-16}, { Rational[-1899, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201229,7 +240396,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593452579009633987322195027982065215`14.58398655269481}, { + 1.5664171501395446453955`0.5765565050439541*^-16}, { Rational[-949, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201248,7 +240415,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0159344055105054664006088977659525025`14.584037385287786}, { + 1.3910354068030880950664`0.5250413711106775*^-16}, { Rational[-1897, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201267,7 +240434,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0159342339919874932201028347957141984`14.584086824441371}, { + 1.5538873407138656178492`0.5731768293378067*^-16}, { Rational[-237, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201286,7 +240453,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593401131143012165279131245051566456`14.58413487220915}, { + 2.5145113508304563557813`0.7822650184404268*^-16}, { Rational[-379, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201305,7 +240472,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593373754589402657389223097671272947`14.584181530636082}, { + 8.369324886362442595769`0.30455596532625345*^-17}, { Rational[-947, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201324,7 +240491,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593341277261164185250076262815804248`14.584226801758534}, { + 1.1523421382297112722398`0.44350110511313084*^-16}, { Rational[-1893, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201343,7 +240510,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593303706898595004558094608772085227`14.58427068760435}, { + 1.4702589945436172220919`0.5493676334665748*^-16}, { Rational[-473, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201362,7 +240529,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593261051258927633418188929338258831`14.58431319019282}, { + 1.0757479694092239480856`0.41373845325710296*^-16}, { Rational[-1891, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201381,7 +240548,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593213318116208668987627152511343908`14.584354311534769}, { + 1.150103156649398747718`0.44281884662019433*^-16}, { Rational[-189, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201400,7 +240567,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593160515261179025943673998370173092`14.58439405363254}, { + 7.024263229742615754407`0.22873696531946772*^-17}, { Rational[-1889, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201419,7 +240586,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593102650501154595578384836184232735`14.584432418480084}, { + 6.434897974658283048892`0.19073199193991547*^-17}, { Rational[-118, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201438,7 +240605,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01593039731659907324325738264830200932`14.58446940806293}, { + 5.483434842975644238963`0.12129715603024856*^-17}, { Rational[-1887, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201457,7 +240624,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592971766577546710528126021968383723`14.584505024358267}, { + 1.9671391379620047739312`0.6761336933168548*^-16}, { Rational[-943, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201476,7 +240643,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592898763110401718251066979070149596`14.584539269334936}, { + 1.4859465490606692434934`0.5543559505040871*^-16}, { Rational[-377, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201495,7 +240662,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592820729130903106956873966653418196`14.584572144953484}, { + 1.2441699774539867513624`0.4772866319185815*^-16}, { Rational[-471, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201514,7 +240681,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592737672527466175849877765006525846`14.584603653166198}, { + 1.7075912576797292702759`0.6148449921813894*^-16}, { Rational[-1883, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201533,7 +240700,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592649601204373921707701560675800717`14.584633795917128}, { + 1.3129053149465496669617`0.500748634035967*^-16}, { Rational[-941, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201552,7 +240719,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592556523081660609014981281115397851`14.584662575142104}, { + 1.8246111134381368460395`0.6437397045901341*^-16}, { Rational[-1881, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201571,7 +240738,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0159245844609499575121784225451277102`14.584689992768784}, { + 1.1159461665488611707568`0.4302667979063722*^-16}, { Rational[-47, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201590,7 +240757,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592355378195568501919370376843829568`14.584716050716697}, { + 2.3230408322182912562216`0.7487345643163515*^-16}, { Rational[-1879, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201609,7 +240776,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592247327349972454838256183948701937`14.584740750897241}, { + 2.1616445581626880968956`0.7175161755059373*^-16}, { Rational[-939, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201628,7 +240795,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592134301540090851354742705550200072`14.584764095213712}, { + 2.453566096601711257526`0.772583827448168*^-16}, { Rational[-1877, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201647,7 +240814,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01592016308762982194469972505720773542`14.584786085561399}, { + 1.5172661935899162923135`0.5639020239827734*^-16}, { Rational[-469, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201666,7 +240833,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01591893357030766268006805677747033626`14.584806723827514}, { + 2.4887698587029537391666`0.7788791613403672*^-16}, { Rational[-3, 16] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201685,7 +240852,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01591765454370510559882168550348030223`14.584826011891295}, { + 2.0334908401840721482289`0.6911908268616341*^-16}, { Rational[-937, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201704,7 +240871,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01591632608824117088282992268847628261`14.584843951624014}, { + 1.9293692723682694066542`0.6684181506034718*^-16}, { Rational[-1873, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201723,7 +240890,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01591494828448209629579811033407656977`14.584860544889002}, { + 1.0776817979517980630587`0.4155475305787479*^-16}, { Rational[-117, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201742,7 +240909,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01591352121314021346814111403418728648`14.584875793541688}, { + 1.7153322516943934702023`0.6174594292370392*^-16}, { Rational[-1871, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201761,7 +240928,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01591204495507282817597556511378741247`14.5848896994296}, { + 1.1685495758703066131767`0.4508125142926723*^-16}, { Rational[-187, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201780,7 +240947,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0159105195912811046026325207201314527`14.584902264392426}, { + 1.1041607174233628166895`0.42625186385109637*^-16}, { Rational[-1869, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201799,7 +240966,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01590894520290895357111274526275848436`14.584913490262045}, { + 8.757016802885848198867`0.3256299572741783*^-17}, { Rational[-467, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201818,7 +240985,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01590732187124192473592745330450324565`14.584923378862523}, { + 1.1961567941307504300765`0.46111609148068405*^-16}, { Rational[-1867, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201837,7 +241004,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0159056496777061027227880909543028701`14.584931932010166}, { + 1.3945373936373913183364`0.5278123532260228*^-16}, { Rational[-933, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201856,7 +241023,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0159039287038670072046295680991704471`14.58493915151355}, { + 7.130663538400515604947`0.23656634606661434*^-17}, { Rational[-373, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201875,7 +241042,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01590215903142849690247228555503714605`14.584945039173514}, { + 2.212581446356958355563`0.7283898831554934*^-16}, { Rational[-233, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201894,7 +241061,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01590034074223167749964932755169545782`14.584949596783236}, { + 1.6460043580721550569653`0.5999758163042624*^-16}, { Rational[-1863, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201913,7 +241080,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01589847391825381345794630905429643515`14.58495282612824}, { + 1.2316710094236494283662`0.47409377676411796*^-16}, { Rational[-931, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201932,7 +241099,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01589655864160724372422257744179974803`14.584954728986423}, { + 1.3402045655838730120226`0.5108243756841462*^-16}, { Rational[-1861, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201951,7 +241118,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01589459499453830131610376721021664727`14.584955307128064}, { + 2.2153404313417037893814`0.7291479846735*^-16}, { Rational[-93, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201970,7 +241137,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01589258305942623677535709286447395968`14.584954562315895}, { + 1.4281329380116030455312`0.5385303782448836*^-16}, { Rational[-1859, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -201989,7 +241156,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01589052291878214547758223724614198267`14.584952496305068}, { + 1.855462990239991932627`0.6522682735307784*^-16}, { Rational[-929, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202008,7 +241175,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01588841465524789878687224847324390576`14.584949110843255}, { + 8.199793354706062882788`0.2976731231558719*^-17}, { Rational[-1857, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202027,7 +241194,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01588625835159507904412049672079031457`14.584944407670594}, { + 1.485462234184220247274`0.5557860716455914*^-16}, { Rational[-116, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202046,7 +241213,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01588405409072391837767146054334150233`14.58493838851981}, { + 9.687878589743763299923`0.37020738874065195*^-17}, { Rational[-371, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202065,7 +241232,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01588180195566224132503490964988077625`14.584931055116128}, { + 2.0891097213500236916582`0.7039941989984433*^-16}, { Rational[-927, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202084,7 +241251,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0158795020295644112544049253210364138`14.584922409177405}, { + 1.1984291054216677415872`0.46269954791372675*^-16}, { Rational[-1853, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202103,7 +241270,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01587715439571028057474714936284443652`14.584912452414093}, { + 7.84019015881437354553`0.2784680506350029*^-17}, { Rational[-463, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202122,7 +241289,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01587475913750414472323967599139011814`14.584901186529294}, { + 7.541150305316765465523`0.261633308757059*^-17}, { Rational[-1851, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202141,7 +241308,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01587231633847369991887509672889952932`14.58488861321874}, { + 1.424114599259731148813`0.537794911196465*^-16}, { Rational[-37, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202160,7 +241327,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01586982608226900467105337467224962638`14.584874734170908}, { + 1.7918379606713470997906`0.6376029696364585*^-16}, { Rational[-1849, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202179,7 +241346,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01586728845266144503201745979544777185`14.584859551066959}, { + 1.635254767017979498771`0.5979439277484159*^-16}, { Rational[-231, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202198,7 +241365,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01586470353354270358200585971203129827`14.584843065580788}, { + 1.0167662847983395189701`0.391633911373102*^-16}, { Rational[-1847, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202217,7 +241384,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01586207140892373213601874901290377025`14.58482527937907}, { + 1.846413141729896175862`0.6507959308400515*^-16}, { Rational[-923, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202236,7 +241403,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01585939216293372816111663338814766452`14.584806194121287}, { + 2.131169305019439090961`0.7131392778268133*^-16}, { Rational[-369, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202255,7 +241422,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01585666587981911489319308073417994926`14.584785811459703}, { + 5.505222907613022845678`0.1253505140878859*^-17}, { Rational[-461, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202274,7 +241441,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01585389264394252514218558885221047849`14.584764133039451}, { + 1.4979851681420927794134`0.5601374027395496*^-16}, { Rational[-1843, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202293,7 +241460,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01585107253978178877471127669074591745`14.584741160498531}, { + 1.3198106779778960468392`0.5051958140307925*^-16}, { Rational[-921, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202312,7 +241479,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01584820565192892386313676191958891229`14.58471689546782}, { + 2.2505784606701355332844`0.7370326246237761*^-16}, { Rational[-1841, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202331,7 +241498,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01584529206508913149011432050851644436`14.584691339571107}, { + 8.864060523117685550869`0.3324254727281858*^-17}, { Rational[-23, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202350,7 +241517,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01584233186407979419763921249950114096`14.584664494425153}, { + 2.1579618060317266036069`0.7188908105755093*^-16}, { Rational[-1839, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202369,7 +241536,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01583932513382947806970590090256894438`14.584636361639639}, { + 7.12525523324747185227`0.23770178263445632*^-17}, { Rational[-919, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202388,7 +241555,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01583627195937693843766378622307136177`14.584606942817285}, { + 6.809627038894133572417`0.21807898659619468*^-17}, { Rational[-1837, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202407,7 +241574,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0158331724258701291973960261700348462`14.584576239553774}, { + 1.6194082638002107599544`0.5943663179968678*^-16}, { Rational[-459, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202426,7 +241593,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01583002661856521572746800724356869408`14.584544253437857}, { + 1.8491220127017257317888`0.6520298454575634*^-16}, { Rational[-367, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202445,7 +241612,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0158268346228255913974150808131287167`14.584510986051333}, { + 1.3923772408467119886937`0.5288755059601467*^-16}, { Rational[-917, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202464,7 +241631,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01582359652412089765536226965098047349`14.5844764389691}, { + 2.3589509936711185826865`0.7578918252999418*^-16}, { Rational[-1833, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202483,7 +241650,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01582031240802604768419179036591919282`14.584440613759138}, { + 9.859783538565642602861`0.37909460705126025*^-17}, { Rational[-229, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202502,7 +241669,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01581698236022025361549742149518036451`14.584403511982575}, { + 1.9727955672807563955022`0.6803636331011095*^-16}, { Rational[-1831, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202521,7 +241688,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01581360646648605729058797487617280468`14.584365135193691}, { + 1.6806279508509993109948`0.6108074582708808*^-16}, { Rational[-183, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202540,7 +241707,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01581018481270836455782539806883728741`14.584325484939946}, { + 1.891002582689531691697`0.6620823279722201*^-16}, { Rational[-1829, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202559,7 +241726,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0158067174848734830956063467814805106`14.584284562761988}, { + 2.1157694280313051707947`0.7109128765760806*^-16}, { Rational[-457, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202578,7 +241745,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01580320456906816375031941723155140231`14.584242370193696}, { + 1.4216552880482194437319`0.5382931803571208*^-16}, { Rational[-1827, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202597,7 +241764,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01579964615147864537863361792489587937`14.58419890876219}, { + 1.5945580918858333613608`0.588193561130871*^-16}, { Rational[-913, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202616,7 +241783,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01579604231838970318349708725403990218`14.584154179987861}, { + 1.4133626830353938762402`0.5358611789077272*^-16}, { Rational[-73, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202635,7 +241802,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01579239315618370053324852640343541485`14.584108185384403}, { + 1.7391425730702799572427`0.6259970913404167*^-16}, { Rational[-114, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202654,7 +241821,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01578869875133964425326731512701758538`14.584060926458788}, { + 2.5769414260111117850115`0.7968208017767681*^-16}, { Rational[-1823, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202673,7 +241840,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01578495919043224337961180986353274843`14.584012404711364}, { + 1.9573581440112861394549`0.6774409049169466*^-16}, { Rational[-911, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202692,7 +241859,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01578117456013097136411888822505405258`14.583962621635807}, { + 1.6649057150108531503408`0.6072146082826273*^-16}, { Rational[-1821, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202711,7 +241878,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01577734494719913172046139999435432754`14.583911578719192}, { + 2.3501166945179178315724`0.7569687515920853*^-16}, { Rational[-91, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202730,7 +241897,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01577347043849292710068381127009364838`14.583859277441976}, { + 9.139009356366201996111`0.34683280907546904*^-17}, { Rational[-1819, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202749,7 +241916,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01576955112096053179175998419319311518`14.583805719278049}, { + 1.4634090640676678248709`0.5513537942491822*^-16}, { Rational[-909, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202768,7 +241935,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01576558708164116762174071867224092318`14.583750905694744}, { + 7.923373734700829652509`0.284952564930584*^-17}, { Rational[-1817, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202787,7 +241954,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01576157840766418326508239361359924137`14.583694838152859}, { + 2.2169339237417079706666`0.731849545687789*^-16}, { Rational[-227, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202806,7 +241973,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01575752518624813693677178227883262373`14.583637518106686}, { + 1.9368053130118560596736`0.6732371409651107*^-16}, { Rational[-363, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202825,7 +241992,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01575342750469988246488587847641931494`14.583578947004018}, { + 1.736370696451057939269`0.6258480010227224*^-16}, { Rational[-907, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202844,7 +242011,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01574928545041365873124935629741270175`14.583519126286179}, { + 1.1875804518273146290367`0.46092297663373677*^-16}, { Rational[-1813, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202863,7 +242030,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01574509911087018246987609498937049758`14.583458057388036}, { + 1.086270683243450218365`0.4222523817545396*^-16}, { Rational[-453, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202882,7 +242049,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01574086857363574441290503130499396729`14.58339574173805}, { + 1.5375823492821263038759`0.5732070978545356*^-16}, { Rational[-1811, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202901,7 +242068,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01573659392636130877376445324960418693`14.583332180758248}, { + 1.6343364634995416861906`0.5997645766830775*^-16}, { Rational[-181, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202920,7 +242087,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01573227525678161605732272058477770498`14.583267375864288}, { + 1.3668311509479823072938`0.522192371208513*^-16}, { Rational[-1809, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202939,7 +242106,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01572791265271428918680728773608391921`14.58320132846546}, { + 1.4759987625212684299363`0.5556178966243578*^-16}, { Rational[-113, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202958,7 +242125,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01572350620205894293729781292462929556`14.5831340399647}, { + 9.291992346087679991271`0.35469515015315095*^-17}, { Rational[-1807, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202977,7 +242144,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.015719055992796296665623062430881045`14.583065511758615}, { + 1.4965493214817604078768`0.56173174801842*^-16}, { Rational[-903, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -202996,7 +242163,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01571456211298729032651525995119098157`14.582995745237534}, { + 8.098934843800785268021`0.29512302899169907*^-17}, { Rational[-361, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203015,7 +242182,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01571002465077220376489948708248837851`14.582924741785465}, { + 1.6663074945620481450706`0.6085046852429241*^-16}, { Rational[-451, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203034,7 +242201,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0157054436943697792742197111369975069`14.582852502780186}, { + 4.845041646816176777627`0.07210146914166271*^-17}, { Rational[-1803, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203053,7 +242220,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01570081933207634741072699982934479599`14.582779029593192}, { + 9.863472279818137193776`0.3808882034786298*^-17}, { Rational[-901, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203072,7 +242239,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01569615165226495605367947798390935443`14.58270432358979}, { + 1.1785064866052496341058`0.45824477621072934*^-16}, { Rational[-1801, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203091,7 +242258,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01569144074338450270142758838502683607`14.582628386129045}, { + 2.3432541887647196682384`0.7567866282342726*^-16}, { Rational[-9, 50] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203110,7 +242277,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01568668669395886999338223635030762884`14.582551218563884}, { + 1.1003639150752357711362`0.4285579967089626*^-16}, { Rational[-1799, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203129,7 +242296,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01568188959258606444788742467296360686`14.582472822241014}, { + 2.1557345698534250002373`0.7206713771326164*^-16}, { Rational[-899, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203148,7 +242315,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01567704952793735840604302138856767959`14.582393198501034}, { + 1.3683200047744228017588`0.5233182047464686*^-16}, { Rational[-1797, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203167,7 +242334,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01567216658875643517154734652077456832`14.582312348678412}, { + 1.8168102625607762753207`0.6464945436576011*^-16}, { Rational[-449, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203186,7 +242353,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01566724086385853733665331470661570509`14.58223027410148}, { + 1.71125729731739307572`0.6205547266546833*^-16}, { Rational[-359, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203205,7 +242372,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01566227244212961828435592756143942132`14.582146976092503}, { + 2.1524104153171792238721`0.7202189461471361*^-16}, { Rational[-897, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203224,7 +242391,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01565726141252549685695297199421719481`14.582062455967678}, { + 1.2608676854949215433329`0.4880178268152267*^-16}, { Rational[-1793, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203243,7 +242410,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01565220786407101518114484761285319905`14.581976715037142}, { + 1.9918568070810563736286`0.68666088108329*^-16}, { Rational[-112, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203262,7 +242429,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01564711188585919963986351706424406389`14.581889754605003}, { + 7.452614731966926390355`0.25976589557889357*^-17}, { Rational[-1791, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203281,7 +242448,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01564197356705042498104464684220563174`14.58180157596935}, { + 1.7503163136504940927848`0.6306282269335581*^-16}, { Rational[-179, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203300,7 +242467,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01563679299687158155358108198552256079`14.581712180422285}, { + 2.5087439739588336221024`0.7870224934820609*^-16}, { Rational[-1789, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203319,7 +242486,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0156315702646152456607198754054354814`14.581621569249933}, { + 9.588984175425965392543`0.3693932213590115*^-17}, { Rational[-447, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203338,7 +242505,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01562630545963885302118917056330819384`14.58152974373244}, { + 1.3830388342898838055243`0.5285094659694356*^-16}, { Rational[-1787, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203357,7 +242524,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01562099867136387532836531411148855059`14.581436705144046}, { + 1.7599180632830673876549`0.6332220150877501*^-16}, { Rational[-893, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203376,7 +242543,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01561564998927499989781465216907552481`14.581342454753045}, { + 1.3857950057312584129224`0.5294830367430104*^-16}, { Rational[-357, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203395,7 +242562,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01561025950291931239356853939452489197`14.581246993821823}, { + 1.6809234114107266270618`0.6133864528931713*^-16}, { Rational[-223, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203414,7 +242581,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01560482730190548262351416321309783104`14.581150323606911}, { + 1.6407405976533979959605`0.6029329366148937*^-16}, { Rational[-1783, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203433,7 +242600,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01559935347590295339430785574252549553`14.581052445358935}, { + 1.0091900093954173729202`0.3919204438781868*^-16}, { Rational[-891, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203452,7 +242619,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01559383811464113241624163242753820374`14.580953360322697}, { + 1.4803388157397486477964`0.558363120616665*^-16}, { Rational[-1781, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203471,7 +242638,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0155882813079085872485177584445483918`14.580853069737158}, { + 1.5006318096639327501145`0.5643306377841659*^-16}, { Rational[-89, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203490,7 +242657,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01558268314555224327541020088231565061`14.58075157483545}, { + 1.4686216888346037460421`0.5550209265674354*^-16}, { Rational[-1779, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203509,7 +242676,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01557704371747658470381587586192146458`14.580648876844938}, { + 1.0614514757257574352066`0.4140656368679577*^-16}, { Rational[-889, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203528,7 +242695,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01557136311364285857272264445796611617`14.580544976987166}, { + 2.2292363981096298395265`0.7363761233654619*^-16}, { Rational[-1777, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203547,7 +242714,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01556564142406828176514504885887342211`14.580439876477971}, { + 7.969432942123536610494`0.2897019282977081*^-17}, { Rational[-111, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203566,7 +242733,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0155598787388252510131028100029580403`14.580333576527378}, { + 1.9095132131620487358946`0.6692516887009878*^-16}, { Rational[-71, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203585,7 +242752,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01555407514804055588624112930149782702`14.580226078339741}, { + 1.5976180426885937945577`0.5918564940595296*^-16}, { Rational[-887, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203604,7 +242771,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01554823074189459475471584937295641884`14.580117383113683}, { + 2.086799223402394043079`0.7079187240244335*^-16}, { Rational[-1773, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203623,7 +242790,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01554234561062059371699053133327742197`14.580007492042112}, { + 1.6916953786798732561724`0.6168147441213038*^-16}, { Rational[-443, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203642,7 +242809,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01553641984450382848321649849454392749`14.57989640631228}, { + 1.674843007284063507127`0.6125212126424253*^-16}, { Rational[-1771, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203661,7 +242828,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01553045353388084920489087770450616055`14.579784127105786}, { + 8.724540932023986663589`0.3293442233904011*^-17}, { Rational[-177, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203680,7 +242847,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0155244467691387082415116394070523676`14.579670655598557}, { + 1.921141551830628856658`0.6722155382698183*^-16}, { Rational[-1769, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203699,7 +242866,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01551839964071419085497259522094190213`14.579555992960927}, { + 8.086927102947037972787`0.29649423880383763*^-17}, { Rational[-221, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203718,7 +242885,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01551231223909304882246525683152175055`14.579440140357583}, { + 7.774100359515099381018`0.27941539437656454*^-17}, { Rational[-1767, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203737,7 +242904,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01550618465480923695867839168518224656`14.57932309894764}, { + 1.7637312914707219118157`0.6352522161470392*^-16}, { Rational[-883, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203756,7 +242923,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0155000169784441525381100287954482863`14.579204869884634}, { + 2.4451094244467725099225`0.7771726437228641*^-16}, { Rational[-353, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203775,7 +242942,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01549380930062587760833057134550803731`14.579085454316534}, { + 1.7421900298805483788737`0.6300244197160905*^-16}, { Rational[-441, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203794,7 +242961,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01548756171202842418505956114576773322`14.578964853385765}, { + 2.2165736315883235287062`0.734665613266415*^-16}, { Rational[-1763, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203813,7 +242980,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01548127430337098231994251282525138304`14.578843068229217}, { + 1.8480985648940543187525`0.6557631390598834*^-16}, { Rational[-881, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203832,7 +242999,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01547494716541717103193809235745679938`14.578720099978263}, { + 2.3412972688803931128077`0.7585491298609476*^-16}, { Rational[-1761, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203851,7 +243018,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01546858038897429209324975460842531962`14.578595949758792}, { + 1.7482953170303816893757`0.6317619311914524*^-16}, { Rational[-22, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203870,7 +243037,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01546217406489258666075977751664132369`14.578470618691208}, { + 7.145276878457872989024`0.24322076831648182*^-17}, { Rational[-1759, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203889,7 +243056,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0154557282840644947439474357492098847`14.578344107890445}, { + 1.2365482433030198833876`0.48146734343593234*^-16}, { Rational[-879, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203908,7 +243075,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01544924313742391750029684371042544963`14.578216418465965}, { + 1.2313251189043164288519`0.4796835938893555*^-16}, { Rational[-1757, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203927,7 +243094,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01544271871594548234922376609902246021`14.578087551521831}, { + 5.256701873806757167521`0.11007878176289282*^-17}, { Rational[-439, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203946,7 +243113,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01543615511064381089557444331779316532`14.57795750815667}, { + 9.734267744441311122755`0.37772330510600033*^-17}, { Rational[-351, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203965,7 +243132,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01542955241257278965377320843861188727`14.577826289463685}, { + 1.1288893462280350266654`0.44212597878079546*^-16}, { Rational[-877, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -203984,7 +243151,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01542291071282484356371938162988406457`14.577693896530734}, { + 1.8490148945558621378483`0.6564696041682913*^-16}, { Rational[-1753, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204003,7 +243170,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01541623010253021228955761648033565984`14.577560330440257}, { + 8.126756773365587939094`0.29950105026178825*^-17}, { Rational[-219, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204022,7 +243189,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01540951067285622929246954002889320057`14.577425592269355}, { + 6.787867661481018311548`0.22137175226553982*^-17}, { Rational[-1751, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204041,7 +243208,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01540275251500660366865817406658652838`14.57728968308978}, { + 2.0861450336164740716817`0.7090374848449389*^-16}, { Rational[-7, 40] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204060,7 +243227,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01539595572022070474372024895214223575`14.577152603967967}, { + 1.118450650092947255027`0.4383644172399549*^-16}, { Rational[-1749, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204079,7 +243246,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01538912037977284941462512232271676487`14.577014355965016}, { + 1.3851037147127318568575`0.5312844929190446*^-16}, { Rational[-437, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204098,7 +243265,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01538224658497159223054259323689726777`14.576874940136745}, { + 1.5384256752413641355151`0.5769333297742317*^-16}, { Rational[-1747, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204117,7 +243284,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01537533442715901820378545701584649732`14.576734357533669}, { + 1.6864942398992335785845`0.6168962876948866*^-16}, { Rational[-873, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204136,7 +243303,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0153683839977100383421561769149945864`14.576592609201063}, { + 8.593951492637676625863`0.32415894211848195*^-17}, { Rational[-349, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204155,7 +243322,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01536139538803168789401055533229965309`14.576449696178903}, { + 1.2162381595647162744982`0.47503929155807845*^-16}, { Rational[-109, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204174,7 +243341,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01535436868956242729737476911675996792`14.576305619501968}, { + 1.4403556023125584845187`0.548545018134655*^-16}, { Rational[-1743, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204193,7 +243360,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01534730399377144582447559026453636145`14.576160380199777}, { + 1.4614658102389231014819`0.5549185811212703*^-16}, { Rational[-871, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204212,7 +243379,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01534020139215796791306704446819488692`14.576013979296649}, { + 1.3687910282482616025498`0.5265217040738777*^-16}, { Rational[-1741, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204231,7 +243398,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01533306097625056217596016521127529425`14.575866417811715}, { + 2.0608651657217137758912`0.7042887690762829*^-16}, { Rational[-87, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204250,7 +243417,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01532588283760645308018587997611272605`14.575717696758899}, { + 1.9669604452266230302094`0.6840894570598502*^-16}, { Rational[-1739, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204269,7 +243436,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01531866706781083528724441726312252756`14.575567817146974}, { + 1.5549559221254591652407`0.5820665568276785*^-16}, { Rational[-869, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204288,7 +243455,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01531141375847619064591794811651468635`14.575416779979548}, { + 9.684663361565717793145`0.37648765051554145*^-17}, { Rational[-1737, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204307,7 +243474,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01530412300124160782914647333201502099`14.57526458625508}, { + 1.1706122787874437586699`0.4588708471832103*^-16}, { Rational[-217, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204326,7 +243493,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01529679488777210460649023710928531737`14.575111236966922}, { + 1.9380697127727822715448`0.677881820945952*^-16}, { Rational[-347, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204345,7 +243512,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01528942950975795274372518923472087202`14.57495673310329}, { + 9.963798895917105525051`0.38899203756099965*^-17}, { Rational[-867, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204364,7 +243531,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01528202695891400552114123057198669004`14.574801075647306}, { + 1.9650178765938207768945`0.683988251349226*^-16}, { Rational[-1733, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204383,7 +243550,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01527458732697902786213616033887463277`14.57464426557701}, { + 9.651860470031902019178`0.37528744573213574*^-17}, { Rational[-433, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204402,7 +243569,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01526711070571502906372139800386230514`14.574486303865354}, { + 7.49713552372692925734`0.26562644093856025*^-17}, { Rational[-1731, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204421,7 +243588,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01525959718690659812057867729459979077`14.574327191480242}, { + 2.1718348632125209339143`0.7276125519822307*^-16}, { Rational[-173, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204440,7 +243607,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01525204686236024163433000442920861386`14.574166929384536}, { + 1.5162074597488818599467`0.5715990565545256*^-16}, { Rational[-1729, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204459,7 +243626,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01524445982390372429970623692025284735`14.574005518536035}, { + 5.726464349970414914361`0.14878166834342302*^-17}, { Rational[-108, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204478,7 +243645,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01523683616338541195932267282657751243`14.573842959887548}, { + 6.999378585175704831175`0.23600927448074027*^-17}, { Rational[-1727, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204497,7 +243664,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01522917597267361721879304281090987903`14.573679254386864}, { + 1.7857273363123968408399`0.6428196236621992*^-16}, { Rational[-863, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204516,7 +243683,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01522147934365594761393626847741166507`14.57351440297678}, { + 1.8206689033355918993656`0.6512901416010699*^-16}, { Rational[-69, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204535,7 +243702,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01521374636823865632185328989431490605`14.573348406595098}, { + 1.3677719763667048072558`0.5271275623184954*^-16}, { Rational[-431, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204554,7 +243721,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0152059771383459954076741726381813633`14.573181266174682}, { + 1.8220203354931760027844`0.6517217781996357*^-16}, { Rational[-1723, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204573,7 +243740,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01519817174591957159879857981996187389`14.573012982643414}, { + 1.1773306867721629700193`0.4621217234409309*^-16}, { Rational[-861, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204592,7 +243759,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01519033028291770457847553706366582071`14.572843556924235}, { + 1.7428018533559895302633`0.6325259773735663*^-16}, { Rational[-1721, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204611,7 +243778,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01518245284131478779059122800760779344`14.572672989935164}, { + 1.738919371212272249656`0.6316121180947913*^-16}, { Rational[-43, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204630,7 +243797,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0151745395131006517475563342908772412`14.572501282589304}, { + 1.6201805104074864580318`0.6009507882524244*^-16}, { Rational[-1719, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204649,7 +243816,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01516659039027992983320717688390524311`14.572328435794835}, { + 9.468679244621795539445`0.3677315051544145*^-17}, { Rational[-859, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204668,7 +243835,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01515860556487142659265762473678795237`14.572154450455065}, { + 1.5120893447628010608543`0.5710742725763613*^-16}, { Rational[-1717, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204687,7 +243854,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01515058512890748850106141177147564181`14.57197932746842}, { + 1.628986301081998475903`0.6034689745381732*^-16}, { Rational[-429, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204706,7 +243873,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01514252917443337720326714395792099176`14.571803067728444}, { + 8.461094984973114472294`0.3190328394341634*^-17}, { Rational[-343, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204725,7 +243892,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01513443779350664521637088431856657639`14.57162567212383}, { + 1.9435574644668749651902`0.680258384882538*^-16}, { Rational[-857, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204744,7 +243911,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01512631107819651408719377493231805514`14.57144714153844}, { + 1.5498879583179678204255`0.5820160369831011*^-16}, { Rational[-1713, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204763,7 +243930,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01511814912058325499673469109641972444`14.571267476851283}, { + 1.5778494023681821247723`0.5898360200298418*^-16}, { Rational[-107, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204782,7 +243949,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01510995201275757180367042349333599806`14.57108667893658}, { + 2.4193707827068244321711`0.7755276430898*^-16}, { Rational[-1711, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204801,7 +243968,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01510171984681998651899834924628752559`14.5709047486637}, { + 1.4253443645522834993543`0.5457997591936709*^-16}, { Rational[-171, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204820,7 +243987,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0150934527148802272039389818814064525`14.570721686897267}, { + 1.375438428498247719279`0.5303758585860503*^-16}, { Rational[-1709, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204839,7 +244006,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01508515070905661828323818320105567716`14.570537494497087}, { + 1.3113199185317842492856`0.5096981147331272*^-16}, { Rational[-427, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204858,7 +244025,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01507681392147547326603117667031387921`14.570352172318202}, { + 1.8756361051317771983033`0.6651927965146391*^-16}, { Rational[-1707, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204877,7 +244044,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01506844244427048986645282188963754842`14.570165721210897}, { + 2.0028611203273870245605`0.6937498078594206*^-16}, { Rational[-853, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204896,7 +244063,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01506003636958214751620089283813030976`14.56997814202071}, { + 1.131183543721559443577`0.4456868132757491*^-16}, { Rational[-341, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204915,7 +244082,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01505159578955710726128134859450701462`14.56978943558844}, { + 9.896566211164845995946`0.38769303611029177*^-17}, { Rational[-213, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204934,7 +244101,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01504312079634761403518679395152703567`14.569599602750166}, { + 2.2988145851499237517199`0.7537672158286483*^-16}, { Rational[-1703, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204953,7 +244120,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01503461148211090130078149851337005318`14.569408644337232}, { + 9.876978392561902858619`0.38694214965154555*^-17}, { Rational[-851, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204972,7 +244139,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01502606793900859805318847628660587081`14.569216561176319}, { + 2.259964925681056780539`0.74647452271079*^-16}, { Rational[-1701, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -204991,7 +244158,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01501749025920613817599622323095610945`14.569023354089381}, { + 1.3528545077836010113797`0.5236786981781096*^-16}, { Rational[-17, 100] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205010,7 +244177,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01500887853487217214312476751600901465`14.568829023893702}, { + 1.9006157532944891175075`0.671376714789693*^-16}, { Rational[-1699, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205029,7 +244196,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01500023285817798105871270612914785081`14.568633571401913}, { + 1.4066355481731390179427`0.5407187675622499*^-16}, { Rational[-849, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205048,7 +244215,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0149915533212968930274088817955813021`14.568436997421967}, { + 1.2802891244775716777659`0.4999000277642533*^-16}, { Rational[-1697, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205067,7 +244234,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01498284001640370184747429570559797315`14.568239302757174}, { + 2.075658403620352837605`0.7098026496604399*^-16}, { Rational[-106, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205086,7 +244253,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01497409303567408801912175410218633922`14.568040488206215}, { + 2.0324952824418672960603`0.7007311136234988*^-16}, { Rational[-339, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205105,7 +244272,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01496531247128404206054261017300374497`14.567840554563164}, { + 1.9497966158487888105672`0.6827456831838482*^-16}, { Rational[-847, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205124,7 +244291,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01495649841540929012409178672655801545`14.56763950261744}, { + 1.152633643577216979524`0.45450246994014026*^-16}, { Rational[-1693, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205143,7 +244310,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01494765096022472190512404963013458136`14.567437333153888}, { + 1.2272169161735816363544`0.4817873220907305*^-16}, { Rational[-423, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205162,7 +244329,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01493877019790382083599624676535143798`14.567234046952766}, { + 7.961959225677465032515`0.2939407528463701*^-17}, { Rational[-1691, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205181,7 +244348,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01492985622061809655777193213978292076`14.567029644789722}, { + 1.7176199889026006782146`0.6279027075885909*^-16}, { Rational[-169, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205200,7 +244367,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0149209091205365196621864596061653662`14.566824127435867}, { + 1.0589583267061278757875`0.4179093136473149*^-16}, { Rational[-1689, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205219,7 +244386,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01491192898982495869645225521439219506`14.566617495657725}, { + 1.5307002559840705239808`0.5779754246104697*^-16}, { Rational[-211, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205238,7 +244405,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0149029159206456194235055613886962035`14.566409750217288}, { + 1.2236810578652067635217`0.4808083366079193*^-16}, { Rational[-1687, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205257,7 +244424,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01489387000515648633031748972017309082`14.566200891871995}, { + 1.2175071406609263351426`0.4786664494349336*^-16}, { Rational[-843, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205276,7 +244443,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01488479133551076637691372203257753269`14.565990921374766}, { + 9.932741138892389509743`0.39031888659787933*^-17}, { Rational[-337, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205295,7 +244462,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01487568000385633497876866136052694226`14.565779839474}, { + 6.308373208784840960722`0.19322198856106854*^-17}, { Rational[-421, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205314,7 +244481,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01486653610233518421526125541993980322`14.565567646913596}, { + 1.0109885645916293320528`0.3981056975054886*^-16}, { Rational[-1683, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205333,7 +244500,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01485735972308287325690109490060422837`14.56535434443293}, { + 1.1619982445621838956408`0.458619774263607*^-16}, { Rational[-841, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205352,7 +244519,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01484815095822798100405472732232784568`14.56513993276691}, { + 1.3354266195628638305979`0.5190891830264773*^-16}, { Rational[-1681, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205371,7 +244538,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01483890989989156092992342412550831124`14.564924412645976}, { + 7.844148235966076976761`0.28806980182304265*^-17}, { Rational[-21, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205390,7 +244557,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01482963664018659812054489397261216769`14.564707784796067}, { + 1.8249555051394136222383`0.6548311490964731*^-16}, { Rational[-1679, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205409,7 +244576,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0148203312712174685046126487809090525`14.564490049938694}, { + 1.0566394587810182560722`0.4175605566419782*^-16}, { Rational[-839, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205428,7 +244595,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01481099388507940026592790065395277727`14.56427120879091}, { + 8.836517438069073549105`0.339969737404742*^-17}, { Rational[-1677, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205447,7 +244614,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01480162457385793743131999749725323775`14.564051262065336}, { + 2.1435927980229313770482`0.7248857582848149*^-16}, { Rational[-419, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205466,7 +244633,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01479222342962840562689249256352524293`14.563830210470138}, { + 1.3472759816039454644868`0.5232549107733211*^-16}, { Rational[-67, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205485,7 +244652,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01478279054445537999547298834808269902`14.563608054709103}, { + 1.297202856976733686945`0.5068611177111789*^-16}, { Rational[-837, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205504,7 +244671,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.014773326010392155268165898022425131`14.563384795481573}, { + 1.0365152170974534813534`0.40948378528445667*^-16}, { Rational[-1673, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205523,7 +244690,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01476382991948021798292822783281641615`14.563160433482514}, { + 1.2941685029767937798924`0.5059538142919875*^-16}, { Rational[-209, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205542,7 +244709,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01475430236374872084310940148322000132`14.562934969402486}, { + 1.1317938986341473191551`0.44778522597843734*^-16}, { Rational[-1671, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205561,7 +244728,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01474474343521395920891702235313927119`14.562708403927694}, { + 7.58972010379754905428`0.27429853071300386*^-17}, { Rational[-167, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205580,7 +244747,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01473515322587884971479130135867430636`14.562480737739941}, { + 5.592137915454808804185`0.14170554091975812*^-17}, { Rational[-1669, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205599,7 +244766,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0147255318277324110056916672396217779`14.562251971516694}, { + 1.3155789943190006523205`0.5132994983524709*^-16}, { Rational[-417, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205618,7 +244785,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0147158793327492465853198219402227812`14.562022105931042}, { + 6.209705821156132782105`0.18730849845485076*^-17}, { Rational[-1667, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205637,7 +244804,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01470619583288902976932420644166823518`14.561791141651739}, { + 2.0846412309345958374484`0.7133237032857646*^-16}, { Rational[-833, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205656,7 +244823,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01469648142009599073655150179958985857`14.561559079343224}, { + 1.5036276067521775502952`0.5714875827143188*^-16}, { Rational[-333, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205675,7 +244842,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01468673618629840567143140613982916027`14.561325919665606}, { + 7.764848166072972175732`0.28453517543454077*^-17}, { Rational[-104, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205694,7 +244861,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01467696022340808799060150087538310316`14.561091663274643}, { + 1.1277806977126026055811`0.4466817840811265*^-16}, { Rational[-1663, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205713,7 +244880,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01466715362331988164689954833167949515`14.56085631082184}, { + 9.450876398464950799465`0.36998413333346847*^-17}, { Rational[-831, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205732,7 +244899,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01465731647791115650387104821566771303`14.560619862954354}, { + 1.8730773879136633197643`0.6671226979049434*^-16}, { Rational[-1661, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205751,7 +244918,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01464744887904130577396032184743511032`14.560382320315087}, { + 1.3946915479099282611738`0.5391000765859983*^-16}, { Rational[-83, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205770,7 +244937,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01463755091855124551357379070466542769`14.560143683542652}, { + 9.61275698125568661097`0.37752480517826126*^-17}, { Rational[-1659, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205789,7 +244956,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01462762268826291616822446952668300719`14.559903953271387}, { + 7.536991052172603214399`0.2719297800206837*^-17}, { Rational[-829, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205808,7 +244975,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01461766427997878616098700390381384704`14.559663130131367}, { + 1.1036449513211611015164`0.43761610333076356*^-16}, { Rational[-1657, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205827,7 +244994,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01460767578548135751751284786098656642`14.559421214748411}, { + 1.3019437773958859068511`0.5094338985063993*^-16}, { Rational[-207, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205846,7 +245013,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0145976572965326735208753983541339453`14.559178207744106}, { + 1.9177848397973408272754`0.6776964991025499*^-16}, { Rational[-331, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205865,7 +245032,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0145876089048738283895350807602270904`14.558934109735796}, { + 9.860765189754209699702`0.38886218863114635*^-17}, { Rational[-827, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205884,7 +245051,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01457753070222447897173451228393898462`14.558688921336579}, { + 9.5736770897638593331`0.3760853037269786*^-17}, { Rational[-1653, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205903,7 +245070,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01456742278028235844965395865609921485`14.558442643155356}, { + 6.572584546666257480442`0.21279767107958136*^-17}, { Rational[-413, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205922,7 +245089,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0145572852307227920466773434937880029`14.558195275796812}, { + 1.4998564423350007720364`0.5711661474417584*^-16}, { Rational[-1651, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205941,7 +245108,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0145471181451982147311390691631043277`14.557946819861437}, { + 1.6572689278855234259994`0.6145644111118574*^-16}, { Rational[-33, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205960,7 +245127,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01453692161533769090994186287028503571`14.557697275945497}, { + 1.5863471619694556284364`0.5956246317195933*^-16}, { Rational[-1649, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205979,7 +245146,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01452669573274643610545577194371534426`14.557446644641098}, { + 1.8999049920792916533562`0.6740132559439408*^-16}, { Rational[-103, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -205998,7 +245165,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01451644058900534060912829779925285297`14.557194926536157}, { + 2.3622618450313095395273`0.7686643875383818*^-16}, { Rational[-1647, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206017,7 +245184,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01450615627567049510525547884741643241`14.55694212221443}, { + 7.800087660416019338589`0.2874908196389674*^-17}, { Rational[-823, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206036,7 +245203,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01449584288427271825838350854822304049`14.556688232255505}, { + 1.5810534758131646892075`0.5943928832054681*^-16}, { Rational[-329, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206055,7 +245222,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0144855005063170862578302058953867623`14.556433257234822}, { + 1.5558205657106963493362`0.5874608234670448*^-16}, { Rational[-411, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206074,7 +245241,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01447512923328246431283534176501595531`14.556177197723652}, { + 1.4789270676207329351618`0.5655030685173373*^-16}, { Rational[-1643, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206093,7 +245260,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01446472915662104009186846574647137378`14.55592005428917}, { + 1.7469574256831072565055`0.637893631335123*^-16}, { Rational[-821, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206112,7 +245279,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01445430036775785909964247423758808612`14.555661827494374}, { + 1.3166739540665565860668`0.5151445579198939*^-16}, { Rational[-1641, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206131,7 +245298,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01444384295809036198540071168860571794`14.555402517898182}, { + 4.677101970830888150465`0.06569815880844071*^-17}, { Rational[-41, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206150,7 +245317,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01443335701898792377606490287566754692`14.55514212605536}, { + 1.0507672865726112429118`0.41728287449955903*^-16}, { Rational[-1639, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206169,7 +245336,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01442284264179139502785067493486703867`14.55488065251661}, { + 1.7272877695699795998631`0.6331960435509368*^-16}, { Rational[-819, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206188,7 +245355,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01441229991781264488997684355263925433`14.55461809782849}, { + 2.368173008867545905297`0.7702997910492257*^-16}, { Rational[-1637, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206207,7 +245374,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01440172893833410607411400815049771008`14.554354462533485}, { + 1.7382677717081125842273`0.6360580646184214*^-16}, { Rational[-409, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206226,7 +245393,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01439112979460832172323732608627610565`14.55408974717002}, { + 1.0881996099857449027443`0.4327049784690581*^-16}, { Rational[-327, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206245,7 +245412,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01438050257785749417356761578717718669`14.5538239522724}, { + 9.98213915744409833146`0.39527506247597904*^-17}, { Rational[-817, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206264,7 +245431,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01436984737927303560330417329975804212`14.553557078370904}, { + 6.94608807794637348153`0.23784676238203595*^-17}, { Rational[-1633, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206283,7 +245450,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0143591642900151205618718759591209847`14.553289125991707}, { + 1.1149329403271091400912`0.4434102608782822*^-16}, { Rational[-102, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206302,7 +245469,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01434845340121224037342429071580808096`14.55302009565697}, { + 1.3519768158270916172133`0.5271857999567728*^-16}, { Rational[-1631, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206321,7 +245488,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01433771480396075940836360308752524842`14.552749987884788}, { + 1.2896007926289119599432`0.5067268925564011*^-16}, { Rational[-163, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206340,7 +245507,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0143269485893244732166572356999690963`14.552478803189203}, { + 1.9896576406388073775528`0.695105004483956*^-16}, { Rational[-1629, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206359,7 +245526,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01431615484833416851675003292282646939`14.552206542080258}, { + 1.2566418724347386411326`0.49559323035164715*^-16}, { Rational[-407, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206378,7 +245545,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01430533367198718503388985017308147658`14.551933205063936}, { + 1.1043376461166701628628`0.43953863791677*^-16}, { Rational[-1627, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206397,7 +245564,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0142944851512469791817033030280109242`14.551658792642234}, { + 1.8733362197735407883918`0.6691075516272929*^-16}, { Rational[-813, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206416,7 +245583,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01428360937704268958087730234685943557`14.55138330531312}, { + 1.8109715591867487031834`0.6544585163028033*^-16}, { Rational[-13, 80] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206435,7 +245602,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01427270644026870440882082712703346788`14.551106743570546}, { + 1.73637133751039346215`0.636244563360204*^-16}, { Rational[-203, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206454,7 +245621,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01426177643178423057420016680273024808`14.550829107904512}, { + 1.4597859942665600112666`0.5609462201910367*^-16}, { Rational[-1623, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206473,7 +245640,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01425081944241286471025959911843866549`14.550550398800972}, { + 1.4909141890885140360317`0.5701647520405874*^-16}, { Rational[-811, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206492,7 +245659,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01423983556294216598085815856492697824`14.550270616741939}, { + 1.4515608304327573226279`0.5586024248937639*^-16}, { Rational[-1621, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206511,7 +245678,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0142288248841232306931717936412212819`14.549989762205433}, { + 1.8309712826475415307974`0.6595038014031933*^-16}, { Rational[-81, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206530,7 +245697,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01421778749667026871102880889434043531`14.549707835665503}, { + 2.0621443241366316828181`0.7111964138785594*^-16}, { Rational[-1619, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206549,7 +245716,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01420672349126018166286503978193152061`14.549424837592237}, { + 4.949309485034678114491`0.09147706022867211*^-17}, { Rational[-809, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206568,7 +245735,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0141956329585321429383037148964579519`14.549140768451771}, { + 2.1730345739724329517065`0.734054180815219*^-16}, { Rational[-1617, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206587,7 +245754,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01418451598908717946738342097848257432`14.548855628706292}, { + 7.800597913993046716066`0.28917053694662503*^-17}, { Rational[-101, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206606,7 +245773,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01417337267348775527647600142922598433`14.548569418814044}, { + 1.3555510867297505520195`0.5292136376119689*^-16}, { Rational[-323, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206625,7 +245792,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01416220310225735681495458870707932609`14.548282139229325}, { + 1.23327248567223928002`0.4882118981985092*^-16}, { Rational[-807, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206644,7 +245811,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01415100736588008004669029505973734469`14.547993790402522}, { + 1.9867729415362012529648`0.6953562037890769*^-16}, { Rational[-1613, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206663,7 +245830,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01413978555480021930047436450501961974`14.547704372780085}, { + 1.6458813654991346619302`0.6136616108543875*^-16}, { Rational[-403, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206682,7 +245849,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01412853775942185787348082183175937793`14.547413886804549}, { + 9.103221467321260197534`0.3565133105329775*^-17}, { Rational[-1611, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206701,7 +245868,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01411726407010846038190284165254661142`14.547122332914528}, { + 1.5890015073082368271137`0.5984976343765465*^-16}, { Rational[-161, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206720,7 +245887,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01410596457718246685291420220777411283`14.546829711544763}, { + 1.9749322882869920334948`0.6929806625390831*^-16}, { Rational[-1609, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206739,7 +245906,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01409463937092488855212528470286411559`14.54653602312608}, { + 9.647150227538130797088`0.38188262494852687*^-17}, { Rational[-201, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206758,7 +245925,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01408328854157490554072112946623630152`14.546241268085394}, { + 1.3381304031832940324882`0.5240371567075169*^-16}, { Rational[-1607, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206777,7 +245944,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01407191217932946595648706515381748925`14.545945446845767}, { + 1.4776304172366425354917`0.567159679911581*^-16}, { Rational[-803, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206796,7 +245963,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01406051037434288701294538660841638495`14.545648559826363}, { + 1.86540802238597344795`0.6684228403877425*^-16}, { Rational[-321, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206815,7 +245982,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01404908321672645771084447082071099126`14.54535060744248}, { + 1.1237201406606420797519`0.44836231189247533*^-16}, { Rational[-401, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206834,7 +246001,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01403763079654804325625958874693497919`14.545051590105535}, { + 7.034118493238922168883`0.24496897767942782*^-17}, { Rational[-1603, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206853,7 +246020,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01402615320383169117958249353119023085`14.544751508223102}, { + 1.6943156193828418888259`0.6268087668706067*^-16}, { Rational[-801, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206872,7 +246039,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01401465052855723914969464297369744108`14.5444503621989}, { + 1.0249053709349732894057`0.4085533799954853*^-16}, { Rational[-1601, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206891,7 +246058,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0140031228606599244776366458973526882`14.544148152432776}, { + 1.1891138226006582778406`0.4731482010078196*^-16}, { Rational[-4, 25] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206910,7 +246077,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01399157029002999530410420841229358427`14.543844879320757}, { + 4.867486314355239424686`0.08528467908848664*^-17}, { Rational[-1599, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206929,7 +246096,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01397999290651232346511849698099731127`14.543540543255032}, { + 1.3961069561519796856616`0.5429538013815218*^-16}, { Rational[-799, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206948,7 +246115,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01396839079990601903023643066595115216`14.543235144623939}, { + 8.58851054960252185125`0.33200813821271463*^-17}, { Rational[-1597, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206967,7 +246134,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0139567640599640465076839650193605831`14.542928683812011}, { + 1.4846269516799320259915`0.5697628034811891*^-16}, { Rational[-399, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -206986,7 +246153,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01394511277639284271081293477340323477`14.542621161199941}, { + 8.786325377847965160897`0.34200792750246883*^-17}, { Rational[-319, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207005,7 +246172,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01393343703885193628029948183367097584`14.542312577164623}, { + 1.2538058015360979026221`0.4964861066271627*^-16}, { Rational[-797, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207024,7 +246191,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01392173693695356885651950909329826854`14.54200293207913}, { + 1.8360387120014274666238`0.6621928556165838*^-16}, { Rational[-1593, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207043,7 +246210,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01391001256026231789655396929685531781`14.54169222631275}, { + 1.8855051370054106515585`0.673793935874503*^-16}, { Rational[-199, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207062,7 +246229,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01389826399829472113029412161892793832`14.541380460230952}, { + 2.0274212476643106948511`0.7053654076678367*^-16}, { Rational[-1591, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207081,7 +246248,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01388649134051890265013416681063235129`14.54106763419542}, { + 1.8162654554943138518834`0.657655939038925*^-16}, { Rational[-159, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207100,7 +246267,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01387469467635420062875590473781938637`14.54075374856405}, { + 1.3969442854239127336318`0.5437109075515764*^-16}, { Rational[-1589, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207119,7 +246286,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01386287409517079665952724591760478409`14.540438803690954}, { + 1.4387694402707534192324`0.556578236899428*^-16}, { Rational[-397, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207138,7 +246305,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01385102968628934671405355128669222119`14.54012279992649}, { + 2.0652642794470678701`0.7136178797393075*^-16}, { Rational[-1587, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207157,7 +246324,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01383916153898061371143787193849617412`14.539805737617199}, { + 1.6681620699195403273716`0.620935706239145*^-16}, { Rational[-793, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207176,7 +246343,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01382726974246510169382321297921550954`14.53948761710591}, { + 1.2334266331759750218973`0.48986600788562834*^-16}, { Rational[-317, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207195,7 +246362,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01381535438591269160280695301076888171`14.539168438731634}, { + 1.0284566100905358203148`0.4109938857879764*^-16}, { Rational[-99, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207214,7 +246381,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01380341555844227865133451308607938905`14.538848202829676}, { + 1.2136251039481278013079`0.4829476931713627*^-16}, { Rational[-1583, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207233,7 +246400,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01379145334912141128569628633559771147`14.53852690973157}, { + 1.2900172190048455844414`0.5095138830243738*^-16}, { Rational[-791, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207252,7 +246419,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01377946784696593173226871187126572418`14.538204559765097}, { + 1.1482317751543270528146`0.4590031746365869*^-16}, { Rational[-1581, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207271,7 +246438,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0137674591409396181236572040725230592`14.537881153254308}, { + 8.909242845089406030289`0.34886965247761065*^-17}, { Rational[-79, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207290,7 +246457,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0137554273199538281989154309886592803`14.537556690519516}, { + 5.316388559521491120466`0.12470081616263316*^-17}, { Rational[-1579, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207309,7 +246476,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01374337247286714457253217339169310815`14.5372311718773}, { + 1.4295650980914204156933`0.554343287872748*^-16}, { Rational[-789, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207328,7 +246495,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01373129468848502156689368902605990315`14.53690459764053}, { + 1.0521272232873080729014`0.4212628630375008*^-16}, { Rational[-1577, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207347,7 +246514,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0137191940555594336029461548664652087`14.536576968118322}, { + 6.170776466939029944506`0.1895896776107893*^-17}, { Rational[-197, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207366,7 +246533,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01370707066278852514379936375659429327`14.53624828361611}, { + 1.5886039619135193506722`0.6003207661934343*^-16}, { Rational[-63, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207385,7 +246552,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01369492459881626218602941070224617863`14.535918544435601}, { + 1.6730022776640346090028`0.6228569229643696*^-16}, { Rational[-787, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207404,7 +246571,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01368275595223208529345461837700457987`14.535587750874786}, { + 1.5796117101275665917592`0.5979660052588532*^-16}, { Rational[-1573, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207423,7 +246590,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01367056481157056416817542111232389169`14.535255903227984}, { + 9.048748862176951071278`0.3560594695541159*^-17}, { Rational[-393, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207442,7 +246609,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0136583512653110537536853518322804548`14.53492300178579}, { + 7.831532222302129222674`0.29337295158474713*^-17}, { Rational[-1571, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207461,7 +246628,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01364611540187735186487665710360618246`14.534589046835105}, { + 1.3994562344562406972203`0.5455408155840464*^-16}, { Rational[-157, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207480,7 +246647,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01363385730963735833978040175087877353`14.53425403865916}, { + 1.9418857004723193060363`0.687860444038597*^-16}, { Rational[-1569, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207499,7 +246666,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01362157707690273570789721638384245769`14.533917977537493}, { + 1.3241488298216115671338`0.5216288713571527*^-16}, { Rational[-98, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207518,7 +246685,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01360927479192857136999108874718383332`14.53358086374597}, { + 1.1075903722778040708335`0.444126536271494*^-16}, { Rational[-1567, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207537,7 +246704,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01359695054291304128423480308325396785`14.53324269755678}, { + 6.768915946499235701559`0.2303217835534169*^-17}, { Rational[-783, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207556,7 +246723,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01358460441799707515361179074511180739`14.53290347923842}, { + 1.2562447055470971140169`0.4989322087882937*^-16}, { Rational[-313, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207575,7 +246742,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01357223650526402310949527016273003336`14.532563209055754}, { + 2.1193759933099260982862`0.7261212811084934*^-16}, { Rational[-391, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207594,7 +246761,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01355984689273932388634162500097052922`14.53222188726997}, { + 7.676461271170602458407`0.28512964374427824*^-17}, { Rational[-1563, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207613,7 +246780,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01354743566839017448245099600678452369`14.531879514138593}, { + 1.9331238485424495160849`0.6862835733762316*^-16}, { Rational[-781, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207632,7 +246799,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01353500292012520130176404467884876808`14.531536089915509}, { + 6.051607062646044329381`0.1819499339686454*^-17}, { Rational[-1561, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207651,7 +246818,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01352254873579413277167978555894197753`14.531191614850941}, { + 2.0344160718540175632891`0.7085743132475572*^-16}, { Rational[-39, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207670,7 +246837,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01351007320318747343189527869655003829`14.530846089191485}, { + 1.8540732297273558789006`0.668316744764043*^-16}, { Rational[-1559, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207689,7 +246856,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01349757641003617948928382473109195887`14.530499513180068}, { + 9.160411172647775845279`0.36216015953117514*^-17}, { Rational[-779, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207708,7 +246875,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01348505844401133583384411212661962091`14.530151887056025}, { + 9.780502583519966097019`0.3906616982702729*^-17}, { Rational[-1557, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207727,7 +246894,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01347251939272383451076852943828532823`14.529803211055}, { + 1.7847059972318561941156`0.6519225475954302*^-16}, { Rational[-389, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207746,7 +246913,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01345995934372405464369457514595611756`14.529453485409073}, { + 8.09729578392505334127`0.30875121084548857*^-17}, { Rational[-311, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207765,7 +246932,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01344737838450154380421897361611166256`14.529102710346642}, { + 1.6711974711619913382588`0.623494323400292*^-16}, { Rational[-777, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207784,7 +246951,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01343477660248470082276973820727057587`14.528750886092524}, { + 1.5811401619717447647978`0.599492274368353*^-16}, { Rational[-1553, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207803,7 +246970,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01342215408504046003594701147613154685`14.528398012867903}, { + 2.03025492683177839543`0.7081278319342542*^-16}, { Rational[-97, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207822,7 +246989,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01340951091947397696545905793118137903`14.528044090890349}, { + 5.974438422921582394716`0.1769297069168851*^-17}, { Rational[-1551, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207841,7 +247008,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01339684719302831542379528687826003691`14.527689120373827}, { + 1.3176347836856036359718`0.5204830314637647*^-16}, { Rational[-31, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207860,7 +247027,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01338416299288413604179364166974592631`14.527333101528694}, { + 1.2036279818991394813514`0.48123562290876526*^-16}, { Rational[-1549, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207879,7 +247046,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01337145840615938621327510716714009495`14.526976034561715}, { + 1.1047273549584150826336`0.44405382622463163*^-16}, { Rational[-387, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207898,7 +247065,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01335873351990899145193345951829017888`14.526617919676056}, { + 1.3021626673596939751277`0.515519333303716*^-16}, { Rational[-1547, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207917,7 +247084,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01334598842112454815568371149807722175`14.526258757071268}, { + 1.3164206082778416382325`0.5203041447693005*^-16}, { Rational[-773, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207936,7 +247103,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0133332231967340177736879927283454031`14.525898546943331}, { + 7.265710378307238938241`0.262242937747873*^-17}, { Rational[-309, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207955,7 +247122,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01332043793360142237129284714347721498`14.525537289484642}, { + 2.4526052511173005913972`0.7906478965031625*^-16}, { Rational[-193, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207974,7 +247141,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01330763271852654158812713016615533669`14.525174984883998}, { + 1.2689664114279785479771`0.5045257608782261*^-16}, { Rational[-1543, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -207993,7 +247160,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01329480763824461098462484526911579446`14.524811633326632}, { + 1.5044453395774779391739`0.5785074428134563*^-16}, { Rational[-771, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208012,7 +247179,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01328196277942602177225237398803584436`14.524447234994188}, { + 1.6496461532034005469463`0.6185772276622042*^-16}, { Rational[-1541, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208031,7 +247198,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01326909822867602192273462508449282682`14.524081790064741}, { + 1.2317398567096305409938`0.4917608272057964*^-16}, { Rational[-77, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208050,7 +247217,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0132562140725344186515896575023959741`14.52371529871279}, { + 1.3729353760290995766006`0.5389473358402377*^-16}, { Rational[-1539, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208069,7 +247236,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01324331039747528227129631808364381023`14.52334776110929}, { + 1.5484782305159038943035`0.5912577562847314*^-16}, { Rational[-769, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208088,7 +247255,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01323038728990665140943437877621182459`14.522979177421602}, { + 1.621953102756840468799`0.6114463608784911*^-16}, { Rational[-1537, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208107,7 +247274,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01321744483617023958715155934859576213`14.522609547813548}, { + 1.2094446554030277119595`0.4840494877313828*^-16}, { Rational[-96, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208126,7 +247293,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01320448312254114315332668048677739717`14.522238872445401}, { + 1.8505583259222448094058`0.6688216898969856*^-16}, { Rational[-307, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208145,7 +247312,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01319150223522755056981300866258561126`14.521867151473849}, { + 8.616539399669221167332`0.336907218560127*^-17}, { Rational[-767, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208164,7 +247331,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0131785022603704530431606283947540835`14.521494385052057}, { + 1.9327663460483709605349`0.6878091276258534*^-16}, { Rational[-1533, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208183,7 +247350,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01316548328404335649823140954589865411`14.521120573329636}, { + 5.419915215970450248963`0.13567770203339868*^-17}, { Rational[-383, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208202,7 +247369,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01315244539225199488913482718028217794`14.520745716452645}, { + 8.401150832095871954075`0.3260794316784165*^-17}, { Rational[-1531, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208221,7 +247388,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01313938867093404484292753931921374621`14.520369814563598}, { + 1.667320375861344019258`0.6238151519570834*^-16}, { Rational[-153, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208240,7 +247407,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01312631320595884163153423374407651312`14.51999286780151}, { + 5.914906905655465407446`0.17379945683683665*^-17}, { Rational[-1529, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208259,7 +247426,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01311321908312709646736181888331308959`14.519614876301805}, { + 1.7669889936965404268066`0.6491408404282255*^-16}, { Rational[-191, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208278,7 +247445,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01310010638817061511809355585015788462`14.519235840196405}, { + 1.8443804201968370511506`0.6678129562405335*^-16}, { Rational[-1527, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208297,7 +247464,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01308697520675201783616420894578247616`14.518855759613702}, { + 1.2187581321174894414136`0.4879354408026284*^-16}, { Rational[-763, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208316,7 +247483,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01307382562446446059843173047968332452`14.518474634678562}, { + 1.1268561377118968819893`0.4539418541020372*^-16}, { Rational[-61, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208335,7 +247502,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01306065772683135765157539265884867752`14.518092465512325}, { + 7.805714061278327801952`0.2945414871708628*^-17}, { Rational[-381, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208354,7 +247521,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01304747159930610535876463463323462751`14.517709252232795}, { + 1.3608335004466343496013`0.5359893145842902*^-16}, { Rational[-1523, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208373,7 +247540,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01303426732727180734315720663047502778`14.517324994954274}, { + 9.799584865751651726954`0.3934474785761564*^-17}, { Rational[-761, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208392,7 +247559,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01302104499604100092379946554242369825`14.516939693787554}, { + 1.6955196217438777264738`0.6315981022098774*^-16}, { Rational[-1521, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208411,7 +247578,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01300780469085538483951590741391625786`14.516553348839905}, { + 1.3047039486711272754469`0.5178627448638965*^-16}, { Rational[-19, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208430,7 +247597,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0129945464968855482563892121054166713`14.516165960215076}, { + 9.107722071764805048633`0.3618160275770157*^-17}, { Rational[-1519, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208449,7 +247616,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01298127049923070105444622403081210584`14.51577752801333}, { + 6.073697440129712492788`0.18591490633387714*^-17}, { Rational[-759, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208468,7 +247635,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01296797678291840538917940038525192818`14.515388052331412}, { + 8.949424899767942036488`0.35431237887533995*^-17}, { Rational[-1517, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208487,7 +247654,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01295466543290430852354732475142112971`14.514997533262559}, { + 9.873076205825383874139`0.3970252426491909*^-17}, { Rational[-379, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208506,7 +247673,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01294133653407187692611190948211325093`14.514605970896513}, { + 5.270350810421830736116`0.12446778435526516*^-17}, { Rational[-303, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208525,7 +247692,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01292799017123213163098389487901745922`14.514213365319529}, { + 1.1427675001416877091234`0.46064165184442507*^-16}, { Rational[-757, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208544,7 +247711,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01291462642912338485526219699897217379`14.51381971661436}, { + 1.4213100556884266082988`0.5554281148291695*^-16}, { Rational[-1513, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208563,7 +247730,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01290124539241097786966655899674986253`14.513425024860256}, { + 2.1629285521019460108202`0.7378369790356827*^-16}, { Rational[-189, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208582,7 +247749,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01288784714568702011807682333516726341`14.513029290132982}, { + 2.2439551920578252053343`0.7538645093158839*^-16}, { Rational[-1511, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208601,7 +247768,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01287443177347012958170596403651239119`14.51263251250482}, { + 1.5542363817020470385363`0.5944229270532241*^-16}, { Rational[-151, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208620,7 +247787,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01286099936020517438364779949215402009`14.51223469204457}, { + 1.0125732468819125144806`0.4083878367627908*^-16}, { Rational[-1509, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208639,7 +247806,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01284754999026301562955404726786805626`14.51183582881752}, { + 1.5442606443154479766582`0.5917375274420953*^-16}, { Rational[-377, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208658,7 +247825,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.012834083747940251480209082919472118`14.511435922885518}, { + 1.5647502247770938040022`0.5975174865798745*^-16}, { Rational[-1507, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208677,7 +247844,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01282060071745896245178442514568082054`14.511034974306884}, { + 1.5058725654724225140488`0.580916229437321*^-16}, { Rational[-753, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208696,7 +247863,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01280710098296645793956858973163492363`14.510632983136508}, { + 1.1974613428882066100179`0.4814450588009785*^-16}, { Rational[-301, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208715,7 +247882,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01279358462853502396098153475688889956`14.510229949425788}, { + 2.3869302691826807544141`0.7810788411117354*^-16}, { Rational[-94, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208734,7 +247901,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01278005173816167211369645953498551114`14.509825873222615}, { + 1.8437828584379894223787`0.6690044390511318*^-16}, { Rational[-1503, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208753,7 +247920,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0127665023957678897447052197983550438`14.509420754571464}, { + 1.6236711786044021819993`0.6138483086716225*^-16}, { Rational[-751, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208772,7 +247939,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.012752936685199391326177081822015841`14.5090145935133}, { + 1.7904550036756596624364`0.6563692032731117*^-16}, { Rational[-1501, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208791,7 +247958,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01273935469022587103397395857258514739`14.50860739008563}, { + 5.500764564031973169972`0.14388441877986125*^-17}, { Rational[-3, 20] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208810,7 +247977,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01272575649454075652469865165645438525`14.508199144322512}, { + 1.9767956243911936392615`0.6994787061298979*^-16}, { Rational[-1499, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208829,7 +247996,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01271214218176096390716596390283624749`14.50778985625452}, { + 8.934652016982467025342`0.35465015523816046*^-17}, { Rational[-749, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208848,7 +248015,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01269851183542665390419984893517208795`14.507379525908764}, { + 8.555055525893569957251`0.3358509273661482*^-17}, { Rational[-1497, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208867,7 +248034,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01268486553900098920067302613917554427`14.506968153308915}, { + 1.8374892607047003019139`0.6679084903804177*^-16}, { Rational[-187, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208886,7 +248053,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01267120337586989297371871210889651307`14.506555738475171}, { + 1.1821054416282736114077`0.4763954877624122*^-16}, { Rational[-299, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208905,7 +248072,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01265752542934180860105730302532111003`14.50614228142426}, { + 5.529514766928631109397`0.1464818879880834*^-17}, { Rational[-747, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208924,7 +248091,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01264383178264746054339398657705728458`14.505727782169485}, { + 8.418491438722883113911`0.32908473911449027*^-17}, { Rational[-1493, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208943,7 +248110,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01263012251893961639685636705153517147`14.505312240720672}, { + 1.2785801312060233999969`0.5106340188501394*^-16}, { Rational[-373, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208962,7 +248129,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01261639772129285011145425318998328271`14.504895657084203}, { + 8.042487165774921780776`0.3093520512375644*^-17}, { Rational[-1491, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -208981,7 +248148,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01260265747270330637155678539285262419`14.504478031263014}, { + 7.635678709734702807247`0.2868649321996051*^-17}, { Rational[-149, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209000,7 +248167,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01258890185608846613439506696666954938`14.504059363256575}, { + 1.7333334247127506204813`0.6429550135568227*^-16}, { Rational[-1489, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209019,7 +248186,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01257513095428691332261141340146174536`14.50363965306093}, { + 1.3837463855992329887974`0.5451850210883203*^-16}, { Rational[-93, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209038,7 +248205,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01256134485005810266688924424251316557`14.503218900668664}, { + 1.0430563468162773939255`0.4224919156950221*^-16}, { Rational[-1487, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209057,7 +248224,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01254754362608212869471051405493832482`14.502797106068922}, { + 1.6158250092526755750345`0.6126340996920931*^-16}, { Rational[-743, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209076,7 +248243,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01253372736495949586130041235675938038`14.502374269247403}, { + 2.4333247666076175598327`0.7904954829265027*^-16}, { Rational[-297, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209095,7 +248262,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01251989614921088981883185730023823299`14.501950390186368}, { + 1.2824444741775861166541`0.5123896138548563*^-16}, { Rational[-371, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209114,7 +248281,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01250605006127694981997506439478510059`14.501525468864633}, { + 1.5486585188031066998165`0.5943623500686148*^-16}, { Rational[-1483, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209133,7 +248300,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01249218918351804225189018977225891541`14.501099505257573}, { + 8.75644979655952327739`0.3467903915465428*^-17}, { Rational[-741, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209152,7 +248319,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01247831359821403529677372748014302306`14.50067249933713}, { + 2.2513541552604961366776`0.7569617971667909*^-16}, { Rational[-1481, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209171,7 +248338,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0124644233875640747150819821344283437`14.500244451071806}, { + 8.331066822158254185264`0.32527425054192355*^-17}, { Rational[-37, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209190,7 +248357,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01245051863368636074756754205568136403`14.499815360426668}, { + 1.6518593230850392352991`0.622602349442118*^-16}, { Rational[-1479, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209209,7 +248376,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01243659941861792613227724383327904239`14.499385227363362}, { + 1.2660850959306632551148`0.5071478492035081*^-16}, { Rational[-739, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209228,7 +248395,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01242266582431441523267264719859309577`14.498954051840071}, { + 2.4204656256094976836245`0.7886395386107169*^-16}, { Rational[-1477, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209247,7 +248414,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01240871793264986427304652922191897765`14.498521833811562}, { + 5.659931195525860098445`0.15760744170402755*^-17}, { Rational[-369, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209266,7 +248433,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01239475582541648267742135926571765922`14.498088573229175}, { + 1.0330825731054341042565`0.4189870004661708*^-16}, { Rational[-59, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209285,7 +248452,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01238077958432443550812813091196291785`14.497654270040828}, { + 6.241504416694666513484`0.20019692627650473*^-17}, { Rational[-737, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209304,7 +248471,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01236678929100162700027630431972639065`14.49721892419098}, { + 1.4675287634476533464041`0.5715499497128833*^-16}, { Rational[-1473, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209323,7 +248490,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01235278502699348518833795224506037802`14.496782535620682}, { + 1.683213846508304927962`0.6311583103944464*^-16}, { Rational[-92, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209342,7 +248509,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01233876687376274762108150535381933652`14.496345104267547}, { + 1.7151479605296153966659`0.639376298530027*^-16}, { Rational[-1471, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209361,7 +248528,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01232473491268924816110275756477258546`14.495906630065782}, { + 1.3988874649799027568864`0.5509131821498263*^-16}, { Rational[-147, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209380,7 +248547,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01231068922506970486521302005985566948`14.495467112946143}, { + 7.750811765762808888676`0.294533293919015*^-17}, { Rational[-1469, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209399,7 +248566,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01229662989211750894195650337668539241`14.495026552835974}, { + 1.1939868971117565638076`0.4822413697050663*^-16}, { Rational[-367, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209418,7 +248585,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01228255699496251478254116074024108043`14.494584949659192}, { + 1.8336813312202183825317`0.6686213813677135*^-16}, { Rational[-1467, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209437,7 +248604,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01226847061465083106147934258196868725`14.494142303336293}, { + 1.1353958759409252181711`0.46050054368942583*^-16}, { Rational[-733, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209456,7 +248623,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01225437083214461290324669212067878622`14.49369861378434}, { + 1.5133664922889713778305`0.5853530628382985*^-16}, { Rational[-293, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209475,7 +248642,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01224025772832185511127975502625815431`14.493253880916992}, { + 1.9350941291873771862724`0.69216676613626*^-16}, { Rational[-183, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209494,7 +248661,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01222613138397618645564478264024049611`14.492808104644459}, { + 2.1071922464337565472827`0.7292245565762367*^-16}, { Rational[-1463, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209513,7 +248680,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01221199187981666501572217807210203545`14.492361284873553}, { + 1.4050986304977089766531`0.553282937930229*^-16}, { Rational[-731, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209532,7 +248699,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01219783929646757457426296781314238291`14.491913421507657}, { + 8.02086547199265312613`0.30985309450071175*^-17}, { Rational[-1461, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209551,7 +248718,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01218367371446822205918557839633224127`14.491464514446738}, { + 1.2515367406720881323233`0.5031312047179625*^-16}, { Rational[-73, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209570,7 +248737,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01216949521427273602949305816697540921`14.491014563587335}, { + 1.4639567281994272574946`0.5712715845680615*^-16}, { Rational[-1459, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209589,7 +248756,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01215530387624986620170270850124302783`14.490563568822571}, { + 1.7667276771558225478305`0.6529687048669418*^-16}, { Rational[-729, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209608,7 +248775,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01214109978068278401319187690356298389`14.490111530042158}, { + 1.0501608238113071413162`0.4271106570686661*^-16}, { Rational[-1457, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209627,7 +248794,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01212688300776888421887541641607752944`14.489658447132378}, { + 1.2973284930812379539953`0.5189605569302576*^-16}, { Rational[-91, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209646,7 +248813,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01211265363761958751764203176936198128`14.489204319976098}, { + 1.1156143068385358080893`0.45348043600924276*^-16}, { Rational[-291, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209665,7 +248832,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01209841175026014420498841278033214959`14.488749148452769}, { + 4.51038183618886366109`0.060235435324920296*^-17}, { Rational[-727, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209684,7 +248851,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01208415742562943884830169974632262749`14.488292932438418}, { + 9.051131617878086977115`0.3627807751650167*^-17}, { Rational[-1453, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209703,7 +248870,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0120698907435797959812524340804252421`14.487835671805676}, { + 1.7490105609163320959703`0.6489261001980611*^-16}, { Rational[-363, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209722,7 +248889,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0120556117838767868137717202684764621`14.487377366423726}, { + 1.5336302009277743192445`0.5919100983844217*^-16}, { Rational[-1451, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209741,7 +248908,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01204132062619903695409786248888803378`14.48691801615835}, { + 1.9084672706747209083843`0.6869299445910992*^-16}, { Rational[-29, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209760,7 +248927,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01202701735013803513938924100934104951`14.48645762087192}, { + 1.5128556394110944500687`0.5860985030873936*^-16}, { Rational[-1449, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209779,7 +248946,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01201270203519794297141165984550002858`14.485996180423383}, { + 1.1950474535352173223853`0.48374195610996107*^-16}, { Rational[-181, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209798,7 +248965,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0119983747607954056538198282226260658`14.485533694668256}, { + 6.198382329062522013305`0.198690961253941*^-17}, { Rational[-1447, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209817,7 +248984,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01198403560625936372756403420829986698`14.485070163458658}, { + 1.717612317991845727565`0.6413935457193851*^-16}, { Rational[-723, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209836,7 +249003,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01196968465083086580096442956878379151`14.484605586643292}, { + 1.970779560076266644738`0.7011622527359651*^-16}, { Rational[-289, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209855,7 +249022,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01195532197366288227100667053072450122`14.48413996406743}, { + 1.4871609712005520466196`0.578937990771494*^-16}, { Rational[-361, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209874,7 +249041,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0119409476538201200324239497888894035`14.48367329557293}, { + 7.808324161112843584556`0.29919365844401913*^-17}, { Rational[-1443, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209893,7 +249060,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01192656177027883817114171087727981669`14.483205580998247}, { + 1.0608807030144264659543`0.4323581902829295*^-16}, { Rational[-721, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209912,7 +249079,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01191216440192666463867255700070374145`14.482736820178397}, { + 7.385449895628991394558`0.27512441760405715*^-17}, { Rational[-1441, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209931,7 +249098,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01189775562756241390406005269393003173`14.482267012944998}, { + 5.912897682818046170425`0.17860365081821786*^-17}, { Rational[-18, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209950,7 +249117,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01188333552589590557998126832181319406`14.481796159126235}, { + 1.283119116427277284968`0.5151260918328191*^-16}, { Rational[-1439, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209969,7 +249136,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01186890417554778401962903454321290966`14.481324258546897}, { + 1.5888243939982794340219`0.607990849693187*^-16}, { Rational[-719, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -209988,7 +249155,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01185446165504933888100595652031048483`14.480851311028317}, { + 1.6312590353898845085828`0.6194937191476909*^-16}, { Rational[-1437, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210007,7 +249174,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01184000804284232665527328594950146438`14.480377316388456}, { + 1.1727848864557886220714`0.47624499230827083*^-16}, { Rational[-359, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210026,7 +249193,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01182554341727879315580876300707371288`14.479902274441809}, { + 1.4714134405347779181556`0.5748171975253631*^-16}, { Rational[-287, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210045,7 +249212,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0118110678566208969646385201286530575`14.479426184999497}, { + 1.9395468523753555326702`0.6948386052372751*^-16}, { Rational[-717, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210064,7 +249231,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01179658143904073383291908526222258443`14.478949047869177}, { + 1.1156557912625412939872`0.4547244101589569*^-16}, { Rational[-1433, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210083,7 +249250,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01178208424262016203215643393707751956`14.478470862855128}, { + 1.4797103205229196543106`0.5774267490394271*^-16}, { Rational[-179, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210102,7 +249269,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0117675763453506286528599172614249221`14.477991629758167}, { + 1.683129434145307281507`0.6334234255566656*^-16}, { Rational[-1431, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210121,7 +249288,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01175305782513299684733973688613116432`14.477511348375728}, { + 1.3705126251568384501032`0.5442448201552357*^-16}, { Rational[-143, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210140,7 +249307,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01173852875977737401336744813729866684`14.477030018501795}, { + 1.7054710310487498874528`0.6392619997094275*^-16}, { Rational[-1429, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210159,7 +249326,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01172398922700294091542974901269837052`14.476547639926935}, { + 1.5555604591847880016033`0.5993604256703127*^-16}, { Rational[-357, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210178,7 +249345,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01170943930443778174031655564234229222`14.476064212438311}, { + 6.701821371253526719195`0.23372226044384203*^-17}, { Rational[-1427, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210197,7 +249364,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01169487906961871508379507421834512101`14.47557973581964}, { + 1.4703553014060686967478`0.5750075904748871*^-16}, { Rational[-713, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210216,7 +249383,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01168030859999112586513225538941112313`14.475094209851214}, { + 1.8125396803199360467588`0.6659287124196507*^-16}, { Rational[-57, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210235,7 +249402,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01166572797290879816623865977753658758`14.474607634309923}, { + 1.0379501978845498396726`0.4238736005868993*^-16}, { Rational[-89, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210254,7 +249421,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01165113726563374899221737269425530586`14.474120008969201}, { + 1.0670066498020634517279`0.43592011008058906*^-16}, { Rational[-1423, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210273,7 +249440,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01163653655533606295011218239773166667`14.473631333599084}, { + 1.360628215963021982738`0.5415483607807113*^-16}, { Rational[-711, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210292,7 +249459,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01162192591909372784265977942564279338`14.47314160796615}, { + 1.802521007437547068807`0.6637451310468513*^-16}, { Rational[-1421, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210311,7 +249478,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01160730543389247117386124474858735603`14.47265083183358}, { + 1.4939646208877196486903`0.5822610217056632*^-16}, { Rational[-71, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210330,7 +249497,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01159267517662559756319857180025680037`14.472159004961092}, { + 1.1149149638844503730703`0.4552183702168355*^-16}, { Rational[-1419, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210349,7 +249516,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01157803522409382706533241193988187256`14.471666127104998}, { + 1.0870206104431990122445`0.4442703258319772*^-16}, { Rational[-709, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210368,7 +249535,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01156338565300513439212764467531550769`14.471172198018172}, { + 9.875546421317216810438`0.40264960784275305*^-17}, { Rational[-1417, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210387,7 +249554,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01154872653997458903386375310724926685`14.470677217450064}, { + 1.698457344142528071958`0.6381990475183581*^-16}, { Rational[-177, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210406,7 +249573,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01153405796152419627649733163236571958`14.470181185146663}, { + 1.6385932304231133399156`0.6226714941698476*^-16}, { Rational[-283, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210425,7 +249592,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01151937999408273911185436705100517748`14.469684100850552}, { + 1.8227002654297988401221`0.6689715336884954*^-16}, { Rational[-707, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210444,7 +249611,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01150469271398562103764021594928898322`14.469185964300868}, { + 2.1913021314668908105654`0.7490144814467691*^-16}, { Rational[-1413, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210463,7 +249630,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01148999619747470974416545065163626649`14.468686775233312}, { + 2.2121467824739550304613`0.7531821084079852*^-16}, { Rational[-353, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210482,7 +249649,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01147529052069818168469596325297301187`14.468186533380132}, { + 1.2672870578082487492022`0.5112991199760346*^-16}, { Rational[-1411, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210501,7 +249668,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01146057575971036752634590232604627071`14.46768523847016}, { + 2.0256775959301763589239`0.7150504017716857*^-16}, { Rational[-141, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210520,7 +249687,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01144585199047159847844216994335288784`14.467182890228775}, { + 1.3153662342514139138991`0.52758272724165*^-16}, { Rational[-1409, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210539,7 +249706,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01143111928884805349529932774065897727`14.466679488377919}, { + 8.825497638035452039639`0.35433120653199324*^-17}, { Rational[-88, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210558,7 +249725,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01141637773061160735035384996499683879`14.466175032636079}, { + 1.4456466306896242544102`0.5687101217195172*^-16}, { Rational[-1407, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210577,7 +249744,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0114016273914396795786167188796738127`14.46566952271831}, { + 1.3708714744173502484237`0.5457006872383137*^-16}, { Rational[-703, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210596,7 +249763,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01138686834691508428441338362699582853`14.46516295833622}, { + 1.4379392245879144483916`0.5665004571013306*^-16}, { Rational[-281, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210615,7 +249782,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01137210067252588081139009776141981212`14.464655339197954}, { + 6.56901007101135655007`0.22631583724067747*^-17}, { Rational[-351, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210634,7 +249801,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01135732444366522527177561324615604793`14.464146665008235}, { + 1.1988827714164622038599`0.4876486163316073*^-16}, { Rational[-1403, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210653,7 +249820,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01134253973563122293189713984023446705`14.463636935468312}, { + 1.270164829309380345735`0.5127879721586343*^-16}, { Rational[-701, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210672,7 +249839,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01132774662362678145095937857470189728`14.463126150275986}, { + 1.6283029351090041139182`0.620719091305088*^-16}, { Rational[-1401, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210691,7 +249858,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01131294518275946497010530651142859607`14.462614309125616}, { + 1.4662615070495997817067`0.5752513198959257*^-16}, { Rational[-7, 50] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210710,7 +249877,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01129813548804134904878722727981543959`14.462101411708094}, { + 1.6693477644687975996502`0.6316427116593035*^-16}, { Rational[-1399, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210729,7 +249896,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01128331761438887644548640808030145771`14.461587457710863}, { + 1.4216604239625898015509`0.5619477752799672*^-16}, { Rational[-699, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210748,7 +249915,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0112684916366227137398293990136007022`14.461072446817893}, { + 1.9907311921406762931446`0.7082205370631743*^-16}, { Rational[-1397, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210767,7 +249934,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0112536576294676087931588748246824328`14.460556378709713}, { + 1.5495348321071863415521`0.5994652776002939*^-16}, { Rational[-349, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210786,7 +249953,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01123881566755224904462655252557951803`14.460039253063368}, { + 1.199421586725811935156`0.4882918183028868*^-16}, { Rational[-279, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210805,7 +249972,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01122396582540912063988542096483510221`14.459521069552467}, { + 2.3280852292848304913651`0.776374860437402*^-16}, { Rational[-697, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210824,7 +249991,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01120910817747436838946817032794018053`14.459001827847132}, { + 2.0808208032865544630916`0.7276666981217819*^-16}, { Rational[-1393, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210843,7 +250010,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01119424279808765655394833086636924404`14.458481527614017}, { + 2.0081774490175476620789`0.7122901392640647*^-16}, { Rational[-87, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210862,7 +250029,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01117936976149203045299022094672028152`14.45796016851631}, { + 1.7076719042845442881357`0.6419485275263207*^-16}, { Rational[-1391, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210881,7 +250048,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01116448914183377889540336486955723509`14.457437750213748}, { + 1.1346837627736301171552`0.4644749798883394*^-16}, { Rational[-139, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210900,7 +250067,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01114960101316229742732665691120517453`14.456914272362557}, { + 1.3584997632022810470324`0.5427157565704374*^-16}, { Rational[-1389, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210919,7 +250086,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01113470544942995239186713873979400722`14.456389734615506}, { + 1.4315558026600561105367`0.5655205254743083*^-16}, { Rational[-347, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210938,7 +250105,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01111980252449194582056477831209172253`14.455864136621903}, { + 1.1122649438931572357377`0.4559765519961266*^-16}, { Rational[-1387, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210957,7 +250124,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0111048923121061810948437064140179256`14.455337478027545}, { + 1.7435892013200437477709`0.6512685363796185*^-16}, { Rational[-693, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210976,7 +250143,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01108997488593312945450666186903961425`14.454809758474772}, { + 2.0045980431082875515159`0.7119077355204456*^-16}, { Rational[-277, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -210995,7 +250162,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01107505031953569728959592060356789739`14.454280977602416}, { + 1.4639569260224260176102`0.5754648042909508*^-16}, { Rational[-173, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211014,7 +250181,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01106011868637909423751421162161551239`14.453751135045861}, { + 2.1303229009696456586592`0.7384380183151462*^-16}, { Rational[-1383, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211033,7 +250200,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01104518005983070207841593450702002906`14.453220230436957}, { + 1.8659532955872848410764`0.6809494326196356*^-16}, { Rational[-691, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211052,7 +250219,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01103023451315994442606902628535221715`14.452688263404095}, { + 1.478421769881918141048`0.5799030979115612*^-16}, { Rational[-1381, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211071,7 +250238,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01101528211953815721139708606147083802`14.452155233572169}, { + 1.8560522996382922826905`0.6787510486019949*^-16}, { Rational[-69, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211090,7 +250257,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01100032295203845995592059691121515751`14.451621140562558}, { + 1.7442998100394559602386`0.6518380671024627*^-16}, { Rational[-1379, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211109,7 +250276,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0109853570836356278323252861291444092`14.451085983993178}, { + 1.0476675164412231227055`0.43049651121656207*^-16}, { Rational[-689, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211128,7 +250295,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01097038458720596450939483720098971042`14.450549763478401}, { + 1.1215039289007368338917`0.4601299355582226*^-16}, { Rational[-1377, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211147,7 +250314,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01095540553552717577855430986182494995`14.450012478629132}, { + 6.935289120394513527891`0.2514498150137592*^-17}, { Rational[-86, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211166,7 +250333,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01094042000127824395927973840091141473`14.449474129052769}, { + 6.510150893451630639214`0.22403241159690082*^-17}, { Rational[-11, 80] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211185,7 +250352,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0109254280570393030806384630638027202`14.448934714353179}, { + 1.7232200852982217314083`0.6468382217597023*^-16}, { Rational[-687, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211204,7 +250371,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01091042977529151483623380506341868773`14.448394234130742}, { + 1.7068861650904954697084`0.6427581536043485*^-16}, { Rational[-1373, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211223,7 +250390,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01089542522841694530983672242630478107`14.447852687982303}, { + 1.1958083155609332158887`0.4882712904726135*^-16}, { Rational[-343, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211242,7 +250409,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01088041448869844246899608174956534038`14.447310075501221}, { + 2.2432273855949833000491`0.7615391500945036*^-16}, { Rational[-1371, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211261,7 +250428,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01086539762831951442392815000978579872`14.446766396277315}, { + 1.4069870730746802408203`0.5590120941911266*^-16}, { Rational[-137, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211280,7 +250447,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01085037471936420844899485092883641177`14.446221649896895}, { + 1.6839918718284813052683`0.637118117380795*^-16}, { Rational[-1369, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211299,7 +250466,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01083534583381699076408924214384086964`14.445675835942733}, { + 1.0800367936377835861859`0.44427282134721024*^-16}, { Rational[-171, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211318,7 +250485,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01082031104356262707325565779895178451`14.445128953994097}, { + 1.6184227550935600475988`0.6199823950613206*^-16}, { Rational[-1367, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211337,7 +250504,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01080527042038606385385153387386638881`14.444581003626705}, { + 2.00425091796998058286`0.7128986633219653*^-16}, { Rational[-683, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211356,7 +250523,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01079022403597231041742745819465324072`14.444031984412751}, { + 2.4202854075165770520088`0.7948693120874095*^-16}, { Rational[-273, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211375,7 +250542,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01077517196190632168095690618151702856`14.443481895920907}, { + 1.7893213189487842816546`0.6637472282499393*^-16}, { Rational[-341, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211394,7 +250561,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01076011426967288172293232154768280605`14.442930737716283}, { + 1.2228565215260391880831`0.4984905625106039*^-16}, { Rational[-1363, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211413,7 +250580,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01074505103065648806469103627741831884`14.442378509360477}, { + 1.3096945899517069249588`0.5283412632301385*^-16}, { Rational[-681, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211432,7 +250599,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01072998231614123669681068178306267311`14.441825210411512}, { + 1.5704715319934658113109`0.6072574737129164*^-16}, { Rational[-1361, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211451,7 +250618,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0107149081973107078442768857926669171`14.441270840423893}, { + 1.9559461268572559358687`0.7026404743396142*^-16}, { Rational[-17, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211470,7 +250637,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01069982874524785246782064230531203912`14.440715398948573}, { + 9.124982875969392666898`0.3715718285010498*^-17}, { Rational[-1359, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211489,7 +250656,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0106847440309348794988313719265746424`14.440158885532927}, { + 1.7484372357250392098756`0.6540460065706056*^-16}, { Rational[-679, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211508,7 +250675,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01066965412525314380526029213650693695`14.439601299720794}, { + 1.4584857044204037977912`0.5753543301752528*^-16}, { Rational[-1357, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211527,7 +250694,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01065455909898303488593729162662138513`14.439042641052463}, { + 1.0920462216594234949688`0.44974937246736735*^-16}, { Rational[-339, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211546,7 +250713,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0106394590228038662907330498504950596`14.438482909064632}, { + 1.0866052800583063101246`0.44763636582840055*^-16}, { Rational[-271, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211565,7 +250732,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0106243539672937657640066624439029488`14.437922103290465}, { + 1.8715642184826072092069`0.6838254957874725*^-16}, { Rational[-677, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211584,7 +250751,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01060924400292956610878752526443262663`14.437360223259539}, { + 1.0476564760149894253154`0.4318958761528311*^-16}, { Rational[-1353, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211603,7 +250770,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01059412920008669676914869455625602333`14.43679726849786}, { + 9.798692857654250439831`0.40290133563016123*^-17}, { Rational[-169, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211622,7 +250789,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01057900962903907612823737824226075596`14.436233238527867}, { + 2.0389401313507151562184`0.7211938858549599*^-16}, { Rational[-1351, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211641,7 +250808,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01056388535995900451943662366202445541`14.435668132868411}, { + 1.632740011132633564392`0.6247626730691566*^-16}, { Rational[-27, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211660,7 +250827,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01054875646291705794814065028883405702`14.435101951034772}, { + 2.1388485711747783525799`0.7420819057419108*^-16}, { Rational[-1349, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211679,7 +250846,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0105336230078819825216346321505628843`14.434534692538643}, { + 1.551773668096998284007`0.6027864806623735*^-16}, { Rational[-337, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211698,7 +250865,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01051848506472058958457806392660946191`14.43396635688812}, { + 1.7133281582481159954214`0.6458548944030131*^-16}, { Rational[-1347, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211717,7 +250884,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01050334270319765155759914707410147018`14.433396943587692}, { + 1.00807587984693107203`0.41556380934177406*^-16}, { Rational[-673, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211736,7 +250903,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0104881959929757984765159079296733412`14.432826452138295}, { + 1.143833593056843603857`0.47048968138940245*^-16}, { Rational[-269, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211755,7 +250922,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01047304500361541522970800861633954339`14.432254882037228}, { + 1.2438938152995463363107`0.5069663957938214*^-16}, { Rational[-84, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211774,7 +250941,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01045788980457453949117143383605838464`14.431682232778204}, { + 1.1784722520289899057602`0.48355870611179996*^-16}, { Rational[-1343, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211793,7 +250960,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0104427304652087603467964323254585872`14.431108503851322}, { + 1.7731890668467243408908`0.6610506519369878*^-16}, { Rational[-671, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211812,7 +250979,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01042756705477111761141726097232272199`14.430533694743069}, { + 1.769526007317391427808`0.660208823822793*^-16}, { Rational[-1341, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211831,7 +250998,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01041239964241200183419042241132688164`14.429957804936326}, { + 1.1984852649500283030048`0.49104084402848747*^-16}, { Rational[-67, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211850,7 +251017,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01039722829717905498986620341663733339`14.429380833910349}, { + 1.1013445564306979303093`0.45438763063412807*^-16}, { Rational[-1339, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211869,7 +251036,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0103820530880170718535264116631140085`14.428802781140776}, { + 8.587819259278456422449`0.34640359717937963*^-17}, { Rational[-669, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211888,7 +251055,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01036687408376790205636927251456039433`14.428223646099601}, { + 1.703521825934600587259`0.6439246888550391*^-16}, { Rational[-1337, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211907,7 +251074,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01035169135317035282013048549306332708`14.427643428255218}, { + 1.0428031577689706416332`0.43083561389908287*^-16}, { Rational[-167, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211926,7 +251093,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01033650496486009236773745206515927345`14.427062127072373}, { + 1.3821590650685784231727`0.5532475970124896*^-16}, { Rational[-267, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211945,7 +251112,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01032131498736955400780167242454109134`14.426479742012164}, { + 1.7209322190496164851335`0.6485096348439936*^-16}, { Rational[-667, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211964,7 +251131,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01030612148912784089056226913398016625`14.425896272532068}, { + 2.1025871863996907031006`0.7355561862221185*^-16}, { Rational[-1333, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -211983,7 +251150,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01029092453846063143290152988724238175`14.425311718085883}, { + 1.3233594299194637747705`0.5345362972427093*^-16}, { Rational[-333, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212002,7 +251169,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0102757242035900854100612703411601766`14.4247260781238}, { + 9.891430886676135020086`0.40817391432056266*^-17}, { Rational[-1331, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212021,7 +251188,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01026052055263475071169670102467219533`14.424139352092327}, { + 1.0035495048923430776164`0.4145099117188768*^-16}, { Rational[-133, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212040,7 +251207,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01024531365360947075991233983130420089`14.42355153943432}, { + 7.380480055316620895173`0.28111204349795804*^-17}, { Rational[-1329, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212059,7 +251226,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01023010357442529258693234362021880339`14.422962639588967}, { + 7.757135120665237156887`0.3027851154194656*^-17}, { Rational[-83, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212078,7 +251245,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01021489038288937557006543906356426022`14.4223726519918}, { + 1.5400006759426003538922`0.6006610014888825*^-16}, { Rational[-1327, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212097,7 +251264,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0101996741467049008216324141603272634`14.421781576074666}, { + 1.6504947716453014868735`0.6308105786204817*^-16}, { Rational[-663, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212116,7 +251283,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0101844549334709812315318878643025601`14.421189411265766}, { + 1.9440662392031379965991`0.7019638243014213*^-16}, { Rational[-53, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212135,7 +251302,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0101692328106825721601278061207439195`14.420596156989573}, { + 2.0688499000629457090635`0.7290380935649096*^-16}, { Rational[-331, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212154,7 +251321,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01015400784573038277914981834855314039`14.420001812666927}, { + 2.3458437192359464074657`0.7836645362711047*^-16}, { Rational[-1323, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212173,7 +251340,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01013878010590078805830536911633442087`14.419406377714937}, { + 1.1173615429522695641257`0.4616155343215488*^-16}, { Rational[-661, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212192,7 +251359,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01012354965837574139530999551643724013`14.418809851547039}, { + 7.583985787294638257631`0.2933756836599292*^-17}, { Rational[-1321, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212211,7 +251378,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01010831657023268788704995161563073927`14.418212233572964}, { + 1.766317079774816421175`0.6606032050419404*^-16}, { Rational[-33, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212230,7 +251397,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01009308090844447823959888742823219731`14.417613523198753}, { + 1.6020380928921024413653`0.61826374300515*^-16}, { Rational[-1319, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212249,7 +251416,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01007784273987928331481789119203547824`14.417013719826734}, { + 2.0516745287978430624347`0.7257557439967186*^-16}, { Rational[-659, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212268,7 +251435,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01006260213130050931127576040263077288`14.416412822855508}, { + 9.907752204921148890578`0.40967879070999264*^-17}, { Rational[-1317, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212287,7 +251454,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01004735914936671357723389915198728703`14.415810831679972}, { + 1.5403939758337005437933`0.6013918478688215*^-16}, { Rational[-329, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212306,7 +251473,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01003211386063152105344774689587466141`14.415207745691314}, { + 9.480149532591179991832`0.39063161049759376*^-17}, { Rational[-263, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212325,7 +251492,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.01001686633154354134354412691537688483`14.414603564276979}, { + 1.2208239077836461248373`0.5005258396643298*^-16}, { Rational[-657, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212344,7 +251511,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0100016166284462864097413615137897836`14.413998286820679}, { + 1.1870215849433688609194`0.48838782613815074*^-16}, { Rational[-1313, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212363,7 +251530,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00998636481757808889168643547481138393`14.413391912702401}, { + 1.3310457586320290092434`0.5381785961851581*^-16}, { Rational[-82, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212382,7 +251549,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00997111096507202104619089957399276963`14.412784441298385}, { + 1.6626549393110098545369`0.6348441422404804*^-16}, { Rational[-1311, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212401,7 +251568,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0099558551369558143056545920560744408`14.41217587198113}, { + 1.8162734938510783328206`0.6732796701598576*^-16}, { Rational[-131, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212420,7 +251587,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00994059739915177945297361803844029205`14.411566204119383}, { + 2.4758454254430770734962`0.8078783663957428*^-16}, { Rational[-1309, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212439,7 +251606,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00992533781747672741073636484842211837`14.410955437078128}, { + 1.0357329865926315437664`0.42945906668694633*^-16}, { Rational[-327, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212458,7 +251625,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00991007645764189064251864542158565756`14.4103435702186}, { + 2.1338210939573545999594`0.7434256863168054*^-16}, { Rational[-1307, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212477,7 +251644,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00989481338525284516409635215205896407`14.40973060289827}, { + 7.508990613082833333851`0.28990567204839346*^-17}, { Rational[-653, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212496,7 +251663,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00987954866580943316240127006604887798`14.40911653447082}, { + 1.5948684531480043889834`0.617105411333125*^-16}, { Rational[-261, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212515,7 +251682,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00986428236470568622005294095840670509`14.408501364286161}, { + 9.453201363808307705268`0.39001589027481043*^-17}, { Rational[-163, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212534,7 +251701,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00984901454722974914330668926045342447`14.407885091690442}, { + 2.2464130378717090651954`0.765983034707184*^-16}, { Rational[-1303, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212553,7 +251720,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00983374527856380439126511596780256453`14.407267716026011}, { + 1.2435109004276448746104`0.5091994672560242*^-16}, { Rational[-651, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212572,7 +251739,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00981847462378399710420753902007074749`14.406649236631411}, { + 8.775702551214385578161`0.35788821693733264*^-17}, { Rational[-1301, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212591,7 +251758,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00980320264786036072889900716218745036`14.40602965284142}, { + 2.4175637932735459485079`0.7980407215187216*^-16}, { Rational[-13, 100] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212610,7 +251777,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.009787929415656743238747639600113539`14.405408963986984}, { + 8.316603162534382726318`0.33466521877939726*^-17}, { Rational[-1299, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212629,7 +251796,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0097726549919307339466861457635516123`14.40478716939525}, { + 1.5249342608935699889603`0.598026827304463*^-16}, { Rational[-649, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212648,7 +251815,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00975737944133359090866045827482291767`14.404164268389565}, { + 1.0920748732301931062758`0.4530845906481986*^-16}, { Rational[-1297, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212667,7 +251834,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00974210282841016891561546786791750635`14.403540260289427}, { + 1.1700668678386859354423`0.48309933269180894*^-16}, { Rational[-81, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212686,7 +251853,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00972682521759884807187488157465481005`14.402915144410542}, { + 1.5039106830103491977374`0.5921671749465948*^-16}, { Rational[-259, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212705,7 +251872,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0097115466732314629578192350662846341`14.402288920064771}, { + 2.0427144336983241396805`0.7252092726310435*^-16}, { Rational[-647, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212724,7 +251891,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00969626725953323237477307667937535518`14.401661586560124}, { + 8.841406744640131788482`0.36157947467328844*^-17}, { Rational[-1293, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212743,7 +251910,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0096809870406226896700193044336329267`14.4010331432008}, { + 1.7943776544882640702062`0.6690284502484696*^-16}, { Rational[-323, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212762,7 +251929,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00966570608051161363986557833690693159`14.400403589287123}, { + 2.2537381661401982973931`0.7680745557913872*^-16}, { Rational[-1291, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212781,7 +251948,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00965042444310496000869464853833013649`14.399772924115593}, { + 1.5893333615148593744803`0.6164425993384945*^-16}, { Rational[-129, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212800,7 +251967,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00963514219220079348193733550386446332`14.399141146978812}, { + 1.7569768082440809985872`0.660050136441644*^-16}, { Rational[-1289, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212819,7 +251986,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00961985939149022037091377141888518828`14.39850825716556}, { + 1.6439862616695292913685`0.6312388040407584*^-16}, { Rational[-161, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212838,7 +252005,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00960457610455732178749536253892607137`14.397874253960705}, { + 5.87321978596065108744`0.1842733905777194*^-17}, { Rational[-1287, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212857,7 +252024,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00958929239487908740654676028136934925`14.397239136645277}, { + 1.3572039754759558639862`0.5480987824733563*^-16}, { Rational[-643, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212876,7 +252043,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00957400832582534979411393454641766188`14.396602904496394}, { + 1.6631204472413104334154`0.6364338891003065*^-16}, { Rational[-257, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212895,7 +252062,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00955872396065871929933122614398486051`14.395965556787298}, { + 1.1791120359721599021403`0.48712179000107386*^-16}, { Rational[-321, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212914,7 +252081,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00954343936253451950802701635268893467`14.39532709278733}, { + 1.6793449791624856271723`0.6407631737737631*^-16}, { Rational[-1283, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212933,7 +252100,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00952815459450072325601439061577229463`14.394687511761942}, { + 1.8607435227146408098378`0.6853663103087665*^-16}, { Rational[-641, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212952,7 +252119,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00951286971949788920005989025572054994`14.394046812972675}, { + 1.0785710667369327136783`0.4485851058957881*^-16}, { Rational[-1281, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212971,7 +252138,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00949758480035909894453014093142310413`14.393404995677136}, { + 1.8921270428456821078827`0.6927431830641141*^-16}, { Rational[-16, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -212990,7 +252157,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0094822998998098947217228194376651241`14.392762059129046}, { + 2.3868579885570177659545`0.7936760256803044*^-16}, { Rational[-1279, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213009,7 +252176,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00946701508046821762389507142383963253`14.392118002578172}, { + 1.1506500335103620399327`0.47684925938073586*^-16}, { Rational[-639, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213028,7 +252195,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00945173040484434638500912175462671356`14.391472825270364}, { + 2.1798926910506139895854`0.7543976846041894*^-16}, { Rational[-1277, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213047,7 +252214,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00943644593534083671022142661732361111`14.390826526447531}, { + 5.266175672752892821389`0.1375144810307757*^-17}, { Rational[-319, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213066,7 +252233,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00942116173425246115114830216583833833`14.390179105347634}, { + 1.8163687197633229813861`0.6752797265861591*^-16}, { Rational[-51, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213085,7 +252252,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00940587786376614952494752854702995619`14.389530561204685}, { + 1.894861649857516752292`0.6937097972090825*^-16}, { Rational[-637, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213104,7 +252271,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00939059438596092987526197064787456747`14.388880893248736}, { + 1.7770958859257191604765`0.6658997358446355*^-16}, { Rational[-1273, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213123,7 +252290,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0093753113628078699730777778987179643`14.388230100705876}, { + 1.1587160866948708061732`0.4802224988817806*^-16}, { Rational[-159, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213142,7 +252309,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00936002885617001935555622503519209233`14.38757818279822}, { + 1.0855303394085651168365`0.4519440213297267*^-16}, { Rational[-1271, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213161,7 +252328,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00934474692780235190090473392533012767`14.386925138743912}, { + 1.2152578887134185386101`0.5010271018062891*^-16}, { Rational[-127, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213180,7 +252347,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00932946563935170893735907347551866393`14.386270967757104}, { + 1.1511130304749644294283`0.4775332253020887*^-16}, { Rational[-1269, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213199,7 +252366,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00931418505235674288435517030522590916`14.385615669047954}, { + 1.7796814094245816037678`0.6668141260683933*^-16}, { Rational[-317, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213218,7 +252385,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00929890522824786142397537739146359065`14.384959241822633}, { + 6.792587214633602221734`0.24856369738494893*^-17}, { Rational[-1267, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213237,7 +252404,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00928362622834717220076044129583205288`14.3843016852833}, { + 6.024619228417219234127`0.1965146941914399*^-17}, { Rational[-633, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213256,7 +252423,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00926834811386842804798478096505308296`14.383642998628105}, { + 1.2805467873565609147048`0.5240371628458044*^-16}, { Rational[-253, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213275,7 +252442,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00925307094591697273849904250562459789`14.382983181051163}, { + 1.3524555038597365929706`0.5478213236054921*^-16}, { Rational[-79, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213294,7 +252461,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00923779478548968725825022483966804968`14.382322231742606}, { + 1.4098594732846420148435`0.5659307956444407*^-16}, { Rational[-1263, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213313,7 +252480,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00922251969347493660059598081760992721`14.381660149888484}, { + 1.395455566899701738579`0.5615276169510913*^-16}, { Rational[-631, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213332,7 +252499,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00920724573065251707953598725844061271`14.380996934670833}, { + 1.5463035584255271854894`0.6061630000521945*^-16}, { Rational[-1261, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213351,7 +252518,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00919197295769360415998954557519328999`14.380332585267627}, { + 1.9113905603732498352896`0.69827432672031*^-16}, { Rational[-63, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213370,7 +252537,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00917670143516070080325482218603020991`14.379667100852815}, { + 1.642971637161671064637`0.6326116059493357*^-16}, { Rational[-1259, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213389,7 +252556,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00916143122350758632579136487477578084`14.379000480596254}, { + 1.3808538315762832720242`0.5571859037323517*^-16}, { Rational[-629, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213408,7 +252575,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00914616238307926576947373771277844081`14.378332723663743}, { + 1.4001663125671852731893`0.5632744782881598*^-16}, { Rational[-1257, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213427,7 +252594,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00913089497411191978147030315092636608`14.377663829217003}, { + 1.6125517290209159619228`0.6246651732422672*^-16}, { Rational[-157, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213446,7 +252613,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00911562905673285500190734550022756372`14.376993796413693}, { + 4.323993087277292444759`0.053093177931953284*^-17}, { Rational[-251, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213465,7 +252632,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00910036469096045495748487530551166479`14.376322624407335}, { + 9.789794075950081860168`0.40803841740096775*^-17}, { Rational[-627, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213484,7 +252651,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00908510193670413145921657914287194187`14.37565031234741}, { + 1.0686777704610152906782`0.44616831495800263*^-16}, { Rational[-1253, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213503,7 +252670,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00906984085376427650247248420115472173`14.374976859379235}, { + 1.5451051126869536488784`0.6063362521208298*^-16}, { Rational[-313, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213522,7 +252689,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00905458150183221466750899170405935884`14.37430226464407}, { + 1.4183752823547466734841`0.5692260649488972*^-16}, { Rational[-1251, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213541,7 +252708,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00903932394049015601867699785551088515`14.373626527279004}, { + 1.0961254319865849189292`0.45735185774630915*^-16}, { Rational[-1, 8] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213560,7 +252727,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00902406822921114950050486560996737992`14.372949646417029}, { + 1.1491839028469009802445`0.4779378351727637*^-16}, { Rational[-1249, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213579,7 +252746,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00900881442735903682885903524350264042`14.372271621187004}, { + 1.1917513997681853118041`0.4937906743364623*^-16}, { Rational[-78, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213598,7 +252765,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00899356259418840687539106649407767649`14.371592450713612}, { + 1.5863506833624720693875`0.6180609109094338*^-16}, { Rational[-1247, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213617,7 +252784,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00897831278884455054348589001238975793`14.370912134117418}, { + 1.633388929874105136743`0.6308080308252637*^-16}, { Rational[-623, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213636,7 +252803,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00896306507036341613393201108042847773`14.370230670514816}, { + 1.0389812723857534091609`0.4343828592816485*^-16}, { Rational[-249, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213655,7 +252822,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00894781949767156519854035407561595923`14.369548059018022}, { + 1.6109259234600792753256`0.6249074318126197*^-16}, { Rational[-311, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213674,7 +252841,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00893257612958612887994436204601579833`14.36886429873509}, { + 2.1216053973366491174015`0.7445531994807473*^-16}, { Rational[-1243, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213693,7 +252860,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00891733502481476473581987207819044408`14.368179388769885}, { + 1.2225102175635983921621`0.5051978167607535*^-16}, { Rational[-621, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213712,7 +252879,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00890209624195561404576917394589431517`14.367493328222084}, { + 5.087031959705515103418`0.12446652138360692*^-17}, { Rational[-1241, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213731,7 +252898,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00888685983949725959911952688593350569`14.366806116187158}, { + 1.3908253435590576600046`0.5613313919139672*^-16}, { Rational[-31, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213750,7 +252917,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00887162587581868396189225731869859061`14.366117751756383}, { + 1.1567659455720170316676`0.4813610366267184*^-16}, { Rational[-1239, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213769,7 +252936,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00885639440918922822120438897634176147`14.365428234016806}, { + 7.932009623206269396951`0.31755552476800647*^-17}, { Rational[-619, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213788,7 +252955,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00884116549776855120537056628197526797`14.364737562051253}, { + 2.0102604039186323291108`0.721481366117734*^-16}, { Rational[-1237, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213807,7 +252974,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00882593919960658917797882199969858686`14.364045734938331}, { + 1.052307183700044232506`0.44042834299293426*^-16}, { Rational[-309, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213826,7 +252993,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00881071557264351600421951120827644871`14.36335275175238}, { + 1.2811147085696920573001`0.5259305901787862*^-16}, { Rational[-247, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213845,7 +253012,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0087954946747097037877524856013010849`14.362658611563514}, { + 1.0659378574027130564153`0.44613122929249405*^-16}, { Rational[-617, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213864,7 +253031,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00878027656352568397640331504406510774`14.361963313437583}, { + 2.1729625315230872886479`0.7555083550397443*^-16}, { Rational[-1233, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213883,7 +253050,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00876506129670210893498507728243857937`14.361266856436167}, { + 1.1331026290510655654293`0.47278214387145684*^-16}, { Rational[-77, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213902,7 +253069,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00874984893173971398354793176133867607`14.360569239616567}, { + 1.5660296281170699379813`0.6133696552747183*^-16}, { Rational[-1231, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213921,7 +253088,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00873463952602927989936436973042672195`14.359870462031825}, { + 1.2428299228043701236233`0.513038170996495*^-16}, { Rational[-123, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213940,7 +253107,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00871943313685159588096369025146523353`14.359170522730658}, { + 2.2305303922880478890225`0.7670914091516944*^-16}, { Rational[-1229, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213959,7 +253126,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00870422982137742297253489043524623159`14.358469420757517}, { + 1.1900087050536552008394`0.4942902011268252*^-16}, { Rational[-307, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213978,7 +253145,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00868902963666745794702277828540404953`14.357767155152509}, { + 1.3971665799769235188452`0.5640450552679763*^-16}, { Rational[-1227, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -213997,7 +253164,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00867383263967229764624771797086679525`14.357063724951441}, { + 1.5771940626263279544475`0.6167388084098758*^-16}, { Rational[-613, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214016,7 +253183,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00865863888723240377638500024737075189`14.356359129185803}, { + 8.720059178713800662376`0.35942992051849804*^-17}, { Rational[-49, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214035,7 +253202,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00864344843607806815714539516000363236`14.355653366882725}, { + 1.7310899589133765685979`0.6572869436856988*^-16}, { Rational[-153, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214054,7 +253221,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00862826134282937842300399014219043021`14.354946437065017}, { + 1.1310303492474170969636`0.47249838804521216*^-16}, { Rational[-1223, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214073,7 +253240,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00861307766399618417482994423999823968`14.354238338751113}, { + 2.0762935661042894441172`0.736369715486966*^-16}, { Rational[-611, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214092,7 +253259,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00859789745597806358027529849307667524`14.35352907095511}, { + 1.2478904852786399054159`0.5153142634013916*^-16}, { Rational[-1221, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214111,7 +253278,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00858272077506429042128647355250880556`14.352818632686702}, { + 1.0790173319615259911029`0.45222304828924187*^-16}, { Rational[-61, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214130,7 +253297,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00856754767743380158710755847012380351`14.352107022951227}, { + 1.777280594233024228278`0.6690074689771025*^-16}, { Rational[-1219, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214148,8 +253315,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00855237821915516501114994931070326551`14.351394240749615}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.4525798106931122387117`0.5814483209767906*^-16}, { Rational[-609, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214168,7 +253336,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00853721245618654805010833287611071966`14.350680285078417}, { + 6.141382023634699783451`0.20763128294172822*^-17}, { Rational[-1217, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214186,8 +253354,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0085220504443756863037084294462626174`14.349965154929745}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.757011740210770013845`0.09675624978716822*^-17}, { Rational[-76, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214206,7 +253375,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00850689223945985287347730909320319183`14.34924884929133}, { + 5.387728936321249514961`0.15088462611371198*^-17}, { Rational[-243, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214224,8 +253393,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00849173789706582805893247886906904978`14.348531367146435}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6065907974706855138739`0.6254410302080983*^-16}, { Rational[-607, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214244,7 +253414,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00847658747270986948959130306297094704`14.347812707473926}, { + 3.822031155000182203697`0.0018868497020108454*^-17}, { Rational[-1213, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214262,8 +253432,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00846144102179768269120766582342928612`14.347092869248195}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5304227591722519794393`0.9674762175330887*^-16}, { Rational[-303, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214281,8 +253452,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00844629859962439208464811480805482581`14.346371851439175}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1457049560630538484351`0.47877917652236596*^-16}, { Rational[-1211, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214300,8 +253472,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00843116026137451241582503620790182916`14.345649653012357}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3585317960068354089772`0.5528330784385258*^-16}, { Rational[-121, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214319,8 +253492,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00841602606212192061510970555647590953`14.344926272928722}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.886229230805074020329`0.6954146330096848*^-16}, { Rational[-1209, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214338,8 +253512,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00840089605682982808465333522917226174`14.344201710144809}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.2412415188894471024503`0.7703657173956682*^-16}, { Rational[-151, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214358,7 +253533,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00838577030035075341204949852425327788`14.343475963612624}, { + 0``16.41993395917098}, { Rational[-1207, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214376,8 +253551,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00837064884742649550877655174697990969`14.342749032279684}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2416242981197806871978`0.5139810679660775*^-16}, { Rational[-603, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214395,8 +253571,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00835553175268810717186389985064773345`14.342020915088977}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2837285811676930345118`0.5285209862220819*^-16}, { Rational[-241, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214415,7 +253592,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00834041907065586906723115797713471088`14.341291610978994}, { + 6.579865155360786513474`0.23832168478232754*^-17}, { Rational[-301, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214433,8 +253610,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00832531085573926413315445074098933473`14.34056111888365}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5859137318447406528632`0.974761449109865*^-16}, { Rational[-1203, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214452,8 +253630,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00831020716223695240231926337061441089`14.339829437732357}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.54501107156081422353`0.16412095482847847*^-17}, { Rational[-601, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214472,7 +253651,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00829510804433674624092441391246004568`14.33909656644995}, { + 1.4412228062500238412405`0.5790065933808084*^-16}, { Rational[-1201, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214490,8 +253669,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00828001355611558600330685367499258397`14.338362503956677}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.272211473882014889763`0.3875157308407742*^-17}, { Rational[-3, 25] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214510,7 +253690,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00826492375153951610056212399306962568`14.33762724916826}, { + 0``16.420389342804388}, { Rational[-1199, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214528,8 +253708,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00824983868446366148164040128504582539`14.33689080099579}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0511331529782556490377`0.7324401421046038*^-16}, { Rational[-599, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214548,7 +253729,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00823475840863220452540314930935494944`14.336153158345775}, { + 1.0698414431516865574058`0.44982265517684533*^-16}, { Rational[-1197, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214566,8 +253747,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00821968297767836234213046755838165978`14.335414320120115}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3673429490480210963176`0.7948213698505234*^-16}, { Rational[-299, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214586,7 +253768,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00820461244512436448297427791012125657`14.334674285216108}, { + 1.7770745352758110604039`0.6703227959891758*^-16}, { Rational[-239, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214604,8 +253786,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00818954686438143105585752804581203029`14.333933052526403}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1468622635777513487625`0.48018537991472315*^-16}, { Rational[-597, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214624,7 +253807,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00817448628874975124632460978926345138`14.333190620939016}, { + 0``16.42073108657667}, { Rational[-1193, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214642,8 +253825,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00815943077141846224185319348387262041`14.332446989337301}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0134762330376622296857`0.724734568668451*^-16}, { Rational[-149, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214661,8 +253845,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0081443803654656285581426658509293709`14.33170215659997}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.165754961081364679141`0.48745231286178*^-16}, { Rational[-1191, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214680,8 +253865,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00812933512385822176589932852058374738`14.330956121601064}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.2078176962139195173913`0.7648652348684917*^-16}, { Rational[-119, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214699,8 +253885,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00811429509945210061664346764857986489`14.330208883209934}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8578585311733229834158`0.6899716552031084*^-16}, { Rational[-1189, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214718,8 +253905,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00809926034499199156606834178052240798`14.329460440291228}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.838773298416732438666`0.31526411296155465*^-17}, { Rational[-297, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214737,8 +253925,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00808423091311146969348605545430505394`14.328710791704895}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5642300759476978133706`0.9730387380870266*^-16}, { Rational[-1187, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214756,8 +253945,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00806920685633294001590018999319465738`14.327959936306172}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4784956119085698463088`0.8153181659317983*^-16}, { Rational[-593, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214775,8 +253965,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00805418822706761919524995058961313426`14.32720787294559}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.793538553227195436309`0.25328306497236075*^-17}, { Rational[-237, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214794,8 +253985,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00803917507761551763737546016579330512`14.32645460046889}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.869846948748681436309`0.31721032093716023*^-17}, { Rational[-74, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214813,8 +254005,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00802416746016542198125868567433293682`14.325700117717119}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5120034069587430992649`0.6008538232216318*^-16}, { Rational[-1183, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214833,7 +254026,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00800916542679487797709932152177822449`14.324944423526516}, { + 0``16.42135807731493}, { Rational[-591, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214851,8 +254044,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00799416902947017375178977771367359474`14.324187516728585}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.110206462053052942566`0.03527874381583018*^-17}, { Rational[-1181, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214871,7 +254065,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00797917832004632346035822718246730694`14.323429396150036}, { + 1.8432856300511579699565`0.6870647772581128*^-16}, { Rational[-59, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214890,7 +254084,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00796419335026705132195345762133965476`14.322670060612777}, { + 8.452858484253469449658`0.3485327767170193*^-17}, { Rational[-1179, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214909,7 +254103,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00794921417176477603895004806015684641`14.32190950893391}, { + 9.863708317696120485568`0.4156264433207479*^-17}, { Rational[-589, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214928,7 +254122,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0079342408360605955977571494347576526`14.321147739925715}, { + 1.4123902400603974151223`0.5715979786056491*^-16}, { Rational[-1177, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214946,8 +254140,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00791927339456427244991889157026795015`14.320384752395658}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9671536184393024087475`0.7155386003888604*^-16}, { Rational[-147, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214965,8 +254160,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00790431189857421907209916637319519902`14.31962054514635}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.2758292055123477070816`0.7788970492372896*^-16}, { Rational[-47, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -214984,8 +254180,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00788935639927748390354824865770509585`14.318855116975552}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3521123681671972875224`0.7932725119124828*^-16}, { Rational[-587, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215003,8 +254200,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00787440694774973765965341196926609133`14.31808846667617}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5586674840977691769214`0.6146249903097848*^-16}, { Rational[-1173, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215022,8 +254220,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00785946359495526002018037706437551992`14.3173205930362}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.417714995896699605262`0.29219873100081367*^-17}, { Rational[-293, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215042,7 +254241,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00784452639174692669081709540976923738`14.31655149483879}, { + 0``16.42198566656964}, { Rational[-1171, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215060,8 +254259,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00782959538886619683663601922779069554`14.315781170862158}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.2423886123060244222738`0.7727536291689895*^-16}, { Rational[-117, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215079,8 +254279,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0078146706369431008860956432879475476`14.315009619879628}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.130255525749174119136`0.4752764771988139*^-16}, { Rational[-1169, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215099,7 +254300,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00779975218649622870420672187739510776`14.314236840659577}, { + 0``16.422156931551072}, { Rational[-73, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215117,8 +254318,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00778484008793271813349316722589055809`14.313462831965458}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.6715289482715695214452`0.848973914323904*^-16}, { Rational[-1167, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215137,7 +254339,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00776993439154824390138222316315718079`14.312687592555768}, { + 4.045990979793287615681`0.029296043305682817*^-17}, { Rational[-583, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215156,7 +254358,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0077550351475270068926630799986393789`14.31191112118405}, { + 0``16.422328241338782}, { Rational[-233, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215174,8 +254376,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00774014240594172378565765358468655968`14.31113341659886}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.381325343201218297735`0.15327460390700462*^-17}, { Rational[-291, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215194,7 +254397,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00772525621675361705075179330408055674`14.310354477543763}, { + 1.7092865728505516675831`0.655257353865664*^-16}, { Rational[-1163, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215213,7 +254416,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00771037662981240530993971036055115238`14.309574302757344}, { + 0``16.422499595994505}, { Rational[-581, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215231,8 +254434,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00769550369485629405603892929580843322`14.308792890973155}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3262115390139485126687`0.5451695264676577*^-16}, { Rational[-1161, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215251,7 +254455,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00768063746151196673023756215785075555`14.308010240919717}, { + 5.499124564757113295253`0.1629074147091704*^-17}, { Rational[-29, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215270,7 +254474,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00766577797929457615664018625150372917`14.307226351320542}, { + 0``16.422670995579985}, { Rational[-1159, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215289,7 +254493,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00765092529760773633248307296235515071`14.30644122089406}, { + 6.985995865068318353477`0.266956463017928*^-17}, { Rational[-579, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215307,8 +254511,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00763607946574351457269396680775079317`14.305654848353646}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7883518801640699932611`0.8681328662767185*^-16}, { Rational[-1157, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215327,7 +254532,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00762124053288242400747605068225642695`14.304867232407599}, { + 0``16.422842440156938}, { Rational[-289, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215345,8 +254550,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00760640854809341643160015527767163966`14.304078371759134}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.721570289407418655616`0.8577191535856438*^-16}, { Rational[-231, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215364,8 +254570,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00759158356033387550409367791810538382`14.30328826510634}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1198739188111289527827`0.7492667932541061*^-16}, { Rational[-577, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215383,8 +254590,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00757676561844961029701906860631338979`14.302496911142205}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.451860180927022063082`0.15955863912310123*^-17}, { Rational[-1153, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215403,7 +254611,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00756195477117484919203911897672943938`14.301704308554593}, { + 0``16.423071103019545}, { Rational[-72, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215422,7 +254630,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00754715106713223412347065314088799991`14.30091045602621}, { + 1.3531738825805314592691`0.5544818882070016*^-16}, { Rational[-1151, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215440,8 +254648,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00753235455483281516653256813999499382`14.300115352234599}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4236621473159229139324`0.8076575446373367*^-16}, { Rational[-23, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215459,8 +254668,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00751756528267604546949850593459507793`14.299318995852142}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1237765876115896190621`0.7503514816709413*^-16}, { Rational[-1149, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215479,7 +254689,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0075027832989497765284687586101046099`14.29852138554605}, { + 7.752234252416762363969`0.3127267336168874*^-17}, { Rational[-287, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215498,7 +254708,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00748800865183025380348031380638956461`14.297722519978299}, { + 1.8991907593118910472295`0.7019256329691075*^-16}, { Rational[-1147, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215517,7 +254727,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00747324138938211267467823833684376305`14.296922397805691}, { + 1.5764053811349170173638`0.6210821564913998*^-16}, { Rational[-573, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215535,8 +254745,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00745848155955837473727587459423021354`14.296121017679775}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.617346036699955790087`0.9818615117205601*^-16}, { Rational[-229, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215554,8 +254765,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00744372921020044443403558669384245414`14.295318378246865}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.184638246373498283143`0.4971144194616543*^-16}, { Rational[-143, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215574,7 +254786,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00742898438903810602400604142579631152`14.294514478148034}, { + 0``16.423585888062956}, { Rational[-1143, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215592,8 +254804,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00741424714368952088625624302410211649`14.293709316019072}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.0038733889874620968674`0.9013247350795065*^-16}, { Rational[-571, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215612,7 +254825,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00739951752166122515735076055668290722`14.292902890490504}, { + 0``16.42370034007212}, { Rational[-1141, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215631,7 +254844,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00738479557034812770131479244428741707`14.292095200187532}, { + 8.91864733537574868128`0.374056564858454*^-17}, { Rational[-57, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215650,7 +254863,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00737008133703350841084190427282354326`14.291286243730063}, { + 0``16.42381481224186}, { Rational[-1139, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215668,8 +254881,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00735537486888901683850145371955185075`14.29047601973269}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0299879484542985028537`0.7313655155125945*^-16}, { Rational[-569, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215687,8 +254901,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.007340676212974671156706880113964567`14.289664526804637}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0730442914202659028804`0.454546953045125*^-16}, { Rational[-1137, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215706,8 +254921,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00732598541623885744521018594529596352`14.288851763549806}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.793654095347422003807`0.10465325096756158*^-17}, { Rational[-71, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215725,8 +254941,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0073113025255183293048920735553711416`14.288037728566707}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5966962321993453915287`0.6272661176026593*^-16}, { Rational[-227, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215745,7 +254962,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00729662758753820779662132236384412823`14.287222420448478}, { + 1.5445993697615126631785`0.6129169342869146*^-16}, { Rational[-567, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215763,8 +254980,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00728196064891198170396110030753171513`14.286405837782857}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.2124813770686626416635`0.7690379737322225*^-16}, { Rational[-1133, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215782,8 +255000,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00726730175614150811850399778200866683`14.285587979152162}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.0771412631329874028223`0.9123630578399522*^-16}, { Rational[-283, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215801,8 +255020,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00725265095561701334662165329642795312`14.2847688431333}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1175118425185806292474`0.9180810148032812*^-16}, { Rational[-1131, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215820,8 +255040,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00723800829361709413641890733710917789`14.283948428297704}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6198084344638928946727`0.6337938430217349*^-16}, { Rational[-113, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215839,8 +255060,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00722337381630871922368647462564791474`14.283126733211388}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.513111770621030788586`0.2381760072439625*^-17}, { Rational[-1129, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215859,7 +255081,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00720874756974723119565016509845252245`14.282303756434866}, { + 1.1062106596919666776869`0.4682826094724256*^-16}, { Rational[-141, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215878,7 +255100,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00719412959987634867131871057077628501`14.281479496523168}, { + 8.810233169429363727275`0.3694894721472626*^-17}, { Rational[-1127, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215897,7 +255119,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00717951995252816879723626722353042547`14.280653952025832}, { + 1.7386733501049920350842`0.6647773714488305*^-16}, { Rational[-563, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215916,7 +255138,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00716491867342317005744966381022194086`14.279827121486864}, { + 5.926449299621355205968`0.19741125685099928*^-17}, { Rational[-9, 80] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215935,7 +255157,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00715032580817021539650445186754450035`14.278999003444731}, { + 1.2055821202502889534622`0.5058707963931728*^-16}, { Rational[-281, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215953,8 +255175,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00713574140226655565428778727084948737`14.278169596432381}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.4782316604783589285064`0.9660898214914548*^-16}, { Rational[-1123, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215973,7 +255196,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0071211655010978333115401322483916812`14.277338898977149}, { + 0``16.424788642245208}, { Rational[-561, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -215992,7 +255215,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00710659814993808654486171349970324916`14.276506909600842}, { + 0``16.424845972004672}, { Rational[-1121, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216010,8 +255233,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00709203939394975359004360539683778833`14.275673626819612}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.4161111119209976205094`0.958435294894159*^-16}, { Rational[-14, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216029,8 +255253,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00707748927818367741255722742636174098`14.274839049144063}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.929634395423293076891`0.26567096880627433*^-17}, { Rational[-1119, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216049,7 +255274,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00706294784757911068403995209753710015`14.274003175079105}, { + 0``16.42501799180647}, { Rational[-559, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216068,7 +255293,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00704841514696372106361841354156504226`14.273166003124041}, { + 0``16.42507534192245}, { Rational[-1117, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216087,7 +255312,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00703389122105359678291498800097209599`14.272327531772515}, { + 1.6090833920051637363493`0.6317112494666995*^-16}, { Rational[-279, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216105,8 +255330,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00701937611445325253358678539965337373`14.27148775951247}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.185251129602201941624`0.281632009075558*^-17}, { Rational[-223, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216125,7 +255351,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00700486987165563565625034623603189313`14.27064668482618}, { + 8.115465368982003214059`0.3345608516793055*^-17}, { Rational[-557, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216143,8 +255369,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00699037253704213262964908019617139684`14.269804306190192}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6305842192946661565035`0.637648028287297*^-16}, { Rational[-1113, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216163,7 +255390,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00697588415488257585892431218334052127`14.26896062207534}, { + 1.6851235823643105513482`0.6519939253174223*^-16}, { Rational[-139, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216181,8 +255408,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00696140476933525076185461794753494032`14.268115630946701}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4706722693120616834085`0.8182346904498122*^-16}, { Rational[-1111, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216201,7 +255429,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0069469344244469031519319352150769391`14.267269331263599}, { + 0``16.425476935516624}, { Rational[-111, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216219,8 +255447,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00693247316415274691714672720657361237`14.26642172147958}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.6782783263555872403592`0.8533900333053985*^-16}, { Rational[-1109, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216238,8 +255467,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00691802103227647199335825373315861306`14.265572800042397}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.2058648748910363891734`0.7691706277604963*^-16}, { Rational[-277, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216257,8 +255487,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00690357807253025263112977071783140281`14.264722565394003}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.4256491236726}, { Rational[-1107, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216276,8 +255505,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00688914432851475595491223204246320323`14.263871015970516}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3251139883138864709739`0.5479597685060691*^-16}, { Rational[-553, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216295,8 +255525,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0068747198437191508134638081132606367`14.263018150202194}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3109897695397997883629`0.7895619642770781*^-16}, { Rational[-221, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216315,7 +255546,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00686030466152111692039626350914310583`14.262163966513459}, { + 1.0560855102961362419584`0.44952044194262103*^-16}, { Rational[-69, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216334,7 +255565,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00684589882518685428374295157074818416`14.261308463322845}, { + 9.084970973112555231594`0.3842023235930725*^-17}, { Rational[-1103, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216352,8 +255583,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00683150237787109292344688684237003814`14.260451639042985}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.769202389609621110968`0.18705198104082008*^-17}, { Rational[-551, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216371,8 +255603,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00681711536261710287567104693766618425`14.2595934920806}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.297353384541187394543`0.3943529766588164*^-17}, { Rational[-1101, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216391,7 +255624,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0068027378223567044828367337017582477`14.258734020836496}, { + 9.896267420177198161186`0.42152249768454564*^-17}, { Rational[-11, 100] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216409,8 +255642,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00678836979991027896829948952942170959`14.257873223705499}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3865154610817922189748`0.5680332342015875*^-16}, { Rational[-1099, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216428,8 +255662,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00677401133798677929457571841104573095`14.257011099076495}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.6058433464311264018544`0.9831728198832449*^-16}, { Rational[-549, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216447,8 +255682,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00675966247918374130403680275612616667`14.256147645332378}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.2473264936460084313952`0.7778895888806439*^-16}, { Rational[-1097, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216466,8 +255702,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00674532326598729514099113632821210635`14.255282860850048}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.0299058130730692012108`0.9077100031012841*^-16}, { Rational[-137, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216485,8 +255722,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00673099374077217695407811075567471397`14.25441674400036}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.488556239746026172225`0.40353847354163297*^-17}, { Rational[-219, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216504,8 +255742,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00671667394580174087790169809991566889`14.253549293148163}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7902792057304050505833`0.872043467934301*^-16}, { Rational[-547, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216523,8 +255762,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00670236392322797129283486490609371615`14.252680506652224}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.7382735408888605138733`0.666571397992319*^-16}, { Rational[-1093, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216543,7 +255783,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00668806371509149536192963407142739552`14.251810382865244}, { + 6.957725133987467879558`0.26897802443003677*^-17}, { Rational[-273, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216562,7 +255802,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00667377336332159584387117978223509779`14.250938920133851}, { + 0``16.426568239870782}, { Rational[-1091, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216580,8 +255820,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00665949290973622418091789773248927814`14.250066116798527}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.735154136351065609405`0.41496856042455843*^-17}, { Rational[-109, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216600,7 +255841,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00664522239604201386077293788379384983`14.249191971193644}, { + 1.4000636161783752092687`0.5728309916016809*^-16}, { Rational[-1089, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216619,7 +255860,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00663096186383429405133622019799497988`14.248316481647436}, { + 1.3027410863963894316331`0.5415988310870377*^-16}, { Rational[-68, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216637,8 +255878,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00661671135459710350728947510905885846`14.247439646481938}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.173980420868180008715`0.04734863227735948*^-17}, { Rational[-1087, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216656,8 +255898,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00660247090970320474747036003905201025`14.246561464013025}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4867533282663111736857`0.8224884416990432*^-16}, { Rational[-543, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216675,8 +255918,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00658824057041409850199520104308154349`14.245681932550363}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.717087117934083606359`0.8610168115239258*^-16}, { Rational[-217, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216695,7 +255939,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00657402037788003842809339472906127824`14.244801050397376}, { + 1.1079883184979996875215`0.4715059492061216*^-16}, { Rational[-271, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216713,8 +255957,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00655981037314004609361997997853733545`14.243918815851272}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8682657781852776206359`0.6984669508828352*^-16}, { Rational[-1083, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216733,7 +255978,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00654561059712192622721635173339920526`14.24303522720298}, { + 0``16.42708582195113}, { Rational[-541, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216751,8 +255996,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00653142109064228223409254024855651754`14.24215028273716}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.265947534541691734918`0.7823932069577169*^-16}, { Rational[-1081, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216771,7 +256017,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00651724189440653197640791878101402671`14.241263980732136}, { + 6.155621554528891207692`0.21647280875725117*^-17}, { Rational[-27, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216790,7 +256036,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.006503073049008923817230630729308509`14.240376319459953}, { + 1.2578497973396236700092`0.526887226496658*^-16}, { Rational[-1079, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216808,8 +256054,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00648891459493255292705944379246114026`14.239487297186301}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.6583185513586498907857`0.9905975122330365*^-16}, { Rational[-539, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216828,7 +256075,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00647476657254937785189514382216427659`14.23859691217049}, { + 0``16.427373548547315}, { Rational[-1077, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216846,8 +256093,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00646062902212023734185197473385808153`14.237705162665492}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2839144943123606912961`0.5359672111186878*^-16}, { Rational[-269, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216865,8 +256113,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00644650198379486743930301315953343163`14.23681204691783}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5393118861963996298551`0.9764075100727191*^-16}, { Rational[-43, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216884,8 +256133,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00643238549761191882555673750520926534`14.235917563167646}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.408760293112089916769`0.0718627333371218*^-17}, { Rational[-537, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216904,7 +256154,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00641827960349897442506541075628201298`14.235021709648622}, { + 1.4579476170839457889588`0.5913457435546202*^-16}, { Rational[-1073, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216923,7 +256173,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00640418434127256726616924479235299794`14.234124484587976}, { + 1.5394338844206161062669`0.61502244635356*^-16}, { Rational[-67, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216942,7 +256192,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0063900997506381985973836511664330389`14.233225886206473}, { + 1.3487837091336929825978`0.5576613034361887*^-16}, { Rational[-1071, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216960,8 +256210,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00637602587119035625824020930920493633`14.232325912718332}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.524442941990339772918`0.8299421427680704*^-16}, { Rational[-107, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216980,7 +256231,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00636196274241253330369529797411226692`14.231424562331284}, { + 0``16.42783418087468}, { Rational[-1069, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -216998,8 +256249,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0063479104036772468811236394806698364`14.230521833246513}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9532012663433646406732`0.7186387804927417*^-16}, { Rational[-267, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217017,8 +256269,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00633386889424605735891729897790930695`14.229617723658622}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2377956838387860017522`0.5205983549353373*^-16}, { Rational[-1067, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217036,8 +256289,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0063198382532695877057139625748493857`14.22871223175565}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4063514387144815603445`0.8093660585345588*^-16}, { Rational[-533, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217055,8 +256309,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00630581851978754311928158880580556279`14.227805355719024}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.4324600591108995681244`0.5841471433524666*^-16}, { Rational[-213, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217074,8 +256329,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00629180973272873090408978755280851515`14.226897093723535}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9762895325854556043572`0.9017974221004539*^-16}, { Rational[-133, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217094,7 +256350,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00627781193091108059660152927106882423`14.225987443937331}, { + 0``16.428179873617317}, { Rational[-1063, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217112,8 +256368,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00626382515304166433732202519271385615`14.2250764045219}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0694782893229992883423`0.45740948003251414*^-16}, { Rational[-531, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217131,8 +256388,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00624984943771671748864484615537819016`14.22416397363203}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9492937254640389556136`0.7181724310990606*^-16}, { Rational[-1061, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217150,8 +256408,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00623588482342165949753856385114154585`14.223250149415803}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5282126653773411544892`0.8311663930397046*^-16}, { Rational[-53, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217170,7 +256429,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00622193134853111500212040365438630195`14.222334930014549}, { + 1.2461099807631954088375`0.523966814294765*^-16}, { Rational[-1059, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217188,8 +256447,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00620798905130893518116659279937952023`14.221418313562868}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8158015979775981241243`0.6875364883201128*^-16}, { Rational[-529, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217208,7 +256468,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00619405796990821934561227157627686627`14.220500298188574}, { + 0``16.428525754187184}, { Rational[-1057, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217226,8 +256486,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00618013814237133677109700843280242096`14.21958088201267}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0477532406821637624543`0.44884243201725443*^-16}, { Rational[-66, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217246,7 +256507,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00616622960662994877061512244373320108`14.21866006314934}, { + 2.0714744982554816907351`0.7449206806396358*^-16}, { Rational[-211, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217264,8 +256525,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00615233240050503100633316857700775645`14.217737839705931}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.560734166952080885767`0.30726273373281277*^-17}, { Rational[-527, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217284,7 +256546,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00613844656170689603964008257861348884`14.216814209782932}, { + 1.0509200010588041212867`0.45032610341157703*^-16}, { Rational[-1053, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217302,8 +256564,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00612457212783521611849861315418235558`14.215889171473917}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.7919440235095152183607`0.6821385709368647*^-16}, { Rational[-263, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217321,8 +256584,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00611070913637904620116978947757813399`14.214962722865577}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0617514515380489412447`0.45489468646801434*^-16}, { Rational[-1051, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217340,8 +256604,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00609685762471684721538528194148694329`14.214034862037646}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3407476684290581723087`0.5562765699585392*^-16}, { Rational[-21, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217359,8 +256624,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00608301763011650955204561351623097496`14.213105587062916}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6990726981692534126069`0.6591991827126201*^-16}, { Rational[-1049, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217378,8 +256644,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00606918918973537679252526813576754388`14.212174896007177}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5059863293838150817773`0.9738551466394086*^-16}, { Rational[-131, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217397,8 +256664,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00605537234062026966866882121854552008`14.211242786929251}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.901107150710395271855`0.37854666959171335*^-17}, { Rational[-1047, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217417,7 +256685,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00604156711970751025456528579010157647`14.210309257880908}, { + 1.4910363744176074303711`0.6026485963849781*^-16}, { Rational[-523, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217436,7 +256704,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00602777356382294638919092573821779183`14.209374306906865}, { + 0``16.429218080766063}, { Rational[-209, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217454,8 +256722,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00601399170968197632901383553448572964`14.20843793204478}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.110467578962749590157`0.4747816922479376*^-16}, { Rational[-261, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217474,7 +256743,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0060002215938895736296566233323486747`14.207500131325213}, { + 6.344745338852443979336`0.23174773739715332*^-17}, { Rational[-1043, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217492,8 +256761,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00598646325294031225571656173507838004`14.206560902771596}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1417842500591537551312`0.48697532855694264*^-16}, { Rational[-521, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217512,7 +256782,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0059727167232183919178455877516002513`14.205620244400224}, { + 4.741787662054234970078`0.10539112666842458*^-17}, { Rational[-1041, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217531,7 +256801,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00595898204099766363619554055753534233`14.204678154220215}, { + 1.1778589125368850140229`0.5006000458971723*^-16}, { Rational[-13, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217549,8 +256819,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00594525924244165552933702268679389374`14.203734630233495}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1464996894875185275359`0.7612953577438287*^-16}, { Rational[-1039, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217569,7 +256840,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.005931548363603598827763257229324353`14.20278967043479}, { + 6.64700632872895786727`0.2522483796295052*^-17}, { Rational[-519, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217588,7 +256859,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00591784944042645411109329053633848428`14.201843272811562}, { + 1.0186983062077691216249`0.437725636288946*^-16}, { Rational[-1037, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217606,8 +256877,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00590416250874293776809185686946281945`14.20089543534402}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.097773542819663312501`0.33810344978459217*^-17}, { Rational[-259, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217626,7 +256898,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00589048760427554867862617840725282873`14.19994615600509}, { + 0``16.42979559781687}, { Rational[-207, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217645,7 +256917,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00587682476263659511668292107534274445`14.19899543276036}, { + 7.406636011665410569892`0.2994743809356548*^-17}, { Rational[-517, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217663,8 +256935,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00586317401932822187357146382764439344`14.19804326356812}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3511190167491671728164`0.8011857787660615*^-16}, { Rational[-1033, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217682,8 +256955,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00584953540974243760044256630857689648`14.197089646379244}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3876474090688582886872`0.5722480849294856*^-16}, { Rational[-129, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217701,8 +256975,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00583590896916114236925443730342956887`14.196134579137237}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8536675831929150820853`0.8854301341889421*^-16}, { Rational[-1031, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217721,7 +256996,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00582229473275615545132111406774572488`14.195178059778218}, { + 1.1500506851730758402618`0.4908015352861897*^-16}, { Rational[-103, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217739,8 +257014,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00580869273558924331258096055042320418`14.194220086230834}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.418431929958839555293`0.4041209647214061*^-17}, { Rational[-1029, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217758,8 +257034,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00579510301261214782472598072092416218`14.193260656416264}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.887688573845323296346`0.11930370024115994*^-17}, { Rational[-257, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217778,7 +257055,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00578152559866661469133552171145239699`14.192299768248219}, { + 0``16.430257991568297}, { Rational[-1027, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217796,8 +257073,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00576796052848442208816081032237966881`14.19133741963286}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7270674581185993699106`0.8660116956108854*^-16}, { Rational[-513, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217815,8 +257093,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00575440783668740951670962564548394081`14.190373608468846}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7015430547067342756405`0.8619855362662551*^-16}, { Rational[-41, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217835,7 +257114,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00574086755778750687028326016747010659`14.18940833264724}, { + 1.1588100987811618645929`0.494443747926487*^-16}, { Rational[-64, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217853,8 +257132,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00572733972618676371162076175702528457`14.188441590051513}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1737584566969401571018`0.7677006001205385*^-16}, { Rational[-1023, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217872,8 +257152,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00571382437617737876130827944480231303`14.187473378557515}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1568927748835661347596`0.7643757154615297*^-16}, { Rational[-511, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217891,8 +257172,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00570032154194172959611415690871885779`14.186503696033471}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.157004702421221224891`0.21997449465798305*^-17}, { Rational[-1021, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217910,8 +257192,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00568683125755240255641322910853529129`14.18553254033988}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.4148323306141817810679`0.5813678396601547*^-16}, { Rational[-51, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217929,8 +257212,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00567335355697222286186657960565490564`14.18455990932958}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.165311664669714362649`0.2206757600987345*^-17}, { Rational[-1019, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217948,8 +257232,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00565988847405428493452580878738071346`14.183585800847688}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0644563656305500417233`0.7455842980194064*^-16}, { Rational[-509, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217968,7 +257253,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00564643604254198292853364652189059517`14.182610212731545}, { + 1.3295814440280189042691`0.5545514061560746*^-16}, { Rational[-1017, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -217986,8 +257271,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00563299629606904146559551673077192596`14.181633142810707}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8911826223876211896808`0.8919698618241808*^-16}, { Rational[-127, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218006,7 +257292,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00561956926815954657539942601298528387`14.180654588906924}, { + 0``16.43095221842815}, { Rational[-203, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218024,8 +257310,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00560615499222797684016430381700855177`14.179674548834129}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.6479073103021036639816`0.853912883836158*^-16}, { Rational[-507, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218044,7 +257331,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00559275350157923474249966776904362782`14.178693020398365}, { + 0``16.43106799736412}, { Rational[-1013, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218062,8 +257349,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0055793648294086782157622246544121589`14.177710001397788}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.738534224871170929523`0.31978460249497753*^-17}, { Rational[-253, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218082,7 +257370,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00556598900880215239609774524809948943`14.176725489622656}, { + 1.0111595250266326455942`0.436003474848908*^-16}, { Rational[-1011, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218100,8 +257388,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00555262607273602157535926972890374408`14.175739482855246}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.011556811387488030851`0.2770561630248654*^-17}, { Rational[-101, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218119,8 +257408,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00553927605407720135409540982115291359`14.174751978869875}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.043381003568111888634`0.4497425446488517*^-16}, { Rational[-1009, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218139,7 +257429,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00552593898558319099380521411798460048`14.173762975432856}, { + 1.5409363571047709024484`0.6191422401288034*^-16}, { Rational[-63, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218158,7 +257448,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00551261489990210596765875428221419078`14.172772470302483}, { + 2.1719124304659716208734`0.7682577730895868*^-16}, { Rational[-1007, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218177,7 +257467,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00549930382957271070888527202409861508`14.171780461228945}, { + 9.815411466140025353862`0.42338190179538326*^-17}, { Rational[-503, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218195,8 +257485,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00548600580702445155603339995075635003`14.170786945954392}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0155742732600190190901`0.4382430172144881*^-16}, { Rational[-201, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218214,8 +257505,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00547272086457748989431063359951947715`14.16979192221282}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4433818979867921075987`0.8195806187443658*^-16}, { Rational[-251, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218233,8 +257525,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00545944903444273549221188723680873469`14.168795387730087}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.618812287983223086017`0.18129173576549612*^-17}, { Rational[-1003, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218253,7 +257546,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00544619034872188003264961235543768143`14.167797340223876}, { + 0``16.43170516313347}, { Rational[-501, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218271,8 +257564,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00543294483940743083780059526639651742`14.166797777403662}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.531563559380694917273`0.1746110263161766*^-17}, { Rational[-1001, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218290,8 +257584,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00541971253838274478688717878550378554`14.165796696970675}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1903490835651174867125`0.5074954229130434*^-16}, { Rational[-1, 10] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218310,7 +257605,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0054064934774220624261132727907680615`14.164794096617891}, { + 1.5649480605895414122949`0.6263789761789703*^-16}, { Rational[-999, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218329,7 +257624,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00539328768819054226997812940229159927`14.16378997402998}, { + 1.9214697946487075038585`0.7155705820615682*^-16}, { Rational[-499, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218347,8 +257642,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00538009520224429529319346074248207728`14.162784326883298}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.518724541088637522655`0.308139172110546*^-17}, { Rational[-997, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218367,7 +257663,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00536691605103041961243207069938714879`14.161777152845834}, { + 0``16.432052981034154}, { Rational[-249, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218385,8 +257681,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00535375026588703535713875686975138018`14.160768449577198}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.984093880964066441538`0.1296971836114363*^-17}, { Rational[-199, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218404,8 +257701,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0053405978780433197286368149294674117`14.159758214728562}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5244520156903032938431`0.6152827221382601*^-16}, { Rational[-497, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218423,8 +257721,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00532745891861954224676604509711978706`14.158746445942668}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5409286477580488058956`0.8372194321612207*^-16}, { Rational[-993, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218443,7 +257742,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00531433341862710018329071914965334278`14.1577331408538}, { + 0``16.432284966984547}, { Rational[-62, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218461,8 +257760,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00530122140896855418131851664714019007`14.156718297087679}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.7405076934323105437214`0.6730189242146526*^-16}, { Rational[-991, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218480,8 +257780,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0052881229204376640599739806546158381`14.155701912261545}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.304115999078391778973`0.4010761085401121*^-17}, { Rational[-99, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218500,7 +257801,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00527503798371942480357257634187590859`14.154683983984011}, { + 6.956373395374714468413`0.27484189837273637*^-17}, { Rational[-989, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218519,7 +257820,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00526196662939010273454396042526706087`14.153664509855128}, { + 0``16.43251703898359}, { Rational[-247, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218537,8 +257838,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00524890888791727186935558551781695725`14.152643487466293}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.6247610579632013797256`0.9918544538687504*^-16}, { Rational[-987, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218556,8 +257858,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00523586478965985045669027110314310798`14.151620914400226}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.7726178804963682004256`0.6812482330398305*^-16}, { Rational[-493, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218575,8 +257878,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00522283436486813769713387207369704718`14.150596788230976}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5483113697575137350569`0.6225494525072237*^-16}, { Rational[-197, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218595,7 +257899,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00520981764368385064363166660230962497`14.149571106523837}, { + 1.8737916630023780500989`0.7054704995023227*^-16}, { Rational[-123, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218613,8 +257917,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00519681465614016128197456757648839021`14.148543866835343}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.877851648061253997792`0.021398440804600943*^-17}, { Rational[-983, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218632,8 +257937,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00518382543216173379057873594509574277`14.147515066713254}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.537345878236646004733`0.08966719508753816*^-17}, { Rational[-491, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218652,7 +257958,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00517085000156476197882464013499114069`14.146484703696458}, { + 2.0941768915270559552491`0.7539367354729453*^-16}, { Rational[-981, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218670,8 +257976,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00515788839405700690322406321873816558`14.145452775315036}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.897659301376772440899`0.3304798363985138*^-17}, { Rational[-49, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218689,8 +257996,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0051449406392378346606860087813509746`14.144419279090119}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3568043420562490821117`0.5655567410932808*^-16}, { Rational[-979, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218708,8 +258016,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00513200676659825435815489747158508644`14.143384212533952}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8497070420840765405566`0.7002005463736118*^-16}, { Rational[-489, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218727,8 +258036,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00511908680552095625789687905988807276`14.142347573149792}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.7802009180487061506036`0.6836247025156548*^-16}, { Rational[-977, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218746,8 +258056,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00510618078528035009771250948693496371`14.141309358431915}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2277505988612832421897`0.5223239273584128*^-16}, { Rational[-61, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218765,8 +258076,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00509328873504260358535645890245374836`14.140269565865575}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.463361094387223351894`0.08293389251137896*^-17}, { Rational[-39, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218784,8 +258096,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00508041068386568106644732509017168854`14.139228192926945}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.7335131023586856002117`0.6722570992201166*^-16}, { Rational[-487, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218803,8 +258116,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00506754666069938236515302697845584619`14.138185237083105}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.4166816605264732512212`0.9669925943649027*^-16}, { Rational[-973, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218822,8 +258136,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00505469669438538179693964517543350254`14.137140695792016}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1387612440358452933151`0.9302044718617839*^-16}, { Rational[-243, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218841,8 +258156,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00504186081365726735267396066777881168`14.13609456650247}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.0141274034497999985521`0.9126659137789953*^-16}, { Rational[-971, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218861,7 +258177,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00502903904714058005337231901237478057`14.13504684665404}, { + 1.3002137207464669362906`0.5475771764084447*^-16}, { Rational[-97, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218880,7 +258196,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00501623142335285347489081555508923098`14.133997533677094}, { + 0``16.433620560523174}, { Rational[-969, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218898,8 +258214,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00500343797070365344185415745892547358`14.13294662499271}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.640258247009568247984`0.1849776839795322*^-17}, { Rational[-121, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218917,8 +258234,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00499065871749461789012291064063934308`14.131894118012674}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.230531655095163459141`0.29290706623391577*^-17}, { Rational[-967, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218937,7 +258255,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00497789369191949689710118412742763499`14.130840010139405}, { + 1.1925124916440042654884`0.510257916556704*^-16}, { Rational[-483, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218955,8 +258273,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00496514292206419287918914088027990269`14.12978429876598}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8101747563392540114957`0.691573634286099*^-16}, { Rational[-193, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218974,8 +258293,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00495240643590680095568705281368506831`14.128726981276042}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1855554866868483960955`0.7734731228904318*^-16}, { Rational[-241, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -218993,8 +258313,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00493968426131764947845993859991745141`14.127668055043776}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7539006466557270586807`0.8739177155488748*^-16}, { Rational[-963, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219012,8 +258333,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00492697642605934072667413590523572065`14.126607517433934}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8265993417151589974964`0.8852918678768016*^-16}, { Rational[-481, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219032,7 +258354,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00491428295778679176591946499222624349`14.125545365801676}, { + 0``16.43408578671607}, { Rational[-961, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219051,7 +258373,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00490160388404727547103293816232558558`14.124481597492649}, { + 8.935804005199477511984`0.3852775990314264*^-17}, { Rational[-12, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219070,7 +258392,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00488893923228046171194225933195802082`14.123416209842915}, { + 6.2534168352510915545`0.23031952619897417*^-17}, { Rational[-959, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219088,8 +258410,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00487628902981845870184964016010037957`14.122349200178867}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.372988521441486171313`0.30190389414611657*^-17}, { Rational[-479, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219107,8 +258430,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00486365330388585450707873360061510259`14.121280565817253}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.918066753306540739276`0.20649839000512463*^-17}, { Rational[-957, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219126,8 +258450,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00485103208159975871790975256479856299`14.120210304065113}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9062595468130623225484`0.8977111269315066*^-16}, { Rational[-239, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219145,8 +258470,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00483842538996984427973010057411576394`14.119138412219742}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5980787023540925300857`0.6380330988024834*^-16}, { Rational[-191, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219165,7 +258491,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00482583325589838948383009288544874774`14.118064887568655}, { + 8.777770910117095855926`0.37787738768083806*^-17}, { Rational[-477, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219184,7 +258510,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00481325570618032011717559060691602095`14.116989727389546}, { + 2.3332011761402720146963`0.8025035482654936*^-16}, { Rational[-953, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219202,8 +258528,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00480069276750325177049160681681681287`14.115912928950246}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3878557995180227233984`0.8126176796097067*^-16}, { Rational[-119, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219222,7 +258549,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0047881444664475323039931726766190413`14.114834489508702}, { + 1.3666265693807021520366`0.5703176697576315*^-16}, { Rational[-951, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219241,7 +258568,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00477561082948628447010197301676775544`14.113754406312921}, { + 1.5188709702922746279186`0.6162469239397556*^-16}, { Rational[-19, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219259,8 +258586,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00476309188298544869248947489603703534`14.112672676600942}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2981536044060497214602`0.9530551582437256*^-16}, { Rational[-949, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219279,7 +258607,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00475058765320382600078947921672953904`14.111589297600771}, { + 0``16.434842523452815}, { Rational[-237, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219297,8 +258625,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0047380981662931211203252246438531309`14.110504266530397}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2926565533922225882147`0.9524472054379313*^-16}, { Rational[-947, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219317,7 +258646,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00472562344829798571619836485157111179`14.109417580597675}, { + 0``16.434959026526187}, { Rational[-473, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219336,7 +258665,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0047131635251560617910893245293791023`14.108329237000373}, { + 1.2453740989433293618337`0.5303171153888541*^-16}, { Rational[-189, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219354,8 +258683,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0047007184226980252361207166484699093`14.107239232926057}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.040852161508379097066`0.744887097269016*^-16}, { Rational[-59, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219373,8 +258703,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00468828816664762953413767324012143355`14.106147565552089}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4033976679762649221808`0.8159594576956656*^-16}, { Rational[-943, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219393,7 +258724,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00467587278262174961476110439735661917`14.10505423204559}, { + 0``16.435192098474083}, { Rational[-471, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219411,8 +258742,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0046634722961304258605720554028771629`14.103959229563378}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.007584000330824803966`0.43853164279687856*^-16}, { Rational[-941, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219431,7 +258763,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00465108673257690826378747983495964172`14.10286255525194}, { + 0``16.43530866738474}, { Rational[-47, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219450,7 +258782,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00463871611725770073278988723287635009`14.101764206247408}, { + 0``16.43536696008214}, { Rational[-939, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219468,8 +258800,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00462636047536260554787545743854768071`14.100664179675457}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5588117848722760358999`0.6282189387477067*^-16}, { Rational[-469, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219487,8 +258820,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00461401983197476796558734009593099188`14.09956247265135}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1347245827861323317337`0.7648254132810078*^-16}, { Rational[-937, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219507,7 +258841,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00460169421207072097100297700799077999`14.098459082279826}, { + 1.8021724409654685375945`0.6913382152915435*^-16}, { Rational[-117, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219526,7 +258860,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00458938364052043017734639714717382098`14.097354005655085}, { + 9.798097282210069521665`0.42674193298593266*^-17}, { Rational[-187, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219545,7 +258879,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00457708814208733887229853911271890928`14.09624723986076}, { + 0``16.435658506080234}, { Rational[-467, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219564,7 +258898,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00456480774142841321038075375112162742`14.095138781969844}, { + 1.6267969145024682270522`0.6470501718177205*^-16}, { Rational[-933, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219582,8 +258916,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00455254246309418755078873052801064276`14.094028629044665}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.686942250498875678297`0.8650334954223077*^-16}, { Rational[-233, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219602,7 +258937,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00454029233152880994005617508457422407`14.092916778136848}, { + 1.5794209110171398630041`0.634331383401486*^-16}, { Rational[-931, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219621,7 +258956,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00452805737107008773892964225285219949`14.091803226287247}, { + 6.260159832899183467693`0.23247726368200733*^-17}, { Rational[-93, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219640,7 +258975,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00451583760594953339283799866533189756`14.090687970525948}, { + 1.424128791448282699873`0.5894994564122353*^-16}, { Rational[-929, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219659,7 +258994,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0045036330602924103453420519988835445`14.089571007872172}, { + 0``16.43600854310038}, { Rational[-58, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219678,7 +259013,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0044914437581177790939519398642068197`14.08845233533426}, { + 0``16.43606690191955}, { Rational[-927, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219696,8 +259031,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00447926972333854338770192041338954298`14.08733194990964}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2372880549338099907032`0.9463066110483429*^-16}, { Rational[-463, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219715,8 +259051,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00446711097976149656587424891255254765`14.08620984858474}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3946399334600644241766`0.815423856738052*^-16}, { Rational[-37, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219734,8 +259071,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00445496755108736803726585983757497255`14.085086028334986}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.436242011535}, { Rational[-231, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219753,8 +259089,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00444283946091086989939360252118044816`14.083960486124754}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7676166642767229315532`0.8784063293650815*^-16}, { Rational[-923, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219772,8 +259109,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00443072673272074369703580003243347336`14.082833218907291}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9791924213894755106623`0.7328467982454713*^-16}, { Rational[-461, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219791,8 +259129,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00441862938989980731950991582781698864`14.081704223624708}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8564339627315764722018`0.7050966760017838*^-16}, { Rational[-921, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219810,8 +259149,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00440654745572500203608812079927505755`14.080573497207896}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.058408612421162056946`0.1404894767085631*^-17}, { Rational[-23, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219830,7 +259170,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00439448095336743966895455468183736776`14.079441036576526}, { + 7.081573448161724481036`0.28666373545115753*^-17}, { Rational[-919, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219848,8 +259188,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00438242990589244990311007039414086174`14.078306838638966}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7537483037757740836499`0.8765166228268433*^-16}, { Rational[-459, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219867,8 +259208,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00437039433625962773263223779218640671`14.077170900292254}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.58624066834470814664`0.8493197310073136*^-16}, { Rational[-917, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219886,8 +259228,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00435837426732288104270036454236559382`14.076033218422037}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1315647101506670363414`0.4903886088190214*^-16}, { Rational[-229, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219905,8 +259248,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00434636972183047832679726638671554989`14.074893789902525}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.062396293687773327486`0.7511397588750878*^-16}, { Rational[-183, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219924,8 +259268,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00433438072242509653850148700375132935`14.07375261159647}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9140063154377796697862`0.7187694365867079*^-16}, { Rational[-457, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219944,7 +259289,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00432240729164386907728562898467676209`14.07260968035509}, { + 5.907157988403035348663`0.20826309284811934*^-17}, { Rational[-913, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219962,8 +259307,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0043104494519184339077384121691690134`14.071464993018038}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9648978357657108063426`0.9089526815205404*^-16}, { Rational[-57, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -219982,7 +259328,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00429850722557498181163002373971760879`14.070318546413326}, { + 0``16.437001395937422}, { Rational[-911, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220000,8 +259346,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00428658063483430477224226608049547497`14.069170337357319}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.7715928489587456930614`0.6854237675705891*^-16}, { Rational[-91, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220019,8 +259366,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00427466970181184449038694348837404013`14.068020362654645}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.157381580247968711751`0.22651437576729158*^-17}, { Rational[-909, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220038,8 +259386,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00426277444851774103153785740129375554`14.06686861909819}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3545581037885583996784`0.8090861838359897*^-16}, { Rational[-227, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220057,8 +259406,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00425089489685688160350370190527979936`14.065715103469008}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.0504721664721546181483`0.921602308217079*^-16}, { Rational[-907, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220076,8 +259426,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00423903106862894946407106691728386701`14.064559812536293}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0327395588214941227008`0.7453754558194137*^-16}, { Rational[-453, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220096,7 +259447,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00422718298552847295804866563864807002`14.063402743057324}, { + 3.654531606815180154358`0.0001839200233149036*^-17}, { Rational[-181, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220114,8 +259465,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00421535066914487468314580565501822972`14.062243891777419}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2142330748897624277653`0.9444880499094803*^-16}, { Rational[-113, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220133,8 +259485,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00420353414096252078412001944432648737`14.061083255429875}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.625513102817992783218`0.42089306575422014*^-17}, { Rational[-903, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220152,8 +259505,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00419173342236077037463066006695078492`14.059920830735935}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.4523693869427668957953`0.5996047601103984*^-16}, { Rational[-451, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220172,7 +259526,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00417994853461402508623715147222355212`14.058756614404723}, { + 1.1329574101191874878858`0.4917997613046555*^-16}, { Rational[-901, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220191,7 +259545,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00416817949889177874398246018494890299`14.057590603133182}, { + 2.0850054414273382693276`0.7567518785459532*^-16}, { Rational[-9, 100] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220210,7 +259564,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00415642633625866716800422615554425665`14.056422793606071}, { + 2.0472034946327134201721`0.7488642144172404*^-16}, { Rational[-899, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220228,8 +259582,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00414468906767451810061785528920037186`14.055253182495846}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.907068723355335233761`0.02961277045205688*^-17}, { Rational[-449, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220248,7 +259603,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00413296771399440125831773463384107697`14.05408176646266}, { + 0``16.437820245686737}, { Rational[-897, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220267,7 +259622,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00412126229596867850814458342553851944`14.052908542154292}, { + 1.5182494127081987570768`0.6192218986255194*^-16}, { Rational[-56, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220286,7 +259641,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00410957283424305416786879918339093662`14.05173350620609}, { + 1.97596384140208225768`0.7337163066327802*^-16}, { Rational[-179, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220305,7 +259660,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00409789934935862542944149783553171134`14.050556655240916}, { + 4.547652902764300967519`0.09578316587031038*^-17}, { Rational[-447, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220324,7 +259679,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00408624186175193290516678046413453837`14.049377985869118}, { + 0``16.438054403819436}, { Rational[-893, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220342,8 +259697,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00407460039175501129605058670135784053`14.048197494688441}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3653638919438421433653`0.5733613707802973*^-16}, { Rational[-223, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220361,8 +259717,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00406297495959544018178331611029861846`14.047015178284017}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1148146993898337956706`0.48537420295553063*^-16}, { Rational[-891, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220380,8 +259737,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00405136558539639493181521406643998897`14.045831033228243}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5032148118748743435421`0.8367282011553113*^-16}, { Rational[-89, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220399,8 +259757,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00403977228917669773698532773588781294`14.044645056080821}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5681470442319739128757`0.9907313950348886*^-16}, { Rational[-889, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220419,7 +259778,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0040281950908508687611666407477294183`14.043457243388605}, { + 4.631258622189850889831`0.10404626140502903*^-17}, { Rational[-111, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220437,8 +259796,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00401663401022917741239179209949064738`14.042267591685619}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.1938055635665220778`0.06101410061366398*^-17}, { Rational[-887, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220456,8 +259816,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00400508906701769373292557573725574762`14.04107609749296}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6629587880650041129857`0.6593458828393998*^-16}, { Rational[-443, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220476,7 +259837,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00399356028081833990775220213587473383`14.039882757318779}, { + 1.318092601792501075894`0.5584689115304208*^-16}, { Rational[-177, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220495,7 +259856,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00398204767112894189094708209021630086`14.03868756765817}, { + 1.4583699307241950232228`0.6024492888077072*^-16}, { Rational[-221, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220514,7 +259875,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.003970551257343281149404665835610659`14.037490524993181}, { + 6.846352546337629702643`0.2740994505339784*^-17}, { Rational[-883, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220533,7 +259894,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00395907105875114652339563756468852852`14.036291625792696}, { + 0``16.43869880125162}, { Rational[-441, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220552,7 +259913,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00394760709453838620342852641888666585`14.035090866512434}, { + 0``16.438757416549915}, { Rational[-881, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220571,7 +259932,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00393615938378695982289255012579287607`14.033888243594829}, { + 9.488418344265839181141`0.41600986195841244*^-17}, { Rational[-11, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220589,8 +259950,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00392472794547499066596025664825579245`14.032683753469035}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1160411829082942667402`0.4865548847832487*^-16}, { Rational[-879, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220609,7 +259971,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00391331279847681799023027252763810864`14.031477392550824}, { + 4.111506685963340603461`0.052934297053720714*^-17}, { Rational[-439, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220627,8 +259989,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00390191396156304946359220406154615009`14.030269157242556}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2268022166493942050135`0.9477642806621268*^-16}, { Rational[-877, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220646,8 +260009,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00389053145340061371479746907546051761`14.029059043933097}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1935011269554611936307`0.5158734109399219*^-16}, { Rational[-219, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220666,7 +260030,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00387916529255281299722156284767520874`14.027847048997769}, { + 0``16.439109226621774}, { Rational[-7, 80] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220684,8 +260048,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00386781549747937596530498174740980164`14.026633168798314}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1260600859549065910747`0.766743415605613*^-16}, { Rational[-437, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220704,7 +260069,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00385648208653651056316174236635243279`14.025417399682798}, { + 7.026606718329348256795`0.2859721883610427*^-17}, { Rational[-873, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220723,7 +260088,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00384516507797695702484614238367264073`14.024199737985551}, { + 7.554416929374503878641`0.31748615772676997*^-17}, { Rational[-109, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220741,8 +260106,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00383386448995004098577011212332382091`14.022980180027158}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6188166821076405943437`0.6485415506863667*^-16}, { Rational[-871, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220760,8 +260126,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00382258034050172670476520275917481402`14.021758722114328}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.594742690114067676353`0.1871826743485564*^-17}, { Rational[-87, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220779,8 +260146,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00381131264757467039628494841779339412`14.020535360539888}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8166929818345567667018`0.6987427782620715*^-16}, { Rational[-869, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220799,7 +260167,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00380006142900827367224502503968368123`14.019310091582703}, { + 0``16.43951992846335}, { Rational[-217, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220817,8 +260185,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00378882670253873709300030880627521973`14.01808291150759}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2488654605398994338952`0.5360942774026*^-16}, { Rational[-867, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220837,7 +260206,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00377760848579911382695961124162025178`14.016853816565298}, { + 2.1427852120751431594592`0.7706159632662282*^-16}, { Rational[-433, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220856,7 +260225,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00376640679631936341834053677301218206`14.015622802992418}, { + 0``16.439696028364537}, { Rational[-173, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220874,8 +260243,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0037552216515264056625685716029833927`14.014389867011332}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8151450446018864455874`0.8892555155588198*^-16}, { Rational[-54, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220894,7 +260264,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00374405306874417458882617022491975044`14.013155004830148}, { + 1.4161954666042206394019`0.5909366564482905*^-16}, { Rational[-863, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220912,8 +260282,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00373290106519367254925925782491579918`14.011918212642627}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9942263769043209988895`0.7396466354619782*^-16}, { Rational[-431, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220932,7 +260303,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00372176565799302441435021317221731184`14.01067948662813}, { + 1.6800184701478522561229`0.6652449639436582*^-16}, { Rational[-861, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220950,8 +260321,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00371064686415753187396803742804433428`14.009438822951541}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.471400432139465496524`0.17808814192090214*^-17}, { Rational[-43, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220970,7 +260342,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00369954470059972784360804961689206093`14.00819621776323}, { + 7.306511353149559695235`0.303758444631729*^-17}, { Rational[-859, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -220989,7 +260361,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00368845918412943097533507932379379012`14.006951667198956}, { + 4.430676639619642489219`0.0865771819461268*^-17}, { Rational[-429, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221007,8 +260379,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0036773903314538002729457515239987294`14.005705167379821}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.241004355828716384277`0.4058850524741997*^-17}, { Rational[-857, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221026,8 +260399,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00366633815917738981086707733677153692`14.004456714412175}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2753447650061670605124`0.9554816550805513*^-16}, { Rational[-107, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221045,8 +260419,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00365530268380220355631017794071282828`14.003206304387612}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2515071012404337287739`0.952368103432326*^-16}, { Rational[-171, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221064,8 +260439,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00364428392172775029419957691248304303`14.001953933382833}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8079236339418089390753`0.6975222467426129*^-16}, { Rational[-427, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221083,8 +260459,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00363328188925109865440009887257639666`14.000699597459628}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.816538718410678484404`0.4323593222872451*^-17}, { Rational[-853, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221103,7 +260480,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00362229660256693224076500955857205721`13.999443292664765}, { + 0``16.440459717867306}, { Rational[-213, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221121,8 +260498,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00361132807776760486153062431690930176`13.998185015029982}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3394151533250841699915`0.8096258016895816*^-16}, { Rational[-851, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221141,7 +260519,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00360037633084319586058419852593029172`13.996924760571863}, { + 1.3827337126392568955013`0.5813158454222864*^-16}, { Rational[-17, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221159,8 +260537,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00358944137768156554913349465467356531`13.995662525291783}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6635001659906706324931`0.6616589389284944*^-16}, { Rational[-849, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221178,8 +260557,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00357852323406841073730799654063036724`13.99439830517587}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.953288167753226330085`0.21545179762763833*^-17}, { Rational[-53, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221198,7 +260578,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00356762191568732036522331205438641038`13.9931320961949}, { + 0``16.44075370057001}, { Rational[-847, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221216,8 +260596,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00355673743811983123304187062643920864`13.991863894304233}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.847265077803760047014`0.38762155382188934*^-17}, { Rational[-423, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221235,8 +260616,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00354586981684548382956458216048387834`13.99059369544376}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0946937105870570189022`0.48016395648628446*^-16}, { Rational[-169, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221255,7 +260637,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00353501906724187825888967866468396271`13.98932149553782}, { + 1.2614597806566940431206`0.5418035670277491*^-16}, { Rational[-211, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221273,8 +260655,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00352418520458473026467650951646688329`13.98804729049512}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2918973475146605536046`0.5522169959735893*^-16}, { Rational[-843, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221293,7 +260676,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00351336824404792735155360565432396987`13.986771076208672}, { + 5.414444700637265263072`0.17460174840355075*^-17}, { Rational[-421, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221311,8 +260694,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00350256820070358500321186717940180463`13.985492848555744}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.839342352112343031853`0.7057692390110674*^-16}, { Rational[-841, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221330,8 +260714,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00349178508952210299672526286848205729`13.984212603397747}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4437370496332078295302`0.8292199892927098*^-16}, { Rational[-21, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221349,8 +260734,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00348101892537222181264295896475234769`13.982930336580184}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8198080718922454787837`0.7012499567458672*^-16}, { Rational[-839, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221368,8 +260754,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00347026972302107914039831834174483478`13.981646043932574}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8860754936590847015084`0.7168423012654689*^-16}, { Rational[-419, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221387,8 +260774,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00345953749713426647858172974592081776`13.980359721268389}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3911255964655845724108`0.8199444826805034*^-16}, { Rational[-837, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221407,7 +260795,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00344882226227588582962574033170986736`13.979071364384941}, { + 1.299424835052995235375`0.5551521278990792*^-16}, { Rational[-209, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221426,7 +260814,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00343812403290860648845247312710741492`13.977780969063366}, { + 6.159846818994729583916`0.2310297539734695*^-17}, { Rational[-167, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221444,8 +260832,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00342744282339372192463481442452522799`13.976488531068506}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1189450558498253539113`0.49032748551656213*^-16}, { Rational[-417, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221464,7 +260853,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00341677864799120675762435439853821092`13.975194046148838}, { + 2.393040321997487520478`0.820527628286992*^-16}, { Rational[-833, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221483,7 +260872,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00340613152085977382460055752549890772`13.973897510036405}, { + 0``16.441636505646677}, { Rational[-52, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221502,7 +260891,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00339550145605693134049712763744625749`13.97259891844676}, { + 1.8010743768857968972084`0.6972270528970191*^-16}, { Rational[-831, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221520,8 +260909,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00338488846753904014976301570090633577`13.971298267078845}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.4735258496126878138533`0.9825248455915183*^-16}, { Rational[-83, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221539,8 +260929,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00337429256916137106941699668670253944`13.96999555161494}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.3545885658044346570291`0.9674524837264398*^-16}, { Rational[-829, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221558,8 +260949,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00336371377467816232295621520722749369`13.968690767720599}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1020872517309967185356`0.933526147075869*^-16}, { Rational[-207, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221578,7 +260970,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00335315209774267706468056795860498132`13.967383911044546}, { + 0``16.441931060604624}, { Rational[-827, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221597,7 +260989,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00334260755190726099399625443435559151`13.966074977218595}, { + 5.08746711760965020764`0.14849160405835912*^-17}, { Rational[-413, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221615,8 +261007,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00333208015062340005926328589067073045`13.964763961857601}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0292882070212687093073`0.454585919741535*^-16}, { Rational[-33, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221635,7 +261028,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0033215699072417782507531961577467026`13.963450860559336}, { + 1.1093998972918633516601`0.4871959839072988*^-16}, { Rational[-103, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221654,7 +261047,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00331107683501233548228464662390346813`13.96213566890444}, { + 1.1270399980892994979877`0.49410613728750374*^-16}, { Rational[-823, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221672,8 +261065,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00330060094708432556110606158501100331`13.960818382456356}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.016714203909394628832`0.3462221605963636*^-17}, { Rational[-411, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221691,8 +261085,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00329014225650637424559586916837957313`13.959498996761196}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9253148683831839227249`0.726786481068473*^-16}, { Rational[-821, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221710,8 +261105,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00327970077622653739035235722337717572`13.95817750734769}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9510334688714093716175`0.9123178141829921*^-16}, { Rational[-41, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221729,8 +261125,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00326927651909235917824658293737209194`13.956853909727132}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4511191736779851623207`0.8317670749089043*^-16}, { Rational[-819, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221748,8 +261145,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00325886949785093043901319950106059661`13.955528199393225}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3228386204543650503439`0.5639684877359249*^-16}, { Rational[-409, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221768,7 +261166,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00324847972514894705395548292853261119`13.954200371822074}, { + 1.4308555192084331732667`0.5981163851581237*^-16}, { Rational[-817, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221787,7 +261185,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00323810721353276844634225714979859494`13.952870422472047}, { + 1.6655321165881082706191`0.6641325996279832*^-16}, { Rational[-51, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221805,8 +261203,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00322775197544847615707582575424517434`13.95153834678373}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.144372688474364443567`0.35349621849736873*^-17}, { Rational[-163, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221824,8 +261223,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00321741402324193250521142428739787823`13.950204140179805}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2030125107734890228908`0.9482562120458992*^-16}, { Rational[-407, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221844,7 +261244,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00320709336915883933291010780725032908`13.948867798064988}, { + 1.3485367537848547368026`0.5726193677110134*^-16}, { Rational[-813, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221862,8 +261262,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00319679002534479683440838450609149483`13.947529315825953}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4234796599082689872896`0.8272549683090943*^-16}, { Rational[-203, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221881,8 +261282,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0031865040038453624685892976144493953`13.946188688831215}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.946398232017529716407`0.39452283007250133*^-17}, { Rational[-811, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221901,7 +261303,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00317623531660610995474104454230472719`13.944845912431061}, { + 0``16.442933624563732}, { Rational[-81, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221920,7 +261322,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00316598397547268835109060429398987036`13.943500981957468}, { + 8.459129158409089580377`0.37031830695530493*^-17}, { Rational[-809, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221938,8 +261340,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0031557499921908812157012216333251994`13.942153892723997}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9793226217477875236688`0.7395682713038326*^-16}, { Rational[-101, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221957,8 +261360,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00314553337840666584932396929016872928`13.940804640025714}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2643313905168473210831`0.5449716416100736*^-16}, { Rational[-807, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221976,8 +261380,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00313533414566627261979497770414030627`13.939453219139118}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8151742469711066448644`0.8926750456543225*^-16}, { Rational[-403, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -221995,8 +261400,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00312515230541624436757128541152459468`13.938099625322012}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6068849088069389588964`0.6492135862913344*^-16}, { Rational[-161, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222015,7 +261421,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00311498786900349589199962221258599922`13.936743853813452}, { + 6.052535896415594894206`0.2252252433968418*^-17}, { Rational[-201, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222033,8 +261439,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00310484084767537351791379172443887391`13.935385899833625}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9854095401441506646005`0.918350847000002*^-16}, { Rational[-803, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222053,7 +261460,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00309471125257971474215766984433905762`13.934025758583784}, { + 0``16.443405997623756}, { Rational[-401, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222071,8 +261478,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0030845990947649079596321810352314123`13.932663425246135}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.58836522146734996685`0.19074985157436528*^-17}, { Rational[-801, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222091,7 +261499,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00307450438517995226846595521512158985`13.931298894983755}, { + 1.4788582760081565109164`0.6134507049998505*^-16}, { Rational[-2, 25] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222110,7 +261518,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00306442713467451735391070439912001516`13.92993216294049}, { + 5.077394983433859829398`0.14922418287052944*^-17}, { Rational[-799, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222128,8 +261536,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00305436735399900345056369012339191242`13.92856322424088}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2370999423720571228322`0.9537984314982613*^-16}, { Rational[-399, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222147,8 +261556,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00304432505380460138252098008891459491`13.92719207399006}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.6660925511749241995685`0.8695766409655029*^-16}, { Rational[-797, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222166,8 +261576,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00303430024464335268106651541450467433`13.92581870727362}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.589820087798201457697`0.10555618362455513*^-17}, { Rational[-199, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222185,8 +261596,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00302429293696820977950332839871569281`13.924443119157573}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.827230504019850688593`0.20928182644299193*^-17}, { Rational[-159, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222204,8 +261616,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00301430314113309628473456477341576452`13.923065304688242}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.185016223421316297859`0.15862886195628978*^-17}, { Rational[-397, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222224,7 +261637,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00300433086739296732520327410322620911`13.921685258892127}, { + 0``16.443937861647026}, { Rational[-793, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222242,8 +261655,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00299437612590386997480123725965557126`13.920302976775858}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5172937269888256271096`0.6250666490632827*^-16}, { Rational[-99, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222262,7 +261676,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00298443892672300375235840079096228933`13.918918453326055}, { + 0``16.444056117700875}, { Rational[-791, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222281,7 +261695,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00297451927980878119632578453407203843`13.917531683509251}, { + 1.4995900640557004665167`0.620087808697445*^-16}, { Rational[-79, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222299,8 +261713,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00296461719502088851426602098725814967`13.916142662271794}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.157786192168807848493`0.2989531186673724*^-17}, { Rational[-789, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222318,8 +261733,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00295473268212034630676697279701642703`13.91475138453974}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.078459843440391377637`0.9325670383985035*^-16}, { Rational[-197, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222337,8 +261753,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00294486575076957036539515822396311469`13.913357845218746}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.351026352333854328024`0.3660325539538345*^-17}, { Rational[-787, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222357,7 +261774,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00293501641053243254430699365526273403`13.911962039193993}, { + 0``16.444351859942874}, { Rational[-393, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222376,7 +261793,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00292518467087432170513713713984664371`13.910563961330057}, { + 1.527504661378271954084`0.628393570136016*^-16}, { Rational[-157, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222394,8 +261811,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00291537054116220473478448755154492529`13.909163606470816}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.911825719563584223781`0.4406238549302791*^-17}, { Rational[-49, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222413,8 +261831,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00290557403066468763571766034923906075`13.907760969439346}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0867628940101317000891`0.7640024810621461*^-16}, { Rational[-783, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222433,7 +261852,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00289579514855207668842302301609653156`13.906356045037827}, { + 4.539771724117852108494`0.1016225744446265*^-17}, { Rational[-391, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222452,7 +261871,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00288603390389643968561963113658042008`13.904948828047441}, { + 5.216660467889352365946`0.16204031938547078*^-17}, { Rational[-781, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222470,8 +261889,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00287629030567166723786665972443746202`13.903539313228226}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.689654197640982341294`0.33061375359933354*^-17}, { Rational[-39, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222489,8 +261909,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00286656436275353415019017386145917251`13.90212749531901}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0675765534126978874379`0.4731651716373412*^-16}, { Rational[-779, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222509,7 +261930,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00285685608391976086935732795965316856`13.900713369037327}, { + 5.790929293418092147315`0.20757361380486528*^-17}, { Rational[-389, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222527,8 +261948,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00284716547785007500142732403293226233`13.899296929079235}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.270576657495331379089`0.8010207331345546*^-16}, { Rational[-777, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222546,8 +261968,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00283749255312627289920969627231325878`13.897878170119258}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2666254105993498988101`0.5475919794382901*^-16}, { Rational[-97, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222566,7 +261989,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00282783731823228131926172197529742084`13.896457086810303}, { + 1.3767528134208026812925`0.583858980171141*^-16}, { Rational[-31, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222585,7 +262008,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00281819978155421914805798749923100138`13.895033673783487}, { + 0``16.44506223792994}, { Rational[-387, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222604,7 +262027,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00280857995138045919696636240445171941`13.893607925648055}, { + 1.2888965826074921926758`0.5553395464015516*^-16}, { Rational[-773, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222622,8 +262045,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00279897783590169006566585533935105921`13.892179836991296}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6198176965922442718926`0.6546468556951733*^-16}, { Rational[-193, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222641,8 +262065,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00278939344321097807364304151030663852`13.890749402378372}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1581575742954154925946`0.9446737594358053*^-16}, { Rational[-771, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222660,8 +262085,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00277982678130382925940496378854871864`13.889316616352266}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.883098945328285476479`0.3419961959494702*^-17}, { Rational[-77, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222680,7 +262106,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00277027785807825144704761764702800868`13.887881473433655}, { + 1.4980144558173169291226`0.6208744823704652*^-16}, { Rational[-769, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222698,8 +262124,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00276074668133481637982033420723027642`13.886443968120735}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3970705656712933496748`0.8250985628249324*^-16}, { Rational[-48, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222717,8 +262144,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00275123325877672192032757572211851282`13.885004094889217}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9283022015363795748794`0.7306521123458284*^-16}, { Rational[-767, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222736,8 +262164,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00274173759800985431701085384074288805`13.88356184819211}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7529438732974287345661`0.8853336498326259*^-16}, { Rational[-383, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222756,7 +262185,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00273225970654285053655467300609269757`13.882117222459664}, { + 5.949031998558063453848`0.22004188092555144*^-17}, { Rational[-153, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222774,8 +262203,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00272279959178716066186158934389971557`13.880670212099215}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.038309623303380005001`0.22657024358606245*^-17}, { Rational[-191, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222794,7 +262224,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00271335726105711035524265942028618937`13.879220811495125}, { + 9.978023637023037624344`0.44475868874939184*^-17}, { Rational[-763, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222812,8 +262242,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00270393272156996338647073329308259096`13.877769015008585}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2242459909271559965125`0.5336421518418649*^-16}, { Rational[-381, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222832,7 +262263,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00269452598044598422534522236958915461`13.87631481697757}, { + 0``16.44583276824842}, { Rational[-761, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222850,8 +262281,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00268513704470850069841814472516190423`13.87485821171666}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1198455517270983536931`0.9400251758096332*^-16}, { Rational[-19, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222869,8 +262301,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00267576592128396670953241874618929608`13.87339919351696}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.6897612269746756372811`0.8756651286785965*^-16}, { Rational[-759, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222888,8 +262321,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00266641261700202502382454025044236189`13.871937756645968}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0935270985469302801563`0.4848402740689679*^-16}, { Rational[-379, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222907,8 +262341,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00265707713859557011484493862144243015`13.870473895347432}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0644625116509496303309`0.4732004259043343*^-16}, { Rational[-757, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222926,8 +262361,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0026477594927008110744504639837314551`13.869007603841272}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1930423566500047622017`0.9503340709385234*^-16}, { Rational[-189, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222946,7 +262382,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00263845968585733458512461005657391033`13.867538876323401}, { + 1.2973788778167548140699`0.5592555567079451*^-16}, { Rational[-151, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222965,7 +262401,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00262917772450816795438222606754423664`13.866067706965627}, { + 0``16.446248081911126}, { Rational[-377, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -222983,8 +262419,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00261991361499984221091661599739152583`13.864594089915547}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1684894468144235675856`0.7824647490315808*^-16}, { Rational[-753, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223003,7 +262440,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00261066736358245526214806447728518181`13.863118019296397}, { + 7.515284070811746083063`0.3223121973362957*^-17}, { Rational[-47, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223021,8 +262458,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00260143897640973511283396588107169489`13.861639489206906}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4227311526890505565537`0.8307313859027592*^-16}, { Rational[-751, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223040,8 +262478,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00259222845953910314440186656233026424`13.860158493721213}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.6227660108855477421392`0.86524508101148*^-16}, { Rational[-3, 40] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223060,7 +262499,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00258303581893173745466785979104003077`13.858675026888722}, { + 7.461412771415350369425`0.3193659781543768*^-17}, { Rational[-749, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223078,8 +262517,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00257386106045263625760389876064568817`13.857189082733937}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.632756422673480024644`0.19732526720630608*^-17}, { Rational[-187, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223097,8 +262537,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00256470418987068134281871507627449423`13.855700655256394}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1955399187408580225567`0.5242277671462926*^-16}, { Rational[-747, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223117,7 +262558,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00255556521285870159441814841084393425`13.854209738430464}, { + 1.2165345154565445395289`0.5318475163552753*^-16}, { Rational[-373, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223136,7 +262577,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00254644413499353656891180754165462925`13.852716326205265}, { + 0``16.44678248271717}, { Rational[-149, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223155,7 +262596,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00253734096175610013183409376704540787`13.851220412504528}, { + 0``16.44684189023904}, { Rational[-93, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223173,8 +262614,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00252825569853144415274872476492608594`13.849721991226431}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.728775322455813991609`0.3878546186532008*^-17}, { Rational[-743, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223192,8 +262634,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00251918835060882225830700030366374461`13.8482210562435}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6330491763393799002264`0.659959986033751*^-16}, { Rational[-371, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223211,8 +262654,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0025101389231817536430311508646582873`13.846717601402442}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5880927653837852076065`0.6478960157579711*^-16}, { Rational[-741, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223230,8 +262674,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00250110742134808693749520619641930215`13.845211620524022}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.479124910188674721942`0.18579078110501182*^-17}, { Rational[-37, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223249,8 +262694,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00249209385011006413357691310551960008`13.843703107402936}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.863814769583151738919`0.9040839415300247*^-16}, { Rational[-739, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223269,7 +262715,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00248309821437438456645532041193111896`13.842192055807658}, { + 4.663887668612697240391`0.11594654191464836*^-17}, { Rational[-369, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223287,8 +262733,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00247412051895226895302973396808017767`13.840678459480294}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.693825155830072054221`0.2026620361577131*^-17}, { Rational[-737, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223306,8 +262753,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00246516076855952348643682597435005472`13.839162312136457}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3584040297598516218355`0.8199355726685973*^-16}, { Rational[-46, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223325,8 +262773,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0024562189678166039863437605310860669`13.837643607465138}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.4953019635370638584006`0.9908615264249657*^-16}, { Rational[-147, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223344,8 +262793,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00244729512124868010469627146101599619`13.836122339128499}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6159999113579947517381`0.6558776251086578*^-16}, { Rational[-367, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223364,7 +262814,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00243838923328569958660169892796973991`13.834598500761812}, { + 5.141698956236654387057`0.15860241080946422*^-17}, { Rational[-733, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223383,7 +262833,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00242950130826245258602805828067555954`13.833072085973255}, { + 9.821274309041515426277`0.43972308550891787*^-17}, { Rational[-183, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223401,8 +262851,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00242063135041863603600127787583190855`13.831543088343821}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9053286497440884086793`0.9108099960668369*^-16}, { Rational[-731, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223421,7 +262872,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00241177936389891807298380239515111514`13.830011501427094}, { + 1.1810747935305986867113`0.5199516211094701*^-16}, { Rational[-73, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223440,7 +262891,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00240294535275300251511881437824416237`13.828477318749165}, { + 5.007571291496266130129`0.14736085800045548*^-17}, { Rational[-729, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223458,8 +262909,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00239412932093569339402537935939525887`13.826940533808482}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1387065047933212689503`0.7779444102005121*^-16}, { Rational[-91, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223478,7 +262930,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00238533127230695953983086913335194549`13.825401140075659}, { + 0``16.44785272867489}, { Rational[-727, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223497,7 +262949,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00237655121063199921912806329499121639`13.823859130993336}, { + 0``16.447912243451807}, { Rational[-363, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223516,7 +262968,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0023677891395813048255453713122716393`13.82231449997609}, { + 3.0606874358532355173634`0.9337907449611077*^-16}, { Rational[-29, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223534,8 +262986,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00235904506273072762261965601282709734`13.820767240410165}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5108408047562672361929`0.627249996678735*^-16}, { Rational[-181, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223553,8 +263006,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00235031898356154253866217450388021482`13.819217345653449}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2681081308816199953202`0.5512471108491398*^-16}, { Rational[-723, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223572,8 +263026,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00234161090546051301330918421463726046`13.817664809035204}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.0715955689974429884109`0.9355143948006089*^-16}, { Rational[-361, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223591,8 +263046,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00233292083171995589544978996140223436`13.816109623855997}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.660167749357783254574`0.8731189310566908*^-16}, { Rational[-721, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223610,8 +263066,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00232424876553780639222463270047392552`13.814551783387477}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6958560899708230305924`0.6776584531149393*^-16}, { Rational[-9, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223629,8 +263086,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00231559471001768306879004196376852935`13.812991280872263}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.4849730438736610876677`0.9905284376621021*^-16}, { Rational[-719, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223648,8 +263106,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00230695866816895289854329187858332471`13.811428109523769}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0613414372747850761176`0.7625385107242034*^-16}, { Rational[-359, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223668,7 +263127,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00229834064290679636350561516807535347`13.809862262526034}, { + 1.0027040259412562277503`0.44962090450365094*^-16}, { Rational[-717, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223687,7 +263146,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00228974063705227260456064062359433329`13.808293733033578}, { + 2.2720222624728868826063`0.804920303018291*^-16}, { Rational[-179, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223706,7 +263165,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00228115865333238462124692724638588214`13.806722514171218}, { + 2.4673314356725514261201`0.8407947932145945*^-16}, { Rational[-143, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223725,7 +263184,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00227259469438014452080427258490980975`13.805148599033927}, { + 3.638292496292834644666`0.009524498618610967*^-17}, { Rational[-357, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223743,8 +263202,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00226404876273463881617447375733041602`13.803571980686648}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.571178762824985599227`0.26632976251614676*^-17}, { Rational[-713, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223763,7 +263223,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00225552086084109377265821725744585675`13.801992652164152}, { + 1.4968338155813584799704`0.6239196652204961*^-16}, { Rational[-89, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223781,8 +263241,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00224701099105094080293076790793050257`13.800410606470837}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3415422565694156250041`0.5764100408632801*^-16}, { Rational[-711, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223801,7 +263262,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00223851915562188191012011825878957643`13.7988258365806}, { + 0``16.448865294749865}, { Rational[-71, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223819,8 +263280,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0022300453567179551786522473422342577`13.797238335436624}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9456408080716766639017`0.9181046992956738*^-16}, { Rational[-709, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223838,8 +263300,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00222158959640960031256912199933622268`13.795648095951254}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1618168694217831724753`0.5141222123547216*^-16}, { Rational[-177, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223858,7 +263321,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00221315187667372422102605499984372264`13.794055111005774}, { + 0``16.449044163064467}, { Rational[-707, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223876,8 +263339,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00220473219939376665067601189557648178`13.792459373450287}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.6240703602076024030015`0.8680792736449008*^-16}, { Rational[-353, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223895,8 +263359,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00219633056635976586464943299110561462`13.790860876103487}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.968011119587288264687`0.29227227377135573*^-17}, { Rational[-141, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223914,8 +263379,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0021879469792684243678391079938052065`13.789259611752538}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0399739535862126570761`0.7588477079754747*^-16}, { Rational[-44, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223933,8 +263399,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00217958143972317467820060883023073979`13.787655573152833}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.240757479037589335463`0.24452004436053196*^-17}, { Rational[-703, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223952,8 +263419,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00217123394923424514377975079765205699`13.786048753027869}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1998356043851657632341`0.7917326242582704*^-16}, { Rational[-351, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223971,8 +263439,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00216290450921872580517951366993628428`13.784439144069061}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5489241577702561259915`0.8557589757943886*^-16}, { Rational[-701, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -223991,7 +263460,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00215459312100063430317981260633703299`13.782826738935537}, { + 2.5608831346454222103016`0.8578514933836072*^-16}, { Rational[-7, 100] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224009,8 +263478,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00214629978581098183122446373126476105`13.781211530253957}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9179612560685027101404`0.732361240366409*^-16}, { Rational[-699, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224028,8 +263498,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00213802450478783913249064107338845192`13.77959351061837}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6286964299471608514858`0.6614212379471478*^-16}, { Rational[-349, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224047,8 +263518,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00212976727897640254125707018472432685`13.777972672589959}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.3425966194613702754`0.4201083806029011*^-17}, { Rational[-697, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224066,8 +263538,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00212152810932906006828814921466815351`13.776349008696936}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1546414509791120680859`0.9486504833503581*^-16}, { Rational[-87, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224086,7 +263559,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0021133069967054575299521305021222875`13.774722511434284}, { + 1.6058493801344006620238`0.6554649874785295*^-16}, { Rational[-139, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224104,8 +263577,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00210510394187256472079243488038980367`13.773093173263621}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1643680544548462103022`0.9501068778328728*^-16}, { Rational[-347, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224123,8 +263597,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00209691894550474162927210687611715699`13.771460986612968}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0530937264479802335649`0.7622883756163558*^-16}, { Rational[-693, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224143,7 +263618,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00208875200818380469641235183518491253`13.769825943876585}, { + 1.2617901706510670433255`0.5509264589893185*^-16}, { Rational[-173, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224162,7 +263637,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00208060313039909311704702573604576736`13.76818803741478}, { + 2.2214685032918293435639`0.7966392045569398*^-16}, { Rational[-691, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224181,7 +263656,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00207247231254753518341587506499475198`13.766547259553665}, { + 2.0138939010382613858679`0.7540953626812182*^-16}, { Rational[-69, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224199,8 +263674,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00206435955493371467082026557242935385`13.764903602585056}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8329481161786869816766`0.7132686854813524*^-16}, { Rational[-689, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224218,8 +263694,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00205626485776993726548609887079507018`13.763257058766165}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.8444685209513847751127`1.0350145663997716*^-16}, { Rational[-43, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224238,7 +263715,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00204818822117629703141856977361546664`13.76160762031949}, { + 2.6275417951271971555522`0.8697876397910317*^-16}, { Rational[-687, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224256,8 +263733,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0020401296451807429247984566456428172`13.759955279432571}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5091767489715295570565`0.8498290172156675*^-16}, { Rational[-343, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224276,7 +263754,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00203208912971914534094154481090436308`13.758300028257784}, { + 0``16.450357524516914}, { Rational[-137, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224294,8 +263772,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00202406667463536270825551041222893436`13.756641858912174}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.815580390801771878751`0.4423332767612142*^-17}, { Rational[-171, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224313,8 +263792,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00201606227968130812009974058632407551`13.754980763477212}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.767981243895928386528`0.34078523470071115*^-17}, { Rational[-683, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224332,8 +263812,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00200807594451701600721945382265429481`13.753316733998599}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.782677337062568003601`0.8949996975031579*^-16}, { Rational[-341, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224351,8 +263832,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00200010766871070885006493582909319411`13.751649762486096}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.3381096229437704015607`0.9740972273724714*^-16}, { Rational[-681, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224371,7 +263853,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00199215745173886393072778547128802136`13.749979840913225}, { + 9.963717613433940125775`0.4490778353596306*^-17}, { Rational[-17, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224389,8 +263871,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0019842252929862801242269584047450465`13.748306961217184}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5009633858505487200004`0.6270863214844018*^-16}, { Rational[-679, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224408,8 +263891,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00197631119174614472887828608095409709`13.746631115298518}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8191963942910852848409`0.7106556141536361*^-16}, { Rational[-339, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224427,8 +263911,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00196841514722010033548203489192314832`13.744952295020978}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7960281222831719489518`0.8973773732946163*^-16}, { Rational[-677, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224447,7 +263932,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0019605371585183117350639543310903559`13.74327049221127}, { + 1.5415923787086975877121`0.6388652092760306*^-16}, { Rational[-169, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224466,7 +263951,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00195267722465953286490614420295709128`13.741585698658854}, { + 1.1728619538677781572153`0.5202023759207329*^-16}, { Rational[-27, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224485,7 +263970,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00194483534457117379260494911897786109`13.739897906115734}, { + 1.97546140308791611461`0.7466838549192677*^-16}, { Rational[-337, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224504,7 +263989,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00193701151708936773789396378272396454`13.738207106296205}, { + 1.0282613651513044320634`0.4631786593903325*^-16}, { Rational[-673, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224523,7 +264008,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00192920574095903813197110490421151791`13.73651329087665}, { + 2.0685247060501111847335`0.7667956943890735*^-16}, { Rational[-42, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224542,7 +264027,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00192141801483396571406957499982446599`13.734816451495337}, { + 9.121141113773316755853`0.41124400386000926*^-17}, { Rational[-671, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224561,7 +264046,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00191364833727685566501340984196039269`13.733116579752176}, { + 0``16.451254682272346}, { Rational[-67, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224580,7 +264065,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0019058967067594047774991649300859364`13.731413667208477}, { + 0``16.451314541570266}, { Rational[-669, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224599,7 +264084,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00189816312166236866284615707274045208`13.729707705386733}, { + 8.275513279054769959536`0.3691693468704164*^-17}, { Rational[-167, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224618,7 +264103,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00189044758027562899395853500756228366`13.727998685770393}, { + 1.4827507640622204505167`0.6225024349977966*^-16}, { Rational[-667, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224637,7 +264122,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00188275008079826078424330795375188317`13.726286599803641}, { + 2.1779338932325140169375`0.7895388496281607*^-16}, { Rational[-333, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224655,8 +264140,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00187507062133859970222931309793607225`13.724571438891152}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.585952076585826421272`1.0061585211534663*^-16}, { Rational[-133, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224674,8 +264160,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00186740919991430942163295226995703472`13.722853194397848}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.4199416207108393157173`0.9856326223648778*^-16}, { Rational[-83, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224693,8 +264180,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00185976581445244900661737447932358058`13.721131857648658}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1461896332925349835824`0.949458721482947*^-16}, { Rational[-663, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224712,8 +264200,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00185214046278954033199262456561510112`13.719407419928295}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1106809186656030834089`0.9445891930655967*^-16}, { Rational[-331, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224732,7 +264221,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00184453314267163553810511897643886423`13.717679872481026}, { + 5.830185317078388227097`0.21747599550195104*^-17}, { Rational[-661, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224751,7 +264240,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00183694385175438452016564763422910905`13.71594920651039}, { + 0``16.45185355050386}, { Rational[-33, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224770,7 +264259,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00182937258760310245176593599794980462`13.714215413178987}, { + 1.1742398060273285179569`0.5216702696254827*^-16}, { Rational[-659, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224788,8 +264277,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00182181934769283734233463377676513216`13.712478483608212}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7812816932474446407602`0.8962183748752793*^-16}, { Rational[-329, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224807,8 +264297,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00181428412940843762828442631963925206`13.71073840887803}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5600038350489041010421`0.8602739463414466*^-16}, { Rational[-657, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224826,8 +264317,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00180676693004461979760279149712458622`13.70899518002669}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2991409665571701073294`0.5657495471876385*^-16}, { Rational[-41, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224846,7 +264338,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00179926774680603604763974891841446694`13.707248788050512}, { + 0``16.452153214398134}, { Rational[-131, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224865,7 +264357,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00179178657680734197584676959770842194`13.705499223903606}, { + 4.061165598455976764566`0.06086386427819676*^-17}, { Rational[-327, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224883,8 +264375,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00178432341707326430322183270813178832`13.703746478497639}, { + Rational[13, 4]]))^Rational[1, 2]), 0``16.4522731229389}, { Rational[-653, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224903,7 +264394,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00177687826453866863021643184856406204`13.70199054270153}, { + 0``16.452333086429128}, { Rational[-163, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224922,7 +264413,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00176945111604862722486114630741425418`13.700231407341258}, { + 0``16.45239305606898}, { Rational[-651, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224941,7 +264432,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00176204196835848684286720314756909688`13.698469063199546}, { + 9.732146940545346508418`0.4406616893519957*^-17}, { Rational[-13, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224959,8 +264450,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00175465081813393657946226356699195468`13.69670350101563}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9843898752563650009096`0.7501400163215299*^-16}, { Rational[-649, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224978,8 +264470,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00174727766195107575271947191960221039`13.694934711484967}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0699933482833136760679`0.7685419518065347*^-16}, { Rational[-81, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -224997,8 +264490,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00173992249629648181813960801943188096`13.693162685258976}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.818084918512050588695`1.0344785795344604*^-16}, { Rational[-647, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225016,8 +264510,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00173258531756727831424698290761721255`13.691387412944787}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9233631632437036534738`0.9185757667899719*^-16}, { Rational[-323, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225035,8 +264530,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00172526612207120283896051514511925356`13.689608885104942}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.4184541643269860492609`0.6045683098236871*^-16}, { Rational[-129, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225054,8 +264550,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0017179649060266750565022189130520041`13.687827092257146}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9406681920813962248409`0.7407643036803415*^-16}, { Rational[-161, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225074,7 +264571,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00171068166556286473460612676695229885`13.686042024873952}, { + 1.6201945304899206002607`0.6624401965818567*^-16}, { Rational[-643, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225093,7 +264590,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00170341639671975981179145880906790746`13.684253673382528}, { + 6.357689342090100052431`0.25623236296011415*^-17}, { Rational[-321, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225111,8 +264608,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0016961690954482344944646363240870224`13.682462028164354}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0450455928721983352429`0.7636960859479529*^-16}, { Rational[-641, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225131,7 +264629,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00168893975761011738361552157613267352`13.680667079554915}, { + 0``16.453053128640054}, { Rational[-8, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225150,7 +264648,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00168172837897825963087404649876767725`13.678868817843487}, { + 0``16.45311317225473}, { Rational[-639, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225169,7 +264667,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00167453495523660312369417143251134956`13.677067233272757}, { + 1.5097288166026349179422`0.6320721666732929*^-16}, { Rational[-319, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225188,7 +264686,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00166735948198024869943289088616860432`13.675262316038609}, { + 1.3694374822135882125936`0.589775488583961*^-16}, { Rational[-637, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225207,7 +264705,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00166020195471552438809277652688069221`13.673454056289804}, { + 5.552550972522796481798`0.19778589425544227*^-17}, { Rational[-159, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225225,8 +264723,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00165306236886005368349731824878841671`13.671642444127665}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0779819786131145551088`0.7709951853318172*^-16}, { Rational[-127, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225244,8 +264743,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00164594071974282384266909223954054779`13.669827469605806}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.644625659234406607753`0.6694805448486387*^-16}, { Rational[-317, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225263,8 +264763,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0016388370026042542131815504672050199`13.66800912272986}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.2478397694053744881448`0.8052389143988339*^-16}, { Rational[-633, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225282,8 +264783,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00163175121259626458825598895520874809`13.666187393457088}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.177736864030256378382`0.167673626243315*^-17}, { Rational[-79, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225302,7 +264804,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00162468334478234358937601260912505009`13.664362271696195}, { + 1.0364146743865807056529`0.46912729727360813*^-16}, { Rational[-631, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225321,7 +264823,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00161763339413761707619257221476365766`13.66253374730691}, { + 1.827582575256156685331`0.7155308518454306*^-16}, { Rational[-63, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225339,8 +264841,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00161060135554891658349340455055949589`13.66070181009976}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4327047423601536598602`0.8398033504155828*^-16}, { Rational[-629, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225358,8 +264861,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00160358722381484778501145935775360473`13.65886644983572}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5960175543453063620619`0.8680816852209645*^-16}, { Rational[-157, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225378,7 +264882,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00159659099364585898384764719738089021`13.657027656225901}, { + 0``16.453834178291814}, { Rational[-627, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225396,8 +264900,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00158961265966430962928399000228890208`13.655185418931257}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7237679156353784992018`0.8890644022637721*^-16}, { Rational[-313, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225416,7 +264921,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00158265221640453885976400141406822983`13.653339727562233}, { + 4.32897541733587421685`0.09033955237836534*^-17}, { Rational[-1, 16] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225434,8 +264939,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00157570965831293407181786678688476233`13.65149057167849}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2141622367843654598423`0.9610823635640127*^-16}, { Rational[-39, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225454,7 +264960,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00156878497974799951471073305154034604`13.649637940788512}, { + 1.5915382301960107762683`0.6558917873007346*^-16}, { Rational[-623, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225472,8 +264978,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00156187817498042491059315647195537335`13.64778182434937}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.7428632872605917008252`1.0273388253073303*^-16}, { Rational[-311, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225491,8 +264998,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00155498923819315409993349170101593116`13.645922211766287}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9551254446534826162555`0.9247709375953796*^-16}, { Rational[-621, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225510,8 +265018,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00154811816348145371201273846163745796`13.644059092392418}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1608688114117684367239`0.7888835788822346*^-16}, { Rational[-31, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225529,8 +265038,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00154126494485298186026309265061346237`13.64219245552844}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.9534366656402819335795`1.0512901310682783*^-16}, { Rational[-619, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225548,8 +265058,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00153442957622785686223217669504445557`13.640322290422223}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.291783540878327506749`0.2531492921538872*^-17}, { Rational[-309, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225567,8 +265078,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00152761205143872598395564959291478138`13.638448586268533}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.3315185793230590298897`0.977077938873277*^-16}, { Rational[-617, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225587,7 +265099,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00152081236423083420852162024804373922`13.636571332208652}, { + 1.273768461015809196366`0.5595863769896697*^-16}, { Rational[-77, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225605,8 +265117,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00151403050826209302861100847436376111`13.634690517330025}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2198820363702629744222`0.5408739142195274*^-16}, { Rational[-123, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225625,7 +265138,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00150726647710314926279871640258205445`13.632806130665944}, { + 0``16.454616276953644}, { Rational[-307, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225643,8 +265156,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00150052026423745389540118898246055732`13.63091816119519}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.414218870250974250534`0.18821229016469035*^-17}, { Rational[-613, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225663,7 +265177,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00149379186306133093965665584413294204`13.629026597841664}, { + 0``16.45473669325492}, { Rational[-153, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225682,7 +265196,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00148708126688404632402505797026385329`13.627131429474035}, { + 4.713605067732955550946`0.12815010285237408*^-17}, { Rational[-611, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225700,8 +265214,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00148038846892787680139537144529608995`13.625232644905397}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.783415051691170621072`0.7061095622091594*^-16}, { Rational[-61, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225720,7 +265235,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00147371346232817888098874699689771053`13.62333023289287}, { + 0``16.454917364525805}, { Rational[-609, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225738,8 +265253,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00146705624013345778274658813576350097`13.621424182137295}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.0704490290736626918313`0.9421794930584211*^-16}, { Rational[-38, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225758,7 +265274,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00146041679530543641399339244124009024`13.619514481282799}, { + 8.472917554955971268541`0.38307082383917884*^-17}, { Rational[-607, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225776,8 +265292,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00145379512071912436816487993983029084`13.617601118916465}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8430147957771440903974`0.9088772119109316*^-16}, { Rational[-303, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225796,7 +265313,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00144719120916288694539262958935457172`13.61568408356796}, { + 2.0906752917192061510271`0.7754449336824929*^-16}, { Rational[-121, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225814,8 +265331,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00144060505333851419473713962135447662`13.61376336370912}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0845012931369671288356`0.4904486825095122*^-16}, { Rational[-151, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225833,8 +265351,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00143403664586128997786191991611968981`13.611838947753617}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.627722101941587726223`0.2766431661586427*^-17}, { Rational[-603, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225853,7 +265372,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00142748597926006105394191469625282016`13.609910824056536}, { + 7.994713501630864248717`0.35814205463054377*^-17}, { Rational[-301, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225871,8 +265390,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00142095304597730618560024163408863272`13.607978980914012}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3476289329366830508318`0.8260288829531692*^-16}, { Rational[-601, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225890,8 +265410,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00141443783836920526566791898279052967`13.606043406562824}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.044426641981089323702`0.4118407566308608*^-17}, { Rational[-3, 50] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225909,8 +265430,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00140794034870570846456193556915371449`13.604104089180034}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4121531288103904906286`0.8379248829272732*^-16}, { Rational[-599, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225929,7 +265451,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00140146056917060539807769943483578756`13.602161016882524}, { + 3.553966212218118673593`0.006293602099739746*^-17}, { Rational[-299, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225947,8 +265469,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00139499849186159431539257959068321378`13.600214177726683}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1581023740650466636736`0.5193875645166012*^-16}, { Rational[-597, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225966,8 +265489,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00138855410879035130707793176266912019`13.598263559707926}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6198958560122006528644`0.6651880184422713*^-16}, { Rational[-149, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -225986,7 +265510,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00138212741188259953291767316634385574`13.596309150760332}, { + 4.052731393278051329421`0.0635090622740319*^-17}, { Rational[-119, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226005,7 +265529,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00137571839297817846933214325662874601`13.594350938756222}, { + 1.2428652662519314577587`0.5502456168733172*^-16}, { Rational[-297, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226024,7 +265548,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00136932704383111317620665706894081998`13.592388911505752}, { + 1.1794394504536693279637`0.5275575461342173*^-16}, { Rational[-593, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226042,8 +265566,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00136295335610968358292482520417609539`13.590423056756462}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5065300332915068077678`1.0008197954708993*^-16}, { Rational[-37, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226062,7 +265587,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00135659732139649379340737972079675614`13.588453362192922}, { + 2.3211758275169471325417`0.8217106145563333*^-16}, { Rational[-591, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226081,7 +265606,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00135025893118854140995790819016623046`13.586479815436228}, { + 8.784124719963934465607`0.39976141787000213*^-17}, { Rational[-59, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226099,8 +265624,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00134393817689728687571755895394838552`13.584502404043649}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3670875831331961090399`0.8303376071623797*^-16}, { Rational[-589, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226119,7 +265645,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00133763504984872283553143920199553904`13.582521115508136}, { + 2.994905785059656230701`0.9325668063182533*^-16}, { Rational[-147, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226138,7 +265664,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00133134954128344351503008387336950289`13.580535937257917}, { + 1.8287262342762548345525`0.7183927047694009*^-16}, { Rational[-587, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226157,7 +265683,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00132508164235671411773002757955366474`13.578546856656065}, { + 5.121679423881535644485`0.16571677552862837*^-17}, { Rational[-293, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226175,8 +265701,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00131883134413854023995816376449822025`13.576553861000015}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.15994717536528632479`0.16901002009921162*^-17}, { Rational[-117, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226194,8 +265721,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00131259863761373730340522515890314154`13.574556937521184}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8722688903123373251304`0.7287933725329349*^-16}, { Rational[-73, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226213,8 +265741,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00130638351368200000511436726285165399`13.572556073384455}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.3087379117735441381005`0.9761479122952901*^-16}, { Rational[-583, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226232,8 +265761,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00130018596315797178471148210934119679`13.570551255687757}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1001433570366480495039`0.9479277211474892*^-16}, { Rational[-291, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226251,8 +265781,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00129400597677131430868451292859289561`13.568542471461603}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9920438315149944524098`0.7559052401140793*^-16}, { Rational[-581, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226271,7 +265802,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00128784354516677697151968155645275418`13.566529707668636}, { + 2.5093346826231753128802`0.8562253513914553*^-16}, { Rational[-29, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226289,8 +265820,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0012816986589042664135031795171656035`13.56451295120312}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.2528273382005033526167`0.8094550883222761*^-16}, { Rational[-579, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226308,8 +265840,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00127557130845891605499751066850512292`13.562492188890532}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5605099910026838683928`0.6500541589606342*^-16}, { Rational[-289, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226327,8 +265860,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00126946148422115564700230813287206301`13.560467407487058}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2071373216537936923151`0.5386047139580067*^-16}, { Rational[-577, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226347,7 +265881,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00126336917649678083781008095864477635`13.558438593679119}, { + 0``16.456908473964035}, { Rational[-36, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226365,8 +265899,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00125729437550702275556797656924256289`13.556405734082842}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5621296496110329109833`0.8655700199771587*^-16}, { Rational[-23, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226384,8 +265919,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00125123707138861760655727356993628267`13.55436881524368}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5196101593244921914889`0.6387615561630389*^-16}, { Rational[-287, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226404,7 +265940,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00124519725419387628900294590148564172`13.552327823635823}, { + 0``16.45708982421629}, { Rational[-573, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226422,8 +265958,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0012391749138907540222262636626073347`13.550282745661738}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5315697366996001305971`0.860540182281593*^-16}, { Rational[-143, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226441,8 +265978,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00123317004036291999095401817707794704`13.548233567651685}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5738931611131675636078`0.6541860043219694*^-16}, { Rational[-571, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226460,8 +265998,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00122718262340982700459857906241655682`13.546180275863193}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.415455871759230647452`0.4311125837222544*^-17}, { Rational[-57, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226479,8 +266018,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00122121265274678117132360917395746476`13.544122856480552}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9051420437537101911593`0.737259074598501*^-16}, { Rational[-569, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226498,8 +266038,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00121526011800501158671087935590696752`13.54206129561431}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3732182723964286241798`0.8327298848012572*^-16}, { Rational[-71, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226518,7 +266059,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00120932500873174003684423893850793173`13.53999557930075}, { + 3.929782886372852305192`0.05182125277710774*^-17}, { Rational[-567, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226536,8 +266077,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00120340731439025071562740988321135385`13.537925693501379}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1545283293480866213186`0.5199177904610432*^-16}, { Rational[-283, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226556,7 +266098,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00119750702435995995615288240354283815`13.53585162410238}, { + 6.207562073195724318426`0.2504947746931619*^-17}, { Rational[-113, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226574,8 +266116,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00119162412793648597593979778491225819`13.533773356914109}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1946737085609721467153`0.7990041781315991*^-16}, { Rational[-141, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226593,8 +266136,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00118575861433171863585930999856195251`13.531690877670545}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.547655331775054114512`0.6473689852164831*^-16}, { Rational[-563, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226612,8 +266156,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00117991047267388921256652156034207738`13.529604172028728}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.939508641361528778016`0.1514390127256309*^-17}, { Rational[-281, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226631,8 +266176,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00117407969200764018425869093097281973`13.527513225568251}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.1533388119154844657797`1.0762131562099544*^-16}, { Rational[-561, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226651,7 +266197,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00116826626129409502958000859714033056`13.52541802379071}, { + 0``16.457876334648287}, { Rational[-7, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226670,7 +266216,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00116247016941092803949383681993490592`13.523318552119095}, { + 1.9541795764543711667933`0.7489013499898975*^-16}, { Rational[-559, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226688,8 +266234,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00115669140515243414194390389447148957`13.52121479589731}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7255052004463841395457`0.8934444465867427*^-16}, { Rational[-279, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226707,8 +266254,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0011509299572295987391265376397680871`13.519106740389534}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5990097575285753669214`0.872865899550913*^-16}, { Rational[-557, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226726,8 +266274,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00114518581427016755719661473704359197`13.516994370779711}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2185883252500323162789`0.5439755669650583*^-16}, { Rational[-139, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226746,7 +266295,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00113945896481871650823049246494518812`13.514877672170927}, { + 2.573455801571471466082`0.8686958384398797*^-16}, { Rational[-111, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226765,7 +266314,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00113374939733672156426977734777244784`13.512756629584853}, { + 4.642520874605498891894`0.12499356697844181*^-17}, { Rational[-277, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226784,7 +266333,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00112805710020262864327037124490782208`13.510631227961177}, { + 7.301352379337851574031`0.32170359425281864*^-17}, { Rational[-553, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226803,7 +266352,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00112238206171192350678181947257116433`13.50850145215697}, { + 9.609994322596131966934`0.4410840062683457*^-17}, { Rational[-69, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226821,8 +266370,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00111672427007720166918256766938415622`13.506367286946126}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4761453853631668631096`0.8521976118596291*^-16}, { Rational[-551, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226841,7 +266391,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00111108371342823831829731430193297589`13.50422871701875}, { + 0``16.458482074066104}, { Rational[-11, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226860,7 +266410,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00110546037981205824722322396167597539`13.502085726980528}, { + 9.43207027036213797348`0.4331497107491501*^-17}, { Rational[-549, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226878,8 +266428,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00109985425719300579719234293713832321`13.499938301352161}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.4690243741530730990839`0.6256323001954665*^-16}, { Rational[-137, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226897,8 +266448,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00109426533345281481129813296165109653`13.497786424568705}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3122117393126876288934`0.5766678390288121*^-16}, { Rational[-547, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226917,7 +266469,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00108869359639067859891461154350351665`13.49563008097898}, { + 0``16.45872454849795}, { Rational[-273, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226935,8 +266487,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00108313903372331991063715788877798137`13.493469254844893}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5213365998544541798052`1.0054927237701516*^-16}, { Rational[-109, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226955,7 +266508,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00107760163308506092357461213385106122`13.491303930340875}, { + 7.540269523771396487464`0.33623269389502375*^-17}, { Rational[-34, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226974,7 +266527,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00107208138202789323682286242082266034`13.489134091553163}, { + 0``16.45890647145971}, { Rational[-543, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -226992,8 +266545,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00106657826802154787695067928173033594`13.486959722479202}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.4892322636424913074426`0.6319295617182432*^-16}, { Rational[-271, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227012,7 +266566,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0010610922784535653133291198523681819`13.484780807026985}, { + 5.710809614343885605974`0.21572546743625154*^-17}, { Rational[-541, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227031,7 +266585,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00105562340062936548313638562041997262`13.482597329014373}, { + 1.6213608420801856262072`0.6689681320837492*^-16}, { Rational[-27, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227049,8 +266603,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00105017162177231782587057673192056708`13.480409272168458}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.6623538242973620514393`1.0229094247372936*^-16}, { Rational[-539, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227068,8 +266623,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00104473692902381132720334334075100804`13.478216620124883}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6806880455918137183501`0.6846969154939712*^-16}, { Rational[-269, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227088,7 +266644,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00103931930944332457200799009464182116`13.47601935642713}, { + 7.335869016924878914779`0.3247220586323437*^-17}, { Rational[-537, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227106,8 +266662,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00103391875000849580639614361413791772`13.473817464525894}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.2553015636502050456691`0.8125358035576524*^-16}, { Rational[-67, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227125,8 +266682,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0010285352376151930085976447442810121`13.471610927778354}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.342814969380581570776`0.8291298714931159*^-16}, { Rational[-107, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227145,7 +266703,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00102316875907758396851887744914805758`13.469399729447483}, { + 1.5180078110247796153194`0.6407265925575519*^-16}, { Rational[-267, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227163,8 +266721,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0010178193011282063758152944822889001`13.467183852701378}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6942925891097038982852`0.68850170903337*^-16}, { Rational[-533, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227182,8 +266741,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00101248685041803791631444640873493679`13.464963280612475}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.283486011709857549359`0.3219133062202022*^-17}, { Rational[-133, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227201,8 +266761,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00100717139351656637662636518168973305`13.462737996156905}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.8251233635253729087069`1.0422801861903368*^-16}, { Rational[-531, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227220,8 +266781,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00100187291691185975677869629655259026`13.460507982213747}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.4768049343327565339382`0.6290186056539947*^-16}, { Rational[-53, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227240,7 +266802,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00099659140701063639071451456168427287`13.458273221564284}, { + 2.3048045573266421919795`0.8223903121304412*^-16}, { Rational[-529, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227258,8 +266820,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00099132685013833507449129774632887505`13.456033696891298}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.2724665392903681944953`0.8163144489934657*^-16}, { Rational[-33, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227277,8 +266840,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00098607923253918520202006979664130231`13.453789390778276}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.8152176256952140018442`1.041397017675879*^-16}, { Rational[-527, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227296,8 +266860,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00098084854037627690818426095777640863`13.4515402857087}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1424971128090523883576`0.5177935696521223*^-16}, { Rational[-263, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227316,7 +266881,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00097563475973163121917836600865105178`13.449286364065301}, { + 1.9176320533096509208676`0.7427645017246444*^-16}, { Rational[-21, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227334,8 +266899,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0009704378766062702099070139130956291`13.447027608129234}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.4771067538635780502229`0.6294718747019808*^-16}, { Rational[-131, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227354,7 +266920,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00096525787692028716828559252227423379`13.44476400007937}, { + 9.493056169357592749077`0.4375268172258598*^-17}, { Rational[-523, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227372,8 +266938,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00096009474651291676628410053457229815`13.442495521991477}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.828636068765560910427`0.35386765339487636*^-17}, { Rational[-261, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227391,8 +266958,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00095494847114260523755642573665090383`13.440222155837445}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.603413635757611003286`0.8757855129531663*^-16}, { Rational[-521, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227410,8 +266978,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00094981903648708056149777361901166839`13.437943883484479}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.847653931482756898168`0.29584493670920525*^-17}, { Rational[-13, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227429,8 +266998,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00094470642814342265357349378708252768`13.435660686694327}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.259044837601177814411`0.18127080818812893*^-17}, { Rational[-519, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227448,8 +267018,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00093961063162813356176307318057786942`13.433372547122437}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.989143582912476834423`0.4599529984582947*^-17}, { Rational[-259, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227468,7 +267039,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00093453163237720766896358497540337168`13.431079446317156}, { + 1.7575056354946465505043`0.7053822836461917*^-16}, { Rational[-517, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227486,8 +267057,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00092946941574620190119740017987695593`13.4287813657189}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3410404532310459545112`0.8299552968091956*^-16}, { Rational[-129, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227505,8 +267077,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00092442396701030594146948535594484444`13.426478286659313}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8858323877986208096599`0.9208783091565402*^-16}, { Rational[-103, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227524,8 +267097,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00091939527136441244912012460285239736`13.424170190360439}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.421116806063755398298`0.3860377312650655*^-17}, { Rational[-257, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227543,8 +267117,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0009143833139231872845194169402696268`13.421857057933872}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8358285274506108409215`0.9134088474447363*^-16}, { Rational[-513, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227562,8 +267137,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00090938807972113973895041152729960187`13.41953887037985}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9549763052754165681696`0.7519312253480933*^-16}, { Rational[-32, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227582,7 +267158,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00090440955371269276952825275741674187`13.417215608586483}, { + 1.6613869680987209351339`0.6813213802700994*^-16}, { Rational[-511, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227600,8 +267176,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00089944772077225323900321518430429581`13.414887253328779}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.6065048009807540815232`0.8769699698098766*^-16}, { Rational[-51, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227619,8 +267196,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0008945025656942821602960144644193827`13.412553785267834}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.985048845744692623038`0.15864172504929855*^-17}, { Rational[-509, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227639,7 +267217,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00088957407319336494561428505566528595`13.410215184949871}, { + 2.5596915538531972859688`0.8692208152267076*^-16}, { Rational[-127, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227658,7 +267236,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0008846622279042816599996182925315177`13.40787143280541}, { + 3.0062267112621858676557`0.939115788457848*^-16}, { Rational[-507, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227677,7 +267255,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00087976701438207727915505567289064357`13.405522509148312}, { + 1.9419497847979879656036`0.7493929406158104*^-16}, { Rational[-253, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227696,7 +267274,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00087488841710213195140343174571516408`13.40316839417487}, { + 0``16.46121583724343}, { Rational[-101, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227715,7 +267293,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00087002642046023126362745888781832743`13.400809067962866}, { + 0``16.46127673603308}, { Rational[-63, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227733,8 +267311,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00086518100877263651104294250730244231`13.398444510470675}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8415455565878143566164`0.7265201083188423*^-16}, { Rational[-503, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227752,8 +267331,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00086035216627615497065700981696447238`13.396074701536271}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.595967424204280271938`0.2092737307633697*^-17}, { Rational[-251, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227771,8 +267351,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00085553987712821017826372828835275425`13.393699620876308}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.3937266363106852424082`1.1042925043742708*^-16}, { Rational[-501, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227790,8 +267371,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00085074412540691220882998123193283876`13.391319248085125}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8601496500976416749939`0.7310682810075032*^-16}, { Rational[-1, 20] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227809,8 +267391,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00084596489511112796012495765639471696`13.388933562633772}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1465234295590301901227`0.9594122973519686*^-16}, { Rational[-499, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227828,8 +267411,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00084120217016055143944710164632955336`13.386542543869068}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5737567400159129439027`0.8722097621423608*^-16}, { Rational[-249, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227848,7 +267432,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0008364559343957740533028529674776002`13.384146171012537}, { + 0``16.461703209498197}, { Rational[-497, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227866,8 +267450,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00083172617157835489989199546828125127`13.381744423159457}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.6769206838603024822108`0.8893996636957463*^-16}, { Rational[-31, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227885,8 +267470,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0008270128653908910642549131009886424`13.379337279277816}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1571981416900579892503`0.5252328451659709*^-16}, { Rational[-99, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227904,8 +267490,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00082231599943708791593753504045376402`13.376924718207324}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0702872990164619580847`0.7779166992212899*^-16}, { Rational[-247, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227924,7 +267511,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00081763555724182940903023143946974787`13.374506718658305}, { + 1.1459343820106180194518`0.5211068017428422*^-16}, { Rational[-493, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227942,8 +267529,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00081297152225124838443739983171286532`13.372083259210722}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.328450294716385851213`0.9842501044564476*^-16}, { Rational[-123, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227962,7 +267550,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00080832387783279687423495908198564031`13.369654318313088}, { + 3.1802354601015622108444`0.9645282877423278*^-16}, { Rational[-491, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227980,8 +267568,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00080369260727531640797344309445719374`13.36721987428141}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9167056177467329157771`0.7446854176735039*^-16}, { Rational[-49, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -227999,8 +267588,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00079907769378910832078486022801528338`13.364779905298075}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4757479378940729618743`0.8558974243502182*^-16}, { Rational[-489, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228019,7 +267609,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00079447912050600406315195653893741913`13.362334389410824}, { + 1.1885192843283803533153`0.5372582340919906*^-16}, { Rational[-61, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228037,8 +267627,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00078989687047943551219899158074662985`13.359883304531596}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5245548760542520583949`0.6454560721412267*^-16}, { Rational[-487, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228057,7 +267648,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00078533092668450528436360454378250303`13.35742662843541}, { + 0``16.46237402683798}, { Rational[-243, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228075,8 +267666,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00078078127201805704930981601894724398`13.354964338759324}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1297106400283223379278`0.7907556500309368*^-16}, { Rational[-97, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228094,8 +267686,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00077624788929874584494267662595401738`13.352496413001191}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.8980573411166035881211`1.053344301872782*^-16}, { Rational[-121, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228114,7 +267707,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0007717307612671083933855381614473967`13.350022828518576}, { + 9.915537002778921693364`0.45887335370557464*^-17}, { Rational[-483, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228133,7 +267726,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00076722987058563341778138580239106036`13.34754356252758}, { + 1.1095189976921013290827`0.5077528991331975*^-16}, { Rational[-241, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228152,7 +267745,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00076274519983883195978013124975285306`13.345058592101692}, { + 5.495397721076339204812`0.20267833433884877*^-17}, { Rational[-481, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228171,7 +267764,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00075827673153330769757422652225880329`13.342567894170562}, { + 0``16.462740260309253}, { Rational[-6, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228189,8 +267782,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00075382444809782726434541641507021397`13.340071445518838}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.070906896930047539075`0.49255303768040715*^-16}, { Rational[-479, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228208,8 +267802,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00074938833188339056698590442876746043`13.337569222784957}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.005043189981121871184`0.3662260699347109*^-17}, { Rational[-239, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228228,7 +267823,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00074496836516330110495766225509258403`13.335061202459896}, { + 2.8358737409917968674724`0.9156103566775063*^-16}, { Rational[-477, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228246,8 +267841,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00074056453013323628915406668280173829`13.332547360885984}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.2701501037608221722972`1.0934276884718486*^-16}, { Rational[-119, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228266,7 +267862,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00073617680891131776062850006478569779`13.330027674255627}, { + 7.806114094959215385755`0.35548052964237287*^-17}, { Rational[-19, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228285,7 +267881,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00073180518353818170905500127142654495`13.327502118610049}, { + 1.6656347927237788028305`0.6846865136131276*^-16}, { Rational[-237, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228303,8 +267899,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00072744963597704919078650334997670137`13.324970669837999}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.730529222861336799929`0.29121704495920636*^-17}, { Rational[-473, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228323,7 +267920,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00072311014811379644637664192091954678`13.322433303674536}, { + 3.565244949911828941079`0.01531831185014497*^-17}, { Rational[-59, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228341,8 +267938,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00071878670175702521743156467452932311`13.319889995699656}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.94850771236963434529`0.2376980817608491*^-17}, { Rational[-471, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228360,8 +267958,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00071447927863813306265861718947270558`13.317340721337}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.6654714146511861625889`1.0274810106292265*^-16}, { Rational[-47, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228379,8 +267978,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00071018786041138367297922368532625284`13.314785455852538}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.371297526045550852893`0.9912093829503781*^-16}, { Rational[-469, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228399,7 +267999,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00070591242865397718557372324693586025`13.312224174353247}, { + 1.2799966479951677295763`0.5706822674431735*^-16}, { Rational[-117, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228418,7 +268018,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00070165296486612049672636252626049487`13.309656851785679}, { + 2.0173101157546929592812`0.768307241994701*^-16}, { Rational[-467, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228436,8 +268036,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00069740945047109757333908494134542753`13.307083462934685}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.6612836070333038058314`1.02722909399602*^-16}, { Rational[-233, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228455,8 +268056,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00069318186681533976298319395683268455`13.30450398242196}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.496092593554419998556`0.007239802994970454*^-17}, { Rational[-93, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228474,8 +268076,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00068897019516849610235840415216125832`13.301918384704678}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.0171932157287647991176`1.067640757575439*^-16}, { Rational[-29, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228493,8 +268096,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00068477441672350362402922846587636259`13.299326644074073}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1596287122512180756906`0.7981582967089844*^-16}, { Rational[-463, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228512,8 +268116,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00068059451259665766130908325287428921`13.296728734653996}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2082134408309648392281`0.5459840402035344*^-16}, { Rational[-231, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228531,8 +268136,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0006764304638276821511629246112498067`13.294124630399477}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.1867494487580101728065`1.0857785293794757*^-16}, { Rational[-461, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228550,8 +268156,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00067228225137979993499965983014838113`13.291514305095257}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.51233452613060088972`0.4422498592590963*^-17}, { Rational[-23, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228570,7 +268177,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00066814985613980305722600678641279819`13.288897732354341}, { + 2.0035926590648502346852`0.7658333693998262*^-16}, { Rational[-459, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228588,8 +268195,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00066403325891812306143390167905035679`13.286274885616457}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.7149111949816204053533`0.6983267729318734*^-16}, { Rational[-229, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228607,8 +268215,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00065993244044890128409398164238815365`13.283645738146573}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.1729926062607532832099`0.8012045926076169*^-16}, { Rational[-457, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228627,7 +268236,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00065584738139005914562809352579916103`13.281010263033371}, { + 0``16.464207557108928}, { Rational[-57, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228645,8 +268254,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00065177806232336843873420347484164249`13.278368433187703}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9288475599588067150476`0.9309655450281544*^-16}, { Rational[-91, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228665,7 +268275,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.000647724463754521613837503900181588`13.27572022134103}, { + 6.513854665041736243696`0.27816806765474444*^-17}, { Rational[-227, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228683,8 +268293,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00064368656611320206154193498213145746`13.273065600043845}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6075705861041156864836`0.6705612873471521*^-16}, { Rational[-453, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228702,8 +268313,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0006396643497531543919567570337729767`13.270404541664053}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.861396824207885303921`0.41195466086966614*^-17}, { Rational[-113, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228721,8 +268333,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00063565779495225471077322784030589634`13.267737018385416}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5591790569645255285766`0.8726143948464744*^-16}, { Rational[-451, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228740,8 +268353,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00063166688191258089196685551027608871`13.265063002205869}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.112388653849683451212`1.0786691268404347*^-16}, { Rational[-9, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228759,8 +268373,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00062769159076048284700111242091629139`13.262382464935918}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.000369479760630112533`0.46479666737559056*^-16}, { Rational[-449, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228778,8 +268393,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00062373190154665279040890951954370209`13.25969537819692}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8459574168962858078321`0.9189258976121591*^-16}, { Rational[-28, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228798,7 +268414,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00061978779424619550162854256019036746`13.25700171341944}, { + 0``16.464758772669214}, { Rational[-447, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228816,8 +268432,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00061585924875869858297123281470433069`13.254301441841523}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.763850941692026900201`0.40751503428393016*^-17}, { Rational[-223, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228836,7 +268453,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00061194624490830271359779440424667073`13.25159453450701}, { + 3.1767086369127724775803`0.9668587216540024*^-16}, { Rational[-89, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228854,8 +268471,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00060804876244377189938236865576968943`13.248880962263751}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.9594955971900458563398`1.062582494781206*^-16}, { Rational[-111, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228873,8 +268491,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00060416678103856371854157280294219776`13.246160695761844}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.0111039406396850518413`1.0682678456724184*^-16}, { Rational[-443, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228893,7 +268512,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00060030028029089956290781592658026006`13.243433705451904}, { + 6.138326111156579508309`0.2531151928802202*^-17}, { Rational[-221, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228911,8 +268530,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00059644923972383487472593927112259865`13.2406999615832}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9926545615840445278558`0.9411831374971017*^-16}, { Rational[-441, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228930,8 +268550,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00059261363878532937885274098447595232`13.237959434201871}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1314586630655195226723`0.5188265589128399*^-16}, { Rational[-11, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228949,8 +268570,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00058879345684831731023934691486335175`13.235212093149082}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5687465057179767573885`0.8749704411175562*^-16}, { Rational[-439, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228968,8 +268590,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00058498867321077763657678936273627236`13.23245790805912}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6977661558871573352031`0.6951883969452787*^-16}, { Rational[-219, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -228988,7 +268611,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0005811992670958042759855546345855424`13.22969684835757}, { + 0``16.46537186408781}, { Rational[-437, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229007,7 +268630,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00057742521765167630963025788203113758`13.226928883259358}, { + 0``16.46543320977205}, { Rational[-109, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229026,7 +268649,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00057366650395192818914100003878193471`13.224153981766861}, { + 3.954313861007985709672`1.0625656991902392*^-16}, { Rational[-87, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229044,8 +268667,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00056992310499541993872335669433862633`13.221372112667913}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.8398053911308159678001`1.0498651351326478*^-16}, { Rational[-217, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229064,7 +268688,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00056619499970640735183934247127012188`13.218583244533873}, { + 7.440303540079240933685`0.33720794045910024*^-17}, { Rational[-433, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229083,7 +268707,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00056248216693461218234208690649243784`13.215787345717619}, { + 1.7695210280771973543773`0.7135343870046866*^-16}, { Rational[-27, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229101,8 +268725,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00055878458545529232994734898139573044`13.212984384351506}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9379141047704372610032`0.7530745617130392*^-16}, { Rational[-431, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229120,8 +268745,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00055510223396931201992538730456496513`13.210174328345339}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.4423396886064931931633`0.6248689777678887*^-16}, { Rational[-43, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229140,7 +268766,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00055143509110321197689709152909034913`13.207357145384316}, { + 2.3195927286030697202202`0.8312745546581252*^-16}, { Rational[-429, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229159,7 +268785,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00054778313540927959261866788832831787`13.204532802926938}, { + 5.032101140451316405037`0.16767357640507677*^-17}, { Rational[-107, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229177,8 +268803,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00054414634536561908763955776370036837`13.201701268202884}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.4460351703487687562834`0.626164476587275*^-16}, { Rational[-427, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229197,7 +268824,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00054052469937622166671865296029729688`13.198862508210853}, { + 3.837092316048464032048`0.050049280904391626*^-17}, { Rational[-213, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229215,8 +268842,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00053691817577103566788425486473120999`13.196016489716438}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5795946978751453900611`0.6646541192547684*^-16}, { Rational[-17, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229234,8 +268862,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00053332675280603670502360689946240159`13.193163179249929}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.7921886506889957174014`1.045059811769165*^-16}, { Rational[-53, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229253,8 +268882,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00052975040866329780388821067284865839`13.19030254310407}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.180991751808214026641`0.42912090722846735*^-17}, { Rational[-423, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229272,8 +268902,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00052618912145105953140151595880623136`13.187434547331836}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3178762545209615444581`0.8313829954324538*^-16}, { Rational[-211, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229292,7 +268923,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00052264286920380011815595312834625486`13.184559157744179}, { + 0``16.466354194793713}, { Rational[-421, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229311,7 +268942,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00051911162988230557398665390200453547`13.181676339907709}, { + 5.085806171105880046424`0.17277545165928535*^-17}, { Rational[-21, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229330,7 +268961,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0005155953813737397965095823011528726`13.178786059142368}, { + 0``16.466477106338207}, { Rational[-419, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229348,8 +268979,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00051209410149171467251217245169510253`13.17588828051911}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.977626450710760794349`0.3102462878015307*^-17}, { Rational[-209, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229367,8 +268999,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00050860776797636017208494344036246338`13.172982968857504}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.6120306659442689366669`1.1304922309669447*^-16}, { Rational[-417, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229387,7 +269020,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00050513635849439443538293374520494319`13.1700700887233}, { + 1.0089723587586733268093`0.47054079257745696*^-16}, { Rational[-26, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229406,7 +269039,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.000501679850639193851906168862780661`13.167149604426074}, { + 1.4985998393522410971496`0.6424086914804814*^-16}, { Rational[-83, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229425,7 +269058,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00049823822193086313218874563878447599`13.164221480016659}, { + 8.098236719772569038238`0.37517496987745513*^-17}, { Rational[-207, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229444,7 +269077,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00049481144981630537178648548085838194`13.161285679284738}, { + 6.592316902335702070731`0.2858840780668843*^-17}, { Rational[-413, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229462,8 +269095,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00049139951166929210745347609579318326`13.158342165756258}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.7651610684581329915346`1.0426910671898135*^-16}, { Rational[-103, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229482,7 +269116,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00048800238479053336539818765317887965`13.155390902690916}, { + 4.059183000950500762323`0.07540765160919835*^-17}, { Rational[-411, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229500,8 +269134,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00048462004640774770151021433697511098`13.152431853079548}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.4799237250977683815548`0.6372698724579879*^-16}, { Rational[-41, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229520,7 +269155,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00048125247367573223344905611044137764`13.149464979641515}, { + 2.8959654655741074678292`0.9288854446701188*^-16}, { Rational[-409, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229539,7 +269174,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00047789964367643266448671819184139906`13.146490244822077}, { + 1.3794662605745121826176`0.6068646817967774*^-16}, { Rational[-51, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229558,7 +269193,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00047456153341901329899626722290376923`13.143507610789682}, { + 0``16.46721513856782}, { Rational[-407, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229577,7 +269212,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00047123811983992704947884341265323239`13.140517039433234}, { + 1.3210459111509647141987`0.5881945960835913*^-16}, { Rational[-203, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229596,7 +269231,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00046792937980298543502198706087036176`13.137518492359412}, { + 4.882472133487529819818`0.15597801119334978*^-17}, { Rational[-81, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229614,8 +269249,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00046463529009942857108249581118278873`13.134511930889817}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.896715060987543240877`0.9293055751058198*^-16}, { Rational[-101, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229633,8 +269269,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0004613558274479951504873857582904073`13.1314973160582}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.209690387003404561532`0.8117927905695347*^-16}, { Rational[-403, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229652,8 +269289,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00045809096849499241554688514103398083`13.12847460860758}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9931211529813405028664`0.7670566358063692*^-16}, { Rational[-201, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229672,7 +269310,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00045484068981436612117374379662175934`13.125443768987362}, { + 8.121370340985095842598`0.3772138325634378*^-17}, { Rational[-401, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229691,7 +269329,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00045160496790777048890349483570979249`13.122404757350463}, { + 3.1340918461330035032411`0.963757823694197*^-16}, { Rational[-1, 25] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229710,7 +269348,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00044838377920463815171065712713074519`13.119357533550252}, { + 2.255185603317257205671`0.820889988026334*^-16}, { Rational[-399, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229728,8 +269366,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0004451771000622500895162181582482664`13.116302057137673}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.7635789820931762501853`1.0433703323279864*^-16}, { Rational[-199, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229747,8 +269386,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00044198490676580555528208666730168953`13.113238287358092}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.7120865446829480575357`0.70135661889262*^-16}, { Rational[-397, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229767,7 +269407,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00043880717552849199158855313052572042`13.110166183148335}, { + 7.227602027143177991474`0.3268867494474855*^-17}, { Rational[-99, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229785,8 +269425,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00043564388249155493759114373373750592`13.107085703133482}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.30017952235528029636`0.26730706361820855*^-17}, { Rational[-79, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229804,8 +269445,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00043249500372436792625359986948900628`13.10399680562378}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.741169010894109501363`0.14390120315151322*^-17}, { Rational[-197, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229824,7 +269466,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00042936051522450237175406048039389265`13.100899448611422}, { + 9.075165556037480639673`0.42593195648374493*^-17}, { Rational[-393, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229843,7 +269485,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0004262403929177974469618687210523556`13.097793589767319}, { + 7.595016646393092808377`0.3486677707337454*^-17}, { Rational[-49, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229862,7 +269504,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00042313461265842995088276743857265111`13.094679186437851}, { + 1.3976576797759839551583`0.6136015033142429*^-16}, { Rational[-391, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229880,8 +269522,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00042004315022898416597058987969349336`13.091556195641523}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9551742135008096469565`0.9388454308432085*^-16}, { Rational[-39, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229900,7 +269543,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00041696598134052170520389282368407421`13.088424574065616}, { + 5.661011220395523317362`0.22121801898644358*^-17}, { Rational[-389, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229918,8 +269561,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0004139030816326513488263190195639141`13.08528427806279}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.5405921315973937159083`1.125498163272141*^-16}, { Rational[-97, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229938,7 +269582,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00041085442667359887064981437661645112`13.082135263647661}, { + 6.71521123985905197724`0.2955070243459357*^-17}, { Rational[-387, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229957,7 +269601,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00040781999196027685382016282326408127`13.078977486493267}, { + 1.9636304031984907381548`0.7615687745695848*^-16}, { Rational[-193, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229975,8 +269619,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00040479975291835449594463811432012895`13.075810901927586}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.318443859060459329897`0.5886323566299375*^-16}, { Rational[-77, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -229994,8 +269639,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00040179368490232740348190713468991238`13.0726354649299}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1719044783585598875982`0.537524623610964*^-16}, { Rational[-24, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230013,8 +269659,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00039880176319558737529465342236981336`13.069451130127247}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2821510429956616638654`0.576633301311268*^-16}, { Rational[-383, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230032,8 +269679,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0003958239630104921752657227189467883`13.06625785179067}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.7169156969628219912012`1.1424139341033148*^-16}, { Rational[-191, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230052,7 +269700,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00039286025948843529387892435518468447`13.063055583831538}, { + 0``16.46881753488117}, { Rational[-381, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230070,8 +269718,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00038991062769991569866595319743622315`13.05984427979778}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4388289487798682601163`0.8560605982689976*^-16}, { Rational[-19, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230089,8 +269738,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00038697504264460757342122671970846416`13.05662389287003}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.9901852822261632066054`0.9446390851217262*^-16}, { Rational[-379, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230108,8 +269758,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00038405347925143004608676053163713002`13.053394375857811}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.698767314805095626284`0.22478364585209806*^-17}, { Rational[-189, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230128,7 +269779,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00038114591237861690520953338716290663`13.050155681195571}, { + 2.0390986972336883193043`0.7785027106011628*^-16}, { Rational[-377, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230146,8 +269797,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00037825231681378630487411932611102184`13.046907760938717}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.371904816304474002818`0.10979691060531406*^-17}, { Rational[-47, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230165,8 +269817,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00037537266727401045801369016537546587`13.043650566759622}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5179159985129491677399`1.0154734335334863*^-16}, { Rational[-3, 80] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230184,8 +269837,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00037250693840588531800281606136783584`13.040384049943505}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.6887631257672493169263`1.0361304990352564*^-16}, { Rational[-187, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230203,8 +269857,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00036965510478560024843581531441777806`13.03710816138432}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1386253311218647710242`0.5256923420635472*^-16}, { Rational[-373, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230222,8 +269877,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00036681714091900768099472698306093839`13.033822851580567}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.891029393069653060939`0.46461476945537056*^-17}, { Rational[-93, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230242,7 +269898,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00036399302124169276131130122471048569`13.030528070631025}, { + 1.8332863851732123000175`0.732665373308199*^-16}, { Rational[-371, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230261,7 +269917,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00036118272011904298272772258341499208`13.027223768230503}, { + 0``16.469496850060732}, { Rational[-37, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230279,8 +269935,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00035838621184631780786110070849343267`13.023909893665406}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.9958889641505527142859`1.071172058560155*^-16}, { Rational[-369, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230299,7 +269956,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00035560347064871827787708121339997319`13.020586395809389}, { + 2.4479550072829058604977`0.8584238817020757*^-16}, { Rational[-23, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230317,8 +269974,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00035283447068145660937824657671134955`13.017253223118818}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.421206794199901914378`0.8537141446642222*^-16}, { Rational[-367, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230336,8 +269994,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00035007918602982577881329314900332616`13.013910323628284}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.4238618338395347522737`1.0042603088170627*^-16}, { Rational[-183, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230355,8 +270014,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00034733759070926909431328546554872048`13.010557644945951}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0854250226258660142801`0.5054057312250105*^-16}, { Rational[-73, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230375,7 +270035,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0003446096586654497548616031780318113`13.007195134248922}, { + 0``16.469867732783676}, { Rational[-91, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230393,8 +270053,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0003418953637743203967045090129663137`13.003822738278506}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.7504672726301420795956`1.1466659009019207*^-16}, { Rational[-363, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230412,8 +270073,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00033919467984219262690957824357710109`13.000440403335418}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7668529366934414039322`0.9119774911443971*^-16}, { Rational[-181, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230432,7 +270094,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00033650758060580654397954122964344762`12.997048075274913}, { + 3.0960825894399111466314`0.960865803431947*^-16}, { Rational[-361, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230450,8 +270112,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00033383403973240024542940063929385552`12.993645699501894}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.5596384520557340058441`1.12904553188097*^-16}, { Rational[-9, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230469,8 +270132,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00033117403081977932223499402250367664`12.990233220965852}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.635934098250255225019`1.0307929923851258*^-16}, { Rational[-359, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230489,7 +270153,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00032852752739638634006148046053561548`12.98681058415588}, { + 0``16.47023886123218}, { Rational[-179, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230508,7 +270172,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0003258945029213703071805370741334863`12.983377733095464}, { + 0``16.470300739900107}, { Rational[-357, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230526,8 +270190,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00032327493078465612898535723781978547`12.979934611337324}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2737018282244809577282`0.9854017463466983*^-16}, { Rational[-89, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230545,8 +270210,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00032066878430701404901284742343936399`12.976481161958123}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.9227893547911853521712`1.24295080491008*^-16}, { Rational[-71, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230564,8 +270230,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00031807603674012907638272368565181499`12.973017327553093}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.815551445222301862707`0.15313244381984636*^-17}, { Rational[-177, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230583,8 +270250,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00031549666126667039956351191022653675`12.969543050230628}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.454528901233927345046`0.1193501040292156*^-17}, { Rational[-353, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230602,8 +270270,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00031293063100036078637575807532589956`12.966058271606768}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2950401740153310385535`0.9884709499240139*^-16}, { Rational[-22, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230622,7 +270291,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00031037791898604597014305593153309911`12.962562932799607}, { + 3.3634109587459603964215`0.9974520905630553*^-16}, { Rational[-351, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230640,8 +270309,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00030783849819976402190179969079727604`12.959056974423635}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.148110249668468844539`0.9687840156418166*^-16}, { Rational[-7, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230660,7 +270330,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00030531234154881470858086853226551291`12.955540336584003}, { + 1.5644385507191879706685`0.6651545250268138*^-16}, { Rational[-349, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230679,7 +270349,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00030279942187182883706274798839763673`12.95201295887068}, { + 0``16.470857956116966}, { Rational[-87, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230698,7 +270368,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0003002997119388375840378905699928858`12.948474780352546}, { + 2.1680301932250665846408`0.8069852294603864*^-16}, { Rational[-347, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230716,8 +270386,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00029781318445134181156441433032153759`12.944925739571385}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.6299483199853380047359`1.030882299365151*^-16}, { Rational[-173, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230736,7 +270407,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00029533981204238136824553345789209287`12.941365774535837}, { + 0``16.471043818326393}, { Rational[-69, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230754,8 +270425,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00029287956727660437593740943041157847`12.937794822715183}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8346947489691642879803`0.9236120853523834*^-16}, { Rational[-43, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230774,7 +270446,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00029043242265033650190040476220358888`12.934212821033114}, { + 1.633319168147754404913`0.6842388196661802*^-16}, { Rational[-343, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230792,8 +270464,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00028799835059165021630701393837319615`12.930619705861373}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9865973445935507784132`0.7693395930115466*^-16}, { Rational[-171, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230811,8 +270484,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00028557732346043403502003775561765826`12.927015413013294}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.570540624374247237342`0.13125930425739699*^-17}, { Rational[-341, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230830,8 +270504,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00028316931354846174755485798562814546`12.923399877737305}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.5578428409288280430243`1.1301130723244128*^-16}, { Rational[-17, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230849,8 +270524,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00028077429307946163013995904751706373`12.919773034710229}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.102584739310628260289`1.1792059541772584*^-16}, { Rational[-339, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230868,8 +270544,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00027839223420918564379013222489225864`12.916134818030624}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8629153984102290347083`0.9282862518713828*^-16}, { Rational[-169, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230887,8 +270564,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00027602310902547861730708589525800701`12.912485161211887}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.4699316988998573073547`0.6388369089205269*^-16}, { Rational[-337, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230906,8 +270584,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00027366688954834741512247225997508753`12.908823997175368}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.6449411336647980658555`1.2232611936729993*^-16}, { Rational[-21, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230926,7 +270605,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00027132354773003008989862717629299999`12.905151258243306}, { + 2.4258465435346385916726`0.8565271304358425*^-16}, { Rational[-67, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230944,8 +270623,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00026899305545506501980260490385189066`12.901466876131714}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.4456729175955102535018`1.119663349801846*^-16}, { Rational[-167, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230963,8 +270643,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00026667538454036003036937389287501112`12.89777078194308}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1870104988589928743645`0.5462424464720579*^-16}, { Rational[-333, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -230982,8 +270663,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00026437050673526150087032316354526579`12.894062906159082}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3404229754812769278085`0.8411442895587116*^-16}, { Rational[-83, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231002,7 +270684,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00026207839372162345510351136359321683`12.890343178633051}, { + 0``16.471911994073924}, { Rational[-331, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231021,7 +270703,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0002597990171138766365223722477876183`12.88661152858247}, { + 1.1913495367662765302174`0.5480132586279389*^-16}, { Rational[-33, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231039,8 +270721,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00025753234845909756761987110656883896`12.88286788458118}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.3251098552104588642974`1.198364701063869*^-16}, { Rational[-329, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231058,8 +270741,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00025527835923707759348538658643174455`12.879112174551663}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.0725396735564018374808`0.9595957069321289*^-16}, { Rational[-41, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231078,7 +270762,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00025303702086039190945187140025867263`12.875344325757064}, { + 0``16.47216029259285}, { Rational[-327, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231097,7 +270781,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00025080830467446857275112362694574756`12.87156426479312}, { + 1.198682900167657609768`0.5509266944411562*^-16}, { Rational[-163, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231115,8 +270799,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00024859218195765749809527765571538412`12.867771917580058}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.4894472307223617747559`1.2844921886283076*^-16}, { Rational[-13, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231134,8 +270819,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00024638862392129943710290034804315155`12.863967209354227}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.5956331895288781154002`1.1346919463223344*^-16}, { Rational[-81, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231154,7 +270840,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00024419760170979494148835367844225319`12.860150064659683}, { + 7.897293045651168554876`0.36988695547547057*^-17}, { Rational[-323, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231172,8 +270858,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00024201908640067330993335998367320814`12.85632040733967}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.9046821760338834346096`1.1630816916462026*^-16}, { Rational[-161, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231192,7 +270879,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00023985304900466151855998000745712267`12.85247816052789}, { + 6.271786912824147599626`0.26992424242362933*^-17}, { Rational[-321, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231210,8 +270897,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00023769946046575313492448718570844686`12.848623246639667}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.6123175061542961698867`0.8896210421354283*^-16}, { Rational[-4, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231229,8 +270917,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00023555829166127721545189408641750634`12.844755587363041}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.7227728467541281959064`1.2302637295718444*^-16}, { Rational[-319, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231248,8 +270937,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00023342951340196718623115861154248529`12.8408751036496}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.3663762066201156920823`1.2766116677280241*^-16}, { Rational[-159, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231267,8 +270957,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00023131309643202970709136849821867168`12.83698171570528}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.3279933618105419558272`1.199345198362201*^-16}, { Rational[-317, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231286,8 +270977,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00022920901142921351887947283833112973`12.833075342980939}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.138652594732620015847`0.2609167404998677*^-17}, { Rational[-79, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231305,8 +270997,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00022711722900487827386039878498998621`12.829155904162844}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.7360215367515582030324`1.148319521271086*^-16}, { Rational[-63, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231324,8 +271017,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00022503771970406334916066034787071063`12.82522331716294}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5048967558903581382449`0.6504747336947*^-16}, { Rational[-157, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231344,7 +271038,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00022297045400555664317683421762195552`12.821277499109067}, { + 2.2678653334184987728389`0.8286474721768303*^-16}, { Rational[-313, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231362,8 +271056,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00022091540232196335487054492167995532`12.817318366334888}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1414596255521041531486`0.9702238811953298*^-16}, { Rational[-39, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231381,8 +271076,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00021887253499977474587186832397815589`12.813345834369777}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.0751721046033641695119`0.9610240210959948*^-16}, { Rational[-311, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231401,7 +271097,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00021684182231943688531332856434237212`12.809359817928463}, { + 9.941027529312059112426`0.4706480740058204*^-17}, { Rational[-31, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231420,7 +271116,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00021482323449541937731692901819154121`12.805360230900554}, { + 6.357758579860910242089`0.27658304000651696*^-17}, { Rational[-309, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231438,8 +271134,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00021281674167628407105692277400900815`12.80134698633986}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.1206266764281080945015`1.182664338557981*^-16}, { Rational[-77, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231458,7 +271155,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00021082231394475375332129251151141774`12.79731999645356}, { + 2.8036539568413717151111`0.9211278574030372*^-16}, { Rational[-307, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231477,7 +271174,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00020883992131778082349517355395815465`12.793279172591234}, { + 3.857932616413278332193`0.05982031653936537*^-17}, { Rational[-153, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231496,7 +271193,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0002068695337466159508897173090076997`12.789224425233575}, { + 2.0005149499111096627715`0.7746697175725986*^-16}, { Rational[-61, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231515,7 +271212,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00020491112111687671434015535160917217`12.785155663981136}, { + 8.48517179467978229846`0.4022508006769128*^-17}, { Rational[-19, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231534,7 +271231,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00020296465324861622399708709353887117`12.781072797542665}, { + 2.0911343701082517035192`0.7940343528327437*^-16}, { Rational[-303, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231552,8 +271249,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00020103009989639172523527638945666255`12.776975733723416}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.5717848151987257127381`1.3529129343675796*^-16}, { Rational[-151, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231571,8 +271269,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00019910743074933318460450461695571382`12.772864379413184}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.8697480023928402035951`0.9316206990725803*^-16}, { Rational[-301, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231591,7 +271290,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00019719661543121185774728981533297883`12.768738640574156}, { + 6.437402212779839249639`0.2825498543419317*^-17}, { Rational[-3, 100] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231609,8 +271308,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00019529762350050883920854346294615894`12.764598422228579}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2638933996261333857565`0.5756119347791288*^-16}, { Rational[-299, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231629,7 +271329,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00019341042445048359406249851404656447`12.760443628446199}, { + 2.7079891507659895518596`0.9066106955141319*^-16}, { Rational[-149, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231648,7 +271348,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00019153498770924247128250451631765977`12.756274162331493}, { + 7.11242913271671462289`0.32604402112823405*^-17}, { Rational[-297, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231666,8 +271366,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00018967128263980719877954811583028334`12.75208992601072}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.553913472895984927792`1.0247952192856673*^-16}, { Rational[-37, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231685,8 +271386,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00018781927854018336003562017290538629`12.747890820618691}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.7923350274492568612733`1.2370043503574628*^-16}, { Rational[-59, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231705,7 +271407,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00018597894464342885225831422386683013`12.743676746285354}, { + 3.4090844189657377093139`1.0068507471922432*^-16}, { Rational[-147, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231723,8 +271425,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0001841502501177223259833053187065689`12.739447602122185}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4657278185894216843119`0.8662204478453998*^-16}, { Rational[-293, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231743,7 +271446,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00018233316406643160605162355736314109`12.735203286208279}, { + 2.2692125720610285715609`0.830212822380798*^-16}, { Rational[-73, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231761,8 +271464,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00018052765552818209388890318278191633`12.730943695576222}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.8448499795805918674937`1.2411733454010967*^-16}, { Rational[-291, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231780,8 +271484,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00017873369347692515101405614905050665`12.726668726197769}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.6657587300176675250539`1.1433845966585172*^-16}, { Rational[-29, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231799,8 +271504,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00017695124682200646370508898994742764`12.722378272969205}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1407267724262148959359`0.9715548253921773*^-16}, { Rational[-289, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231819,7 +271525,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00017518028440823438875005393782038273`12.718072229696501}, { + 7.560158671746474581062`0.35311793581581746*^-17}, { Rational[-18, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231837,8 +271543,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00017342077501594828021140001286675821`12.71375048908021}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.1185349621281358613729`1.3270400111790552*^-16}, { Rational[-287, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231856,8 +271563,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00017167268736108679713226770978522671`12.709412942700066}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.1438914962252098790234`1.2631552965732529*^-16}, { Rational[-143, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231875,8 +271583,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00016993599009525619211355252234291809`12.705059480999362}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.3251978326819813811866`0.9965916256012508*^-16}, { Rational[-57, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231895,7 +271604,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00016821065180579858069084852416133639`12.700689993269009}, { + 1.1993548772373756274508`0.5537842269371608*^-16}, { Rational[-71, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231913,8 +271622,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00016649664101586019144067432901085263`12.696304367631344}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.694621086103605051941`0.46142975231351974*^-17}, { Rational[-283, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231932,8 +271642,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00016479392618445959674568087143125454`12.691902491023647}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7759122064781364372752`0.9183670381468961*^-16}, { Rational[-141, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231951,8 +271662,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00016310247570655592414884460887879979`12.687484249181361}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.9452437630444987241268`1.3751309432167222*^-16}, { Rational[-281, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231970,8 +271682,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00016142225791311704822696214934627071`12.683049526621003}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.4193615035576279199877`1.2090342517894128*^-16}, { Rational[-7, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -231989,8 +271702,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00015975324107118776291408435415875031`12.67859820662283}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.969794857016512453947`0.4279310601232827*^-17}, { Rational[-279, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232009,7 +271723,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00015809539338395793420586129174816688`12.674130171213069}, { + 4.0304872229999454133973`1.0805685249051076*^-16}, { Rational[-139, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232027,8 +271741,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00015644868299083063317611594369814531`12.669645301145987}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3368171054261043297416`0.6013454038986209*^-16}, { Rational[-277, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232046,8 +271761,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00015481307796749024923732653995399237`12.66514347588552}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.422758769030128708365`0.8596460248258393*^-16}, { Rational[-69, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232065,8 +271781,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0001531885463259705835770774747927031`12.660624573586587}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.5430570547254855072953`1.4070116052962327*^-16}, { Rational[-11, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232084,8 +271801,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00015157505601472292270294004881741969`12.656088471076158}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2195388220798970827747`0.9832544196496265*^-16}, { Rational[-137, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232103,8 +271821,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00014997257491868409202867047958306769`12.651535043833812}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.4426026571336722669245`1.2113298446031282*^-16}, { Rational[-273, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232122,8 +271841,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00014838107085934448943506808426952846`12.64696416597211}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0618082235543373438979`0.7898339516789118*^-16}, { Rational[-17, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232142,7 +271862,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00014680051159481609873932643124743887`12.642375710216493}, { + 2.8729771197979470853857`0.9339803275067077*^-16}, { Rational[-271, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232160,8 +271880,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00014523086481990048300724072731970344`12.637769547884858}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.707856853667835795222`1.1485338925274553*^-16}, { Rational[-27, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232179,8 +271900,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00014367209816615675764321307012211`12.633145548866732}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.0505438280497648682879`1.3239957499706292*^-16}, { Rational[-269, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232198,8 +271920,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00014212417920196954319363218526478492`12.628503581602088}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.177925184260483086446`1.1899914015382205*^-16}, { Rational[-67, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232217,8 +271940,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00014058707543261689779990633621636725`12.623843513059768}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.662369934041475078198`1.299526878339647*^-16}, { Rational[-267, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232236,8 +271960,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00013906075430033822923820977810494778`12.61916520871544}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9964355541260248995669`0.7762159415346315*^-16}, { Rational[-133, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232256,7 +271981,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00013754518318440218648387949643865657`12.614468532529237}, { + 1.034912794003155639667`0.49092692025281826*^-16}, { Rational[-53, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232274,8 +271999,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00013604032940117453073938819982932908`12.609753346922885}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.208410219102934067201`0.9823755807054761*^-16}, { Rational[-33, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232293,8 +272019,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00013454616020418598586594358079616949`12.60501951275647}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.0722688266942364090093`1.1813504850325056*^-16}, { Rational[-263, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232312,8 +272039,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00013306264278420006816004935454169728`12.600266889304732}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.110678006614958757238`0.3281217746929828*^-17}, { Rational[-131, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232331,8 +272059,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0001315897442692808954178427485716358`12.595495334232886}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.3330641975341724373049`0.9991169870318685*^-16}, { Rational[-261, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232350,8 +272079,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00013012743172486097523173585043070801`12.590704703572023}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0644557650119373451023`0.5034634805465321*^-16}, { Rational[-13, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232369,8 +272099,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00012867567215380897246588138846669984`12.585894851694023}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.710594112149592442787`0.36348626525272426*^-17}, { Rational[-259, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232389,7 +272120,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0001272344324964974558593187253114913`12.581065631285954}, { + 0``16.476460990773802}, { Rational[-129, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232408,7 +272139,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0001258036796308706237084019843873964`12.576216893324037}, { + 2.542408745557926853136`0.8817689395040568*^-16}, { Rational[-257, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232426,8 +272157,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00012438338037251200858336291637428221`12.571348487047059}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.6509006703009456705105`1.413647473228915*^-16}, { Rational[-16, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232445,8 +272177,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00012297350147471216103772431912905814`12.566460259929281}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9157284348527650626586`0.7589826823279157*^-16}, { Rational[-51, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232464,8 +272197,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00012157400962853631227389398893779295`12.561552057652788}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.0023487259851651579063`1.37992880621176*^-16}, { Rational[-127, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232483,8 +272217,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0001201848714628920157338069865478685`12.55662372407938}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6072190351706893546192`0.68284900375583*^-16}, { Rational[-253, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232502,8 +272237,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00011880605354459676759015889774490897`12.551675101221806}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.7277458682235620701136`1.3047061249980396*^-16}, { Rational[-63, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232522,7 +272258,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00011743752237844560612185367153845687`12.546706029214484}, { + 3.20250896346991631895`0.9823895182661528*^-16}, { Rational[-251, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232540,8 +272276,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00011607924440727868996711909231944092`12.54171634628363}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.3556870374138674059887`1.0027432391996414*^-16}, { Rational[-1, 40] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232559,8 +272296,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00011473118601204885525974600264480275`12.536705888716808}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.3277951828836022898039`1.3975544560382689*^-16}, { Rational[-249, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232579,7 +272317,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00011339331351188915166863437519878982`12.531674490831815}, { + 1.6983110352991972330081`0.7071042910050969*^-16}, { Rational[-31, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232597,8 +272335,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00011206559316418035737897494942822215`12.526621984945011}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.0052981270918538468628`0.9550372660590557*^-16}, { Rational[-247, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232616,8 +272355,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00011074799116461847307585036152351623`12.521548201338947}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3405719793344890291678`0.84653435986014*^-16}, { Rational[-123, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232635,8 +272375,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00010944047364728219501895836524750173`12.51645296822939}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.7391321465828108611997`1.0500458325796256*^-16}, { Rational[-49, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232654,8 +272395,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00010814300668470036733202437381077791`12.511336111731636}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.9073140474190465054184`0.7577598931714593*^-16}, { Rational[-61, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232673,8 +272415,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00010685555628791941367421697423268935`12.506197455826129}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.4578236035169686322886`1.2144198553667325*^-16}, { Rational[-243, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232692,8 +272435,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00010557808840657074851603433510574735`12.501036822323448}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.2430514990792194898376`1.272859959126988*^-16}, { Rational[-121, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232711,8 +272455,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00010431056892893816831200953938847512`12.495854030828449}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.002906015969304006206`0.47878596675234225*^-16}, { Rational[-241, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232731,7 +272476,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00010305296368202522295157384365150017`12.490648898703792}, { + 2.0603594362621284971508`0.7915314170848936*^-16}, { Rational[-3, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232750,7 +272495,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00010180523843162256798334573287874665`12.485421241032608}, { + 1.5496551599107635594719`0.6678861956109522*^-16}, { Rational[-239, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232769,7 +272514,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00010056735888237529825476691140942042`12.480170870580466}, { + 1.7769668891587301579209`0.7273931744616581*^-16}, { Rational[-119, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232787,8 +272532,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00009933929067785026379886179064152417`12.474897597756463}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.056676063445569378427`0.3839324583051768*^-17}, { Rational[-237, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232806,8 +272552,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00009812099940060336904713902422369622`12.469601230573588}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1995735989769639906845`0.5568661793108561*^-16}, { Rational[-59, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232825,8 +272572,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00009691245057224685677162738246155785`12.464281574608163}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.6522825608265792770218`1.2301258761286498*^-16}, { Rational[-47, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232845,7 +272593,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0000957136096535165785862961296882689`12.458938432958462}, { + 0``16.47796475033887}, { Rational[-117, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232864,7 +272612,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00009452444204433925440540817007211826`12.453571606202445}, { + 1.9358878430758892367648`0.7649076886074573*^-16}, { Rational[-233, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232882,8 +272630,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00009334491308389972401492764046298476`12.448180892354603}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.362554364921793733914`0.8514720597674085*^-16}, { Rational[-29, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232901,8 +272650,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00009217498805070819493501908870709245`12.442766086821834}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.617574932103976557686`0.8960521317578218*^-16}, { Rational[-231, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232921,7 +272671,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00009101463216266749213912600931640365`12.437326982358405}, { + 2.5234388171740030154485`0.8802085554024504*^-16}, { Rational[-23, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232940,7 +272690,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00008986381057714031709441332216249547`12.431863369019931}, { + 3.3172949406490363446099`0.999062636679534*^-16}, { Rational[-229, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232959,7 +272709,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00008872248839101652621093693780897135`12.42637503411629}, { + 3.689857466252651204766`0.04535092210953118*^-17}, { Rational[-57, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232977,8 +272727,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00008759063064078044244151448774717593`12.420861762163646}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.9881637179754539043629`1.2556977859527716*^-16}, { Rational[-227, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -232997,7 +272748,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0000864682023025782189165618205262718`12.415323334835254}, { + 3.7275631324637744405365`1.049891923851466*^-16}, { Rational[-113, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233016,7 +272767,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00008535516829228528080835842479549115`12.4097595309113}, { + 0``16.478529719102934}, { Rational[-9, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233034,8 +272785,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0000842514934655738821250640109572939`12.40417012622758}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.5282844434680769145918`1.3552885490146889*^-16}, { Rational[-14, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233053,8 +272805,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00008315714261798082940931403177840755`12.398554893623055}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.0776789065692839023003`0.9668786545040436*^-16}, { Rational[-223, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233073,7 +272826,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00008207208048497544679983606960721767`12.392913602886175}, { + 2.9709186767750281030906`0.9516089348986865*^-16}, { Rational[-111, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233091,8 +272844,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00008099627174202789044935044449352344`12.387246020700134}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.5102005627598411654993`1.1329768573462577*^-16}, { Rational[-221, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233111,7 +272865,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00007992968100467797102163395364709193`12.38155191058673}, { + 4.2676127613617962996201`1.109028846437364*^-16}, { Rational[-11, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233129,8 +272883,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00007887227282860472090434193202864159`12.375831032849062}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.8542260393323332558272`1.1650266828773796*^-16}, { Rational[-219, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233148,8 +272903,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00007782401170969706440851214928022338`12.370083144512925}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.5958001391255657154419`0.6819480390293934*^-16}, { Rational[-109, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233167,8 +272923,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00007678486208412614249010845379173752`12.364307999266813}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.4196514284627228763045`1.0130142406137026*^-16}, { Rational[-217, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233187,7 +272944,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00007575478832842015652316189814807351`12.358505347400603}, { + 1.4247433101916486107375`0.6328318947181334*^-16}, { Rational[-27, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233205,8 +272962,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00007473375475954311317474018478892437`12.352674935742888}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.5926612666423334498537`1.4132858346810457*^-16}, { Rational[-43, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233225,7 +272983,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00007372172563497972770624704829456862`12.346816507596765}, { + 1.988692224488188155916`0.7777886005805901*^-16}, { Rational[-107, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233243,8 +273001,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00007271866515283026050347916783707906`12.34092980267438}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.2471215182259145670978`1.4452904787726708*^-16}, { Rational[-213, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233262,8 +273021,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00007172453745192176523914105260655854`12.335014557029854}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.5728781275750448775794`1.4603893401799717*^-16}, { Rational[-53, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233281,8 +273041,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00007073930661194719139593917950674656`12.329070502990986}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.7489268228990368731379`1.3086444329286997*^-16}, { Rational[-211, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233300,8 +273061,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00006976293665365342858551510205891623`12.323097369089588}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.3129603804205314099555`1.3435658449438306*^-16}, { Rational[-21, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233319,8 +273081,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00006879539153911660942244153421989674`12.317094879990742}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.9070331610492864423448`1.071382639609029*^-16}, { Rational[-209, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233338,8 +273101,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0000678366351721849251039023450846812`12.311062756421626}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.0255154098181409415459`0.7861340189503326*^-16}, { Rational[-13, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233358,7 +273122,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0000668866313992460195803145808979187`12.305000715100773}, { + 3.205701740433408138591`0.9855845126600957*^-16}, { Rational[-207, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233377,7 +273141,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00006594534401066955582819004597255285`12.298908468670492}, { + 2.2766808867103851062811`0.8370265007268455*^-16}, { Rational[-103, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233395,8 +273159,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00006501273674373043879117970280622006`12.292785725638012}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.7464803891673973110743`1.308864548173518*^-16}, { Rational[-41, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233415,7 +273180,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00006408877328902055593117472345457338`12.286632190340168}, { + 2.329821453006253671912`0.8471728797606186*^-16}, { Rational[-51, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233433,8 +273198,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00006317341730580878637108161165544304`12.280447562971469}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.532461290825887144197`0.8834560167849541*^-16}, { Rational[-203, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233453,7 +273219,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00006226663246289334610783578002333593`12.274231539797476}, { + 4.0893786605644784214291`1.0916334957488116*^-16}, { Rational[-101, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233471,8 +273237,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00006136838256243025401092582716264144`12.267983813979138}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.611343866905367852789`0.22910602832281451*^-17}, { Rational[-201, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233490,8 +273257,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00006047862734092908526238279163364293`12.261704045432293}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.5872284745745328264917`1.0348611660241704*^-16}, { Rational[-1, 50] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233509,8 +273277,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00005959733545826427744052252743443955`12.25539195326723}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.8170962310610652936234`1.3137645424188504*^-16}, { Rational[-199, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233528,8 +273297,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00005872446809300077256287813400202998`12.249047199160064}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.8006262846555062952751`1.2437030012943142*^-16}, { Rational[-99, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233547,8 +273317,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00005785998850099961067972173793944515`12.24266945501482}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.6505996282019290089716`1.4173373318346099*^-16}, { Rational[-197, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233566,8 +273337,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00005700386156494018398237537460955583`12.236258400589177}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.855887538600476157381`1.375549389010885*^-16}, { Rational[-49, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233585,8 +273357,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00005615604536336996060340869286972726`12.229813659159078}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3886721624111756420099`0.6230168799785287*^-16}, { Rational[-39, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233605,7 +273378,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00005531650803973535232313093024031901`12.223334925935026}, { + 7.713353389937436521236`0.3677234057338428*^-17}, { Rational[-97, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233624,7 +273397,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00005448521092410069750432767851968939`12.216821839362256}, { + 5.0595047552708579308668`1.1846512184573659*^-16}, { Rational[-193, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233642,8 +273415,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00005366211698583914054757365901930311`12.210274045413025}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.6310990740076028207903`1.1462903227856909*^-16}, { Rational[-12, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233662,7 +273436,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00005284718912959482164585480698830551`12.203691184487424}, { + 3.2398373535766006046458`0.9911925069944799*^-16}, { Rational[-191, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233680,8 +273454,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00005204039247575133970841533000216266`12.197072910324602}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.1529887730172924445339`1.4422952835784046*^-16}, { Rational[-19, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233699,8 +273474,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00005124168414209893686417365502071335`12.190418804758014}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.0430233643318175575861`1.0875016674788482*^-16}, { Rational[-189, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233718,8 +273494,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00005045103088304407175415222823750959`12.183728524222113}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.6049419244927962483351`1.3619543879927458*^-16}, { Rational[-47, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233737,8 +273514,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0000496683950051273850629727269692748`12.177001682890717}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.1623718193089323135114`1.4429294750333859*^-16}, { Rational[-187, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233756,8 +273534,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00004889373898868306357644862004535013`12.170237890672821}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.394412486686375022668`1.1238854673762062*^-16}, { Rational[-93, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233776,7 +273555,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00004812702533565427967610946873237634`12.163436751860626}, { + 3.1947316558945466008446`0.9854821239227797*^-16}, { Rational[-37, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233794,8 +273573,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00004736821652135015056651328892443415`12.156597864604892}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.1227283759459183873372`1.3908127682522833*^-16}, { Rational[-23, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233813,8 +273593,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00004661727497696297648385577851415816`12.149720820633986}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.2073534796529609075632`1.1051829442993588*^-16}, { Rational[-183, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233832,8 +273613,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00004587416308253330950187473054593844`12.142805205053516}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.7301080884557864003889`1.4693547216460843*^-16}, { Rational[-91, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233852,7 +273634,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00004513884316389138231890987982300392`12.135850596174404}, { + 3.3506350985695592242852`1.0064273110017696*^-16}, { Rational[-181, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233870,8 +273652,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00004441127749124751394662314308753712`12.128856565350388}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.8696883592617374900034`1.3183003412573109*^-16}, { Rational[-9, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233889,8 +273672,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00004369142827851900152731346541517019`12.12182267681737}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.2641926112656924175307`1.3986268710930165*^-16}, { Rational[-179, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233908,8 +273692,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00004297925768300686191482364068090136`12.1147484875314}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.2205779679455221676481`1.3400615447614284*^-16}, { Rational[-89, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233927,8 +273712,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00004227472780524773879245439264927425`12.107633547003639}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5794771347277143703502`0.8930844150934846*^-16}, { Rational[-177, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233946,8 +273732,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00004157780068895759233315408897651036`12.1004773971315}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.5086843477499298692279`1.4597363202732716*^-16}, { Rational[-11, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233965,8 +273752,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00004088843832102542726833314916307405`12.093279572025438}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.9011951756114459366421`1.0728767323087*^-16}, { Rational[-7, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -233984,8 +273772,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00004020660263153527033808620750496264`12.08603959783105}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.8445718003329680613056`0.7476377902827472*^-16}, { Rational[-87, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234003,8 +273792,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00003953225549380459676856744483076935`12.078756992546317}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1516702681105349099491`0.9803461789744802*^-16}, { Rational[-173, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234022,8 +273812,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00003886535872443260169739382249337639`12.071431265833517}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.052091060426280390555`0.5039219208212049*^-16}, { Rational[-43, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234041,8 +273832,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00003820587408335451015847880467577823`12.06406191882587}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.02403835947401396092752`1.4922480090209636*^-15}, { Rational[-171, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234061,7 +273853,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00003755376327389967272052425588220328`12.056648443928545}, { + 6.4834573582584190542368`1.2938016434080066*^-16}, { Rational[-17, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234079,8 +273871,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00003690898794285208085530333015623641`12.049190324613837}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3375713208489527981144`0.6083751410172757*^-16}, { Rational[-169, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234098,8 +273891,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00003627150968051245552125170966828633`12.041687035210309}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.5125992685715579124339`1.295875764086232*^-16}, { Rational[-21, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234117,8 +273911,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00003564129002076137371007367108636198`12.034138040685724}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.726367939547268376701`1.1567121583220576*^-16}, { Rational[-167, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234136,8 +273931,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00003501829044112308821636254205938376`12.02654279642349}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.5997238434367266371545`1.2304144704318485*^-16}, { Rational[-83, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234155,8 +273951,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00003440247236282981478462195518404577`12.018900747992381}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.08310814639588578221978`1.5169829202059075*^-15}, { Rational[-33, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234175,7 +273972,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00003379379715088633633032473650850281`12.011211330909322}, { + 4.1025330252576737612417`1.0954264282908508*^-16}, { Rational[-41, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234194,7 +273991,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00003319222611413482273286683537845287`12.003473970394962}, { + 3.7901454749699860136111`1.0610934739769176*^-16}, { Rational[-163, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234212,8 +274009,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00003259772050531979671438352227064898`11.995688081121745}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.1016091775153581435024`1.1902080389055363*^-16}, { Rational[-81, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234231,8 +274029,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00003201024152115319762637586151197948`11.987853066954314}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0951706190988413233317`1.522045904379643*^-15}, { Rational[-161, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234250,8 +274049,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00003142975030237950933876111817151167`11.97996832068175}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.24446186414085217544114`1.5776089865345815*^-15}, { Rational[-2, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234269,8 +274069,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00003085620793384092824298438389923244`11.972033223741581}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.04803654236367237936782`1.503067100975437*^-15}, { Rational[-159, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234288,8 +274089,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00003028957544454255416552638944112681`11.964047145935124}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.138649108885585117318`1.3933062885074463*^-16}, { Rational[-79, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234307,8 +274109,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00002972981380771759172928393027967557`11.956009445133757}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.15671903669890093205911`1.5460451427907753*^-15}, { Rational[-157, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234327,7 +274130,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.0000291768839408925530480710127781002`11.947919466975991}, { + 0``16.48288056296701}, { Rational[-39, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234345,8 +274148,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00002863074670595245502671463699306325`11.939776544554778}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.2968613218496812258666`1.451280226304793*^-16}, { Rational[-31, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234365,7 +274169,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00002809136290920600625737738573672319`11.931579998094804}, { + 1.8891590459119429893993`0.7592757135825193*^-16}, { Rational[-77, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234383,8 +274187,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0000275586933014507797502575218223887`11.923329134619333}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.1758779786199485687405`0.5534327738044829*^-16}, { Rational[-153, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234403,7 +274208,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00002703269857803836865019555303292935`11.91502324760614}, { + 4.547956420845107526549`1.1409501438079543*^-16}, { Rational[-19, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234421,8 +274226,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00002651333937893952276476212936901676`11.906661616632297}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.1813715212962632254584`1.3394045842891549*^-16}, { Rational[-151, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234440,8 +274246,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00002600057628880926423058631945589042`11.898243507007104}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.2540811820942808003075`0.995688919879688*^-16}, { Rational[-3, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234459,8 +274266,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00002549436983705198102001901063447186`11.889768169392864}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.7785076758422291075026`1.4735964721042747*^-16}, { Rational[-149, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234479,7 +274287,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00002499468049788649727324118210458471`11.881234839413043}, { + 0``16.48338725309424}, { Rational[-37, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234498,7 +274306,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00002450146869041111965573207937037207`11.872642737247098}, { + 4.3622905992447468804211`1.1231652157038399*^-16}, { Rational[-147, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234517,7 +274325,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00002401469477866865910506629219715714`11.863991067211721}, { + 6.326566225368447162819`0.2846820576782274*^-17}, { Rational[-73, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234535,8 +274343,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00002353431907171142745705961451656376`11.855279017327684}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.7606825862890207785632`1.4261153286663844*^-16}, { Rational[-29, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234554,8 +274363,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00002306030182366620853869136250175445`11.846505758871857}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.0295349921544841287881`0.49628188682358265*^-16}, { Rational[-9, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234574,7 +274384,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00002259260323379920339092297920638532`11.837670445913671}, { + 4.2394263618133630250927`1.1110112695336722*^-16}, { Rational[-143, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234592,8 +274402,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00002213118344658094934367696963375522`11.8287722148355}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.7728857114528684854666`1.4737903996437314*^-16}, { Rational[-71, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234611,8 +274422,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00002167600255175121271164519979001993`11.819810183836132}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.3026839536232857501402`0.5986700568043125*^-16}, { Rational[-141, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234631,7 +274443,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00002122702058438385269719953947213274`11.810783452416798}, { + 0``16.483894414171814}, { Rational[-7, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234649,8 +274461,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00002078419752495205051807841523612048`11.801691100848776}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.4520969268283862376218`1.4594860089545403*^-16}, { Rational[-139, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234668,8 +274481,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00002034749329939120646915346356425716`11.792532189622033}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.2983370965643971445628`1.4524265649740098*^-16}, { Rational[-69, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234688,7 +274502,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00001991686777916753410444682719524017`11.783305758873919}, { + 4.073580634836424174738`0.0940610386681601*^-17}, { Rational[-137, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234706,8 +274520,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001949228078133902102590909999087588`11.77401082779704}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4752662689211357975777`0.8777700953568969*^-16}, { Rational[-17, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234725,8 +274540,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001907369206862205551919355069204511`11.76464639402553}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.1935660511944903175328`0.9884875324947525*^-16}, { Rational[-27, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234744,8 +274560,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001866106134945575177386421342986009`11.755211432998603}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.8557160361250751755768`1.2518551029447404*^-16}, { Rational[-67, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234763,8 +274580,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001825434827806662637519878046965054`11.745704897300456}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.2783577169981282506164`0.5909909649301238*^-16}, { Rational[-133, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234782,8 +274600,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001785351245453324734534556156277608`11.736125715975481}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.1884078471605787104404`1.3410347571066092*^-16}, { Rational[-33, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234801,8 +274620,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001745851342485085566642861710897728`11.726472793817615}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.1691610067374703482002`1.197885594523272*^-16}, { Rational[-131, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234821,7 +274641,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00001706931068099595922282627005994577`11.716745010632678}, { + 6.0395688880811669942054`1.2655349690185298*^-16}, { Rational[-13, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234839,8 +274659,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.0000166858636609908991034027788284877`11.706941220472407}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.7954017260535301145032`0.9310367610033876*^-16}, { Rational[-129, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234859,7 +274680,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00001630813174896838820754848193845929`11.697060250838963}, { + 3.3419332350937401372294`1.0086538109989518*^-16}, { Rational[-8, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234877,8 +274698,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001593607427523602210153438057493378`11.687100901858484}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.00935793288541195697147`1.4887647593559346*^-15}, { Rational[-127, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234896,8 +274718,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001556965051634076207379082525135069`11.67706194542221}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.2015416685687473588257`1.398678578889771*^-16}, { Rational[-63, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234915,8 +274738,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001520881969513339033961538717212157`11.666942124293602}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.5025439447738729912333`0.8832283275175138*^-16}, { Rational[-1, 80] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234934,8 +274758,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001485354098083293734734940068875212`11.656740151180037}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.2314922382419280389026`1.2795022127852014*^-16}, { Rational[-31, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234954,7 +274779,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00001450377348909108113945836187886992`11.64645470776706}, { + 4.2125939886055166580057`1.1095233047699333*^-16}, { Rational[-123, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234972,8 +274797,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001415947628205651872308708873591493`11.636084443713619}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.400662307611899159809`0.40935078239171085*^-17}, { Rational[-61, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -234992,7 +274818,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00001382060836843930940572077320781969`11.625627975606312}, { + 4.237155480744921088733`1.112175217359214*^-16}, { Rational[-121, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235010,8 +274836,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001348712870357519005246400475922112`11.615083885870499}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.332894778822455921816`0.00798598877597614*^-17}, { Rational[-3, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235029,8 +274856,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001315899618948986222223842241530666`11.604450721636322}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -5.0077695492613658258582`1.1848722972413777*^-16}, { Rational[-119, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235049,7 +274877,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00001283616967496325114095680367006318`11.593726993557256}, { + 1.1801770162826633866471`0.557238698661525*^-16}, { Rational[-59, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235068,7 +274896,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00001251860795559373647034203660569852`11.582911174578774}, { + 6.5577530741519983663457`1.3021101970705022*^-16}, { Rational[-117, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235086,8 +274914,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001220626977386235483167656740841349`11.572001698654628}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.3572704816264996624298`1.28868942576815*^-16}, { Rational[-29, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235106,7 +274935,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00001189911381919697404431507809099121`11.560996959408083}, { + 9.2577726389826166963999`1.4519888530339262*^-16}, { Rational[-23, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235124,8 +274953,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001159709872803643903930369500424866`11.549895308735111}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.3069392062614993269894`1.1197146974853838*^-16}, { Rational[-57, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235143,8 +274973,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001130018308389468940894291747958788`11.538695055346626}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.03441803951741252850005`1.5003056626589122*^-15}, { Rational[-113, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235162,8 +274993,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001100832541742484855359865923996685`11.527394463246534}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.7885458623914923600982`1.3771295870000007*^-16}, { Rational[-7, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235181,8 +275013,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.00001072148420648328438751388069692972`11.515991750142078}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.45040841306156183167227`1.6472271516006198*^-15}, { Rational[-111, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235200,8 +275033,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 0.000010439617876193641565825196958176`11.504485085782903}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.6282605509170954590702`1.1512182846534524*^-16}, { Rational[-11, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235220,7 +275054,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 0.00001016268479901084519541308565484669`11.492872590225028}, { + 4.0666125165520992771209`1.0950969286833097*^-16}, { Rational[-109, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235238,8 +275072,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 9.89064329478507599268401910181468`11.481152332015435*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.49223045769434844463765`1.6597636940432678*^-15}, { Rational[-27, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235257,8 +275092,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 9.62345163082571685177145828601038`11.469322326293124*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.855259301620754555739`0.4796595152424726*^-17}, { Rational[-107, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235276,8 +275112,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 9.36106802196527078715855889476553`11.457380532801706*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.22368994434576659899158`1.57372652424535*^-15}, { Rational[-53, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235295,8 +275132,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 9.10345063062325021513443707191733`11.445324853808795*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.2007057347927701572836`1.3999700421361032*^-16}, { Rational[-21, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235314,8 +275152,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 8.85055756687003753900911278599425`11.433153131926751*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.38416103232520723236815`1.627369120463935*^-15}, { Rational[-13, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235334,7 +275173,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 8.60234688849071700348096104819019`11.420863147829108*^-6}, { + 1.4125369667604602936327`0.6362460198676457*^-16}, { Rational[-103, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235352,8 +275191,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 8.3587766010488777841033037884196`11.40845261785674*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.22677182285031115513365`1.5750736929737514*^-15}, { Rational[-51, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235372,7 +275212,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 8.11980465795038827830941217355092`11.395919191507236*^-6}, { + 9.61849772488821650169`0.4694808578496864*^-17}, { Rational[-101, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235390,8 +275230,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 7.8853889605071415651019384229179`11.383260448800547*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.5875933880368692642248`1.4203088022697838*^-16}, { Rational[-1, 100] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235409,8 +275250,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 7.6554873580007720010383163829179`11.370473897513632*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.9003994451627727436757`1.3253752864619779*^-16}, { Rational[-99, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235428,8 +275270,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 7.43005764774634292088123477334948`11.357556970276073*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.3792612441658546296124`1.0153865554630146*^-16}, { Rational[-49, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235448,7 +275291,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 7.20905757515600541200702860199825`11.344507021518329*^-6}, { + 7.461308153584098597491`1.359443505259762*^-16}, { Rational[-97, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235466,8 +275309,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 6.9924448338026281323438261056617`11.331321324263351*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.6138182894984672937087`0.9039676689587962*^-16}, { Rational[-6, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235485,8 +275329,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 6.78017706548339814253583671920497`11.317997066752126*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.55041253554505991271607`1.677203303086056*^-15}, { Rational[-19, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235505,7 +275350,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 6.57221186028339272390269202685761`11.304531348892379*^-6}, { + 1.12913462336152426085794`1.5395655196149094*^-15}, { Rational[-47, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235524,7 +275369,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 6.36850675663912215467101326646416`11.290921178519469*^-6}, { + 3.9792670172413959160941`1.0866866476622397*^-16}, { Rational[-93, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235543,7 +275388,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 6.16901924140204341821400197156862`11.277163467457251*^-6}, { + 1.1353140413020323704782`1.5420633525938277*^-15}, { Rational[-23, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235562,7 +275407,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 5.97370674990204481795760950819779`11.263255027366084*^-6}, { + 9.8232272435941468749892`1.4792653197721295*^-16}, { Rational[-91, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235580,8 +275425,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 5.7825266660109014751166843782968`11.249192565363831*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.8365624668479775677618`1.4333582738353439*^-16}, { Rational[-9, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235600,7 +275446,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 5.59543632220570168666974343873974`11.234972679405042*^-6}, { + 7.3754357122774421249096`1.3549264043023628*^-16}, { Rational[-89, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235618,8 +275464,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 5.41239299963224412274691318488903`11.220591853401825*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.39293265339324295318925`1.6311326497865324*^-15}, { Rational[-11, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235638,7 +275485,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 5.23335392816840584404933012712288`11.206046452069176*^-6}, { + 2.2115266259215809050048`0.8319585184676638*^-16}, { Rational[-87, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235656,8 +275503,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 5.05827628648748112214160567544612`11.19133271547567*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.82944644792717980858113`1.749649870252483*^-15}, { Rational[-43, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235675,8 +275523,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 4.88711720212149104721924695207262`11.17644675327917*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.7733257535186234962519`1.0641182993196414*^-16}, { Rational[-17, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235694,8 +275543,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 4.71983375152446391077302432721378`11.161384538625361*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.24648354664686858310682`1.5831443879396372*^-15}, { Rational[-21, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235714,7 +275564,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 4.55638296013568635264650503775144`11.146141901685331*^-6}, { + 2.4929608147614356994309`0.8842371354315649*^-16}, { Rational[-83, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235732,8 +275582,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 4.39672180244292526538244550656244`11.130714522806077*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.38718409911766608284001`1.6297196383628936*^-15}, { Rational[-41, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235751,8 +275602,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 4.24080720204562045174307370374186`11.115097925245832*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.6794809438535400468695`1.712824477547648*^-15}, { Rational[-81, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235770,8 +275622,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 4.08859603171804803503755186447396`11.099287467463808*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.43893665165054804385547`1.6457549400185278*^-15}, { Rational[-1, 125] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235789,8 +275642,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 3.94004511347245462606220189331316`11.08327833493085*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.18220690465625561517071`1.5604706324446291*^-15}, { Rational[-79, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235809,7 +275663,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 3.79511121862216225489317126425859`11.067065531425103*^-6}, { + 2.2128032985695169851637`0.8327838343410863*^-16}, { Rational[-39, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235827,8 +275681,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 3.65375106784464408121136581509264`11.050643869773154*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.49424470402566311957029`1.6623266402297616*^-15}, { Rational[-77, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235847,7 +275702,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 3.51592133124457090245098805215734`11.034007961993845*^-6}, { + 6.5229990216672153924741`1.3024161266801408*^-16}, { Rational[-19, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235865,8 +275720,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 3.381578628416828485935844145548`11.017152208797791*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.26588796173314846229996`1.590427989018379*^-15}, { Rational[-3, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235884,8 +275740,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 3.25067952850950575841772015420146`11.00007078839144*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.25428742669080614784359`1.8411059213335814*^-15}, { Rational[-37, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235904,7 +275761,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 3.12318055028685389504909980155779`10.982757644529702*^-6}, { + 3.141664659081587588051`0.9853203801713328*^-16}, { Rational[-73, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235922,8 +275779,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 2.9990381621922163595731643533874`10.96520647375574*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -6.6765700058063785250248`1.3127778891147919*^-16}, { Rational[-9, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235941,8 +275799,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 2.87820878241092995818296320235705`10.94741071176062*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.4393907766036531421945`1.6464671335787406*^-15}, { Rational[-71, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235960,8 +275819,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 2.76064877893319698246937590514536`10.929363518789131*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.4333413934503452462007`0.874555405676169*^-16}, { Rational[-7, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235980,7 +275840,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 2.64631446961692853035729876071847`10.911057764010268*^-6}, { + 6.9418030473611792982473`1.3298886006280641*^-16}, { Rational[-69, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -235998,8 +275858,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 2.53516212225055911156773072207752`10.892486008763376*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.42767588621154421737873`1.8736709761978565*^-15}, { Rational[-17, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236017,8 +275878,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 2.4271479546158326613197423369716`10.873640488581113*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.89407351327291218729732`1.7659410688934458*^-15}, { Rational[-67, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236036,8 +275898,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 2.32222813455056010870339677122795`10.854513093880735*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.6629799518473715958643`0.9139761086968216*^-16}, { Rational[-33, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236055,8 +275918,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 2.22035878001134867030624541943391`10.835095349203396*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.59601587650786447939737`1.6917094004713689*^-15}, { Rational[-13, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236075,7 +275939,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 2.12149595913630306819312339059078`10.815378390868455*^-6}, { + 1.42665545308618049245657`1.6430552829913394*^-15}, { Rational[-4, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236093,8 +275957,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 2.02559569030769890456167491931503`10.795352942895505*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.54909071084164970546176`1.8951854692010035*^-15}, { Rational[-63, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236112,8 +275977,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 1.93261394221462846317490284572016`10.77500929103008*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.08644673107680196879185`1.8082714842455845*^-15}, { Rational[-31, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236131,8 +275997,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 1.84250663391561925208438480249993`10.754337254691329*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.71603618095442852419187`1.9228637457193325*^-15}, { Rational[-61, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236151,7 +276018,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 1.75522963490122565374117085036512`10.733326156638672*^-6}, { + 3.7104137993591141236361`1.0584145605278368*^-16}, { Rational[-3, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236169,8 +276036,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 1.67073876515659410800029880596538`10.711964790131233*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.12600723346750012936843`1.5405974213833176*^-15}, { Rational[-59, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236188,8 +276056,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 1.58898979522400232427747457376168`10.690241383327198*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.55090638848908586612436`1.8958147968848709*^-15}, { Rational[-29, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236207,8 +276076,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 1.50993844626537310027482057229498`10.668143560640036*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.3148800025568918908662`1.8537128007426604*^-15}, { Rational[-57, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236227,7 +276097,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 1.43354039012476342163729856778732`10.645658300733858*^-6}, { + 1.3979747882518454609159`0.6347477063915603*^-16}, { Rational[-7, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236246,7 +276116,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 1.35975124939082962987284058811533`10.62277189080101*^-6}, { + 1.91600069643595898887253`1.7717080870513704*^-15}, { Rational[-11, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236265,7 +276135,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 1.28852659745926957935312004451856`10.59946987671996*^-6}, { + 1.19786478898739122047856`1.5677842884060154*^-15}, { Rational[-27, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236283,8 +276153,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 1.21982195859524286298990834081197`10.575737008639797*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.4993087600475174086296`0.6653316402328927*^-16}, { Rational[-53, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236302,8 +276173,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 1.15359280799577037337419284782357`10.551557181478314*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.41569792736219059444526`1.6404752380704741*^-15}, { Rational[-13, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236322,7 +276194,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 1.08979457185211468990536312980905`10.526913369752393*^-6}, { + 7.0925175660210128265701`1.3403691495837793*^-16}, { Rational[-51, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236340,8 +276212,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 1.02838262741214305002256585008973`10.501787556080075*^-6}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.74945493086921206699008`2.063600962441306*^-15}, { Rational[-1, 200] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236359,8 +276232,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 9.6931230304267498151571249634835`10.476160652602418*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.75868174566303348892792`1.930398528797454*^-15}, { Rational[-49, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236378,8 +276252,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 9.1253887829181705755650165382368`10.450012414466746*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -9.4338091222860672321912`1.4644481229126585*^-16}, { Rational[-3, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236397,8 +276272,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 8.5801758395128770146348139833798`10.42332134438891*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.40857311401378010961918`1.871584990445092*^-15}, { Rational[-47, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236417,7 +276293,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 8.057036021187355272802302531155`10.396064587167496*^-7}, { + 1.0558252359122114617898`1.5134813201166013*^-15}, { Rational[-23, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236435,8 +276311,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 7.5555206626005538664964047745717`10.368217812853196*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.03422175992774238072553`1.504567086391548*^-15}, { Rational[-9, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236454,8 +276331,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 7.0751806127170712498553012811429`10.33975508707692*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.37072918834677266933008`2.0177414180847335*^-15}, { Rational[-11, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236473,8 +276351,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 6.6155662354304307022643636525297`10.310648726804825*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.7696013522983663234011`0.7379571464210269*^-16}, { Rational[-43, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236492,8 +276371,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 6.1762274101865153265676150119409`10.280869139509692*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.50016768876060102734992`2.034234712509804*^-15}, { Rational[-21, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236511,8 +276391,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 5.7567135326072514902258830169233`10.250384643416828*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.59658911889183856113678`2.04610085103371*^-15}, { Rational[-41, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236530,8 +276411,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 5.3565735151146483205135641420956`10.219161266087456*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.76823731586766740291937`2.066412442449998*^-15}, { Rational[-1, 250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236550,7 +276432,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 4.9753557875553249044042549384428`10.187162518129606*^-7}, { + 3.2148727950849567874295`0.9975021692122015*^-16}, { Rational[-39, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236568,8 +276450,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 4.612608297825687185550674078858`10.15434913825712*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.05765034772739609629207`1.5147446789004524*^-15}, { Rational[-19, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236588,7 +276471,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 4.2678785124979545050678989488795`10.12067880523029*^-7}, { + 2.8550897916646695620847`0.9460865280096034*^-16}, { Rational[-37, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236606,8 +276489,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 3.9407134174472843802719095062003`10.086105811377639*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.442549668975931018252`1.138163250881246*^-16}, { Rational[-9, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236625,8 +276509,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 3.6306595184803057552108464724318`10.05058069138122*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.60797898414794529094998`1.9068992559400177*^-15}, { Rational[-7, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236644,8 +276529,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 3.3372628419654506083486844921978`10.014049798761981*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.090824194638660339734`1.9807336890796807*^-15}, { Rational[-17, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236663,8 +276549,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 3.0600689354655767056881348833382`9.976454820966424*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.47461381523072614248376`2.141479170051654*^-15}, { Rational[-33, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236683,7 +276570,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 2.7986228683735084857841550230444`9.937732222053942*^-7}, { + 1.84914142367204352824146`1.7577579711174582*^-15}, { Rational[-2, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236702,7 +276589,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 2.5524692325512994340484636545918`9.897812599614088*^-7}, { + 7.3869594231233134855202`1.359317797270783*^-16}, { Rational[-31, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236720,8 +276607,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 2.3211521429742531030631308324451`9.856619939570585*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.41939442653916136778756`1.6430194273842167*^-15}, { Rational[-3, 1000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236740,7 +276628,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 2.1042152383810522711893158783358`9.814070748776867*^-7}, { + 3.19391873123235430277626`1.9953044488265437*^-15}, { Rational[-29, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236759,7 +276647,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 1.9012016819317660452516217117952`9.770073040537913*^-7}, { + 3.28421003665145173256265`2.007475774712088*^-15}, { Rational[-7, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236778,7 +276666,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 1.7116541618791460566718473935156`9.724525142084788*^-7}, { + 0``16.491109121696557}, { Rational[-27, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236797,7 +276685,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 1.5351148319971023736848678549968`9.677314268093541*^-7}, { + 2.57752187019691918009235`1.9023757592757906*^-15}, { Rational[-13, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236815,8 +276703,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 1.3711250771744276939316262818345`9.628314760550442*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.06155807388750270074795`1.517181444418615*^-15}, { Rational[-1, 400] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236834,8 +276723,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 1.2192269986695897780167234869997`9.577386551754136*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -2.77011327379450043500695`1.9337995089479543*^-15}, { Rational[-3, 1250] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236853,8 +276743,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 1.0789609640798995698624439483643`9.524372014806689*^-7}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -8.715416748956114099969`1.4316544410659595*^-16}, { Rational[-23, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236873,7 +276764,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 9.498672953354247431224782977978`9.469093526433506*^-8}, { + 2.7485206284270760515665`0.9305295924265777*^-16}, { Rational[-11, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236892,7 +276783,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 8.314858432412342635388401390057`9.411349768002164*^-8}, { + 1.45214498619032580121368`1.653504888327126*^-15}, { Rational[-21, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236910,8 +276801,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 7.233559881550888178587618968121`9.350911314359632*^-8}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -7.46279191741306232346087`2.364460565835451*^-15}, { Rational[-1, 500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236930,7 +276822,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 6.25016640758937446947294990729`9.287515146097732*^-8}, { + 4.0306309243903685669447`2.0969965983584884*^-15}, { Rational[-19, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236948,8 +276840,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 5.360062431852840865306991859669`9.22085775402091*^-8}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.57063977883819580995911`2.1516649008492004*^-15}, { Rational[-9, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236968,7 +276861,7 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ Rational[13, 4]]))^Rational[1, 2]), - 4.558627673798642972452727933276`9.150586376213047*^-8}, { + 9.4650443300532806917712`1.467874905944383*^-16}, { Rational[-17, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -236986,8 +276879,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 3.84123719240445327878036605841`9.076287734294338*^-8}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -4.70172391538894943051706`2.1640737328961057*^-15}, { Rational[-1, 625] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -237005,8 +276899,9 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 3.203261369499268459670786629694`8.997473348528374*^-8}, { + Rational[13, 4]]))^ + Rational[ + 1, 2]), -1.103903811606390704728284`2.534812205144175*^-14}, { Rational[-3, 2000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + @@ -237024,28 +276919,10 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 2.640065919596200483178528767473`8.913560113989673*^-8}, { - Rational[-7, 5000] - (Rational[19289664, 2640625] Log[ - Rational[13, 4]] + - Rational[-79152, 105625] (Rational[-1647, 52] + - Rational[732, 25] Log[ - Rational[13, 4]]) + - Rational[-26352, 8125] (Rational[535824, 105625] Log[ - Rational[13, 4]]^2 + (Rational[1464, 169] + - Rational[-38232, 4225] Log[ - Rational[13, 4]]) (Rational[-1647, 52] + - Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2])^Rational[-1, 2] ( - Rational[732, 325] Log[ - Rational[13, 4]] - (Rational[535824, 105625] Log[ - Rational[13, 4]]^2 + (Rational[1464, 169] + - Rational[-38232, 4225] Log[ - Rational[13, 4]]) (Rational[-1647, 52] + - Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 2.147011751211419114940617011781`8.823844143161578*^-8}, { - Rational[-13, 10000] - (Rational[19289664, 2640625] Log[ + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.854506043674385520171940975`6.077914074083482*^-11}, \ +{Rational[-7, 5000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + Rational[732, 25] Log[ @@ -237062,9 +276939,10 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 1.719472626068366829688702253387`8.727469374250742*^-8}, { - Rational[-3, 2500] - (Rational[19289664, 2640625] Log[ + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.854132903353359433890609236`6.077936408202854*^-11}, \ +{Rational[-13, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + Rational[732, 25] Log[ @@ -237081,9 +276959,10 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 1.353478503285389878562265028021`8.623589864433255*^-8}, { - Rational[-11, 10000] - (Rational[19289664, 2640625] Log[ + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.852059695431393855571670063`6.077767116763081*^-11}, \ +{Rational[-3, 2500] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + Rational[732, 25] Log[ @@ -237100,9 +276979,10 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 1.030101394537480201694773521557`8.505082878805437*^-8}, { - Rational[-1, 1000] - (Rational[19289664, 2640625] Log[ + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.850568049236753953998498801`6.077663304857902*^-11}, \ +{Rational[-11, 10000] - (Rational[19289664, 2640625] Log[ Rational[13, 4]] + Rational[-79152, 105625] (Rational[-1647, 52] + Rational[732, 25] Log[ @@ -237119,1540 +276999,1555 @@ RGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBv8HqxY95w== Rational[-38232, 4225] Log[ Rational[13, 4]]) (Rational[-1647, 52] + Rational[732, 25] Log[ - Rational[13, 4]]))^Rational[1, 2]), - 7.83186421730947199929081075102`18.386132462518958*^-9}}, \ -CompressedData[" -1:eJw0mnc4l9//x42UokFFJaSMjEJLi5cZSUiSUkRoSSSjKEqEiBCVHWUle49j -7733psJ932/aJf3O93Pdv79cjwvv932f8zqv1/Nc10PI7JaeBQsTE9M5Hiam -//186sss9J2FQB9y35A3dNfD/7Mc8+L0//jm1KbeGlYCjWcn81y7yAs6CjL+ -r5YRKGLSQaCmkQ9kQ9WP3WAj0LcZvVuHdbbBeobx36PLCTRYp/lK5vN2+Kbh -kLVmBYEqyoyc5LNEoDfG78YoZn03DXvDtJ1Q8DtuewY7gS46X/zuNCQJ4aeL -+txXEkigxMYk5Ig0PHjfEXBmFYHODDDY9S1k4RLbrLoYB4EyHmrl6KntBWVj -ln8/McO2ZdPl8vtBOHdzTj0ngbpmNqhFa8vB8nWyN8NXE2jCVNF12vEQfLqq -IWy9hkAGhzjhef4RqC8zGYC1BPKA8l3h6xUgZYtjINc6Am3enneXWUUR/O2e -HZ/AXPnPLuySlxLYNr5lyuYi0CuXEe3PfcpwWqQ415ObQLlyYpav5FRh/4NO -a8P1BNoS1ZdhHaMGvD2zIhIbCLR/YprtCq86/JJmHfqD2W8mWtrtlQYMeG0J -btpIoPNqhf9yxTSheEz2RBQPgWSETqhxlp+AqMPHWWx5CZR6tKb53tWT8Cjo -Ur7yJgJJD6y4zsynA+ZzjjYbNuP3v7BjodlKF46p+YtNYz7xYC2bmN4p2Bn5 -bjh3C4GyQqs7nsjrwaofxS+8+QikHfJDhbH7NMzpdGkZbcXrycFyxERUH5oT -5lh38RNIWOx7RMeOM5DGvKxwCbN7avApLTEDCDzPd7tVAL/PX+3DtTJn4U7m -HvE3gni9vh47ekzREM5yao7abSPQvb/aR6rOnINDFqahakIEWsEys0XV9jzw -lThp824nUMvlEyVlgUbwlyeA7TNmuZ8G7PIFF2DkVnxRwQ4CMbHEDGR9vAhl -tSV2vsIEUpa03rKTzwRihboljEUIVITOdWhKXAKPe8SYtCiB0B4O2aW6S3Cl -Y9krZjECtWt9D0mxMoXjUlt1OzAPrI+0N+Q2AymPvSve7sT7nXFRfqnADNYM -a5Y4iBNIy/6qcaTlZWAcMLPXkMDvW1OncXCjObT735XaIkkgSxkLq4Zqc8j6 -FDAxi3mb7veDZ50tIEQp4XWxFIFGB9cJDOyxBKfX6JT/LgJNRW17akBYwvkv -3eymuwkUfPsaVZt0BY5qkWiPNP78IysKZG9cBYG3bI7LZPDvZ4lzAbuvAfPS -1t3dmDmcP8tPfr0GEwb7puJlCRQ5HdEpVXIdqlJPhN/dQ6AQqSe+13xuQDz7 -5dMn9uLzefxXx6tzVuBtem8V/z4CHZDbtrlY8ibcKHheRmIWm/5X185kDSfX -JzqV7ieQhsId/f5ea5C2KpUOPIDrZYusaEfmLeCq6pm+LEeggO2mBsMaNvCF -n4rYfxDXa2GVxIl8G+h2WH5mxSECPRGfrJaWtIW8Fn7OPsxI9tjY00hbeL1z -f0XSYQINPzWVMVl/G1weat1zOUIgn9HQ64net8G4/7Ks9lEC/ag5cOw6sx0o -7nX+JChPoMe1jndj7tnBdt/AqHnMmmfcCrS/2cGyqUSDCgUCZTeaIlvbOzAt -X7b6BRCI33GzwirqDtSG9FZaKhLI2CNgjtfaHpIpyvmgEoFs1oa7vSbtwU9j -xd5VygT6x/039bmNA9yKEZgZwMxx+5LM3y8OcOr3/pgUFQLdnzfOHXRyhL2n -Txq6qhKoRu7+b+l/jrDxvfnaU2oEetAgmfrd0wl+LHOp3n6MQH+4anxk1t2F -votB979i/nIyVHno9V0ozEnaV62O+1dk69NF0XsQsbZ8NlQD1/ufQL5nWffA -7Wrfm2vHCfTy0My75yrOYFbGOHdEk0AzzM3jyzudQXULO9fqEwTK6xJ6TFi4 -gKidYO0w5k0c2aD2ywXYGw+4pmkR6FODcv3qZ/dhRlj7wKOTBLLecLv3mPAD -aLxvQZzWJhBLRpcAo/ABfOh2iRPRIVCa0typlWdcIUA62OgH5pHd20QDKVe4 -7ZXMXadLoMXeCbEqNTc4M1Ze9/oUgTyvBJY5BbuB3OF+Nys9Aikm7SmYmHCD -zUHzcgqn8Xmyaptl2/cQ/syyU2v1CdRrbCsz8vghDKluezeGeYybw+xW90NA -EXIXM8/g9VjyPZ+z8xHEfNfe4GGA68Fx4Eum8yNw17FsMDiL6y+3dcXVlkdg -kXD/0U5DArF1H7Vp3+EO6swvDv3GLNc4tfyLkztInH/PaDhHIH/bJ2/rmt2B -M7MiPuI87g8uHSIGIo+B5BgwvmVEoMtutqYvXR5Dq/nCRqUL+PfUkmxA52PI -KF7ZxH2RQIU8a23ld3lAMI/Q40nMYdskf0Z5eoDDrYNHcowJRHK1R+eOeoBh -rc7CExMCWdQ7qT4+4gmHha4knrtEoLesPkVsoZ6w9d6DS5KmBHqvazl+5Isn -LLW/4P2LWZTf3F9U9wmMSaY0N5sRqPF9S3hVyhOoeFzpEX0Z968tsxObOL3g -7dDA0dvmBBoyJOW33fCCJwe+fFGxINA+6RWPB+q94Jr/quSNlgQ6duScv6ak -N5z4JGT2EbMd9ffgTV9v2KV0aHP+FQKdDVx2XoX0hrWvdVt9ruLvV7jd0Kjr -A/MLV55cuEagVaNKliuzfKDjhKvC7uv4/MqZs/7ifQo5cSHf/mHu6kLOYS5P -4eXflPdtNwikyi+bwBh7CvcMqi7HWuF5p+hj+lPdFy6kDm6xv0mg28pBbhkf -fEGB/WvbMWsCxX1dOSzA4wfbTDm8N90i0JsfMXryD/yApWC74gzm7vxluas/ -+sEk9+EfhTYEWu3YNuGv+wyqb5z64GdLoA65iPSKgmeQUHnVwuQ2rqfWhZ8J -Iv7wlN9tq6wdntfjeoHw3B9uOoR2sNwhkG3PXoMnf/1Bp+WDTyfmlgW3NYr7 -A0B2Z7XSO3sCLfP0H9lgFQDrHw79dHQgkKO37Srl2AD41vc19bgjgXyrTjrX -9AdAzx7OK3xOuJ9Oqwm85X4O+U93CBCY/V0cRvo1n0PY5OGukrsEqvv9Pemq -+3O4L6/nG3CPQO9y+6y0i57DpZBrKmbOeL3mJLl8vz0HZcrt914XAh3K+uYu -JB0Iwhov09nuE0hp9eH0NdcCYXlM6tUezN+7vz8+HRsIn35VCyY+wP2vXXiW -GgqEer3h7nuuOA+9yW2Z2BQE75O/+Wm5EWiuLkJAWj8Ini1brSbwkEA6KaUV -bf5BYHNReJHCvOL5suSGhiDQyzmSWfaIQJmvNEq3sgfD/rWnrwe5E6i8wZKq -VA0G3qvXhSwe43nAsU+48mEw/Cp92HvAg0C8fG6aW1AwDGx+5c/uSaCciI3a -NYvBUHw77Vg/5q71BVsaDr+AqIaav8lP8H4P7goXufsCHgqPZN33ItCN/VIl -3bkv4PL97zd0vPH7HrphNfz9Bah1r94h5EOgqtGwwKMHQkBMWqR/AXMb8/Wt -8/YhsMrr6PPKp/h867h8+5MdAnOjpzVCfAlkb3hn5cXvIdB86Ma/K364n3uT -KhvkQiEt8FHOoWcEkpJ4FCDkFAqBs69ucvgT6JFxWf/j/FC4o5ouPIR5UOTQ -GoU/oWAQUTvwIQCv33lbnpPyL+Hg95FAt+cEupY5N5zu+hK26Pw4rheI1wft -PG1V/hIW49cwCwfh/5+JMHZhewUjTKJ53zA33UVzYxqvoOyc/K2aYAI9f/rn -U7jvK4jN0Bd99YJADZsKFVJaX4EHh9XQ9RAC3f1i2se98TVcMXcPPhqK81e1 -dlzTuddwvPj1iTUvCXSFZ8BnKPI1SPJksIxi5gQjJ+XJ17D6Vl1++isCXbUX -MFwUDwOqZtTG/TWur2rrjRw2YdC27afYmTACSfI8D7POCYOsu2tHRMPxfgpV -dYn+DYOQdtGQn5jv3lNL3asaDk6SCifrI3C+9FVf/+JpOJx/fGZZeOT/8uCf -Oc2OcDg6ZFV4Mwrnh0xfsTN8ESBw4PFtiCbQ9eZtHzIvRwCTf5g4Vwzul0m9 -NyzfR8D4x4zRcczkWMuJm98ioFKxPjTrDYFcx7ceqlWIhHevxrQ9Y/F8ZbQK -OHpFgvfCTzbDOAJt2PHz4932SLhxYl2x+Fs8366+cm3dGgUn48Tu/MFs9yin -9d6VKJD+qyDZ9A7nBzataqeMKOAyMBiPjMfz7cKpE/V/o+DLh5uvbBJwPzK9 -8DZXOBq6VnjoKifiPPM2tydTMxpyL4Wv2JBEIPYbuzP6bKLhVX5myRRmtjV7 -JMRDo8GZu8E+N5lABXqy+98UR4PxjXEp7/e4f1i+rFWdjAbFyl8T51MI9Gu0 -tW8dRwxs5+cKk/pAoP5SJePle2JgmcNOvSXM49Y6J0TOxcB0M6xsTcV5vcgo -5KZbDNSKnS2NScP9cbxq30h8DCS5WTvapeP6d5/hu9cSA759HrvVMgj0OmmH -ksKPGLDeEzHFk4nzVl3tK0nBN6D7NCv8E2ajwt18yupvYO9kw+mCLALFlscX -PLz1BjbKT6zyzSbQR2/3mzOhb+DHi99lF3Pw/u5YK+5S+gb6SK670rkEqmd/ -MX7g8xsoVBeXYc4jkBvfRf8t3LEQEa34sR2zQNx7YbEjseD662xkXD6e93rV -oRfMY8FU79YZhwK83/IzQwV+saCS7MmpUUig0okzc0q5sSCyLLJicxHOQ+Kq -efOjscB+MfveLObY88SB+lVxMJPdKFtc/L98+ORiw744aFwz+elZCYHWpqoK -fTOOgw9X/kRdQjhPXjdwVPeOg4BS7rN7SvE8VZrRL8+Mg9ubJdYsKyPQLjfJ -VIvhONC/rVTVhXljr7Kz7Mq3cKDB0CW+HM9DU4MPIvvewiZhm713K/Dzv/KW -VzZ5C79dnsxoVuL+af6X/4nPWxjsiozZWoXz5qpW1a/ZbwHtzjEkMbMf5Y/3 -HnsLMU+a1pZW4/svx9Ih9dXvwH10svp5DYHOffFakDr0DiwOLd6/XIvne1tb -1WGLd6AeuH7//joCmcx8TrB5/g7EZyXmltcTaOf0SEBz8TvgUFWO7cUc0Y5u -68+8AyL83PmkBtxftwepsPDGQ8s3Gy6XRpz/ki/97FGJhwxtr9qTTfh+lyTl -2WUTD8HxUa6Czfjz0c+x3xHx4MCUe2AeMzNZwaTREA+G55qJ8hb8fVE+PUU/ -4+FwxlRccCuBnkYdMzonmgBbOf4aWbbh+4cg5cavnwBLlzesP9iOz1OWk/zK -RwkwWiRZv7KDQJRBl8fWtAQo36jycADzMbOv2meHEyDO+vzBlE68HiHlfvmc -ieBZY0s96CLQHV4JOZUjiXBtm/c73W58f7zBrfj1WiKcuBt9cXsPgRRcLkU0 -vkyEXe25G75idg79rtJYkwhrJVsaqnrx/L6ZtfPL90SYd59+FNqH709cjopK -oknQMfj30LV+AnH/2uSWcyYJsvdvnD88QKC9S7aDeh5JEPpMKoFzEH/+hbOa -PNlJcPejiskwZq/0sBKmqSQwUjTiSRvC+XlpzW7ujcmg8Op208NhPJ/jH/hp -qiXDtgXvx6dH8PPaZrcl2icDy4mYIyKjeD/dnSnpd8kwGZu38B1z4tWQgcHu -ZKhebEmsHcP3W846n7QV7yHhzMdLr8fxfbuoYz7p4Hvw+bDEazWB8+rOO2yN -196D1QqeFvlJAv01tShYH/YetC/t8lw7he8jgyZsjxrfg0y+qvwY5o1Wmwd4 -lt7Deu4LXzOmcZ5WV5Npl06Bb9ftkh9/xPXKFvwr0zQFeip8zAw+EUg2KlOy -KCgF8re+2bzzM87v1jqFM1UpEGaf3/oL86fd6yLgZwrcb2590jCD3/9PWFWB -xAcwEfukEDGL/14vRPzCxQ+g5Pbvm/UcgVZyRRfvCPgAO/p4UhQJ3K/tzzpw -VXwAtj27zblJvP+Chhoi3z/AJx81vknM29Yc2Wkingr1ExfasykCHX8Tsgpd -SIX3R+94P2EQ6FL40oRqQCo8e/FU8dw8gU7zL0tkVKSCDfnmh8QCnlfv9muX -/0gFPfWCD4uYj/qunRzcmAb7otssmr8QKKY/zmNWOg14fn3aGv2VQF/XCCxf -rZkGP08xddp+I1CteLGJknka9CfxPlX5jvPZQLmv14M0KGaVVt74g0AZj62f -jL9Mg6gLx35NY1bav3RcJzMNHmZfTMv7iedjyofm5qY0uLzG/orPL3z+LhSu -Nf2UBmpXfAUu/Mbn29R4xXLWdBArje3a9YdAjKetqcX86bByc6HvP8x254+z -eh1Mh1nbdpW2RQKt+bP0x/x0OjTVf/795i8+DydEg/Wt0yF1B3PGnSWcj3oX -qgy80yHQZdO1Y/8I1DMS8vRGXDrc6ZLetomJRE+0VEYCUToY7Fbv+Yz5TIFY -YWN/Ohx8YvyskJlEy+/c3rz5ezpsGbVX82MhUZKd7ldHrgxYPOi3aMxKohAz -Qu2zVAYMP4/LlFlGorOv7NhvamRA6UzhdRY2/PeCnAdYzTPgjUqHUCdm2/fD -tcmuGfA4fKb37XISJb/lKrQMy4Ar35gDHFeQ6Ma2+uX7cjPguPZm9ePsJGoe -lXy3viMDJONllrasJBF17lwQG5UBq5k0sucwT6bY1a7kyATK0MSqZBWJUlNe -wzaxTGhLd9gRwEGig31zCxoqmZC56lm/KSeJlE2eDLqbZMKLy2+f711NIkt+ -z++tzpngWFSkwbYGv2806xGZl5lwbmPnv27Mqts3x8VkZcJR69mchLUk+us3 -LCnSlgkCNSzW99aRqMT5emMBkQlM27aIaHGRqGBPu6vZqiwYd5Id5OcmUXWC -uOJWsSyobNMIojCfeOS+4pNKFryTuKRZtp5EitzzLVWXssDL3ZE5aAOJRM4/ -Csq+nwXXB5/lmW8k0deVx7RyX2eB1v53tw7wkEjA7fjX+tws2P2sWJSdl0Ru -dS89GJ1ZsO5j51AfZpMYhd9iC1nwBeaCkzeR6Lytqpbt2mzoesmqdX8ziSxO -Zdg3SmVD7vwWVp0tJKpc4W9zSDMbXmnuKdjGR6Il9tEDeVeywTn2uO0C5j7H -xHINj2y4uHhpZ+VWEumKkKtn32QDnHEaecFPIt+AVO6o0mwQ+uAfckWARCPT -RL35cDawrog/eUiQRLmsCTJHF7Nh2qRkGcc2EkXYDMiLbMmB2ryuwkHMOy0e -zwkezIEkLuL2ByES/a6OOCBlkAO+15dJuG0nUY64EI/mnRywruAbO7UD/755 -ucfdwBzQ3br35Q5hXG/v1O4XpOXAHntNnW+YtU92/ORsyYENzabLa0Tw+q6N -m7chcuC76N3il6IksptKMp3myIVe14A718VIxOzYp3xTIhcKeuMlj+4kUfem -bf5sx3MhQhaNrxbH9dt0UzH1Si64+nS/GsH8Qy1N97pnLphOELrpEiT6PtKR -f+BtLqgcZWN3lyRRaHyF/YbKXBB5sRXpS5HonsG1B6wTubCC3OsguotEn0+n -NLGy5MHnYyd2/cTcluVwcaNQHjREmU3W7SZR6ZFksYOKeZDy825YmDSJeg2l -Ra1M8iDg1HO9mzL4PIhP66U/yIPbSQkrQZZEE3fT41dE5oE+a2npuj0kGiqz -FbhVnAcHLvQ4jmNm3rk8/eNgHmzKJndn7SXROjetc7aLefB79fJpj30kkj8h -xMW5NR8GLfkjzu4nkUSeSXvukXwoQfv0xQ/gz38zFnLHKB+iN2lx/MHcE+xq -qOycD49sL5c3ypGovXIdl1BYPpjX37sbeZBEv3huFKwrzAf1HYEyNodw/W+9 -rM01kA/iLokflQ6T6N+Gxurtf/KBo6s0cv0REmXMOvKr8RUAsav3zBTm/Yfl -tJyOFECLJ8WZe5REm9X7tIqMCiB9ZHmllzyJxJdLbeZyKYCggwLO5xVIdGXT -YpJDeAHYP9+/RwpIxNq4YXGuqADOzmh9/ov5/FbF5XeGCuCwinl0iyKJyPRj -FRxLBbA13PlsjBKJzMcpqSyBQlj6GrjGThmfpzRm+ZtQCKMnk6pUVUjE16hI -HLhUCOXvylx4VHG9BtrIcT0shLh/vXs/YX7LeXrjYkwheBoyZvLVSBSzkOD2 -o7wQrqavePP0GImkE2TtWCcLQXOV4LmL6vh5FZKnBdmKQOrygXXSGiS6bNfe -qSVaBGuKTtYwHcfvn2Em56NeBPMbLB60Y57l5mHvvVoEHTdd9sdpksj9/FvF -Az5FkF0dNGd/AvfD3zX9cclFECqYHKuuRSKO9ftadzQVwV2n8vObT5Io0jpy -SyZZBEZtfVyzmE97FmTqrSsGeYn52iJtEuW5Hn7BsqcYBN3Z3Z7pkOjwrpa8 -8tPFwDwoKHdJl0RCa3ZuCrIvhol9cqTsKXzetb+8twsthmo/7beseiS6XTNk -Y55fDAnTFhe6ML9cCrxoMVAMPnB/ffxpEr0QLbZy+FsMVi+D6530SdQgwPYy -VLAEtOeTH2qewetvx91Xq1QCMpoVB7cakEjv4lPxVeYlwB3bTxGYNcs3uBt5 -lsDXP/Pv0FkSle3TGylKKIFu/ZXGzw3x+nyZktvdUAJ5Kds2Xj6H32fWxjOV -KIGw5Qcb950nUWtWYKXiOgT3TXTclxvh5wn+PDu2B4FJnuXhXsx897m/B55B -oMT1YD7xAq7/1YmDp50Q7Lj+IsH5IokOBai/Eg5DwFbx3uSkMYmslzxF2EoQ -fOSr5BE0wf1scenet1EEdXcGmhiYhcg3db+ZSyG5aeFx+SUSlZ/8mflofSn4 -ia46GmyK5+Xt43e5RUrBxlXoi4UZiQy9THiSDpSCXu/BJLnLJOLmYvU6rlEK -+2R1TVeak2jb1J96xrlS4PG5smkA8669XINRN0rh5/iDlvcWuF7cVuYZ3C+F -/iMhng8scX3EJxlt9C+FouAUed0rJErrz68YiC6FSKLyq9BVEr2J+TOXmFEK -bscGk79gfta/t9O1shTMor6YVV3D8yZfxOFidymo/Vy1JfQ6ruf6oBblT6Ug -dmp729UbJEohYEj6dymsTDrkddiKRA/Oz4YJc5bBLMsp4LxJoopIA3YhgTJo -Mrr6fQizfaSSoIhMGaRmuaakWpOoP+jGkIxyGTxfHWr+8BaJHq4NAlX9MrCz -/MB32oZEKj/tlU0sy+AMqmoXtsXz+mnb+EOnMpDbNOT9HTNhZMb/3qcMtth+ -Vay9jefB6UlyKLwMFus4fr6yI9H1TG593tQyGN6+I/XGHRJ5rks4blhWBqXO -hy3l7XFeOGvcGN1RBm86T/GvdSCRaSPZy5gqg8e7rnWOYhZewW2l8bMMLD3d -nmY44vX64fooflU5aIyEKj92wvUzQW1aw18OEgdTf525SyK2K5ukXKTLgfN5 -dZrYPZwX7qTmzSuVA/l56MovzNTp64XW+uXQpvxNoMEZc9xfmS+W5ZAZxtkd -7kIipwv8PK53y+HF1x1+1vdJtLra5Qq3bzk4njyiqviARHfdm4VSIsvh3Du9 -P1yueB77VirppJfDkX/XMiYwh8qsq/xZUQ78hg+vZbuR6Np6s7eJ3eXwL+3l -ticPSWQgc33c9HM5jK1M6zF8RKLs3h5HocVyqDSreSbhTqLdWefMPq2pgHeF -w2qLmPNLIyJzhCrAa8P3xabHJIrWOyXuu68Crt9cnRXlQaJHG4T+XVWvAK1q -4Ru2niTSWZG/9eT5CtgteHS7yhMSnXxU+eDgzQpY53S6b4MX7t97SSFJtwpY -aL0eMI35Lf8oi0hQBXSKP1LP88b9JlxFQPRdBeQ8erXk7UOi+yZlNrvzK+Dl -QFq20VP8PtbMP+QbK8B5X63VLl8870WTU/RHKuCi38iOf5j/HLZ4fnuhAmD6 -e3+rH+6vOV2RoWyVIARrAt88IxHnr5j2ik2VwPpS5Pgdf5zP8q3Ff0hWwhTj -KNOxABLFso1GyUIl1BzXz+V9TqKLiq/22OlVQuKbG9afMY/ukB8utKiEp38e -iRQGksiv9GYUx91KsNZ/PegbRCLJ+423zXwrQTclPcg4mESfeH7rl0ZVwp7l -dZoyL/6Xx0KURTIrYYPJKDNLCD7/nkoHn1dXwvfcH3kdmFmfvNqzrL8Setet -tXkbSiKv/KO7HxCVUHBNVMzxJYlcntaK/WOqgvBy+WGNVyR6V9rH92RDFTzg -O/Niy2sSHbPjXMGzswou3bHSmsO8bsPiVPKRKlBucmctCSPRnusq2Ro6VSAi -GlbgH47zfZCL7ZxZFaxwzbA1jcDzgVWJN9ShCj731O3cG0miTA/xWA2fKmiQ -GRtZFoXX63AzF3NkFaR4/wzpxnzxdbVJaXoV+I+v1U6IJlH97URvz6oqsD0i -xnYvBtfPJ07f031VcDpYoejEG5znHT0vixFVsJ84Y8cfS6IPjCROFuZq2HTs -pgSFechlzeOJDdXwO/LxWGkciT6+Vq1u2FkNgz/CXga+JZHWttGWgqPVUKKb -qWP+DufjQ3YRabrVEJ1Yv/xAPIm2X/WXTDGvhkcs48UrEvD+eFY5pDlVg7nR -rzt9mPUq3zoX+FbDsax1UsmJuF/EowMN0dWwc/XOCZcknD/WvIkbz6qGVZbw -WjsZ51upgTKmumqYKzE4te09zpNPf/qIDFVDC681+wLmbs4ni7rz1ZBu44Eq -UnBe+8S93p2tBoLqwh1efCBRUIpYQ+HmGrDfnrXrSip+HkVdoT+7auCsc8Pk -wTQSxQ3xcCsp18ChzvGwVen4/sXL9trPoAb4dv3WG8Qc1xObPXq9Bv56cK36 -kIHnkbGz6WHXGhgZ3lnmmonnu/L616+DaqBcTtHpVBbOd7YLRswJNRAXcFZ6 -RzaJAqWjYq2LasDzs/X0V8xcm6NujrfWwFVlz4jqHNzPBR1zLkzVgGZYhP7L -XJz/fJPvDf2qAamvWRzX83DeXkI55mtqYc3JxvIj+Xj/b8pdWdheC4y3E3dX -F+D3Fw328pSrhfal3zIjmO82n+UV0qqFrLPcn9IK8fqasK4rv1QLIWniUY+K -SPR6F++ta/a1cHelkoF+MYm8R7lleX1qwcjMcLVoCd5vJ3u9hshakC+8VfkD -c8PJ4haPzFoQ3PDEuQ7h+l599e2x2lpgvhm5J6wU31+KFlpWD9XCRFX2Z6sy -Esnl9p0YmK+FKoGmaIVynEfTXm5MXV4H8Y6TZ9dVkEg/M0ncm68OvFv/rBnH -/EnQ5/E1mTq4Ib6+OrOSRE0f0rbrqtWB9iOJ+x5VuF/eCmWSP18HMgNK+85W -k2ihJmeLzK064N53bnZnDYmkHDxvij+ug6++Nm9+Y855dv/bzld10D315Fxj -LX6++9ypuz/UQZ5C1LrIOhIdYSsMOVxRB69Dc2pu1ZPISnEuQau3DlwYTQ+U -GnB/iN4ybk7UgfHxqf3rG0mUReQruLPUg+KbxblJzMc15Avjeethx5/1cTlN -JAIxXv12qXpg05c08mrG9zXDfDZW5Xr4+F6Z+3wL/j7WF42HztZDHdv5OslW -vB9vtrx1sKqHZGNbt7+YX3AWPM1/WA9+uV5yLW04n9wYd2EJrYdb66LJ6HYS -Bauw2J96Xw+nruW+vd1BIg/rJzbvyuphb3nzBdVOEjkKjNxg7qmHjXzT63m6 -SFQ4aH/ZbK4eftr9rf+IeaNP7uk65gbob9zwKL8b5wHjtYcO8DZAkYjUoac9 -eN7PfFybKNUAkQ9UGBd68fnYkNwppNwAbj3n43f34f7AV+4efbYBzGRuGzP1 -k+hoyIvNIjcbQNXbe2M7ZoHbfoGpjxpAdDy6MXYA34++rpuFlw3AfiTP3X6Q -RD6FnoLdKQ0wE9RyWH2IRD8L9KVuVzRA49z0/KZhEj2H0dUb+hogVW0pYQaz -HeFXWkg2wPPIjZeKRkhkW1qmeG1ZI9j9kOJ9NkoiXs4Rn61bGuGMrmqzyRie -n84aYV3SjSCXaOQhO04iY+5L1sFqjbCZxe4o6wTOTxJPmM4ZNcKf8z5fOjFL -OAlo77BthKHMmKR3kzg/L/M8/cWzERBnvqnTFM5re/hX14U3whuL1k2a0/jz -ipQd4zIa4XHJxxa+j3jeZek/86htBEvef54E5pDHadpWw42gYcOjgD7h80tl -ZBl+bQSJul3fAj7jfrsmr0hzVRNwbld7bzaD85M+32XlbU1A3rtwed8szk8+ -cnFwoAlaO+y2LJ8jUWKnpYuyVhNkSD1t68HckMzySdOsCYI93nglEiSqGr88 -YejUBA7D+eBM4nkXN37d6lkTnJNr+65Fkajkdvc9j7gmOBLwKUWAge8D4iFr -4wqagP/zP3MGZps/jiK1rU3wT4l3a/k87td32vLmp5tg7PXujqAFEh10/FG1 -7W8TVHxR87H4QqJURYXjZ9Y3w1uti0pyX/F90/yXgr94Mzx5e+cn+zcSXWh1 -jm2GZri29DS1H/OJWl679QbNoHU21vL9dxJtmRFIumjVDLvTCvgf/CBRuuS4 -asqjZli3sr1T5yc+fwPpwPKqGRZMPz8V+oXvk9FDIRdSm6GzgEnlC2bNu1nH -iqqaIWf9pt+Vv3F/L3l8QmiwGV5aSaeH/CHRGqHwmKcLzXCv6tjVq4t4fxLV -1f6wt8AFAWPBw3/xec7v2GMj2AIKjvbdHEt4vzh8LWf2t8C2Vl+/IcyCmYWD -17RagFU8TjX1H65fvpTnpFkLTD0s/OPGRCHTVUkPne62QE1/e4YeM4VEwv8k -rAhogcS9M9eEWShkoj7DGv6uhfbhKCQ6UPxsf3EL7cNR6LZrsVpnRwvtw1FI -OFtaxGmmhfbhKMQumRIcwNRK+3AUctdUiv/I0Qrf//PhKPT5gYOGEm8r7cNR -KKHA2iFieyvtw1EoN/yE9OKuVtqHo9Arm922Fw+10j4chS7vPni4TLWV9uEo -VH7P20tMt5X24Sj0653y+QCjVtqHoxCZ5p7yx7KV9uHw+6hYuV273Ur7cBRq -yeJr67vfSvtwFCpbmR6h5d1K+3AU8ljQnCwLbqV9OAqdbVwReyi6lfbhKCQV -w9abmdxK+3D4+cXMHsrkttI+HIWuVRyOSC1vpX04Ct1//F5ctrmV9uEo9F2s -Uzi7r5X24SgUz9vse2SqlfbhKCR3PcekktEK0f/5cHi9JxLCdBZbaR+OQg0L -pQpDK9poH47C+XSz+s31bbQPRyH+n00Z/wTaaB+OQn92/3QNlmijfTgKMblm -vJc80Eb7cBQSyOeRq1Jqo304CikbKfCbnmyjfTgKGQQoX/xn2Eb7cBTSkDn6 -Lcq8jfbhKLSmVGVC2aaN9uEolGhrK/LJuY324SgkLTyU7/+kjfbhKFR/OTLy -UFAb7cPh/bzW2DYV2Ub7cBRy3frgVHBSG+3DUch/bT2fWk4b7cNRKMm9evfP -sjbah6NQoaW3V0pTG+3DUWj09E4xi7420PzPh6OQbVAeu+BUG+3DUWgln47k -AKON9uHwfvsx+b1abKN9OPw+R8Ykz7O30z4chbYIsS3n39BO+3AUcppx3Twu -2E77cBTSpszNEyXbaR+OQtv310zelmunfTgKjVnnv1RQaad9OPx8iycfcOq0 -0z4chabnQoIGz7cD038+HIV2/37b/cGynfbhKHTLz1fN/XY77cPhzysyGj73 -oJ324SikpCUctcennfbhKNT7/rvH6pB22oej0MO/4wEzMe20D0ehD6t+Fdel -tNM+HIVuamtwJOe30z4c/j7GiMOzqnb4+p8PR6G11c1Ldm3ttA9HIX29HTFG -Q+20D0eh31WzRmqf22kfjkIO//bvkv3WTvtwFPrns3a9IHMH7cNR6NkbD461 -qztoH45CM7vebmDe3EH7cBR6X35P6ptwB+3DUUg1jvvUrEwH7cPhz6twcps4 -2kH7cHi/yvLyhjQ6IOk/H45Cfp+GfvTpd9A+HN7f/V+O9F7qoH04/Ly6rO69 -Vh20D0ehj883NfQ7ddA+HN7Pv0e5Rx530D4chQ4cu2cwFdBB+3AUym/qe0GE -d9A+HIVYY82bfyR00D4chS6671hize6gfTgK7e0X2MFd1kH7cBTaXGZ8ZHtT -B+3DUaj934zqvr4O2ofD542zQV5jqoP24SjUv2q5sPF8B+3DUaiz6c03+78d -tA9HIbbu+DT/lZ20D4f7jR7f6eSNnbQPR6GYbawDtUKdtA+H36fR/NinXZ20 -D4fng79a0MrDnaD/nw9HoWy9JCR1rJP24Si0LyW07pReJ+3DUejcJFeqk3En -7cPhfjO31Trmeiftw+H9sSxa1ujQSftwFOJ498Xm56NO2ofD9ZtQlSbq30n7 -cHg9+feXG4R10j4chWxMVWO94jtpH45CXq7fThVldtI+HH7+PZqN86iT9uHw -fBhXWSfe2En7cPj54yf4zHo7aR+OQmEaEkT4ZCftw1FI6/CmR32MTtqHo1BX -dEov799O2ofD511nhjy7sov24fD5rG4qf7Wxi/bhKPTp4Fm9IaEu2oejUMVj -j7Dtu7toH45C6w6dDb92uIv24Sh0NaheL+NYF+3DUcjKbahoUa+L9uEoVBvm -16th0kX7cBRSlOmNDbnRRftwFMqRK9g67dhF+3C4nxrJKMk97qJ9OAoNLxxY -6xPQRftwFBJfXv94OLyL9uEolH5iKmpfYhd0/ufDUchY/LGxb3YX7cNR6FJW -TMlUWRftw1HoaPrBEsXmLtqHo1BrkIpRRH8X7cPhvOBaFPRnuov24Sj04liE -hdGXLtqHo9DzGyPNRf+6aB8Oz2NBlyZBzm7ah6NQjaqVyeNN3bQPh9fvesKj -GeFu2ofD9dS+c7+ebDftw+H5eH3qTqF8N+3DUWigowtENbtpHw73uwvzQYEG -3bQPh/vPMulbTJe7aR+OQsfuerTcutVN+3C4HjbPZow6d9M+HIVCv5zhPe3V -TftweH2rCn9XB3fTPhyF9i9yGR2N6YYH//lweL1yTh7ITOmmfTh8Xssu+0gW -dNM+HIUyXmuceVvdTftwuD/ZMIK3dXTTPhyeP4I66hEj3bQPh/PFfsPrfHPd -tA9HoVLG0p/XP7tpHw7PAzjwm4+th/bhcN4oYZhFcvXQPhyFoou37dsu0EP7 -cBSyPpx3I16ih/bhcP1OJKzYLddD+3AU2rp5ki1HpYf24Sh09/N5c9DtoX04 -ClVvWNpWf6GH9uHw+ecoOWRwrYf24fB5I3zeT9j30D4cnhe++o63H/XQPhyF -vl5b9ZLFv4f24XC+yAvjDA7rgZ3/+XAUSj73o100oYf24Sj0Y4zjc0FWD+3D -UWjVixot3bIe2ofD+3Nl0+J0Uw/tw+F59G/264P+HtqHo9C7dOn9mz720D4c -hYw8WwsyvvTQPhzuj/sL3bWZemkfjkLnRQf9Zjl7aR8OzwM7wR7vzb20D0ch -+082F8VFe2H4Px+OQhf+FQrU7+mlfTg8b6dmN1lBL+3D4fPrOHt8rVYv7cNR -KEXtbWqmYS/tw+H+/oxV45xFL+3D4f9XZaxjut1L+3AUkmc/w57woJf24Sh0 -fDef1KmnvbQPh+dHoeS9P6G90P6fD0chPotbjHdxvbQPh+d7ULPv6fRe2oej -kKaJ7Enmkl7ah6NQW6CrdFp9L+3DUWg2MVr2Uk8v7cNRaKHN+RTXZC/tw+Hn -/fzDt4LRS/twuJ89+jPm8LeX9uEo1DdopS25qo/24fD6krKtozx9tA9HITEj -GYvQHX3g9Z8PR6EQ55McOjJ9tA9HIUdD69IV8n20D4fr7b3jo7LjfbQPRyGz -qZM6LgZ9tA+H31eoS/jg5T7ah6NQlsw807dbfbQPR6FvIR5jGS59tA9HoUwv -+0pb7z7ah8P9Yi42Xjakj/bhKORy4YvXwps+2ofD77v9mGVWah/tw1FIT/6B -vGNRH+3D4c8zus95pK6P9uFwvYwIt/3r6qN9OAo9Hj7jXTXeR/twFNooML/H -l+qjfTg8v3l7Gk4v9tE+HIUe/Ph4auvKftqHo5BMGGvF1MZ+2ofD+SdoJX/a -9n7Y858Ph/OIcN9FZ+l+2oej0HqVU+7qR/tpHw7nuZe6Tzcc76d9OAo9dcu2 -Gz/TT/twOG+yGx9ON+unfTjcPxbEhtxu9dM+HD5/6Yxzp1z6aR+OQnY3X3zY -7t1P+3AU+rK00Pv1RT/tw+H59GWqu+ZNP+3DUWiPj+a7sNR+2ofD8ypnUdWm -qJ/24fC8F+hNU6vrp304fP/hy/vI191P+3AUulPg+HFhvJ/24SgkVDCfUk/1 -0z4chTY9YzoUu9hP+3B4vy488HRZOUD7cPj7mdSCDXgGaB8O1xvb7kuyOwZo -H45CgyncnzhlBmgfDt8HiAbxz0cHaB8O93OrPTuqjw/QPhzuD54C7bEGA7QP -h+eT5tV9jy4P0D4c7s8uH9VNbQZoHw7fl4LsVindH6B9OAptyJ+4K+QzQPtw -FJpoXBPMEjpA+3AU0jVtMJiMHaB9OAr9xGtYnTZA+3C4nvpPNSUWD9A+HK73 -qzUufvUDtA+H75f1Io22PQO0D4f3k0c532BygPbh8LzdNK90dH6A9uHwfVt3 -hfn2pQHah8P5cd6QfyXHIO3DUahqMv8Gg3eQ9uEoBNV/tXqFB2kfjkKPPv4o -KZUdpH04ChlqO6NEhUHah6PQjuzzWkEnBmkfDt/3fa5evm84SPtweH2/OLBc -tRikfTgK/a0+K3b69iDtw+H7aNBgi4LrIO3D4fd/1f1LwneQ9uFw/mvjieV9 -NUj7cDhfTt+sW/ZuEC7858Ph/T38/vpCxiDtw+HnmYh5PIoGaR+OQvNJAhtb -GgdpHw7fD7gXNpf0DdI+HM4LOrMBKdODtA+H55lWn2PEl0Hah6OQb6JPox/T -EO3D4f0uavJ9sHqI9uFw/frb5NzaMkT7cLgfTyiqm4oN0T4c3u/dnPKn9w3R -PhzOT2FRL9SUhmgfDu8HR632Qe0h2ofD9xUePUtJoyHah6MQJ/uqfoGrQ7QP -h/v5eGE8t/0Q7cPh/miyt2n5oyHah8PzffaIxp9nQ7QPh9crKZqHETZE+3AU -+uUkdWAqYQiW/+fD4fwxlRjXnz1E+3AU8j4wYdJaPkT7cHj+X3tjUd0yRPtw -eF7JpGcWDQ7RPhzOn1971TM/D9E+HJ5/3eOCSd+HaB+OQqnJoYdiWIdpH45C -lkylgS/XDdM+HO5PvMLiAfzDtA9HocrZp7+fSAzTPhyFzKXQMje5YSj6z4ej -UL2ih5qT6jDtw+G/P/a2wObUMO3DUWiRe9r0mvEw7cNR6I/MmkNmN4ZpH45C -KhMT8kZOw7QPh+t5xy5rfY9h2ofD6xuTWnMycJj24ShkUS2joR41TPtwFGJO -sycU3w9D2n8+HIWa9qlkH84fpn04CqmPX4zYVz0MBTO86ziZGOj4X+/Y3R3D -IBkaO3sHM/+jp1U7R4chXEW6Zgizn7Ao8w5iGFYzCt4cY2Yghg6/Pv/vYXgQ -fuxBKmZ5sX1FvCtGgNJoP7eJhYF4PXbJcW8YgUvfLu5/iHnsT0M5p9AItMV8 -XjeDub6r7cKK3SOgrG0/p8fKQCMbmFlZjoxA5m+m2kLMfHZ8mYvqIyAc7xsr -vIyBHM3Gr//QH4EXpze5+mH+xcm7c8F0BJYzxZ3/jrlK/96nOesRcHwvfcCE -jYGkOhsTPzqPwCfDQq5azKVDzdfGvUbgPJs6IbOcgYxdFEWHXoxAQ3p77SvM -anZjgz1vRuCosXEcywoG2q5g+7Q9dQRSVs243sAsxJUq3VQ0AgK59kadmJn7 -ztXU1I2A/2VmOXl2BhI8IqNb3j0CTOv8uN9h9n3BqCmaGAHbok3kmpUMtOmf -oVQuYwTGr8bVOWK+ri7mnP53BE5vlHk7itnDmbPvDNsoVJYVuh1fxUCF8fYf -2zlGYb+1+oUMzC/fpnzU4R6Fd1s65Pg4GOhTc2Bv46ZR4K0xXv8Y85rzrPnH -BUfBy26GnMPMdn7oSbXIKPwSdKg/w8lAt0qWVFSkRuF6I/O7Esxhp9Xm0J5R -GHDyeyi2moH8y5+5Hj00Cloimy8GYF77PG8xD0ahpC3u4C/M74SizfYfGwXp -BzIbzNYwUHrm9rR0rVGIliii6jGbX+af3HV6FLh61Bv2rmWgLvGbfxPPjcIj -94534ZjZhsjfIpdG4Yu0ySO2dQz0Wdp1IMZyFMwHZy5aY7bJ+B3Bf3MUurwc -DvVgft4mr/TKbhSO7WfZqMjFQNo9wpUb7o1C7pgfIwFzxcxTkQC3Udj5bHMj -FzcDia/TMed4MgqvDr+Nv4d5Yqemq6ffKKz6KOM+gdnri6E9c/AoOAcVGWut -Z6CPHGfVXF6PwhxoHM7GvGFWkPwePQoX5zo2CmxgINuPz6xt40eh+aXJvCfm -3H2uVbMpowBqs40U5sWJhnmLrFFIm3dIMNzIQGUTOgsjBaMgFMnyuAzzr39T -VefKRiFQ85mJBA8DcY9fvdFRMwrLfmw+EoR5aV3mmFbzKNjHvuVZxKwwHylW -3TkK0zqyC+a8eH0qlx+FgVE4u1jU1IQ5L7mSP29sFGoTNBIPbML7HZvRIPNp -FA6d6XwchZnFM0k1kRyFJOZLl9g3M9DV1Z5PhL6NAt+H2SO2mHtbBIJe/RkF -3/OOvP2YkZK2JRfLGPxdzvpFeQsDmWYT/7zYx8A681lzMubJ893GTGvHYMRk -S9IGPga6pDj20HHjGOhyvvO4j3n41McrJN8YlOXJmk5jvnGubK3F9jHYY1F8 -VGcrAwn8U3ca2DkGsVzHN+VhFqo7HX1Kegw2lHR+2cbPQEV8xZ41+8fA4/ql -Fm/Mlw5dkJA/OgbfeeaSFjCnKK/0yVAeg6sVjp5GAgz08EB4nNjxMei7xWpW -iXlpfs4pXGcMNLf6y+8SZKAXMh1sXAZjUFi7ZXMIZi5fUU2PC2MgZf/u6xLm -GJcS1V9mYxAhtKf1yjYGGjW8/dXq2hisaS5ObsU8fmaz4eitMXC9d/zJISEG -OvLEx/q0wxgwRLvM3mBePRJ+oNplDEw7LilwbMe8Wyz1oPsYtLvObb6DOXgH -U0+S9xioSDl9G8Qce3Lp/daAMcjqZW1T28FA85t+Sj8LGQMRD//3HzAvtFYY -/wsfgxBZPi9eYQaajpI7aBM7BiuG3112wzz6TLxwNHEMnHz2wGfM7R63pnXT -xuDzgZIteiIMFHJiJK80ZwzOTxz/XoD5l4e2rEzxGDT4d7XtEGWg6vxA7aiK -MTh61DTFF3O75sO1a+rH4MOnOa9vmFldqNsurWMg+MLJ3FgM98NbGY4z3WMQ -oLRMsQbzWtGorYZDY8BM+vPJ7GQgBzefS1UTY3D7Nd+Pl5hlFY4r75kZg4lj -8e3M4gwkupRaGskYA/0vez5cx/xMIah/1Y8xqIoq8e7AzHSx5pnD3zE4oKVp -cVSCgR6EbBscYx2H+J9dim8xO6+wK9NaNQ6b3ppuXSPJQLPJgfK568bB+xTx -wwHzSZPTOkK84/D7r1PHCOb0Qa9vPvzjcCNpWaqGFJ4nJculv+4Yh0GDAJ90 -zN9co39fkBiHk6xbLbfsYqBj98TPVsmMQ0lqvJI75sCPd1R2yY2D9IW9/HOY -746dKQmWH4dodvRTfzcD2ZtGVf9RGQfubM3OYszjisJGZprj8Mi0O1VUmoFW -Hcq9V6s7Dl9Xmz31xyw7ISG8++w4WBQQlj8xR+WdMgi6OA7dlneVTWUYKI17 -ae3Py+Ogvp5NoB5ztwSL7oXr45CHAn7tkcXvs0VmY6nNOIhbbe0Kw7xm8Jzx -DsdxeL0pIW3ZHtxvNE5JeN4fB46qvb43MXfwfXL85D4OLrboSjdmJYpS0/QZ -B4L/hArsZSB9hcNByQHjYFzfLZCA2dv8pTFn6Di0OJj9XrcP9+eIgXdWEeOg -uIPsuos5bXnX9cbYcUhvuZs+jlk0/EyiZNI4bHdh8zuxn4EGjuw29Ukbh6Cd -z69mYRaIk37+KWcclnVtVeU/gPOAncTBY8XjYP8wQdATM48kpR9bMQ7Tu/b9 -ITHzSWhP/6sbB8N+1H1WjoGuZPDOGrWOQ53niYxSzKrTfGa53eNweG+Pn/hB -BiJqJTS5h8YhecTsWiDmlwob3lhNjMNWX1L1D2ZPzoSr1Z/Hwe/gvW3mhxiI -sy87XJAxDkuTbIuNmCMlV8s7fR+HW8+f9+w/jM+T1X2N1sVxGJXnz4zEnDbd -VSzGOgGnZhKerTjCQDKVU2EPVk5Aeci+6zaYu7lcxjrXTsBelVK1PswOxVf8 -JHgmII46IaR8lIFOhzhGum6dgI3hPYtJmHecvLe+c/sEeGpc7l0vz0AidxRm -xcQn4MdXMtMF807XQGFn6Qm4GnPPfwpzaIVqSdP+Ceg7ufyGtgLOC047cgWP -ToDm7+fHcjF35iyutlWegMJ3/Nu3AT6vdyPLyjQmQOp04l8vzK5h3a1cOhMQ -+W9f3zxmr8Hbh03PTMDa96VZ5xXxvBxU/JtqNAFuhloBFZi/bl2zacl0AuaX -9d6QUmKgtywJ3ieuToBZ+mX1F5gjOgZ0X1pPQMdFavsSZrk8B6vJOxOgusp5 -yVKZgX4XqA1IO09Ads7y/hbM8bUbw+89nADRy4HZB1UYSCUtM6XyyQSErhV4 -HoNZfMfcmjXPJoC9KNFqlSoDbcx+WmIQPAF3r+7XsMMsM2hcGPl6AmY2lO0Y -xCw4L7tsOnoCjMq0/qmq4f4t3RYqFT8BjTd7+1Mw12ey299OmQD5LeY5PMcY -KNM17nVu5gR8qKaeu2L+aG+zYjF/AgTtnG9+wqxw4WABlE5AgOCK46fUGchk -oCb9UfUEsDQGChdgrn8wM1fZOAF2TgJMOzTwvM26cX15xwRMCicNPMW8uYVH -XL1vAs607c/9irmIOVfsycgEVN8vC7x4HPcTc16L6qkJkJM4aV2NWXOeZXTZ -3AQkdPcel9bE581TNVR5YQI2u5uLvMT8d/Kdt+vPCfCRZjAxn8D9Le1rduHS -BPwZcB68hpmy/bnlx7JJsPJakdeOmSPIJVeWYxKG9gUFHdHC72t2wucG1yRo -jwncisM8wi0dGMc7CcgvSXP1SZxf8uebBvknQebwAVEHzE7aF49uEJ6EmOky -5hHMQ6lHBjUlJoE76OSQujYDNUUYvHeTmQR36MtLwxxd5JicfWASvs6aB2/W -YSD34Ku9n49OgsVLxq1HmL2fL8jyq0xCt6rLiVnMhawjOTrHJ0FjfoWYvi7u -F4I/LB7qTEJ+RBBLMeaPf1gVMs5MgoSm4LDIKXw/CGmWHzeahLDvSfnPML9s -FjTnMpsEztgDL35gfnOtNBWuTsJ9nXKbS3oMdHbdXcGb1pNA/jmpVYeZU5c7 -49WdSTBJ6BPbc5qBHr3Wt6y6Nwmt+hasYZi/qLEfYbhNghLz/DCrPs4bft92 -b3kyCRkpLgVWmK/7Tyqq+E3CjvPsIV2Ym3Ujbt0ImoTg5cG2CmcYaHnBx/zA -V5PAlil4Mh5zwMunAvlRk+BgkrxznQHOS5G64cNvJ+Ejh9yyu5hrLi/Jsr6f -BMO88pExzB2XLwyLZkxCnbl2oeZZPD+Z9kQfz5uEw1z9IZmY8x4cc7hRMgnv -iy1ubzVkIGkOUxPfykngvz5/0gPzshyN8+/rJ+EZz31xEvNK+0qLhtZJ+FfO -znb2HK7fHWFun7snweZW8CjC7PUwKHH50CSM8W0r2nmege7svzOyfWIS9GqT -Q59jDqlnFVL4PAkVd+TsfmOeS2e/aUhNwj6hCu3LRvh+pny83PbbJLxt0pZo -xGyR+1TQ588k8NzrZ9t/Ac+7vSHuMcxT8ETUciwCsxXaTeSumIKf7fNFyy8y -UIk6n1HT6im45nr/5S3Mj6OFGsfWT0G/5Mo7vZivuq+Ab5un4ERvsI6SMe6P -AYEZK7ZNQdHjbZJJmJ9reYlsFp2CXbLvl683wedL+EOIuNQURA7JjTtjJq/X -LTu0ZwrW+lQUT2KuOhtlrX5wCtwO6Lw6eQmf71Xf2vUVpmBhvP9ODua6J/4y -pqpTcNnfUlfQlIHOFe31tNKcgs4jC5JemO3vvWt30J0CtU/3V8xjPub8foOb -wRTkBK+cOGfGQMnGq054XZgCMaUXJeWYjyc/vuNvNgUviW2vJS8z0OvmEf8X -V6dg5ev39sGYWfhHw15bT8G9YwdP/cU80HY8NPLOFMwuVEhZmuP9WTvmFnNv -Ci5E6bC3YH4ycu1srNsUNJ0YmJCzwOdrT8LmOM8pUPhpiaIxXxo1qY71nYLU -uIXXKy0Z6EyC/oU3gVOw7dQDh9uYF2Q0+6NeTsHzvyv1BjAfZV8G4ZFTwJL0 -YpfqFQbKj1XzDo2bAjsDoZUpmN0utec+T5qCSZaUyY1X8Txwv1nnkzYFBqkH -Sx9gfnmwv+hRzhRUG1WGffwfBw8E3C2agoPsuo661/B9Lmif6q3yKUjMGtDL -x/x7U0LX5dop2GJ6Zff26wxkOP9T5WzzFDxd/WXlU8w+rZ/9jndOwWL+g6kv -mAv15DMO90/BTctVZRduMNCJxexUidEpGOYOCa/CPHSIx2Pz9BToICGn3Vb4 -/nBpm+yKuSkovZFyOhSzYUpIxpf5KZDddEia6SbO/8eOsI/8mII3lZWrrmHW -PdwqU/d3Ctbb6k63YW515ZXMYJ2Gx/yDZYet8fd/b//2auU0fKu7EhGLOfBK -pb/b2mmwdPjixHmLgZLcU35YbJyGnu2u+vaYlad0pDT5pkGjZZXMMObgtTel -dglNQ75zCIe6DZ4P1tXf14hNg8TO7R9TMScZ8vtQUtMQ3plSvsmWgew49k41 -75mG1Q8PRT7ELJZauzzl4DQ82FV1dwYzy5kowlthGqg+3TOnb+P7zQ/Hlxaq -03DJc1CmCLO28/rliprT0LbnKqeIHc4vKXv2b9adBuWRLx/9MC+qhQjPn5mG -zKeuFd8xu0wttdcYTYPwQY7/o+G+43J8vziA2yMrhGySkZkUSlwimdkkOzsz -K8oORUKSXaikIg2ShE4qTe2lvZ953zz3XUZGfufrOb8/Py9f9dzXfV3nOsf3 -/XoebDiEP7/XGOZtI2E3am86JmO2XFS1ff92CWtzTWfl+MNYj/iS+eZ7JOzI -1JDxdzE3Ny6T9zgoYTK5caeW9ri/tvpPlxyVMOubH2S7MJe7Nyx8eVLCUmcs -ScjD3Oq9a/ez5yRsypfSB1OP4H8vHX55kauEBd/bcewx5gdVl6L7uEtY/zkN -K7scxf7+kP3d2hsSdqXhlMFRzFeXBIx6dk/C/j7s0LkK82Gt8t2HfCTMzvKW -fK4D7p+ZxWtNAiSsulHnw3PM4qI1P5uCJWzZ45CHfR2xX7sxyDzuuYQlLDU5 -fg7z6zXyyeeiJMzo7wcrHrNG3qFC8xgJe/x0yYSVx7De9nUa0CpBwnqtKusM -mDtMyeoYlyJhF1rZKoYfx/lk6ACfk5kS1hjW8MEd8+DokcXG+RK2c91pn0bM -PeIjIuuLJaykfccTm06owOSbo1FwpYQtiLy1Kg3z14YpKzZLJOzdpiGGhidx -vy6I1OrNSdjYLqFdvDGPPxx4IF2QsAdvTJStT2E/mJe15/R3CdPckZi4F/P7 -13wrgz8SdkZrqW8hZvdN7yZWt5AyMbbsxPTTKhj7/U/za+2kbPMeW+sgzDZu -e7ZO6yxleb2/GnY7o4IxQ1I3KLpLmUXiac1jmKWB2V88e0tZ5IGOXA3med/N -tKYNlLIRA28nLXDCepv3Ka1OV8rupA3xe+n037+HLOvhNlLKNI6GnhxwFu/f -ersv+vpSdkx3ymoXzF1t+FV5RlLGZSUaqTAXRTgvtp8iZetOLO1qfQ7n1eU/ -83qaSVmGXjn3HrOuZ+u6lxZSxgpsk0eex/P2fOupZQukLMzpq991zNZlhb5f -lkjZ4HFnTv3GXDu290JXKynzKOm4Zqszvu8Qyakh66Ss5YXbEzMwhyz9M/HN -Jik7ZKjbbZILzrO/ex9YskPK6ipD+QeYnU40jpPskbKVl6ektLuA84zHqn0O -B6UsyTjp0X7Mx2X1Yzs4SNlkydLTxZg7aF/Yc++klD3xKF8z8yLmoGy9keek -rA/bOSkYc+eulze9uihlbsqv3Xq4qiDuhX3PmVel7M+tM59PYO5cM8883VPK -9pp3SpVgHlbxQbHirpRVqG77L7qE+7/Ar13ZAylb7K17Jgqzm+Sh1yZ/KXs/ -N2ztYDfc/30c/CVPpMzg25TJrpjrd8sG24ZJmZ9vUvd6zB8Sn2gqXkqZ1qJl -X9ZcVkHd32N2tm+k7Pyv8tSEy//9vl7TpbFS9i1g5+MxV1Swro/Jyc2JUrZ9 -+bczNzGfXHlfrzxNyj41c1r3F3P48GZmVtlSNvdZJ+MdV7H/6do3PqNAyqKt -72hlY3ZyfhJiXiplo9oMVRm7q2CB3LbF6yop83oeluaLOfSS1rtRUinrtME0 -oMM17N9Ct5Z5cVJ2skOy0yHMlue1N3UUpUz1atn6MsxdxsnmOn6Xso1bKowt -PHA+eHf1uuS3lOVo7uoRinmWQerUJS1kbOa7b6pe1/H+Orl0dnRbGYuwdfp4 -GnP/szUhgzvJ2NCenQPlmFO7TT7o0k3GbsbdObvUE/uZLxrXlb1krO2+oRve -YLb62LPTwv4ydrRvuInuDXyeBT0rQ3RkTJ5k2vMy5hmtEjQ6j5Cx1YeSha+Y -PY4WX9o1RsbSBi1PX38Tz+tArU1JBjJmml4RmITZYcMot8GTZeyZw65z+rdw -XnIvbuc4VcYGDPu+4Q7mP79LCrJmyNjVHKcpLW7jfFsubxg6R8aanercaxfm -IRtjbBwsZWz/qLtiLubLD7v3S1sqY9WFQzNM7+B9FeKn03eVjC07Fx7kj3mQ -64hDtutkLEF/6vnOd7FfH7a2S+QmGZtYlrzxCOa323+IzXbIWMDF5aaVmBfq -vuo/b4+MaU+s7DX3ngoCdZdeuXZAxi5W76oPx+w45OzMwiMy9vPK94w+Xtif -pbc07XtCxnZNOfvkLObCuMv265xkrFTa2ZnDHORZLHi7yJil512bFd44XyT5 -BZe6yVjM9GFTYzDr5fr69/aQsXF8uPbw+1gPBl8rWX5Lxh7emdpwFfOaziMs -r3jJWFeLlMwfmH8rBjV+8JExJ3H5U5sHKmj307D812MZq79f6ZyKOWt//1/j -gmVsy/zdmyY8VMH3Y9cXbgqXsfzv36d6Yb5utLjQI1LGLB6d7d3aB/dLaEfP -929k7NXiLl/3YF7Onzn7OVbGRvy5m1WAOVm641HvRBm7GzQsmPmqwNnV8euM -NBnTWPncJRDzrpf7Du/MkrHjLaZt7uqH/ahp8yHu+TLGh6RMc8TsJZX+flEs -Y+vXrOhTg/ngwdLmBRUyltm26uv8R9g/uN/S/1YrY9MjdmdHYE6pzXbRUshY -+MYfwf39VXCpvXmb8V9kTKfTuQvOmIMvP34yv0HGrr/usuXLf7kh/OCWRhlr -te0eW/UY1ydywMZjTTJ2uNvwvu8x95vhv9e9pZxJYp5/0wtQQeSPP95+7eTM -ate0HA/Mbw2quIhOcpbcK/XZL8ynp7ZdndBNzowTVlzcEqiCT2tG1Gb3krMn -dlVb0jEbJP6+WNZPzvr23zN9YhDej09M50kHy5lbyo++DzDvHvNwyJdhcvbn -8LnvbZ/ged5RofV1lJzt1dHMtcM8btfzfo36claZcS+kCPM+02zjX0ZytvjY -cNcZT3F/fqrf+ctEzuKGv9j6FPNIg5yQRiZnE/KmmWkF4zxroNvqm7mcPTqd -2u8E5vhoH1vVXDnrMWbljzrMDg9blMsWyplzUVXuwme438KbNlQsk7Pv5/eE -vsLc7YXl59xVcrbDoNF1UAju940BLonr5Kyo/Ny2i5itd8ePfLVJzuZd0pwh -YnY4Y13gv13O3kzy6r8mVAXbzAZf9NgtZ6NrhzfGY941K8fsxH4583Z/kTc6 -DPvtAbp/t9rLWeepLOwG5sMrMmDBMTk7JU+91IT5+84LTuNPy5nqxsrt28Ox -/hS2t9A6L2c2M6pnZGEu7KjR/utFOcv5vGeA8XMVLD04PTX3ipyZ32ts9MGc -v3vLhbDrchYx+3y+xgv8fcvGzLh0W86GNWiGH8Q8xHHpt83ecnbroZdbKeYZ -oy/5mfjKWTvLETtmRahgUciVOV0C5Myh8cXMEMwwVau26qmcKfzZwF4vcb27 -5h56HiZna5am/TyFedcqp8bTL+XsY9PKAhnmd6ZFdpbRcjb1aXX4kkgVVFZe -KeoFchZitfdyNGYjm9UGlfFyNrDVzx1DXuGf54oOj5PlzD3svLkb5ro5XUJ3 -pstZ83VdB33FbJm6LWt0jpwdaO/9a12UCmauDSvlCuSs5uWIwkTMRn98c56U -yNnyTRHPx73G+8z/a9i2Sjn70Hn6lduY8+dusB9UJ2cT36TZNo9WQV7BzcGf -5HIWsN1q1k7M4UbLwi9/ljNtrZpBuZi15s3XNauXM9fYvb+nvMF5TDRyEL/L -2c/dPwsfYV7UPjXE57ec7e7t/KLTWxXobI6JX9hcwco+dL1qjzksKyWysbWC -LTzgvbMCc48Bfs6+GgoGA/Qs5rxTQZ/uzQ3mdlEw/bSIweGYrQ7efcV3VzCf -I9P/9I7B+6BpaE93bQXrpvvxkxPmt/tWL9Dvr2Bns6wilJhXnvq8KmOwgjUc -r7m6HLAeVd022TlMwbbq7dv1DvNaC01Vy1EKVpD/02JYLH6eNR2O3hunYLOd -nHWuYl6eNj1b31DBosZ2a/qOWc90+8/4yQqmV+JdtPG9CrSXGonLpyrYXRe9 -lymYx4TYvKoxU7AOhi/dDeKwX/zsO8fOQsGOV07ffQ/zrdDQRz/nKRjv9nF2 -q3jsz12npTotUrD1xquG7MHcqUebqHbLFSyrrqYpH/O1ure73VYpmJnHvuJp -CTjvX+kt7bROwZ5P+/UyAPOJhzlDL9so2BCl8zXND/j3n94frrFNwTxvddvj -gDlsiqHy3E4Fa21+f0415vPlc/f92atg9io93fmJ+PwDbj4/eFDBpF4v/77A -nHsu84X0iIKtmmtW0i8J+5O7wfutjytYytePkecxt5PWKJJPK5iJ7yqPz5jX -CaMGTDyvYE8X1u6xSsb6zqZo+FxUsH6/9s2Nxdx/VfKz9lcU7HLAL129FJy3 -4WJbOw8Fa1rm0swD89LfRj3zbirYvmbdS39iTvQ4+8nonoJVBt9/tTlVBXfa -6VneeKBgS6xHXv+IeWdN6QHRT8HiWkfuNUpTAQtaMccyUMEMn5vNu485qHLu -R/9gBXu0Pn1o248qUGja/fkVpmA9O1g3t8PcO+5k8aKXCubyqrb0E+YFJ0Zv -8nmtYD8220WZpasg+uO466p3Cmar+fv6E8xVU9juqXEKVvzWZV/3DOyvrLpy -LokKNt+2+/zjmINC1nbNSlWwtz0eDKvD3Cm7sLhHpoKNiRvZYmEm9h+LjWdb -5yrY/b2RZZGY/eImrbhbqGBd+s54PTAL9+/biy2LShTsdFK65wXMWQV1i3tU -Kphw0NpOwDzpWQvTRbUKtmlQ3fzV2fj+y27GO8sULPej3fB4zD/aWkvecApm -7vC7xegcnA+y/vp+VinYy6EXyj0xJ5SZ/RjwVcGG5XSP/oPZryi/dkGjgt06 -+eDGtlwVnNt/YPvRPwrWbtSo/ZmY167MOOnTXMkcCyMXTM7D+cH0oV5yayVT -np0xwgfz1bL727j2SrZWP6OlRr4KBnw9bdi5s5Kll1pXHMC82vzPtTHdlGza -xbroEsz27qkn5vVUslCj/TfNC7Ae+j34tqWPkg2q/r3/GebyeRN+HR+gZNeu -XLDsWaiCnNWmrh46StZiipbeKcyzn+739R+mZAelD1rJMD/t7TojcqSS1V4f -Vbn4E/ZLF0xtE8Yq2Yrpr968/i9Xsh5ZBkqWyM24pVOE/WOX+bOKJirZpDsZ -By5hrm/X40eFiZIFzlq9sAGzZ+Ly0bXTlKy3WKe3rhjvrznvK+tmKJnr/f2t -EzHP8+yqVWehZL/m/akcW6KC0a9+J1TNU7Ld3y+8vYXZNMFYLFmoZOV+Wreb -lWI/lH/qbu5SJVu4+OFBW8wzOjq/TV6pZLG/Ry3KwXzWvaXVm9VKNj7o1cgp -Zfj810J3PF2vZL4rZrZ5hHnlbDPV7U1K1r1FZlXHchXc/rKPO7tNyc6FrH53 -GPPgbHHN7p1K9nW15HY55n0mZ6Yu3atk29oeODS7QgXDnXKuGh1QssIXfxaF -YZ7d9v6SnvZKNmfjxVG9K/H5xt870+CgZK879mjrhHmH3ZkBWSeUbOTrh9UK -zA+nthsedEbJ7m0dHbOsSgUt+0rvnDqvZB27Rd15i7nwev7hZReV7ETMzMND -q/G+M730Wveykn3embn4CuYvL2BzvbuSbei1ZvR3zMt8Rh4ETyXLipe03ViD -81nkWcmF20pmZnegJhnz8DCnt4u8lOx5v6aY8bV4vpZV1Hd/qGS6KRfv3sX8 -e/+SS/l+SuZ5uId9yzp8HxL3M54BStZGx2fJbsz+N1fkL36qZEcyRo/Jx9z1 -kNV5jVAlkzlGtZsmwc/nuND9/XMlsx5uXvsYs9FzseFwpJKl5mZCF6kKTo35 -HT48WsmmnF5z7yjmjR2GJBa8U7Lg0VL7KszH7Qfqn32vZP2LDiydJ1PBFf+g -z6M/KNmV801jXmC+WuLQLC9Zyf6Od23fT64CbvucLUc/KpldeY+6c5hfPE7X -7pOlZFWuPrE85rhmcf1e5yrZ0kljvFYqVNCoLNu7olDJ4muijgDmbcqiTl+K -lczQ3XzZCKUKjl3d++N8uZL5m2aNvYZ5sv3yMX2qlaynfI3GT8yb5ps/fVqn -ZBduSOs2cdi/Jn+zM5Er2Q+zg+/TMAsvdU8kckq283OTlyGP/b/cOWORSslK -7roe9cbsNbtgc0G9ki2Y3XN5m88q6JuQNmX1dyV7V+8zbh9mPZtBK0p+KtnY -h2M6fMI8Rv9yiHWTkj1Y8Foy/QvW8yVpFvnNOabZaB4XhHnLt+v9Frbm2Bn/ -LO9uKpwn1z0cm9COY+KStQ7HMG8vDDo2qSPHNjdJl9diPhJv2yKwC8fynhzU -txRwfrt2532P7hybZfW3QyTm/fHiq9M9ORbZ8pJ0gKgCffnYWllvjg0P6xnv -gnmhf9tZC/tz7PZa3/sqzM2eTiwKG8Sx9u3HOlrX4zwQc/iBpi7HHF++XhGH -2S7y5M09wzmmtJk1flQD7g+HDu+SRnJsbefsjp6Yh7Uo6DpwLMcyotfKfmM2 -XnP7+sHxHJu2XRa/9asKCnw7mn0w5FhY90MPMjCvas9ra03m2ODYv46Tvqkg -I7u+98YpHPPYfWnlQ8yjl5bMCJrGsZa9exm0/471tn6dxxczjh364NvpAGZj -g4ltJ8ziWN3+sfJizIb3Bzw8OIdjKwdEJ8z8gfNGSfaa8PkcS0qd9TAYs8tD -DWNuIccmH8k+1qMR93P02Ym6SzkWNGSd1UnM5u1+LLVewbE+WTIDKebNp3Qu -X1rFsUvHD3Ve/FMFnfViaqLXcOz3iGaKKMxxQ5xXSNdzbE/+pQ+Df6lggp9R -leYmjpWf6eXjivmMyuX8pK0cWzTW73g9Zvudk83W7OBYbPHYVWt/q+DV+Rbd -j+/i2HiX6AkfMP/56NN4Zy/HfCdYdBn7B/vRquz6iP0c06rMVtzEPN5xYbP0 -Qxw777Yu8S/mz1tz+1cf4di3yXKfHU1Yvy/2m9/gyLHtdYdOZGNuW13n3PIk -xz5da2Zt8hfrtZMys8sZjs2d5mbohznzply39zmOPJwAP2y8zg104cjDCbCe -xfI6rhx5OAG8fbtuGHKZIw8nQFYvy8JB7hx5OAGyZ45b0fc6Rx5OgH21hwq7 -3eTIwwkQnFW8tu0djjycAPU/+tf+uMeRhxNgxfZfu6T3OfJwAiQw/focH448 -nACWsQ5H3zziyMMJsMTsxs+HARx5OAESjaYfPfuEY0f/eTgB9oyarNr0jCMP -J0CXNBMbFsaRhxPgzafWqdovOPJwAjQztNL78pIjDyfAyE/cibgojjycAKdK -zyV6vOHIwwngeKis+cYYjjycAO1VEWNHvufIwwmQ+iZlvhDPkYcTYL5NsdXL -RI48nABmLv5L7FM48nACfBTkkww/cuThBAh32tb+SwZHHk4AL+PihMfZHHk4 -AVYZtrJdk8eRhxOgZ6hfQ8dCjjycAP6tjm57U8SRhxPgecj0d9tKOfJwAmhY -vPveuYIjDyfAJ6dnWhFVHHk4AWpNs7utrOXIwwmQs0umapBwbOw/DyfA1uEv -nrnLOfJwArwNEGbrcRx5OAFuzNoSE/OZIw8ngJtjWpclAkceToC1VVKTynqO -PJwAOhMdp+3+xpGHw+ddObP31x8ceTgBds5oler4iyMPh/ul78lFf/5w5OEE -cB16IPB4M548nAAVST65P1rw5OEE6O2Wkbm/NU8eTgC9gdH3pG158nACHFwy -bOJqDZ48nACde+V7p3TkycPh+71wIduoC08eDt9PZYus+1158nACZJxpc7uV -Fs90/nk4AVSqFSO29+TJwwnw5NOLU4naPHk4Acrb1Xjp9OXJwwnQZP/45LH+ -PHk4AZZOez8keyBPHk6AKQ+/uQ7R4cnDCXCilcaLA7o8eTgBTjfG3I0ZxpOH -E+COYdH0tno8eTg8Px79Hi0YxZOHw/XtaRl/ZQxPHk4Ac36sd/o4njycAG13 -Ouu3N+DJwwnwvZ32cTNDnjycAJF7A0/aT+TJwwmQtOP3xMDJPHk43M/8J78C -E548nAB5/VsmNJ/Kk4cT4NGM6Tf1GE8eToBfmSt6LzTjycMJULa5/YJ9M3ny -cLheiYPGXJ7Fs0//PJwAtpzNm4DZPHk43M/1Hl9j5vLk4QSI0nUszpnPk4cT -YMD3sh01ljx5OAGkHc4/UC3iycPh+x0/8cTPJTx5OAE62UQ1a76cJw8nwJr4 -nBGtVvLk4QS4GLygoeUqnjycAA/v8zbNVvPk4QTYO/eEXeManjycAOmu8QO+ -rOPJwwnwde+FXVUbePJwAsTpe67IsuHJw+H6m4SWvNnMk4cToHCI72+/rTx5 -OFzPSKNXF7bz5OEEOK400Nhpy5OHE8BBsalh9i6ePJwAXMerh3T28OThBHhX -evpi416ePBz+uVYLg3Q7njycACU+pbu9D/Dk4QTw9SmevPMQTx4O12NLoucE -e548nAD2u3eeajzCk4cToOW+a9/fOPDk4XB9l7f57XiMJw+H9WSi56WJJ3jy -cAJM29bG//NJnjycAFpbR8z2O82Th8PzoPhgt9yJJw8nwJmqJ4NanOPJwwnQ -ZpffiuDzPHk4AQ6UHuu8zIUnD4fPE9ts3rcLPHk4AUYUNbW56cqThxNge7+Z -5gZuPHk4AQZH3W2WdpknDydAiGauycarPHk4AVzevxYEd548HJ4n7366pz14 -8nACBIWmZGl48uThBLhgcvXbtRs8eTisV6+nePa4xZOHEyCt0jvwxm2ePBz+ -997nDLrd5cnD4fP0BP1L93jycAJ0CO7n28ybJw+H++nH7vMH7vPk4fB+zDlV -VPmAJw+H9WzOUO/5Pjx5OAFm+4/JfO7Lk4fDemFnu7fnI548HN5vu4Mc7P15 -8nACeNyK+ZLzmCcPJ0CPMY6ZowJ58nAC9PV/1utMEE8eToDGiJFJOU948nDY -PyyKLx0UzJOHE0DiP9dq1zOePBzWv2HuRs9DePJweH5P29p/DeXJwwlQ9yBQ -2yicJw8nwKuwQX33P+fJw+HnGeh/POgFTx4Of97N9qblETx5OKwfrXRWdYnk -ycNh/3EjLtP0FU8eDvd3FPhsi+LJw+F92O/zR7fXPHk4rDcROotDo3nycALs -emEwMuMNTx5OAE/3emv5W548HO6H1lPKm8Xw5OEEuNul4qUW8OThBLD58rJC -N5YnDydAtd7N1ePf8+ThBLh6YeVQkzievf3n4fD+7p0xjcXz5OGwHujn+U9P -4MnD4X22fbr1tA88eTg8X/cUVpMTefJwAmxsePJwbBJPHg73d5m1weBknjyc -AFfaZ3XQTOHJwwnw+JBk1C/Mag8nwHIb+2vVqTx5OPz5q62nJKbx5OFwfxnZ -jnj8kScPJ0DHTqetnNJ58nACzJ17NGl1Bk8eToChd0cfGZfJk4cTYObPI1ub -ZfHk4QQQQoyupWNWezgBLs8xa7yZzZOHE0Dha++1NocnD4f7pS7cYUAuTx5O -AP5c5tVSzGoPJ8Aws+Cym3k8eTjcLyOGbrLMx3r2z8Ph/vLs1a9ZAU8eDu8n -fRuNUMxqD4f9q1gxanUhTx5OgHaDD5xq/oknD4d/f/G3lv6Y1R5OgG/b5keZ -F/Hk4bAfubX4XiVmtYfD+2JH3dOjxTx5OAGah8plHUt48nAC/Lk2dYk3ZrWH -E2C06/savVKePJwA4zetfPAcs9rDCaA7qcxpUhlPHk6AVg6TLkdhVns4Afop -Ld4ZlfPk4fB8P+K7hmJWezh8v5qdXXUrePJw2O9+dtW9iXnbPw8nQP6y2ZUt -K3nycLgeT4a93YNZ7eEEmPGi46tczGoPh/3zubJMoyqePBz2864nWntiVns4 -7E8vZa/8jFnt4QTQ9IuJM6/mycPh+Zg1cs4tzGoPJ8A59rOuDrPawwmw7ZXW -Pf0anjycAFtGb9x2BLPawwkQfyTeIhqz2sPh+qQPndKIWe3h8PxH751hWMuT -h8P6MNJ5zS7Mag8nwMJNy8/fx6z2cHjftoiLSces9nACBGhEtWnErPZwAnTP -H7h2UB1PHk6Ahj4172ZiVns4AcbUK0duxqz2cAL0z9X1PYm53z8PJ4D21aM6 -NzGrPRx+ntU5T4Iwqz2cAL1S+5u8xqz2cAIkZ1lkJmBWezicx+KNbT9iVns4 -PO/WNW2yMKs9nACHgvQDMjGrPRzep2O7zE3DrPZwArT4sFsZh1nt4XB+eTbp -ciRmtYcT4Jnb+jGPMas9nAA1tlmp1zCrPZwA1s+Pb3HArPZwAuhPXta4FrPa -wwkwcYL5BVPMag+H9dNsuqY2ZrWHE+Do9snuX3A91R5OgEldB7SNx6z2cAKM -6lZz0AOz2sPhff/YIX8dZrWHw/O9P2/kUMxqD4f9/hnJARm+b7WHw/1v6Rcc -gFnt4QR4ENlUsAmz2sNh/9qeV/XGrPZwWH/+bGr8iPtN7eEEMIpc8eUYZrWH -E0D5Pjp7GGa1h8Pz2P/Cg3Tcz2oPh883PsLKDrPaw2E/nG/U2Bmz2sPh+13U -6mwQnhe1h8N+aXmvBoZZ7eGwHrTZPj8Hz5/awwng3Kh02YhZ7eEECDN4EKjA -86v2cHh/D3MMtsOs9nAClL6x9xDx/Ks9nAAD51+1tsOs9nBYfx7ENFNg/VB7 -OJyHv/913oBZ7eGwn2u9SJmF9Uft4fB8fgkYPQ2z2sNh/7uo7bwArF9qDyfA -uEk7Z3TErPZw+L5GZ2jtwXqn9nACdBs1PjYF66Paw+H6BFybqYNZ7eEEmOP2 -2dse66vaw+H+M52dkYj1V+3hBIj2vJfbDbPaw+HPkyuDV2P9Vns47E/aGVvf -x/qu9nACLDI9V1iG9V/t4QSwmJM5rDdmtYfD97ern8VivD/UHg777017Dc/i -/aL2cHi/bElWheP9o/ZweL8MH+tYiveV2sPhzyv3y2yBWe3h8L4zGavSxfut -4z8Ph/3arpziGXj/qT0czq86N6+sxftR7eEEaHb1lMYBvD/VHk6AnzY3LM/i -/ar2cFjf0stXuuP9q/ZwAhif3zz0Dt7Xag+H/ROMivLG+1zt4fC+mTi98328 -79UeDvuZ4U+G3cV+QO3hBLBbdrCZB/YLag+H69vs8d3z2E+oPZwA938taDiE -/Ybaw2H9unGw40bsR9QeDtc3cGi1BfYrag+H/c71fYf0sJ9Rezh8frY+ri32 -O2oPJ4BP37+pVdgPqT0c3gdDNl5+hf1SM5//PBzO70VX213Efkrt4QRgC7yn -rMR+S+3hsJ/scXvoQOzH1B4O69sq9w812L+pPRz2k8k3+zx6yZOHw/3h+3bI -Ruz31B4O58nn3St7YT+o9nACHFkWsjAN+0e1h8P+f8+DvY5hPHk4Aa4f/Tlt -KPabag8nwO6TuTFp2J+qPRx+Pm3G78H+Ve3hBOi6f2lKh6c8eTg8HxZDlvpj -/6v2cAIYSJOcTbA/Vns4fF+TrLalYf+s9nDYv4bKvlhhf632cNgvr/bUqfTj -ycMJcLDkwJ/N2J+rPRyur633qZqHPHk4/POcMYHrsb9XezhcnxPT7PNxHlB7 -OPz8tgrZbC+ePNx/96Pl35c4T6g9nABW352iBt7hycMJ0OVGYPfzOI+oPZwA -k3eVdJHgvKL2cNjfHTMOnoHzjNrD4Xy8qUp6F+cdtYcTwG+1+P4zzkNqD/ff -vx+5GU/DeUnt4QQwOZVpeRHnKbWHw/tvUtmfzEs8eTgBEnsXzumG85jaw2G/ -dLxizGKc19QeDvsLq97BF5158nACBJoFxsXg/Kf2cAJsPhJm9wXnQ7WHE6Bn -4cqXfc/w5OEE2FMTe3XmKZ48HPb38Vp/t+H8qfZwAkyf5dDCGedTtYfD+7W4 -x52HOL+qPZwAZ+93i4vE+Vbt4fA+WHr7ePJhnjwczmMbs5LyD/Lk4XA+Lqn1 -Ld/Pk4cTYNOZZp1q9vHk4bC+Ry9sXYPzuNrDCeB0+5drOc7rag8nwOLSCd75 -OM+rPZwA7wXdKck476s9nACZ4u/NkVt58nA4PwQoej7czJOHE8D9Qv9l5214 -8nACbHj7ode2DTx5OOz3+2ptmbGOJw+H88Q4k0l91vDk4bDeGO1051fx5OGw -/vUp3Pt2JU8eDuv92Yhs5//+feSfh8P9kzgxasFSnjwc9qsqj8GdF/Pk4fC+ -SGjqmGbJk4cTYNC7MIez83nycAKEdqjcNmkuTx4O1+NuQq7EgicPJ4B5p1tw -zZwnD4fv57273uQZPHk43C9ZSs1ixpOHw/3VpebQkak8eTgBXnZ6vlxzCk8e -Ds9z3xuhjybz5OGwvpmnnDOcyJOHw/q2wi0XJvDk4QTQKO53z2I8Tx4Oz9+A -6OLksTx5uP/msUfXLEbz5OHwfq3qC6DHk4fD+puwaZPhcJ48nADf9d84PtLl -ycPh+Z25o62mDk8eDutjxbO2Rwby5OEEcAjOcizqx5OHEyCob8+Nk/rw5OHw -921NiHTvxZOH++8+1TlZp8WThxPg9pqjL4268eTh8Dzs7Lz2TBeePBx+vr5j -9yd35MnDCSB6D27Q0ODJw+F98XlC7Zy2PHk4XL+isOlOrXjycAJUfa9t96o5 -Tx4O1+dlf1NpE0ceToAMy6Cibr858nB432YUVBo3cuThsP/p/W3x2m8ceThc -7yorPcd6jjwczvOhlrbXVRx5OLxfavU0A3mOPJwAEyJY/ygFRx4Oz9uomqvx -Uo48HPZn42y3p9Zy5OEEkJ0Y7vexiiMPJ8Df0EMzUss58nBY34wDzeNLOPJw -uP939Al69YkjD4e/f5fuvoB8jjwcnvcFg2565HDk4bC+Nuzo75DJkYfDfmz0 -6lZrPnLk4QT4+mDxvMkpHHk4rNdFQZ81EznycHifzU5Q1cZx5OFwfab+XRQB -HHk47H9V0R1OveXIw2F/d2LVkFmvOfJwWL+lxjfbRHLk4QRI0Y7bHP+cIw8n -QHbt8kvHQjnycDjPt7XqOC6YIw+H+3HEWElZIEceDueh4unaF/w58nBYn+59 -8xnjy5GHE2Dlp1dOGfc58nBYjzn+9c57HHk4AX4Pbjm7xW2OPBzOQxGb9G54 -cuThBHDLPLpxyDWOPJwAZtefK4Mvc+ThBGjb7WTqeFeOPJwApsvm/Q535sjD -4f5b5nJ6zFmOPBzOZ20fr3x0iiMPh7/fWvtkz+MceTic18Yt+Xb2KEceDvf7 -loQY7hBHHk6A03mSgsX7OfJwWB+Wjp0WtocjD4f7Maxrg8ZOjjycAE+g3Y+N -2zjycNifWLrNfb6JIw8nQI+RPWVN6znycHgehw7OtVjDkYfD+727noarFUce -Ds9b8hO35GUceTgBXg/WXd1iMUceToCtTd0PTFrAkYfD+dHiZ+72ORx5OOzf -pFanrptz5OHweUtDDkZP58jD4fyj6xRWasqRh8N5OGOF0c/JHHk43H8NIc27 -G3Hk4fD+f9e9x/DxHHk4AbzWddg3cQxHHg7Pc98BXcz0OPJw+Pct8xsshnLk -4bDfWfNm4JzBHHk47N/fr7lq3p8jDyfA3k4zZ5r25sjDYT2vazIZ14MjD4fz -b5sB9v27cuTh8H4z029o04kjDydA5LnECGU7jjwcrnfBgVdprTjycAJcskr+ -9bgZRx4O+/PZa86c+K0kDydAfvP3sxf9UJKHw3ra3H1hvwYleTj886oNN2q/ -KMnDCfB2fUrfQKWSPJwAg622VW2TKsnDYb+Qnl03qEZJHg7nEXnAiIJyJXk4 -nEd/nA9yLlaSh8P7e6/GDoMCJXk4PL/KvM1F2UrycAJcM3T2OpauJA+H98HU -2K69U5Tk4bCf0R0WH56gJA/33zy2NmxWrJI8HM6bX9oW5b1RkofD+eJnpumG -V0rycAKETFhbUvtcSR5OgLlPV0RsDVGShxMg5tG2pKogJXk4rBe3TbSs/ZXk -4bAfmXjybtpDJXk4AXK3VlgbeynJw2E/b9Fpqe8tJXk4AZYZRDm1ua4kDydA -Tew15dYrSvJweP4/LHCLvagkD4f3/xLvLT3PK8nDYT8fOfnQ9tNK8nAC7HPJ -j35xTEkeDuvhnVGTf9krycPh/dCqQTn1gJI8HD7/MHn+sT1K8nACVPolfo3Y -oSQPh/3Rjrnz5ZuV5OGwHwkaV6C9QUkeDj+/ZPLNmauV5OH++/8tQy/ZrlCS -hxPgRUZUhOtiJXk4AXb8jOgWMF9JHg7vt6EVj2IslOThBDhj9WdntpmSPBzW -Q75gS4WpkjycAGNvGXrIJinJwwnQZ3WlyBkoycPh+b/u78yNUZKHE8Dx+2JL -6QgleTgBXG6GWZQNUZKHw/m2+PqBjAFK8nACDHdLy4rurSQPh/dflp6Nr5aS -PNx/87zTkPNdlOTh8H24BPXarKEkDydA2kj7qaatleTh8D5MAE/NZv/3cALo -b9/Qv/KngjycAP6XxxU++aogD4fr1adjnJ1KQR4O3+eanLLxSgV5OFyPdjYj -P9cpyMNhfZh9O8C/UkEeDt/fM+sVq0oU5OEEMGQXJrQpUJCHw/v/QLsZoVkK -8nA4j+vHnl6WpiAPJ8CUTze/iB8U5OHwvpt2+MrlWAV5ONw/obPWDXmjIA8n -gFxVvybipYI8HNYT8z2u08MU5OGwPm9/KEt6oiAPh/Xgxgn7ef4K8nACWLp+ -m5D8QEEeDuuNU9Mgs7sK8nACWExznfrSU0EeToC7zd0v6l5VkIfDenOrbeur -FxXk4XC+XqIIbTirIA+H9bTDBNcVJxXk4QQIH11+PfyogjwcPn+g/GO7gwry -cDgfNlthtGaPgjycALYWg9MDtyvIw+Hz9l/iKdgoyMPh/PVO5mq0VkEeDs+T -wIcdWqkgD4f3Zd8dbUIXK8jD4Xw7ceOl2nkK8nAC2H+qZD1mKcjDCfBU/9sQ -M6YgDyfA804hk3YYK8jDYf0t7XXMdYKCPJwAC4LNPweMUZCHE0AYPfta7HAF -eTjsjyImbMkbrCAPJwBnOmh7TV8FeTjs/3bq3uV7KMjD4bzqav1H7KIgD4f1 -90axe317BXk4PL/jEpZ/aakgD4f9+zPDuZI/cvJweH56Ltj76bucPBz2uwNH -J3wQ5OThBBgw6ce8EKWcPBzWi5P5LTzq5OThsN5lKZR2FXLycFiPYze2mV8k -Jw+H/eYDm6WDcuXk4QQ46dg7S/VRTh5OgHYDIk+9TZSTh8PPr73d5mysnDwc -zlvR6w7PipaThxMgqXf6mxYRcvJwOL9pKQzfPJOThxPgxkJl5d4AOXk4Ae4Z -t4/t7yMnDyeAsuvJnKS7cvJw2F9Wn9fe4yknD4fzkMt6j05X5OTh8POFzp4e -5CInD4f7o9hJZ/oZOXk47I83LTPOcZSTh8P9cbTp3IZDcvJwWI+GpbWW7ZGT -h8P3sbTF213b5eThBNgY9zlAsVFOHg7rQ5+ylC2r5eThBCir7qtTvExOHg7P -5/lfYfMs5eTh8HmXZdhHWsjJw+H+dpYd7D9dTh5OgJ9mDwNPGcvJw2E/csOy -R5mBnDycAPEn5kUbjZaTh8PPqxBvXRwqJw8nwLroRyGfBsjJwwlwIR6adLTl -5OHwfGX5X9jRVU4eDuenx9ELgjTk5OGwX2+xZX5dSzl5OAFO+LQ/3++PjDyc -ABLTHj8XfpORh8Of7y4+Of5FRh4O74s1XW77y2Tk4XB+3FjyPqVKRh4O+83d -ySPkxTLycHjfL5+X3jJPRh5OAN/GgtDe6TLycNj/zZNkjUyUkYcToOtsUX8S -yMjDCZDX7EjWtCgZeTicx+6LoWbhMvJw2J+tq8tkT2Tk4bB+XM/WN/aTkYcT -YOiLKbljvGTk4QSA+U9e9b8hIw+Hz9/xcXm7KzLycLj/El/P+eIsIw8nwDG7 -A9+yTsnIw+H5G7ZB9uyojDwczq9jug503i8jDydA7Le+96x3ysjDiTBkcI91 -IzbLyMOJUOfouVVcIyMPJ0JuK8OXr5bLyMOJsLnh6oKjljLycCJ0j7QYbWgh -Iw8nQuKXH8uV02Tk4UQYdnZukvckGXk4ETq4l52dry8jDydCmf3aSw0jZOTh -RGhdsq/89mAZeTgRlvrHn5jcR0YeToS9bdrszO0mIw8ngqWD1M+2g4w8nAgP -P/QZ/auljDycCMtbrGh54beUPJwIzW9bjtT8KiUPJ8L+mTE+13kpeTgR+mhv -3tlNIiUPJ4Kf089zbuVS8nAibJ8/S2xeKCUPhz/vTpsXBzKl5OFEyBZbJpcn -ScnDieAxs7WBRayUPJwINSV5QmCUlDycCBWHWLu24VLycCJEGGjZbwySkocT -YXKArulLHyl5OBEu5ZmvaX1XSh5OhBfj5uct9pCShxOB+WkG3nKVkocTQXHN -rrDISUoeToS5RXM29zomJQ+Hz5/nsGDxQSl5OBEC1kuun9slJQ8nQreCgyYR -m6Xk4UTYPUDDrGKNlDycCO/9rge2Xi4lDyfCoHV/9g9fICUPJ8LGzIn3zc2l -5OFEKOliMHadqZQ8nAjGSTU6+w2l5OFEGGs488Tp0VLycCLMHztv2iVdKXk4 -EVJHfN12rZ+UPJwIa41m/vDQkpKHE6GpzcT6qx2l5OFEyGnIXnWhlZQ8nAhf -f/cfefy3hDycCDZJQ3bsapCQhxMhJfBL95WchDycCGf83cea1krIw+H+P6T9 -un+phDycCG/O3Iv4mSshDyeCgaivk5smIQ8nQoK/2CIgXkIeToQwkz9W9m8k -5OFEOKhpp2P2QkIeToS4eXs2t30qIQ8ngmte734pvhLycCIIm7wtne9KyMOJ -sO6oTuM0Dwl5OBGObS8YWH9RQh5OhCUr5XG+ZyTk4USwMLtSY+kgIQ8nwrSm -n04NdhLycCI8ZTt8b+6QkIcT4dmtrjMNN0rIw4kw5pjRtnQrCXk4Ef6a9+i0 -aZGEPJwIGsZfJ4sWEvJwIti59FOemCYhDydC9KJ83dYTJeThROj4aLfUZYyE -PByeVzcDwzZDJeThRLjQ3rHj6X4S8nBYL7Tv7P/aXUIeToR2r2RbtnWQkIcT -4VxNgjSnhYQ8nAj5fm6/TH7WkYcToaCNr899oY48nAhtRuws+SOrIw8nQrGB -5ZNVlXXk4UTouS2yS2hhHXk4EYb30urUPLOOPJwIx18W+i1MrCMPJ4KYfjj/ -1rs68nAijOu8za80oo48nAi95gzp0j+4jjycCPqHR/Wx9qsjDydC3+i/H9zv -1pGHw/XcqauVcK2OPJwIhhqD29RfqCMPJ8LqFae9+5+uIw8nwhyWVTLzSB15 -OBGsfvjEbd1bRx4Oz4fq4rKzW+vIw+H+KRvo7rW2jjycCJlRTQ7hy+rIw4lw -PiWhW9y8OvJwIgx81H1thlkdeTgRFoxztyqYXEceDutDQHWb4nF15OFEMPWN -3FU0rI48HJ6nbm9c8vrXkYcTIXK+79o0rTrycCIkt9bh3nWoIw+H98nG+knB -LerIw4kQJMsxu9lYSx5OhC53Tnc4rqolD4f3RxXcXCetJQ+H99djqzqT8lry -cFg/5ndr7J5fSx5OhN5h73JlabXk4fDnTxx15HVcLXk4EeqTx9Wdf11LHk4E -ZbHfwIVhteThRKjcu3lEt4Ba8nAi7Om9sHmOdy15OKwvrWcHX/asJQ8nwrvv -hqNmXaolD4e/b1mL0z/O1JKHE2HfAO/ggKO15OFwP7f8/nzpvlrycCJcWdX6 -RuPWWvJwuN6D3y/3WltLHk6ERVrDG0yW1ZKHw3rmPelw/txa8nAifK79VrRr -ei15OKz/mbt1mybWkof7b/39V7qNqSUPJ8Ka7yEHeurWkofDelDu7ejVp5Y8 -nAieHy7YDehaSx4Oz/tF15VebWvJw+Hnq3g/tmdTDXk4fP5VS35daqghDyfC -l20bYv4oasjD4XnY2NNxV1UNeTi8f88+0s8vrCEPJ0LUnym1Jhk15OHwPuS6 -3fJKqCEPJ8LMLZvn/4yuIQ8nwsmDu1ouD68hD4f1evie90EB//9+ONzv10Jc -fnn///vh8DylOqya6/n/74fD+/Jbv4nXXf///XBYT+crdIpO///74URw0po8 -uO+R/38/nAhpkRsnWO/5//fD4f3/Mm7N9c3//3447FdexXqlWP//++HwPLm+ -//Fr0f+/H06EUyP0D4y0qCEPJ0Lpu2MdVpj+//vhsB962ivpmMH/vx9OhA1u -xwLuj/j/98OJcHfKkNB3A/7//XAiHCm8UvlJ6//fD4f1NmnGNJXG/78fToTy -mKTUls3///1wIrz88ORC9+/V5OHwfRqfcBzIV5OHE0GWm+c7vKaaPJwIWaHz -W40uqiYPJ4KP5Wmf0ZnV5OHw/dzucULvQzV5OBFslc/v6LypJg+H/UOPVj97 -hVeTh8P7b+Prh+0DqsnD4Xp5urh/96omD4f94+/hH6s8qsnDiXB096qVyReq -ycOJ0GpOyfinJ6vJw4kw8dwRG9dD1eTh8H2H/JBu3VlNHg7roTg2eerGavJw -IrgP+NKq28pq8nBYvwO6+VTPryYPJ4Jv6JEHoWbV5OFEwNugpcOkavJwImxd -cDNj2phq8nC4P14Nad18SDV5OBFer74bBNrV5OFEGKqhinHsXE0eToSu2wfO -MWhVTR5OhF11w+dIGqvIw4lgkt0p7uaXKvJwIgxYXhw1s66KPBz2n473xvHF -VeTh8H2abNG7nlVFHg771yMLgowSq8jDibCq3f7neW+qyMOJsDOmfrZdeBV5 -OBHu2/7a3S6gijycCDHlL3S9varIw+Hz/7E8MNajijwc9vsRf1e9dakiDyfC -ifatSi1OVJGHw/o44F5T+oEq8nDYnxppJCzZUUUeDutl9L1xOeuqyMOJcGfm -A/NFy6rIw4ng7WXbJmVOFXk4EYJTlh9l06rIw/1338Z6P59QRR5OhLdiF0cd -vSrycFjv54doXh1QRR4O7y9Tja0/uleRh8N+esZtx/Xtq8jD4fpOzln+vqmS -PJwIP6wnfR/UUEkeToRDixZsPSGvJA+H+3fcPd+C8krycFg/Lrx4Pjqvkjzc -f/3byDunUirJw+H5WnDXOjOmkjwcPu9qv+99IyrJw4kQa6C03xpUSR5OhP6a -/Uue3q8kD4fzQap8+JfrleThcP1K2tqMu1hJHk6EUdsnuO4+WUkeToRv08cG -PD5YSR4O+0UsiWU7KsnDYX8b9zKt6/pK8nAiyKOri2csqyQPh+8zbCBvN6eS -PBz+/Tnz23hNrSQPh/PM37kjEwwqycOJ0NagzTr58ErycCKcHmjn26F/JXk4 -EYoaTjSN7FZJHg77qexRh2e3rSQPJ8Jo7+9acT8qyMOJ0LIjd+5LdQV5ODxf -Bktm9EqvIA+Hz2e3aIXxqwrycCK0X9Y3cYVPBXk4EaTeX712X6ogD4frv35U -9YnDFeThsD/53uP+xQ0V5OFEWKbft+jq3ArycCJUz3h2z31CBXk4EQ4o5n2+ -1L+CPJwIgw+cKjrTtoI8HNa/+OqtB4Ry8nDYTx3u7bWupJw8HK5vcd65mR/K -ycPhfuqaO0I3tJw8HL4czQr3v7fLycPh/n6d9SHfqZw8HNaDGresx7vLycNh -fd3bOvrAynLycCKELza+ZDy9nDycCB/8DS1/6ZWThxPBMUazfVT3cvJwWG/1 -G5L2/SkjDycCX9vtuo60jDwcnle9kINZWWXk4fD3xWoddIguIw8nQiPne7f/ -ozLycDjfuMTVv71cRh5OhJ8aSherI2Xk4fB9PvbZyG8sIw+H9988B5eT88rI -w4nQKaZbmw6GZeTh8P570k/i0b+MPJwIK54tG9+zbRl5OBH+2DoJnqpS8nBY -v26f0e9SXEoeToRHvSb/OBdfSh4O++Xfd5d+Cy4lD4f10e/Z3M03S8nDibAj -7ow07VQpeThc76OTJurblpKHw/5m/R/mvrSUPBzW07uaXbgppeThRNjy+e2z -mUNLycPhfZ22dtitzqXk4URQ2ViflXwvIQ+H83PEyMzxVSXk4XA9H1tpOaSW -kIfDeU3Lft3bFyXk4fD8l458+curhDycCA+/6w2b5FxCHg7r5cWJb/buKyEP -h/ONoHPKd1UJeTjsp8qzjueYlZCHw35lw3hoGllCHg7383fzJcO1SsjDYT/8 -rO+0BX+KycPh/Keb775HUkweToSFgQ+XX8osJg+H9cvsnad/VDF5ONzvaQ5r -3/oUk4fDfqS10atM12LycHgf+q4LqzhYTB4O6/WmA8u4tcXk4bD+DmoR/HVW -MXk47E+VTz/8GltMHg778a4Dg5t6FZOHw3nTtO+upmbF5OFEWH/KrvMveRF5 -ONxPu//6NOQUkYfDeqH3erzyTRF5OBFqzzxIKX9URB5OhMVNCYczLxeRhxOh -oWHBjHf2ReThcB59uGtK4IYi8nB4X47ftsd9ThF5OBE+yjxqD48vIg+H9/1Q -62er+hSRh8P59/j4vMkti9iWfx5OBN1M/609uU/k4URIX7dkr5D3iTycCPZl -lT9T3n0iD4f9/+Vu2g8ffyIPJ0LV/Micg1c/kYfDehn8bNKso5/Iw4lQ0pFb -pmXziTycCDee2BtWzf1EHg7vv9dbJE8NPpGHE+HykQr7Q30/kYcT4YmFTr1J -q0/k4bA/A8cdf7lC8nAiWCfNqX2fX0geDu/rJ00HnWIKycPheo0bPNQsoJA8 -nAjNg2e3brpaSB4O6+vgfjqvjxaSh8P+fOGaiwdsCsnD4edPypszcl4heTgR -Vmru2ltpUEgeDs97SPd2N/oWkofD9ZpVOGROq0LycDgfPsnObOQKyMOJ8Pzv -+D5P8gvY738eTgRIMulqHVNAHk4Eo+Yz4tsEFJCHw/vENHzai6sF5OFEWBvZ -2mP90QLycDjP785Ka2dTQB4O69nkyJ/hcwvIw+F8L9s3ZrVBAXk4fD8rUw80 -71tAHg7njbOPiwJaFpCHw/UObrSz5PLJw4kwfkf6bDEvnzwc3g9v2Z6b7/LJ -w+H+nHxWZfw4nzwcns9uFZWlV/LJw4lgaBxqeepIPnk4vK8Ob7EYvDGfdf7n -4UTQPH2uOG5OPnk4nE/7Pem9ZXw+eTh8PxPOd2/dJ588HPYPfHGhf4t88nAi -OH88b2+hzCMPJ0JS0uG/ktw88nA4n20Nc3J5m0ceDu+TgsWaI/zzyMPh+mte -iEq+nEceDu8TpdclW/s88nA47xhzdzQ25JGHw/pQJ6t/OjuPPBy+z879nljq -55GHE2HC4KHpX7TzyMNhv/rt9R6P5nnk4XB9+cdeRopc8nAi+HfI3FmUk0se -ToQZWqPlJ97kkocT4XZgxoghj3LJw+G8tbncJMUtlzycCNu+3dG3O5zLlv/z -cCIkWlj11V6fSx5OhD6XznZ8b5FLHg77gx1+PXaNy2WP/3k4vH80l83pqZ1L -Hk4Eg/UWkXHNcsnDYX/ydc5+O3kOeTj8fRumXRqYk0MeDtd3pE7PrOgc8nB4 -H7Rtp+vkl0MeToRN8r5JRm455OGwH9Px7ao8lEMeDvsx5+89fNflkIfD+eb8 -NclqixzycHi+Fjbd6DEuhzycCKmfayfm9MohD4d/vq91qXuzHPJwIvT7Mfn2 -Enk2eTjcj9z+k1o52eThsL51eutTFJ1NHk6EXl6mPXz8ssnD4X4/bMDtdMsm -D4fPN/Gb8aTD2eThsP9e97Nrm/XZ5OGwXu9Mcym0yGbcPw+H+7WpS+jTcdnk -4bAfaXbxnpN2Nnk4vI+gq83a5tnk4XC+6fywu7Eiizwc9u97zJO0c7PIw+HP -HzXS/debLPJwIkx1uuVU9SiLPBzOy7H889TLWeThRLi5M8jolX0WeTic11Zv -GhiwIYs8HM7DsrRzd+dkkYfD59F46XhtfBZ5OBHGOLbr6dYnizwc3l+FH3e5 -tswiD4f90L25Or2LMsnDiXDF7v7EoU8zWdM/D4e/z20hMz6RSR5OhIjpc7ev -WJRJHg77Z+1XRQ6DM8nDYX3S+/n+cX0GeTisBytD5pd+yCAP99+/7wX59rmd -QR5OhNCjv0WbnRnk4fC8Nwo7nptmkIfD/TVbc3zHLhnk4XC/5mkf3VeVTh4O -+4Vn7XaVvkgnD4fnM1170jLndPJwuN+3xrTPW5VOHk4Et/FPNTeMSicPJ4L2 -h3SHhj8fycPh+yhZctAz6yN5OBFCAh6OYn4fycOJ4DDcJrvh8EfycFh/Dhy9 -/nLOR/Jw2K901PA50/cjeTicr0yO6ll/TiMPh/tj8Za1pu/TyMOJcLhdqO0o -zzTycHifFR49M2x7Grv5z8OJcM9UJ2+MSRp5OBHW9VvgYdYpjTycCL80P3E2 -lank4fC/j5NpXX2RSh4O+8+p1VNSnFPJw+H7nb3zuubqVPJwuL+jd1huHZNK -Hk6Ewk9tvZKapZKHw/UIjAiflJdCHg7r49yB718GpJCHw35q2ZQ/ZsdSyMOJ -4Dpy+p3ShSnk4fD+XR+UfF4nhTwc9pMtJsHUb8nk4bC+dfwc1io1mTycCCnP -tHOKvZNZ4D8Ph/sz3MIqdn8yeTjs/4stD7+clUweDp+HzbOJ7p1MHg77oWf3 -F2fySeThsP43/3q04X0SeTist27TNEfeTCIPh/3+/ogFdjuTyMPh+277aUvS -tCTycPh+TQ5eHdc9iTwc9jvc7ZZB0kTycLjeq/1/THibSB5OhOQT0x5nuSeS -h8N+2G65+amtieTh8PmzBneYbpJIHg7rtd7oCd27JJKHw/t4mfnXHzUfyMPh -+yhzdhWiPpCHw/Njv3b0n8sfyMPheix01Oq3+QN5ODyvZ6uPL5z8gTycCCPc -ah94dvrAPv/zcDiP3e0f9bk6gTwcztedHJqti0ogD4f3Wb5+RMXlBDb9n4cT -oVldeucjmxPIw/337+cjFgwxTiAPh593hG1gbecE8nAiNHVut/FNbTx5OBHc -T2aEBkTHk4cTwTytIC/IPZ48nAhWpW4d47bFk4fDecYrxFNlGk8eDs+D7YKn -Rt3jycNhP+SScuWaPI48HM5fHqUnWsXGkYfD9y9efXXlZhx5OBHaWM44pr8n -jjycCMN/P26nmBlHHk6E7k6JD970iSMPJ0LZ36bT/sJ78nBYz4Jb8QHJ78nD -Yf85r1o3/sF78nB4fnwbbb/bvycPh7/vd8sm84XvycPhn9/oNiR46Hvm/M/D -iZA18GrfMX9iycPh/GlsOjI5L5Y8HPa7nJHHieBY8nC4XsPFm5bnYsnDYT89 -1ez45LWx5OGw39+20HmaYSx5OBHijR82bugYSx4O3+c2g4kmKUAeToQXNqoN -nAOQhxPBWBH+q2AkkIcT4eJy2xs/S2LIw+H+TE14bXU5hjwc9pvLxn37PC2G -PJwIVyuyP8Wq3pGHE2HsUk9Vuu878nB4/twHpPdc8Y7d/ufhRJjoXtR0r+07 -8nAi9LdV9Vwf/ZY8HM7H25cErNvzlin+eTgRPAeFzrg36C15OBGuXzxzvFfe -G/Jw2A91aSvPcXlDHk6EC6Gz+mdMeUMeDp+3Z8fQ9qpo8nBYn96Ihs6PosnD -4c//u9bC3DqaPJwICxY7HjPvHE0eDueRebP3uMS/Jg8nQnj5lZedHF6ThxPh -sYPyc+HY1+Th8L4alRwhqY0iDyfCkHwbP5N7UeThcD2WJFvlLYkiD4f9aEDF -voh2UeThsF6Y2LiWwCvycLg/f5ZazDvyijwc7p970bOaj3tFHk4ESbrJiObS -SPJwInzff9R93oNI8nAiBBbaDi63imT6/zycCGGNVSffakaShxPh89trlpKU -l+ThcL+Mbz9h7dmX5OGwXhRE5Q+Y+pI8nAhfK8TSsd8jyMOJIB7jVG7hEeTh -sP8YCNHGuyPIw4nwO9u21mh4BHk4EfQccrSdql+Qh8P5aHRSy4H3X5CHw/nn -/N8lnVa/IA+H89rX/uGWPV+Qh8PzMTA8szLnOXk4Ec6NNlqXdPU5eTi8f0f2 -0Ghh+Zw8HPaDu4Ndrmk8ZzP+eTgRztbOvmCfHE4eToRXV4ZDuHM4eTgRrmWd -qpw5K5w8nAiqakefMa3CycPh800xidoXH0YeDuefn4UlGmfDyMOJsN5qR8Lf -GWHk4bC+af0auahlGHk4fJ81xtfrXULJw2E/afE8splGKHk4nIduH56c5BZC -Hg77B97LY45mCHk4EXaOnG3m7PmMPBzOoy294s70fkYeTgSPVpk3TR8Ek4fD -+eOuzvSoocHk4bB/Gaac8S34KXk4Ec708Bj6w/ApeTjcnz9v28G7J+ThRPgY -5hViOfsJeTj8+2dX7X6UHUQeToRD1kGTP6wNIg+H/a7U/VG4LJA8HPbfiskz -dx0OZMX/PJwIjeu/BdW3CCQPJ0Ja2gK7BdcCyMPhfXdeGHdkUAB5OLxPm2We -Oxz2mDycCBuHtOs01+wxeTgRWvv+2lGf408eTgS2YfYU+63+5OHwfspJG5H7 -4xF5OLxfDoZ+6nD5EXk4PJ9jNzUM1nlEHg7v55KHw3tF+ZGHw/ode3QQv9CP -PBz+ueb8q/4SX/JwIliezBo245QveTgRRif380rQ9iUPJ4LFct/HY1/4MO6f -h8P6lW7UzGmhD3k4rHcpXbfGKh6ShxNh1cHi60qXh+ThRNjPfCxbD3tIHk6E -LxbZTwe4PiAPh/3ds0V1PVPvk4fD86Xv/elbh/vk4bA//3Gtb9wib/JwuB/O -tZl7/IYXeTjc76tKmumV3SMPh/dHajNZ2rB75OGw3mWdDNxx4C55OFzv6uNC -i9g75OHw80vnHrnf5Q55OBHmTd5SP83mNnk4PP+Pz+jKIm6Rh8P6eO9WhrfG -LfJwInRgNdGbN90kD4f9ZLjwaurbG+ThsL4vD7wzTvsGeTgRpgyyNJ5q78kM -/nk47Bc7Xj28s+A6eTj8+eeuGLyZfJ08HM4ffJ31BG8Pdu6fh8N6Ofl5cUFL -D/JwWE+v3gsK2n2NPBz21y3KY0ML3cnDiXBq0dJBvLk7eTgRRh7i76btuEoe -rh7el315PGXBFfJw9dDx20FnA8PL5OHq4fjjuG1Rg9zIw9XDq8JXp/O7XiIP -Vw834/O0Pdq6koerh7W2S099a36RPFw9nNY11OzQ/AJ5uHow2bZ6wqdWLuTh -6sHU48babZ2cycPVQ3pTVIeYPufJw9VDgHbIR+noc+Th6iH3icskbuZZ8nD1 -UDPvhip3gxN5uHrIk9sHBpw+Qx6uHiou6veze3yaPBz+/gnHR+72PcVS/3m4 -enCTL8xt1eIkebh6COmh9+PNruPk4epBY1X8Eb8KR/Jw9XCucfu89HUO5OHq -Ydugfk7zJUfIw9VDxrKUefoO9uTh6mHDwu2Kyz0Pk4erh9RBw97bxxwkD1cP -Lfxvz/1jd4A8XD28nFGhbTh2P3m4erjT4fG7Ndw+8nD1sKrLpo8/r+0hD4c/ -z8pNb5flLvJw9TBx08TCnAG25OHqIXrMjM6r2mwnD1cP85+/mTa41VZW+s/D -1cPjVhM0V2hvJg9XD/dG9NrUZ6YNebh68B825LZ++w3k4epBOybBlHu9hjxc -PSg2OyZE3l5FHq4eFrywjKjwX0Eerh4+GAe0elW1lDxcPZxy7ahzecFi8nD1 -wC5Cydb0+eTh6kEy0Oxk/cbZ5OHqIXzYusRty2eSh6sH7qGRY/JiRh6uHoaY -BEbq/I+oO4+L8Xv/B16EbFGiFIVKIpQkisoWLVrQpmwV0kL7vk8zTbPbIpJk -K2vWUJws2ZKlbC28ZUmpdE8hCv3O99M11+/P8/h83u/3NHPf51znvp/ndZXM -BQ/XSUJ2ZowxaJ4FHq6TZM72LFh9dRp4uE7iebdL79tUHfBwnUTS5cTL8FQH -D9dJxicpj+yWHwoerpN8KXo1c41Nl2Wfh+skCh3fbL2GvrSU/f8VHz3bcGaE -raXs36e3gH/FYd86S9l/73rmfeWXWtssZZ/ntlnAwpBpcZayzxs6XsMt/UW6 -pezvMVnPSt6hJbaU/b3zNEbf+aiXZSn7PtLLzu229sy1lH1fhpO7ND8ZHLWU -fZ87rvm+kGiftJR93ymxh0cM31FkKfs9NkzpTH+Uf8lS9nvpf794oN7xmqXs -9yzgnRsszb1pKfu9c3N2yy1xvG0pux6a+z30jSsot5RdL/siwvTO+Ty0lF1P -x76Ka9enVlrKrrcRJ3hWcQrPLWXXY5NN77v/kqotZdfrhpOLcnqNX1nKrud+ -7+Y3tmnXWMqu9827/2u9ZFdvKbsfTrOj76y+9J+l7H6pblh+a+O5BkvZ/fR2 -ZMmln8c+Wsrut2HMgeTam58tZffj3+az2b+7v1jK7td+x5WJhddXS9n9vCnW -QC79faul7H6vj1n3vIjfbimbD1ibVg5/MkNqKZsveoIDjghPdVjK5hN37tbC -5PnfLWXzTW/F4m1DPvywlM1HB+Kq1XfmdFnK5qvj7XrnYoJ+W8rmszEZ58dr -reyxlM13TvcjLN+v+Gspmw9VJ/b+p7Gh11I2XzpfMm7cdVcO59M6ryH+5z7J -43z7scztPW9kf5yPG+4+W+i8XAHn6yVhwbwpggE4nxvMOnzPon4gzvdGjdmq -5+cq4nqgNn77ibOHB+N6YXxs+SNPtaG4niS/iP/4KnsYrjeq/fOibQ2UcD3y -+bHf8EX5CFyv7Oas2vy4eCSuZy/jC3S9dynjeqfbYbm+MFIF18Om5svX76wb -heul6HxT+HVHVVxPLW7v25izdDSutxd/rvkZvXgMrsdBuwYwa5ar4Xptp2Ee -u2q1Oq7n3yZMz9+2ZSyu9/kCzqprqRpYD+ws9lazPqKJ9ULFgWSNEY/HYT1h -J1c5fdaf8Vhv3FLdVnnJRBvrEbvQWnmXeROwXsnjWaxKq5+A9Uw/jqM4nDUR -6x2XefwygxmTsB7iJDk9LKyfhPXSvPDPG36JdLCeenGgdLTGUl2styoMTq8Y -1auL9dhnzTSbplI9rNeSzgQbHEyajPXc2YFO10yX6GO99y08v+7y8ClYDwas -tp01sW4K1os9vyPN408bYD35M7Xe4X7qVKw3WQ7KuYpe07AeXVf623LRXEOs -V5W9loyPHjsd61lJ8cf+J/9Nx3pX8CnzwNsvM7AebhfrBKq+nIn18jVNg6Ap -vkZYT/890LHr0VsjrLeDKqaQ0DXGWI8bmasyWjXGWK+PGGkzttpzFtbzPz8/ -Hy+un4X1/rdvnOerNprgfmBT6JTfE5tMcL/Qb+cxu+6Q2bifOBrqHPy2Zzbu -N5zzVLsruKa4H/mv8XT8PbU5uF/x3PpmW2XBHNzPvNcRL2qwMMP9js5dU49+ -z81wP+TQ8Ky/sf9c3C9Z7zYTBMvPw/2UcdjSaVdz5uF+y6tlqJKyuTnuxx6O -6O8aU2OO+7V/JfNUv8VZ4H5u80z2qjCt+bjfm69UpDTg7nzcD75+VmB6PHAB -7hf75Z877TraEveTc86yHEbdssT9pvtn8Yd326xwP+qzj6/uPcIa96vHRxRF -O/lb4352kwLjZ3vbGve7yS9nnbcdvxD3wwfzJk5xjl2I+2W7YfMl3q8W4n56 -xPPWk9tmL8L9tne/3NmcXYtwP95fvfJTfuci3K8rXdPadpfWF7L9fO7ysKSv -Vxbjfv+s6Y+bqhpL8HnASqU5LxYlLcHnBS7uZusiPi7B5wmnpqT9KrBdis8b -GsRzzd8XLcXnEfuv6l8ZO9YGn1esq6/pXp1mg88zxnaf2Lmj1Qafdwxwq+j/ -1H0ZPg+5fHvbs2F3l+HzkpqLJMrOeDk+TwnW7A3JOLQcn7c0Ke80vaNki89j -dOxUzP4m2eLzmmiXdZ9mM7b4POdlTmHBVh87fN7j6Cto2f/SDp8H3bMZpfzA -1h6fFzlUPIllbtrj86T7Bz+kjTJ1wOdNsSdVOUanHfB5FNO/vsZGdwU+r/qz -f/tz14Mr8HnW1AcvSr3VHPF5l8+JtqY1Ox3xeZiuTcYhJyUnfF72++gWfXO+ -Ez5Ps703/YNAwRmft+3VzK/7udgZn8d5bVE5VMtyxud1Ku/VHpnddcbneR4d -zb+GD3DB5337y/zuedu44PNAI9GIxzpcF3xeOHqMTr7PIxd8nhhTKf5PffhK -fN64VUVBw8Z5JT6PfGfT8bl510p8XrnkTdar/m9W4vPMepN/ibvGrcLnnRML -hgTv27gKn4duNYkzUTmxCp+X/rFqXS/Xtgqfp75d8SXZx2Q1Pm8N573Tnhu3 -Gp/HurmZVaTcWo3Pa32uOQ6bP9gVn+euK08K2uriis973b7oRCjud8XnwZ/0 -80+qf3TF58WH1wRkZRu64fPkT/N7S3lRbvi8eVh6d35LmRs+j2bXKT8uGeqO -z6vPVWmWdLu54/PsD9dVO07ku+PzbhKp03H7mzs+D5ea5FrYW3jg8/Js3RGO -1lwPfJ6+e/S8gsMvPfB5+yWFcQ+26Xji8/h5+b+nngj1xOf1e+96rrAr88Tn -+U8qlU65j1iDz/u39jMnT9atwfcBW6qcFM6dXYPvC8apjvve9W8Nvk9YaXxv -UKGTF75vuGrzsvhWnhe+j6g3nZ2wsMML31dUFJo+1l/ije8zOEr7tCKyvPF9 -R2h8ZrNesze+D/ksOZU/f/5afF8y0FaNFIvX4vsUxdINF7M+rsX3LYpv9ne+ -MVuH72MODvPqTBSsw/c1C7+W6rEb1uH7nDuXN/1tm7Me3/e8aM9oLRasx/dB -X541+n34sB7fFxXXtwZeGbcB3yetqdD3mrZ8A75vmqa19erT8A34PmpFzV2L -I4c24Puq2lLtg8cqNuD7LKUGo92vujbg+66hK1hvTHU34vswR270xDvOG/F9 -WdXkpZOTEjfi+zRF5z8HN5/ciO/bDllnWCW83ojv46zun7p1U8EH39cZlg6r -mz7LB9/nyUVMW/RwvQ++7wuZvvWMROiD7wPnxClWs0p88H2h8ZEZW/ObffB9 -Yvmgz3qtar74vpETo0E22Pji+8iFwqNfeyN88X1lxE/rdQ+P+OL7zPmPsp6W -Vvni+86bj82+18j7/f/3oZcOp04w9sP3pcf9raZLNvjh+9QBVgGnp0j88H1r -mFHgpWbih+9jvw9L6K5q98P3tbonH5h80t6E73MvDA8ZPd55E77vrdnFXp2Y -sgnfB99XVjmucH4Tvi8e9l2VXGjYhO+Tx3iyV2eobMb3zZX6qzTTFm/G99Er -J/k/yI/YjO+rO78XjGw+thnfZ3su/Fmw+vVmfN898Ph0m8+KW/B9+KTbUw/u -N9+C78uPmz7wiQjagu/Tuw6+tw/L3YLv27+JnIbvfrYF38dv4nZ41fTzx/f1 -9k2FrQtN/fF9vkONZ0TlFn983z/GsuVE/H5/9ADLsh3mO1T6oxcY2RHWaCm3 -FT2B+PIar1UmW9EbSHcrOmdu3ooeYeMGXuz77K3oFV696xJ4VG5Fz1CSH24l -lQtA75BZN83m5OwA9BDOul5uLP8A9BKLnGz1EnIC0FMsmeHgv/tZAHoLsceD -FxUKgegxfNqMJ0yaF4he49Oq4XJ7gwPRc4z/1W4wNT8QvYfpgNRVda8C0YPU -jIubdXJoEHqRH0MLErKsg9CTnE5o+XIoMgi9yclj86beOxmEHuXxz5zfiu+D -0KsY3zEd5j86GD1LUZO5+ke7YPQudyO6q2NTgtHDtNvU/ph2JRi9zNEij9m/ -W4LR01xQGW7TMHEbehu7mIKGj+7b0ONIZv68ISfahl7n9fr6HWZ3t6HnST7o -rcrt3obeJy5+9x+p0Xb0QBKNm/KRW7ajF3K/YlQ3Mnc7eqJvMzdal7/Yjt7I -2j70+4MBIeiRnrqZ2FhMDEGvVDR3fei1+SHomcwc2lwWe4Sgd/o1uPHOm/AQ -9FCnbXyOxIpD0Esph/If650KQU9V8eSA5tt7Ieit5l19F3ToQwh6LJfqopyg -fyHotdJWpGQs0QhFz7VTtXKw/pxQ9F57lQzkVFeGogdrd567bOi2UPRidm7n -S4bxQtGTVZqsNVc/HorebGmlWt7026Ho0cIUnz10eBeKXi2kN2N3ZHcoerb5 -s1zfnhgTht7NvXhD6sdZYejhJIFf1k5xCkMvJ51g7hwVGIae7s3jG6aVGWHo -7Ywvt7QYHg1Dj9eS4+K8pywMvd7O9h3WA9+Goeeb0Oq7P/l3GHo/uae7LOTH -hKMHdO7p148/Kxy9oKNOQcU4p3D0hCNDxFFXAsPRG4YW1L5354ajR1zsfqtJ -7lg4ekXCSILO3wpHz7io67Td1nfh6B2Xa4s3TukJRw9ZGnZf/E0tAr1kevif -iyWzI9BTblvQclrsEoHecu2JLZ4B2yLQYz7z9d5nz49Ar3lzQsVyk4II9Jx3 -jj+2nVgegd7zdJckbvSHCPSga4LWXx/RG4FetPd5VtOIcZHoSQnnzKfR8yLR -m57dYyya6BaJHtW46sHdWeGR6FXvdBj520oi0bNOPGXlselMJHrX9+UfIjiP -ItHD+i6YkHv6SyR6WafVYy69VohCT+t5pidr0KQo9LZiH239+VZR6HFHjmte -EukdhV7XbHLNswuxUeh5zTK4p75nRaH3NVYtvGx+KQo98C32gGfpz6PQCw8U -pNZVfYtCTzzxw9hrusOi0RubbfxkG2cQjR75m8rI7dU20eiVNy/+PNjILxo9 -M9lZ3iNOjUbvPHag4eTO3Gj00BrqZwI8S6PRS/978PPk7Zpo9NSGZ589mNEV -jd7aVX7c8YOqMeixJ56r1h0xKwa99vpNw/RZTjHouavzvot+B8Wg906+/sIy -jBeDHlz8XWls24kY9OKPOdpyAeUx6MlPnMx51fQhBr159fE5yVvlYtGjs2qL -61vGx6JXn/pAoWq7RSx69q/a4xb98IhF7y56OGZkQlQseni9b/raCrtj0cvP -kz+4Ung+Fj39sYdvhWpPY9HbKwYEXcpvjUWPX+f/uXDGkDj0+npXe11K9OPQ -878qFUiWL41D73/XNcb2lU8cngdw/PBgg19KHJ4XyFt+6HrHwTg8T3CqZ45F -akkcnje4Jtd4b0RNHJ5HuPB93KLcn3F4XmGQ8pYdhqrxeJ7BySgg+7pxPJ53 -EFc1Wi53isfzENMmXt38Migez0sIO6p/bOTF43mKhEq7520n4vG8hVbrsncx -5fF4HuOSpXK//h/j8bzGoG2DJgnkEvA8R/rbYzqqWgl43kP9TFrrfosEPA9y -7bqjzwTPBDwvcurIy4CjUQl4niSh/G/L5N0JeN6k1PJBxYnzCXgeZWu7MzP5 -aQKeV9GKfWJ1tDUBz7N0W+7M0x6SiOddFm9S/L1PPxHPwzx6Vjxz5NJEPC8z -ZPKiaWyfRDxPM+yBb1VXciKet1F83TnU/2Ainsd5MOPTzZfXE/G8zmO/hVXW -bxLxPM/se7OmF/5IxPM+2127ryiNSsLzQIX3v7uFGiXheaF+adnfn61IwvNE -4qy46OmBSXjeSOvWkvscbhKeR7rlSyrqjyXheSVd1uOgmXeS8DzT2dv+O5Pe -J+F5p6ANp3Qf/U3C81C3je4NVdFMxvNSrdkDjNzmJuN5Khfxs9gs12Q8b7VX -UPC8KiwZz2MJJQYThkqS8bzWF7UhjlZnkvE8F1HTXLL9UTKe92rvWtay/0sy -ngfLsbEfs7FfCp4XO5S5K6ZjZAqeJ9MfpbEjVTsFz5ulrstcrTQjBc+j1YzM -Lt43PwXPq9X+GH5ugn0Knmdb+OfazOOeKXjezXlWwgwD/xQ8D2d62zqvMCoF -z8ut/iYXp89OwfN0mcPvFOXvSsHzdksz9s/TzE/B83gFT08M3lGUguf14n3V -xyiQFDzPp3138uqIyhQ87+cXrnGtoS4FzwOubF9m7vA1Bc8L1pwZWXnxVwqe -J4xV/7hZfVAqnjeszDD/Gzs6Fc8jDrM6xH6jk4rnFWO3HP9hMisVzzOWDO1Y -JLBOxfOOM0YZ+zY4puJ5SK0F2g4ma1PxvCSjye5MDUzF85TWI+c6Vcam4nnL -tsUarqO5qXgeU+2YRo9nViqe1xzSMNvswNFUPM+ptT60982FVDzvmWnx2XbU -rVQ8Dzpx0YVhdk9T8bzokOaBlolvU/E8qa+91ovTLal43rRex7r8ze9UPI9q -eb9skLxiGp5XbdaS36k3Jg3Psy4/sm2NjW4anned5cZy952VhudhLW+UJydY -p+F52dH1Dx7ucEzD87QFMwwNj3in4XnbrUcOHCwKSMPzuCF7nqpej0nD87p7 -7gm4hJOG53mTPY+2l+1Ow/O+Zys6rW/mp+F54O3tfuHFRWl4XvjJ57bE0zfT -8DxxgvoO94OP0/C88XGTtV2ZtWl4HvmBdvDqsKY0PK8c2vNlk9vPNDzPLD9a -XmeOAgvPO3t/6kpTVmHheehdCuqJTdosPC+dNv2aYsl0Fp6nDppuNT7TgoXn -rdkfxl5YacvC89gxs8tvqrmz8Lx20/CH5m/8WHie+z/Xa+N3h7HwvLdls+l6 -+xQWngefsPvrz79CFp4XNx8qrj91gIXnyad8bhzkWsjC8+Z3d90K+X2FhefR -/dZ2K2XfZeF59XFuMbUmVSw8z/7LY0bFw/9YeN5dT16zZk0bC8/D6x1Z/qex -m4Xn5ZuXVhpuU0zH8/SdBx75MKPT8bx94J/grGCddDyPb+TNkM9G6Xhe/51N -1msPy3Q8z//m9bmX9+zT8bz/kov7Lsz0TMc8APne4s27NqdjXsAhr5Sv0vB0 -zBNY/nb7HPvUdMwbOKswcvEhUTrmETQX/1D8diAd8wo8LG6lmBWmY55B4lSt -I/FX0jHvoDLkjv/1O+mYh8BaFP6o81k65iUEje4p03+XjnkKZ7NNlrq1pGPe -Au+CnHPyr3TMYxi/3aU2fwAb8xo0+k94W6bCxjyHZBLqUqPNxryHFUPdjVoN -2ZgHMdu7Jez3PDbmRVjOdFWRX8bGPIlE+XuD+q9mY97EqeVxDr0b2JhH8STw -Ws2PYDbmVbwseVnQGMfGPAvzIMOLzzPYmHexk6/XcWU3G/MwDP6YrM86zMa8 -jKygl53bz7IxT8PGeWfh4hI25m2ovPkbq/yAjXkcd75eXlfzgo15HYfH+7oc -aGBjnsev01ft3b+xMe8jNyJi2fAeNuaBRDats7wxiIN5Ia3D7KduUeVgnkjS -9jEDhk7kYN6IiXbhg4LpHMwjGXPsxzZrcw7mlVz480v63IaDeSYCwXX7tas4 -mHeyV8sh6sN6DuahnHnzMHBjEAfzUv7NXjO5NoaDeSqC7ul5DmwO5q3sMU2p -vrqDg3ksikZZ17VyOZjX8mMrcUo6ycE8lz87XIWvr3Aw76UksTR46h0O5sHM -n+TTEvWUg3kxPoKbcjfqOJgn41GzquDPFw7mzfyacbbe9DsH82jmX/Ld5y+X -gXk1xzJVX+0eloF5NoW3AiTX1TMw72a8p8btGt0MzMPJmdmwqcMoA/NyfvVy -ohQWZGCeziGPt99G2GZg3s7g3eShqmsG5vFMeK7Sb9TGDMzrOdVSvnNIcAbm -+ZRGPI/qicnAvB/1U2aFn9MzMA/oSLiC3kNJBuYFPXVZ8flYTgbmCSlsMP4c -X5CBeUPKy2u07S9lYB7RPotoyaiyDMwrSmi1mfWyIgPzjJzZQjnJ6wzMO3pw -OPvn4o8ZmIdksOzOCOm3DMxLulYfuGxvdwbmKZno/ts3ZyAX85bMZ33rfaLM -xTymJ5uvRW8Yz8W8prJNjT1fp3Axz8lgxADuttlczHv6EH1WrcWKi3lQvQ3p -xzfYczEvasllE6OnblzMkzoevb1ojg8X86bKy39M2hvMxTyqQHshi4nhYl7V -8NIBTxalczHPyq7C7J9QzMW8q+16A0ZW7ediHtbfLR69Sse5mJdlqKH3YMl5 -LuZpRR7bsjm8lIt5W5qOeq/23+diHtfVaUGjSqq4mNdVPnGVxou3XMzzmvnm -d+PnJi7mfX26yI1kOrmYB7bpqdHtzn9czAvb0DntYfvgTMwTWygu5XxSzcS8 -sSfnp3c9187EPLKb8tVjrk7NxLwy7yuKH7JMMzHPbILExHWbdSbmnbk9Lwuy -tM/EPLTrcybrDXLLxLw0faYh7sGGTMxTM8uOC0gLzMS8NcY4mZkdlYl5bOFq -GYPfp2RiXlvBH+MLLH4m5rkxH/S/aGdlYt5bncrYY5fyMjEPrl71ZtPCU5mY -F9fv5Ouz9y9nYp7clbszvi8ty8S8uYjU3ZdLH2ViHt1O7Q/t015mYl7dv9+/ -Du36LxPz7Aal3L7d2ZyJeXeTZhi5OnzPxDy86xyL1Qf/ZWJeXveQxtJGRR7m -6R0ymSeYMoqHeXuPt9oQn/E8zONr6J28ao8+D/P6Vk79s6TMmId5fqxf33Z8 -tOBh3l/hCiOz3qU8zAM8U/PLeJQzD/MCh6hkJmuv4WGeYOlUg/G6fjzMG9Qf -YaA4cRsP8wgHer1fMCaGh3mFnqvOlvRP42Geofd/v5Oa+TzMO0xKNWbf38PD -PERX54qKg4d4mJd4tH2Jc1AhD/MUV2bqjDS5yMO8xYh7nYodpTzMY3R6YDu3 -8B4P8xr9Jt464PGMh3mOCU/+GMnV8jDv8erMEz8OfeRhHmS+fNgXszYe5kUu -cxuj8OAnD/MknUSrbZ3l+Jg3qbHy+6Vng/mYR/kf7+4S21F8zKus8jrw89o4 -PuZZLtd0ezBpMh/zLhvta4pZM/mYh3l/z8g79XP5mJd5mXz7Mn0RH/M0PQqC -daPt+Zi3GWolirq6mo95nCrJHvXStXzM6/zPr26VzhY+5nk6tRjWOYTwMe/z -e7+AkG2xfMwDJfwrytw0PuaFujguuJHN52OeqN2PmaFHdvMxb3T/wPvTjh3k -Yx5pff3CltzjfMwrPZPXeEZyjo95pkMX9QuLu8rHvNNroU2m3rf4mIeav7+z -y/QRH/NSrevSrwyq5mOe6rDe/iHP6/iYt9oe/d+kXZ/4mMd61l1Q6dDGx7zW -AFvOtn8/+JjnOobvpFDwj495rz8aQ4S2gwSYBzvxTKzixxECzIsdcqY3PEJd -gHmyA2YeevJ3ggDzZl+0dY9JNhBgHu2CkaX2v4wFmFe7b9LOwK3mAsyzDRxp -Elm1SIB5t4O0vLaY2AswD3fSh8fWglUCzMtNsXKRe+slwDzdPeuKj+r5CTBv -d86JF4abgwSYx2t9PX1vboQA83qdAgs/PkkQYJ5v5PWpI7vSBZj321b5U1NN -KMA84Pct8gNn7hFgXvCkCJtKy4MCzBP+WXM3xOaYAPOG6w6y2peeEWAesesy -8fIFlwWYV1y6rjvO8IYA84xHb3nLHlUuwLzjxtdu/h2PBZiHPFKNP/HhCwHm -JV8JO1q0t16AecpjNKtV130SYN5y9LgVK8a1CjCPWcXT0bOqU4B5zfIs9bkp -PQLMczYa09mk11+Iec+rVk4LvDNEiHnQH2er3vRQEWJetHr4wIbPY4WYJ90e -vqE6YKIQ86an2XF2f5kixDzqjWcbdLyNhJhXPeB3Q8oDMyHmWceN+3PS0EqI -eddTDp08zLURYh727j3BfvUrhJiXnZDzqEPfVYh52r+qHBwCvYWYt33wRXrI -cV8h5nEXXlRcXxMgxLzuKZ3hagphQszznpa6JXtyrBDzvn8UZX6yThFiHriZ -KefXygwh5oXbaii99BYJMU98a9nr2HV7hJg3Lvcqt9E9R4h55I0uupq2R4SY -V34meIbarJNCzDPvOMStVTkvxLzzk1n9N7UUCzEPPdk48krJTSHmpeskXH3C -KhdinvrFgjNnFj8WYt662hDzVT1VQsxj91NaTk7WCDGv3X7efanLeyHmuadp -nf7KNAox793UmynMaBNiHnzSnp0zx3wXYl58XVBWfE63EPPkC5MURBryIsyb -L/D6ulkySIR59AmsNQP/DRdhXr3p+qAgX1UR5tm/tZifXaYhwrz7QUtbBKMn -ijAPf13yoWU++iLMy190h/Xw+HQR5ulvKL6v8tFEhHn7zhWHdNTMRZjHbz5r -6Z9F1iLM6497+yNns40I8/wdor8PSHMQYd5/9Fqu2Z6VIuwH0D/nr3Gehwj7 -BbR8Ofojf50I+wnUZFenHfQTYb+B/wo+1ogDRNiPYMExk57YEBH2K9C5OPmL -V5QI+xlEs3VzTRNE2O/guEf2hEFpIuyHENr2Y9uzDBH2S7jDOpe5QyjCfgrp -L61C7XaJsN9CnLLe5J59IuzHMOlVQ8GRXBH2a8g2/Pt78VER9nNIZPXTqC8U -Yb+HusLUwUHnRNgPQuOR0sPOSyLsF8H4LfIMvy7CfhKrHa5d/0pE2G8iLlf1 -m2e5CPtRPC773Vn2SIT9KqRrVCq1n4mwn8VDl2FxUS9F2O8i6XZ2z91aEfbD -yPgbsnLoexH2y7iR6pBs+1mE/TSCu76mJX8VYb+NbdNGrDvTLsJ+HBZDUpSr -v4uwX4fKAcVc5rcI+3mM6YlWGNgrwn4f4R6Hl45SEGM/EG6bl4/6YDH2Cxnz -J81rtJIY+4kEPfhhPGSUGPuN5O7e2/hTTYz9SEoOOMXVjRNjv5Jhwwd/K54o -xn4mjoMuLhJMFmO/k1+7rGM9pomxH0pSfsHOcUZi7JeS179R/Ga2GPupXPDr -ChXME2O/Fandf/PmWoqxH8sLpaNNdYvE2K/F/7VTcvQyMfZzKdzD/BviIMZ+ -Lx979/pnOYuxH4yq0OvGWFcx9otZGbL6325PMfaTmbv7kKHiOjH2m3HKWmsb -7iPGfjSs2xdcX20WY7+afUr3VxoHirGfTe7oewvTt4ux303oqP90noaLsR/O -nPdLupVjxNgv533L9HsOCWLsp3N53gtucooY++288opcfDJdjP14nm9b2fWY -K8Z+PYaji459EYixn09v2CunbokY+/1kGih3KewRYz+gipXncgZli7Ff0JTE -/ov6HRRjP6EBJ3ybvueJsd9QuLnBjv+OirEfUbXi+QW3C8TYryjDxqs957QY -+xkd4vBPbC8SY78j4ZWCLeaXxNgP6b+x443/FouxX9L3pW4DrpaIsZ/SjfHl -nwOIGPstJVe+rR59R4z9mHbfU3t+9Z4Y+zWZlbS9W/VIjP2cHPa//ddYKcZ+ -TzP5/rPCnouxH1Rms0r8jxdi7Bc1aaFfbcgbMfaTKrX94vKpToz9plo/GHx0 -+k+M/ah6R9wQX/wgxn5Vrzpne45oFGM/q+rHUxb6Noux39V1ZpbtuVYx9sMq -eMCEdbaLsV9W9+N+ZTM7xdhPyyZ12Cy/n2Lst+UUcumR5LcY+3HJTTnKv/xH -jP26tphyo6p6xdjPa91I7r1weQn2+zozO9q/u58E+4E1WbdOTFSQYL+w75vu -dPYMkGA/MQ+72ndRgyTYb8xOceSnNkUJ9iO7PG2pwsYhEuxXtrTIy/rZUAn2 -M4vqnZNtMVyC/c6OfCsZcURJgv3QVG88OzpwpAT7pSmrrPXcrCzBfmq/um1n -3FaRYL81p+ZUXQ1VCfZjqy9i5m8bLcF+baVsVszNMRLs55bWPeXNEHUJ9ntz -+PfMe9VYCfaD+1QbNGifhgT7xdXPan/zRlOC/eQu9NpXjR4vwX5z7eKY745a -EuxH58AOtE7XlmC/uuqqccWXJ0iwn93QErbnx4kS7Hc3/knelOE6EuyH9/fL -Vj0TXQn2y9s3452zq54E++n9HfHnVPhkCfbbE1rfMRPpS7Af37Rrs38cnSLB -fn3Bl1d+LjaQYD+/J+cnDL4/VYL9/j5uPbKxapoE+wFKXr1vrzGUYL/AhYbv -L72dLsF+ghNqCs+/nSHBfoOrWcu+1MyUYD9C00F33aqMJNivcEfkNPn7xhLs -Z9iszP9SPEuC/Q7POEuHHDORYD9E1svgINFsCfZL5IQqD48wlWA/RfHEb22u -cyTYbzF6mNrI2WYS7Mfom5oXoTRXgv0aFX8eGveZjmX9HLsVDAdfnSfBfo8/ -A70tMswl2A/SaIjdpZUWEuwXmf9OKVJjvgT7SXoNvJf0jo5l/Sarr/OrchdI -sB+llXNiqJelBPtVNphc81a1kmA/S7+v6/Y8pGNZv0sjJfG4eGsJ9sO8syKw -c8pCCfbLHBZAdzx0LOunWTH70u7YRRLst9ko2rpx3GIJ9uN8stk7rYSOZf06 -3125+MttiQT7eZay9pZ/o2NZv89rtUZf05ZKsB+o+bPCLaNsJNgvdHzSdKs8 -Opb1Ex3frynUYJkE+40uSBgof46OZf1IZw4vbjNaLsF+pfdeTJl3jo5l/Uw9 -Gf4nA1sJ9js1j1WW5tGxrB+qFqtlvaqdBPul7tJYMSudjmX9VEMXB2xl6FjW -b9W2K3qgp70E+7F+0Dk95CYdy/q1nihcEK3tIMF+rrpr/FYk0rGs32v8Tw/R -azqW9YNtmO5qMWOFBPvFjs3Z5ZpKx7J+ssx9p3fP6FjWb7Zk4r1X4xwl2I/2 -jfxs6010LOtXO+3Ea82TdCzrZ1tgygS00LGs3+3jSzdmGDhJsB/uNKVUf186 -lvXLlQ5NGLufjmX9dLe6SxdV0rGs3y4vWrfxDx3L+vEmPPVUMHCWYL9e/YOv -cl3oWNbP90bX+ytRdCzr92v7hKzYR8eyfsCWjdf9rtCxrF+wYq3G32d0LOsn -nKNmoN5Ex7J+w8P/m1ncQ8eyfsTaC+PqhrpIsF9x3fjVHHU6lvUznqoz5NpE -Opb1O/avaI7Qp2NZP2R574UlBnQs65c85NN64RQ6lvVTrjff06pDx7J+yxf/ -Gddq0rGsH7P9rgz3kXQs69ecNKFpkzwdy/o5N0mOD2ynn1fW75kjGWNRQ8ey -ftBefIFCGR3L+kWrnl7rd4SOZf2kT9f+58WiY1m/6dElW1vX07GsH/X7UyvV -5tGxrF/1h7sdb5XoWNbPuvFl/sIG+nvJ+l2v2lS6uIiOZf2w35zN/hxPx7J+ -2dr5e6YsoWNZP+2YhqFKg+lY1m9bUOax9xG9nmT9uJUW15Rz6VjWrztQs2X/ -YjqW9fNmFbaq9dDrV9bv2+P3fMtzdCzrB/74lsWIDXQs6xfu4msrGE7Hsn7i -xxvvXSmm94us37i4Z7RkHR3L+pHv7No3th8dy/qVF724sDqf3o+yfua6RvcX -WdGxrN+5rq355zf0fpb1Q9/fG7JgOx3L+qW3VD1z6EfHsn7qxe+J6k46X8j6 -rWd/PJqlRceyfuyM8ZfqE3S+kfVrzyv9VjmdjmX93OuG63KK6Hwl6/fetIz5 -O5OOZf3gm3VuzDlN5ztZv/iTzHdjPTqW9ZPfvKOfdD+dL2X95vcmuEQOp2NZ -P/rb11eUJdD5VdavfvFn3+omOh/L+tlr68kXudCxrN/9C+Nd7sV0Pu/zcPT+ -cOZWjKXjPg9H9+MuTsNj6fzf5+GkxETirvWSrhd9Ho7+Pp0j5WbQcZ+Ho+tt -U8tFFl1f+jwcrYc3eVq8outRn4eTkuWNj/bq0XGfh6P1Z+CFR6F0/erzcFLy -Iy7t5XW6vvV5OCmp1Tx7TY6O+zyclPx7XRCzmK6PfR6O3j/XGkex6PrZ5+Gk -ZKBdvYDQ9bXPw0nJhh3/Pv2i62+fh5OSo6p3xs+k4z4PR+vLF4fm+tD1u8/D -SYmP41CznXR97/NwtH6OStAgdP3v83BS0lka8KmJ1gd9Ho7WQwMds0bQcZ+H -o+vb+VxjE1pP9Hk4Ol+KXxavovVGn4ej12dj4NRQWo/0eTgpUQit4/FpvdLn -4eh8via3Np/WM30eTkruW8/ULKb1Tp+Ho/tro8GOD2g91Ofh6Hp47ETEK1ov -9Xk4ul/M5EgaaD3V5+Ho/WEy6Ugzrbf6PBydbzd3nflG67E+D0fvJ0fWBYbW -a30eTkrCWIsvtNN6rs/D0f27wpWzLbTe6/NwtH428yz8ROvBPg9H6+OWU0dq -ab3Y5+Ho/s9l+qFKWk/2eTg6f/Vfe/DGJAl4OCk5/Pv6oZO0/uzzcLS+2Xn/ -xG5an/Z5OLo/E/Qvjqf1a5+Hk5LYgcyT9bS+7fNw9PpPUpJa0/q3z8PR9enZ -ey3tcRLwcFLSYdbs2U3r5z4PJyVZtiePVNH6us/DSYl71/G/J2j93efh6H5S -6LM1Tk0CHk5Kys5taLKl9Xqfh6PX/1q9hDG0nu/zcPTzN8+e/H6UBDyclDyT -U206TvcDfR6Orp9Hlt0JoPuFPg9H6xs912vT6H6iz8P9X//y2xXNdL/R5+Ho -fE1U/xyl+5E+D0evR61q+7XDJODhpGRZC6tUhe5f+jwc3R8qn3UqHywBD0d/ -n8o3AyPp/qfPw9Hv4zj/40S6P+rzcPT6jHD8UkH3T30ejq5fHoWjwun+qs/D -Sck4ohug1l8CHo7WU+tsW6/S/Vmfh5OSctO8A+5yEvBwUnJWY1Nn418xeDgp -SfwyauTYHjF4OPp7J2yQLP0lBg9H/33D10cH/RCDh6PzpYfuA2GHGDyclFTl -l7ML6X6zz8NJyaPLHhcJ3Y/2eTi6HrzrXPWM7lf7PBy9v1rPBNTR/Wyfh5MS -1xm53e8/isHDSYlbSP3Ahvdi8HD09+xJ2Vn3Vgwejn5fu4/mPasVg4ej63Pk -htllr8Xg4Wj9uOqJ80m6/+7zcHS9clX8LaL78z4PR/dX6gZG256IwcNJiXqh -/e9lFWLwcFKync13H/dADB7u//rpKji23BWDh6P/vfnV/126JQYPR6+PWaoj -Y2+KwcPR+7ngU4NZiRg8HN1PGPl4SIvF4OHo/v7uyahjl8Tg4Wg9eqfeavV5 -MXg4ul9rGVP894wYPBzdj6+L+e/wSTF4OLo/2D+h1PqEGDwc3c8nznSuPSIG -D0fnH6vy3G15YvBwdD6J6Hfqb44YPJyUTB+oGJORLQYPR9cDsy7FYVli8HB0 -/siVbuDvFIOHk5LkyWNZA8Ri8HB0/RsqCYvji8HDSYlzXcysrxli8HC0/gn5 -Wb46XQwejtbfndOMrqeIwcPR+qJmfoRGohg8HL3/3jnsiYwVg4ejv9/QlKyK -SDF4OHr/nOqOGxcmBg8nJVtcGpb6bxODh6O/R7VH99kAMXg4uv+05RxgNovB -w9H6dZjYcLqvGDyclDy4sK/Ib70YPJyUbFN5OHWvlxg8nJT8trDJvesuBg9H -75e4OUptq8Tg4aTk/MqyxJHOYvBw9PtJHsLMcBCDh6P75Q4L/+XLxeDhpGTK -8/hm7yVi8HBSMidCGhVkLQYPR/efm+6Oip4vBg9H6/t14+4kzBWDh6P1y7KJ -3MTZYvBwUuI0p2dTrJEYPBz9/gOq1ocYisHD0f++49MYnyli8HB0/xKpcclJ -VwweTkrU9terzp0gBg9H68EDlrma48Tg4aTkUuLWVd1qYvBwdH+zhWP2YpQY -PBy93/NurigcIQYPR9fjHRbZsUPF4OGkZH3Z5PFLB4nBw9H771lh/dD+YvBw -dD5J/VZb+U8EHo7Oz6e1NPndIvBwdP5d7Je3+KcIPBzdnzg3B3dJReDhpGTE -99ec420i8HBSsslnzTfnZhF4OFqvfNx/4ucnEXg4Wr89v3N573sReDgpMdzb -qzm7XgQeTkp0zsa8rXgtAg9Hr99cz7/rqkXg4ejn/fM4qe2JCDwcrYe3/PWL -fiQCD0fv38zRF/+Ui8DD0XpB1Too8ZYIPJyUnLl1YtfvUhF4OPp9OEcbhl4V -gYej++30mnmfLorAw9H5Ue/3zZXnRODh6Pf/R46UnhSBh5OStirNBZOOi8DD -0f1wWMR81mEReDgpmZxvWvZfjgg8HK0fJvMqzPaJwMPR34fkbOTvEoGHo9fH -5H3sWpEIPBy9n0acMNfjicDD0flN9zsrkC0CD0fvh0En/c6kiMDD0XrZsfO/ -r/Ei8HBSMpLd/UsnWgQeTko26n+94BEmAg9H/31HW0dmBovAw0nJbG/DMZf9 -ReDh6HyXU11e7ysCD0fXt7xhOnLrReDh6N+3Q95Ye40IPByt/1n1HfNcReDh -6P4t4n6gs7MIPBz9fu1bc33sReDh6P7rTYQ4xEYEHo7ud5/xrOMWisDD0fn1 -ifvl5Pki8HB0PnIZIE0xE4GHo9dXSenPxFki8HB0fnM58TBqugg8HN3vzv0W -FDhFBB6O7o/Cr3/00hGBh5MSu8bZxsu1RODh6HruzPEwGisCD0f/HqfX3qqq -IvBwUjLRw3nhdyUReDhaX5WOHfxssAg8HL0fjnsVn1AQgYej++HRlk7xvULw -cLSe/tBUbdctBA8nJfyrrOVjfgjBw9HrbeycorftQvBwdD701Fc6/FUIHo7W -3weTNm34LAQPR+fTep9rmu+F4OHo713fPay6Vggeju7nZwX7cl4KwcPR9cz6 -PTF9JgQPR+uvOXF6DY+E4OHo/Ke0cS+3XAgeTkquCK6rG5YJwcPR9cnq9OmK -60LwcHR/Fe/ltuWyEDyclLSvb1PvPScED0evtyecrp0nheDhaL243eHHpGNC -8HBS8vKsz+hzh4Tg4eh+q6Rtjdl+IXg4+ntwlB+W7BaCh6PXyy2pz3yxEDwc -vX/fnp92LVMIHo5+X0MiJ89KF4KHo9fLnHVuJ5KE4OHo/DFUclM9Vggejn7f -7YY+nHAheDhaHyu4L2GCheDhpOSrjpG/m78QPJyUGDXUPLvqIwQPR3/vjMhU -tbVC8HBS0j1GNzHMXQgeTkqGLB94/6GLEDyclAzjzPcZ7yAED0fvb6bRJdhG -CB6O7j899bKvWQvBw9H1ZamaRT8LIXg4KfFrqjNfZioED0frd/2dB7gzheDh -pOTGHo8N9wyE4OHo7xexQiCnKwQPR+efC6JJZlpC8HD0+2ueNzlAXQgeTkre -rAvan60iBA9Hf0/5xWnlw4Tg4ej6Flj7vm2gEDwc/b3qHK+pyAvBw9F60aJU -aXaPADwcXY/VLT+5/BCAh6P3z4n2+UHtAvBwdD4mLZqsZgF4OPr9vnNIz/oo -AA9H18+J02OPvxWAh5OSn7bH/154LQAPR/fj156rlj4XgIeTkqchD27dqhCA -h6O/v/KRQXfLBeDh6Lgmtvk2EYCHo/ubo34BN68JwMPReqgtTnjlogA8HF3/ -y6tdT50RgIej989b9r2cEwLwcHQ9TDzxgXdYAB5OSjQzHM9EHhCAh5MS+QiJ -wdo9AvBwtN5zTVuzUCwADyclrc/nLp6UKQAPJyWj9R809qYJwMPR+XXykuW1 -CQLwcHR9m10WcD5KAB6O1pt2Ti7sEAF4OFpPdPTvdQ0QgIej68kyJkrHTwAe -TkqMu/RK29YKwMPR/cP8K88vuQvAw0nJng/nr8e4CMDDScl/Cw3i5tkLwMPR -+cfacFTXEgF4OLq/d37EPW8pAA9H9wfbVd75zxWAh5OSsfqjxoyfJQAPR9eT -zFqTJ9ME4OHoemMcZZ6gJwAPR3+/xZ2G+toC8HD0+glap/RUXQAejtbTb+80 -hKsIwMNJSY/TzJOjhwnAw0nJN7nTAZcGCMDD0frpwpLJzr0yDyclGqf6v2/6 -JfNwtN690LE/qUPm4aSkUFVzjXKrzMNJSXUOe8LhzzIPx5Cx+8yl0/+TeTiG -aFUvfFr8RubhGJKTnX/Dskrm4Rhy/LIvuV0h83AMadzGf724XObhGNKQqT34 -9k2Zh2OI2TI99wVXZR6OIV99Dt+9fF7m4RhycNS+1dNOyTwcQ94NHj4k96jM -wzHEf3v/puG5Mg/HkKDGRGncXj54OIa85iYZfJbwwcPRz/tl4C4HHh88HEO6 -B6sbn2fxwcMx5HHQhYGjEvng4RhSKf9qTFgUHzwc/XtmpPk92c4HD8eQxMrL -Hfpb+eDhGFJmsP1ukg8fPBxDOuRP11d58cHDMaSUvdVS15UPHo7+865HW8Mc -+eDhGLIv1ePrzWV88HAMuZ+Zaq64kA8ejiHB3hrvHc354OHo9+U6/t1OEz54 -OIa0WqWbvTDkg4djyF3n5e0qk/ng4ejnm+qj6KTNBw/HkNi6h2yuOh88HEOM -6qICiDIfPBxDJg/bcK1zCB88HEO+XYuP1FPgg4djyJN95PDqvzzwcAxRLZ+w -MPUnDzwcQ0Jv73I71c4DD8cQ9/hhn6uaeODhGLLaOqm9q4EHHo4h2+/XxWjU -8cDDMYQ9TS3d/AUPPBxDTrRMVPWo5IGHY0jS19Zp4fd44OEYcizY/wmf8MDD -MURpfPq/w1d54OEYsr7fTHL5PA88HEO8uO6j7p/kgYdjiHVcy9+XR3jg4Rgy -rf+b1A85PPBwDEk5Mzi/dQ8PPBxDbH2CNn4X8cDD0f++f8vt3xk88HAM0dsU -+vBPCg88HEMsPJui/8bywMMxJDd/3vOeMB54OIZc6l7xpiuQBx6OIX8jh0uk -fjzwcAyJY63vaVrLAw/HEM3WqePfufHAw9HPW+X665kTDzwcQ64XlYtvLeeB -h2PIMK/1jecW8sDDMWTQn4G9B8x54OEYcmh0Xj3bhAcejiHLU4anBRvywMPR -+y3AuGOlHg88HENuubfNnKPFAw/HkKYJkyzV1Hjg4RhypeOc9s8RPPBwDLm6 -Iup1lSIPPBxDCgas9j8jzwMPx5Baa/2X7O5M8HD0n4+unODdmQkejiGGETqO -Rq2Z4OHo3+syYEO/z5ng4RgyOs7Rs+ptJng4hpifrJmf9yoTPBxDHFtThgc9 -zQQPR+ej7PFPTB9kgoej88saburfskzwcAz5o5s99c61TPBwDFlkof+YcyET -PBy9f6cP3rr8VCZ4OHp/v1ZVUDyaCR6OIVUbtfPKczLBw9H5xfKvVeqeTPBw -DIkyTGs0F2WCh2PIy1mpWR2cTPBw9Ps58nhlQXImeDiGNI+do+UdkwkejiEO -saKe4aGZ4OEYcqftWNuNrZng4ej89tW+K9AnEzwcQz5Oc9JU98oED8eQmRcS -vW+vygQPR7//8qM3AhwywcMx5OR3yULlpZng4ejvp6zUcnlBJng4hnyf/aXU -Y04meDj6ea83Xvs9IxM8HEMUF7/6tE8/EzwcQ86eT7KeMyETPBxDpk8vePpc -PRM8HEN2amnsCVTOBA/HEKnRgV39h2SCh6Pzj7O0MrtfJng4en2ebrCZ0cMF -D8eQTeeN5G91csHDMeStUn6vSysXPBxDBl78taThExc8HL2fK35Wb3vLBQ/H -kHt3157rfskFD8eQEttvNelPuODhGGK62N9t+H0ueDiG7H7N099NuODh6HwQ -oOo89ioXPBxDth24XZVTxAUPx5BVy1Ze0CrkgodjyChOsjT3MBc8HEMmnhqw -a/x+Lng4hrxfcih7/04ueDi6Xo4bqDiGzwUPR9fj2Z3vxSwueDg6vzzU0ldM -4IKHY0iYi/XbpAgueDh6vcYrDfwexAUPx5C0GVZHt2zigoejv19mxvWatVzw -cAwp+njA3s6NCx6OIZJHM9dcc+SCh2PIgP4/myYv44KHo+sHN697pxUXPBxD -TPjvd/w144KHY8jtsM3nNxtxwcPR9a22wevJFC54OHq97pLfPXsiFzwcne+O -hHtnj+WCh6Pf56LPxX+UueDh6Pz5tl/huiFc8HB0/RkdM4v044KHo9drSbub -Vk8GeDh6/bwaNTahMwM8HEPm5grj37RkgIdjyPO4AakmnzLAw9Hfa5COobA+ -AzwcHY8QJn9+kQEejiH9xc2J8yszwMPR+/fCW4Od5Rng4Rii/Xo4p/FGBng4 -+nkyxu+ZdyUDPBxD8pPPefLPZoCHY0hhwaZXdcczwMMxZLBmw/BphzLAwzFk -zPjb/WL3ZoCHo/frpILicnEGeDj6/VYaz1TmZoCHo/NV659Ar5QM8HD0+4w9 -HnI0JgM8HEP+y3lk1RqSAR6OIZ8KFepnbc0AD0c/n3b7spiNGeDh6HpboMMp -9cwAD8eQV2MtsntdMsDD0c8fXslaaJcBHo4hS96HLk9blAEejs5fF2823zLP -AA/HkIQg2wA5kwzwcPT6OX/88YJpGeDh6PWzMmBUnE4GeDiGeC7XX3hZMwM8 -HK3PLm33aB+VAR6O3q/vX3hNGZYBHo4hcgu+rNigkAEejiE1G+cY7/3DAQ/H -kF1KLoqV3zng4ej9ZVD+Ur6NAx6O1gM3tXNMP3PAwzFkmVzbWv+3HPBwDCle -dnX8/pcc8HAMiVcZ9u5RJQc8HP3f+4cd7i7ngIej9dDHsACDmxzwcAxZkZtl -4X6FAx6O3r9L/dTSz3LAw9H5cbiPXNFxDng4hlQ4jv5dm8sBD8eQKZx+CgP2 -csDDMSR65RmdGWIOeDi6HkTuW+OWwQEPx5C8F0tPJSZzwMPR9TpyjvrRaA54 -OHp/pX098nA7BzwcXX+XNDh/28IBD8eQc1OyJ6ps4ICHY0hAiVjd1IMDHo4h -L04unOvuzAEPR+vV8qmsmOUc8HB0vugu79lnzQEPx5Bwd+HRq3M54OFoPTis -O/m1EQc8HK0vNqdLfkzhgIdjyIgXR96oTOSAh2NI5vsna2aO5YCHY8hUs/ix -9soc8HAM2XB4vNrmwRzwcPT7GDjVLUWeAx6OIW+CRtVk/2aDh2PIo5/2+Rek -bPBw9Pv/vOTSo2Y2eDhaT+3ZpvyhgQ0ejiHKrLmlv2rY4OHoer5EuVipig0e -jq4Pws0Kuo/Y4OFoPTLj2PG5t9ng4RgySWVensN1Nng4hpyyFnauv8AGD8eQ -I/VDj4SdZIOHY4i8rtb59Hw2eDg6P283nJC1nw0ejiF2Jrkdx3eywcPR9f5P -zdRiHhs8HEMeRCytuJfGBg/HkKVrl756GccGD8eQrTsXOH4KY4OHo/fX4B2m -HQFs8HAMWVN1WNTrwwYPR9d31edew7zY4OHo5xmRfEh9FRs8HEMeag311rVn -g4djyKyL1btmLmaDh6P1rdscW3MLNng4hhifFictMWGDh2NIdpDFHMdpbPBw -dD+wdXeYuw4bPBxD9vO/m27QZIOHo///qktp/qPY4OHo/sV1ulfIUDZ4OIbs -tb58K7o/Gzwcrdfe5F9N6kkHD0frnWj7RezOdPBwDMkQ9t/Ib0kHD8cQtzwt -tR0f08HD0evJvn1zVl06eDiGtFnfX3mgOh08HEPm2XTVH6pIBw/HEHWzp4OO -3kkHD0fn7/65L0+UpIOHo/ux8+eXn7qYDh6O/h6e7r5nT6WDh2PIZoM6/fNH -0sHD0fnVl7vv4oF08HAMueFdWHx5Vzp4OIYsTM7IKOang4ejv/+ywCHXWOng -4eh8YJOz6Hp8Ong4ul5ob5xVEp4OHo4h47i9dSWB6eDh6P7arnRhqW86eDiG -DFlUt6nUKx08HEMs1x6yK12VDh6O1nM5dh0l9ung4Wh9oaK1vmRxOng4Wo99 -8tx13SIdPByt92wW7Lhmkg4ejiFWrIFeV6elg4djiEFHR8cVnXTwcAzR/Wfp -dVkzHTwcQ85Pmpx1cVQ6eDiGzGn9cOz80HTwcHS96jorONc/HTwcnd87bzuc -6WGBh2OIIMet6WQnCzwcnW9u520saGGBh6Pr0aLX1499ZIGHo/uJoTN/5tex -wMPR30+zfnReNQs8HP2+UpTHHaxggYdjiLO7/ND9d1jg4Wj98rj+U1YJCzwc -Q35qvji16yILPBwdi8f4SE6xwMPR6yetarDwCAs8HJ3/1pgeyzzAAg9H51dJ -4BzOLhZ4OPp9nMgjaXwWeDiG/JrduyiZxQIPxxCfmqLb8fEs8HD/N3+9s44J -Z4GHY8gOk3MkIpAFHo4hd0PtFob6ssDD0X//65f3g71Y4OHo9Wof5RqwigUe -jtbnZU6tm+1Z4OEY8mwOW+S7mAUejiHi0rmWGyxY4OEY0hnI/+ttwgIPR+eL -oINPPKexwMPR+lgqvOimwwIPxxANi/izqzRZ4OHo75uVdst5FAs8HP2+15Z9 -WzGUBR6O7s87neba92eBh2NIxyP7vOU9aeDhaD24oXKKTWcaeDiGzJ/fVr24 -JQ08HN1fdN4+svBjGng4Wh/pbdpvVZcGHo7ux5Kary2oTgMPxxCFS34K8yvS -wMPR+Yn7Nd78Thp4OIZ8fSCcNK8kDTwcXX8cNnSbXUwDD0fr/59hA8xOpYGH -o/X3pZdL5xxJAw9H65nEvTdMD6SBh6PXs+u9baa70sDDMeTMsu1epvw08HB0 -/grKZZuy0sDD0c//aV27aXwaeDiGKNVf3z8nPA08HENUuCWZZoFp4OHo/T5l -6825vmng4ej1OKDC3NwrDTwcvV/CvsjNX5UGHo4h7hV3VCzt08DDMaQ6yi/M -enEaeDi6H/74eOJiizTwcHQ95vVOsjFJAw9H57O2v9G209KsevP+z8PR9Xzv -g0krdNLAw9H1UnO9notmGng4ut9RK0t1HZUGHo5e30bN89YMTQMPx5Avqq+d -1vdPAw9H6+kEdrlfTyp4OIZk6bXnBHSmgodjiKhm+JuQllTwcPR+86+Nif6Y -Ch6OIVtOOaQm1aWCh2PIYpZXJ7s6FTwcQzbW/HkmrEgFD0ev10N647LupIKH -Y0h55cNXuSWp4OEYcnRzfb+Ci6ng4RhSFeaUf/5UKng4hszor1pcciQVPBxd -v400Ft87kGo1/H8ejtanGi7Ln+9KBQ9Hr6+m4w/q+ang4ej3V6F4r4mVCh6O -zq+/fBb9iE8FD8eQyDN5Vv0iUsHD0f+e0WkyIigVPBytPx9vuzveLxU8HB2/ -euZk6J0KHo7+XgXXfC1Wp4KHo/XT0RH97B1SwcMxZJ/xVUOvJang4RjiWrb3 -c+D8VPBwDOHf2zEjcXYqeDiGjL/FHSw2TAUPR+dLk3Uxh3VTwcPR9a2gI+HS -uFTwcHT/fk5v7APVVPBwtL5seOlYPywVPBxD/tS+15YqpIKHo/thax3RwL8p -4OEY4l8ac2DcjxTwcLSe7i2xNWlLAQ9H18uye/vtPqeAh2PIoBuhEp+3KeDh -6PpVvNsw/mUKeDhaP8cNDN1dmQIeju4HXuSsP1ueAh6Orh8HDLsf3EgBD0c/ -f2Hmok+XU8DDMcS+gWMudzYFPByt56b8/DjueAp4OIZ4BxdYm+emgIdjiO3h -4JUeWSng4eh+t1RVM1qUAh6OIQtuBuZkcWT5cLQeKLB6cyVJlg9HPy/b+9nr -KFk+HK13fYWc39tk+XB0P+qe80dziywfjiEusStnW62X5cMx5Gxz9Exfd1k+ -HK1fH1e3ZzjJ8uHo/OJgGH1mmSwfjiE6e23uV1vJ8uEYsv5DY0O3mSwfjiHp -W549mGQky4ej68Wm8kT7KbJ8OLofmZDRL3KCLB+O1h8fXnocUpflwzHk5q+Q -tEcjZflwDLlfPCb1p6IsH45+nph0Nx15WT4cQw7PaFPM70gGD0frWcZ5bO+H -ZPBwdL88oLBhbXUyeDj6/Szz33TzTjJ4OIZcy+49MeFSMng4Wi80vz2dfjQZ -PBzd30wPif66Oxk8HENGb5k4dCU7GTwc3b8cXhNYEplsNf5/Ho4h/Zi0A5M3 -J4OHY8il0N7cXW7J4OFo/bzCJLbfsmTwcAwxvZI8PdwsGTwcrQ8/Lyaf9ZPB -w9HrV/ut8Rr1ZPBwdL24eJz7TDEZPBy9P7t+31v+Owk8HK3fVsxgbjcngYdj -CEc7e5BVbRJ4OLpfbhCOvPEoCTwcnQ9GrFNaUJIEHo5eX8aeCuRUEng4hgx/ -/fjHopwk8HC0Htin0PhAkAQejq6PY+3eOCcmgYej89OAz09rg5PAwzHk00jN -Z5vXJYGHo/VC98z6745J4OEYosXf1EWrPfBwDPHa2aOrZpQEHo7eP19n+p+a -kGS16X8eju43YizvLlROAg9Hv2/9jfNr5ZPAw9H6oe1pdURHIng4+u97VCZU -/pgIHo7OR/e2BBdVJ4KHo+tx589Il7uJ4OHo9RWce/L7pUTwcAxJdRMo7T+W -CB6OIeRt69GFWYng4ejfP+9rSAsnETwcnX9Pno7cG50IHo6uXzFrriz1TwQP -x5DkX2pzfnokgoej9TR70K8C20TwcAxpYNn2rjVPBA/HkJe+fxxHT0sED0f/ -/wfnf32imQgejl5PRboveMMSrcL/5+FofTe1coTt3wTwcAzh5jrkDf6WAB6O -ricni7mP3yWAh2PInh8THu94mgAejs6PxtnBnmUJ4OHo/a42M0TnfAJ4OIY0 -Bra/aj+cAB6OIS1/Ph29uTMBPBxDth1WfytmJYCHY4iH+Y4034gE8HAMsbmw -at+8TQng4Riy66/PRBW3BPBwdD7RKJvQZpMAHo4hh0YGZD8ySwAPxxDtjrWi -k1MSwMPR/XptVj/h2ATwcLQ+ah/+O3RIAng4hjRvuB7h2RMPHo7u//13xCxu -jQcPR7/f5ZxBRm/jwcMxZKQLT1f7STx4ODq/vxFUjSTxViX/83C03lwUrzqg -KB48HP37f1u39eTFg4djyNWo++t/7IgHD8eQ1/N+bpOmxYOHo/XrkwvjmfB4 -8HC0Xn78fpvULx48HK2/qzZu+uEaDx6O7p8FoxX+2MSDh6P3Q1HNigFz48HD -MWSsHG+pskE8eDhaLy+V+6atEQ8ejiGf3VXtjIfGg4ej95t6jvfSP3Hg4ej+ -OixwindbHHg4Ot+sW10U+S4OPBytR99N7trxNA48HK2P5M73FpXFgYej/736 -m4+rzseBh6Pz3V6NjV35ceDh6Pe1UUC0dseBh2PIyojar8vZceDh6Pql9vBz -ZFQceDg6Px/UuHhsSxx4OLof8xO7vvGIAw9H16eXn58Ot4sDD0f//i21ujYW -ceDhGJK0X9891TAOPBy9/v+yt5LxceDh6O879fQ6uRFx4OEYonfPcd4SuTjw -cPT6Sp/whyeNBQ9H14dJVYUvPsSCh2OIfrDK0okvYsHDMcTQQvQspDwWPBz9 -PUMZxztXYsHD/d/39eG2ekEseDj69zYOnhmaHQsejv4eM4dnPebFgodjCE8q -6p6aEAsejtbvq4zWC4JjwcMxpIktucesiwUPR9fLhw4mHs6x4OHoehsoV3B7 -YSx4OHq9lC/RNzKJBQ9H5xfr8xfzdGPBw9H6aWWdk+qYWPBwDJmS5PaPNygW -PBxD7rheuqXwOwY8HF1PY9JzUr/GgIej9cue1Tvk62PAw9H5c0tRfnplDHg4 -Or9cnVg9hMSAh6Pzp4+R3u6iGPBwDJFb4XNgQn4MeDha3wVbzC3aFQMejn6e -hwv+LWLHgIejnz+8uf1NVAx4OFrvS0pHhPnHgIej9ZKLyQalNTHg4Wh90XX/ -4xn7GPBwtN6u7shxXhADHo4hgqVTRT9nxICHo9/XjQ/XDk2IAQ9H93MlokkO -KjHg4Rjy/Unuo57+MeDh6P4uK/XS2R/R4OEYsuj2kQ9+X6LBw9H9k3KIu1ZN -NHg4ej27Oo2uexQNHo4hl4MLtA6URoOHo+uj/fvIdWejwcPR66vDc7xeXjR4 -ODo/hEepte+IBg/HkJmduzeXsqLBw9F//rjKcGFkNHg4Ol+/sBu+cUs0eDiG -PHyRtXWuZzR4OLo+j3DUHWUfDR6Orl/y96yk86Otav7n4RgSarryZtWMaPBw -DAmwX5JfPCEaPByd/zpb2g6pRIOHo+tTR9YRvkI0eDhaH2nuKI/7GQUejn7/ -7rpuwU1R4OEY8jtvn7tvbRR4OIYcHzD7sffjKPBwdHzM/IrnzSjwcHQ/f+mb -2pqiKPBw9PePkXSuzY8CD8eQaQ7r7DftjgIPx5DKnN0TQzhR4OEYcqElOCYp -Jgo8HENaKyetlAREgYej821rc9Ex7yjwcAw5ofAr66ZjFHg4htz9kjywzjoK -PBxDSuMvyPfMigIPxxCFkps8Lb0o8HAMeXrp+ZGlalHg4RgyWzjGKWRwlNXE -/3k4up9KvCLK7YkED0evx+o3fs/aIsHD0b+vM796wPtI8HC03nCb+9ayKhI8 -HL2/+NdZ8XcjwcPR79fM9mHJlUjwcPT3U+1X1FsQCR6Ofr7vcubLDkSCh6P1 -5I31W3cKI8HD0fUyeI51Q3IkeDi6X9Y4UGYSFgkejiFdbYdbM/0iwcPR63vq -2nsf3SLBwzFk7dS3TgttI8HD0flhrRE/3yISPBxDHtn7xg2aEQkejs5fe1K0 -QyZEgoej63GHJKFeJdJq5v88HJ1/Zh3Y5TAgEjwc/TwLjm4u64oAD0evH5Vz -XWZfI8DD0fr2cenyi/UR4OEYsmP/Y69ZTyPAwzHE/UHdrMu3IsDDMeTD1c9P -LS5FgIej633Tx9n3jkeAh2PIv7ZK39XZEeDhaP0fk72ukR8BHo7+vc7m+glJ -EeDhGKK6Jp+MDo0AD0fX1523DC76RoCHo7+nMmfLarcI8HB0vzy4Pu738gjw -cAxRuXdmU75FBHg4Wq8UfTRwmhEBHo5+Plu/h70TIsDDMWSMsarNpVER4OHo -96396nDQwAjwcPT3bea9m/I7HDwcrX+Tlf81tYRbRf/PwzGk95tNvzPvwsHD -0Xpvw8C2iOfh4OEYMkndoMz6bjh4OIbsj+EkjiwOBw9H5+PezsmfCsPBw9H9 -jO3SmyU54eDhGMIMc1i6VxwOHo4hFye8uRGVFg4eju7foosN1kSGg4ej6/Wk -K7yF/uHg4ejf55z7wdArHDwcXV9WzDUZ5xgOHo4hEel2SSMWhoOHY0h5cnb5 -wNnhVjsT1Lfa9LaTKV++DOmnH25VpCN/IeJfO8lN/ekkrxFuVfmouTv/bzuZ -Z5qepTA83KoltGrx8z/txGGQ4/uhcuFWg8eWCHp72smhcSNmqnWGWemXHXk5 -nY6PXUtJn9wYZrV0i0DLu7udnFq05sO8mjArX6XILbzf7WTEfBc7l8dhVqmX -1xZd/dVOIu3G3gwiYVaHvG1+N3a1kx+z/KwEF8KsSvvPXDSajj3qGp6eOxZm -VXtSjb/4ZztZamcd+npfmNUvF7kXoT/aSdyJaXr9BWFWY343jcv73k7+WTi2 -zUoOs5qd93zTk8524hnmXLE5LMxq5bLrZ/90tJPyV2/KcjeFWYV8y++aSsdb -1QRPaz3CrER7+Nae/69F+47n6vvjAB6RrRBRCRFCZWV33/ZK9t57b+1lJJqi -UkmLlBWShqSOUEZbhIakpXLvRdLE7/y+9/75enx9P3e9z/u8T4/nGI2yuYR+ -L7RLhktG6/dmj9LoZu4/IgCSofO9b9c1mkZyz34Xl2omw/A+i0UfKBqdnypS -nFiWDHM0V4aK4iyZlPvAXCoZ5PslqoxJ/Hvl/kcKBJPBJG3mR/wIfh7rktTx -mSQIUBomTn+jUY7ozzyH70mw4/HT7AdfafRv9OuDy5+SoHDDzae/v9Bo+6jI -KomXSXBzcbGUMs6Kpv8aUx8lQW/LvmD3Yfz7hnqbyKYk+BGdUpn5mUZ97s4B -AVeTQEzUd+LKJxrpafVs7ClNAvWb5mvefaTR26M2yKEwCewDV2TNxdk3TMfg -cU4SxPJIPFnzgUb362S/OGYkwb7q6QWx72n06+r+R70bkqDM7XPgySEaPWz5 -NRwclQT3/z0pb39Ho7VBn43GfZPgw/n68clBGlHaPZ27HZOAc22R4TKcuwWJ -o9LmSSA7vjfT5S2Nfr4pPHlTNwmIguRH6QM0imkNf+ulmgS+xj4Sl9/Q6Mhs -8dDpJUmw5bNZwMBrGvnMWaRSKpoEx3PUygRxTgn4puk6JwmurRYfM3iFn79j -MpX7TyI8fz2lH/US14PSJdFbZCKM7fqUcbwf13Pm5pH17xJhruqTB/f6aETP -bxPW6kmEFV035k/00sh+Nce2H+2JsHbLOb+lODfE5Gg2NiZClOzei44vaHQg -olsn+3IiZLUl0Tt7aFTNobvXvSQRSuK99aq6afTKSFJV5UQiNIubpb96TqMe -iz5pzgOJMNio2smHc3FKT/BAaiJMh8wX0+uiUYdV7N87KYmwWGDKJ/wZjfbE -/xw+H5EIBlc+lhx9SqMF6InaQZ9E8PR6TDY/wb9vaNe+1SERNs66oTP2mEZl -os2NsWaJcLT0bKoMzn9PZQqE6CbCFfs97ese0Ug78OM1P9VEePIjUWT7Q3w/ -Qtq3fWUSgTzl5V3xgEZ3dt1ZGiiWCALmpuf7OmkU+vDp5wieRFj+TWVkDs5q -RPSi4ckEsDostnp1B43CmiJU+d4mQJj+vx0h7TTqMlXi1GpLgF2DH+7ntdHo -o5lmcVhNAhRlP5rbdB+v/9PCwkXHEwCtvO5J3aORfBZh/TE1AV73nClajPPk -IWMXzcgE+LM9+6ttK43qKw5p7HFMAEmFRK0tLTTaIX/+4ye9BNB54Lm9tJlG -jheoJHu5BHBNNrnXc5dGNfpDfXf4EiBZSkWYC+c5Nl8X643HQ26TqIdmE41W -FCYYN7yMh+qIv2cDEY3eefRaWLTEw0PhD8M5d2hkwrNrVX9lPHy99lDj9m0a -rTv8dGbD0Xjg9bu29VsjjULMFt5ctCMelnGdaZHCuf7+db/OsHgwq8wStL6F -v4fKbzrdPh6CnBPcNjbQaOU79WRT3XhI/e1xpuQmjcLvlH8SkI2H0+eMP3fV -00jwb6XDAG883LJars6B8w+t7TU3x+KgnxLZsuoGXm/RPrxnXsbBz/w/d/2u -0yhxTrbv/pY4EF/znv/ANRrVPderTr8UB5ofHrg0XKXRce7SmbT8OHDcf/XU -cB2NxlZzuezdGQfxmqc/SuA8GJZacTIiDg70715pcQW/v2kz3muOcVCRFr8p -pZZGG59uie/Tj4N2JY+moss0cpWweMslHwefHgPf0xrcL1Lu+xoIxgHXRmXn -6WoaERMLv2z+EQtLpUUK1XB+Os83q2kgFoxbf7/3rqLR8sqzOiLtseAfM6S2 -9xJe7/N+/Y2ujYVtog823KikkebflL5HJ2Oh4GbdnY8VeP0fWvJYPzMWbgSe -4pmPc23QzEB1XCz08Ox2NC2nkXXiQqEVHrHwvTquILGMRmnLE72vGseCiLv7 -0JlSGqVGTbWbq8TCqilC9dFF3H+23fIeEIuFdSVK6/9eoJGb1DmR9KkYiFk7 -7/ZynH/EnabVPsfAnvFf3J4lNBoXK5589zQGLha8s886TyPuBQXK5xpioNW4 -8/jVYhr5T0RlR5TEwNDnK4NDRTRSKvw1Xy8nBmYdKlwugjNf3LLnIptjYIlO -ZjKcw/dPPGqZCIoBozext+LO0sjDs2N4cG0MeGe6cZ06QyPjjbTZi9UxsFmV -WNd5Gu9fHSK93TIxcKxL8divU3h/+jl9/jVfDFzdMvetIs5zF2dcIr9HQ5fs -LyW3QhrJdASP8wxEA902mLjrJI1G5oRtUm2PBqGEjpu1BTQScrNZ43klGlQl -rnAOnsDrs+KhRc6paLC5fXKtMM7tm8oOP8qKhojQXUeNjtPIquTwMomkaNgt -EPsm+hiNJvLWzIr0iYbzV1wVC/JpZLnYU6HFIhrueq1JaDuK+1HBwSNK6tEw -MEux/scRvJ77dzvkL4yGf6XCHAo4H1H+6CXIHQ0LHX7aOB+mUZaD3dUDdBTo -Tb49nJZHo4LDnoESL6PA/XT7q+pcGiXUnQ8ob42C9ea1Cm8O0chorKXOoiYK -Dn8riBPA2ZbPzv9bQRRcPpxxXT+HRrt1HwSezIyCx/oxMxEHacSl+6jROSEK -RgZdrI8doNFh05cp872jgH+PUV7rfly/4puy35pHgfKqZS/H99Go7avC77pV -UWDxQkheDueQtKDOvIVRELJjMsZhL434xUv/beaOgnSFt1d37MHPFx2bFzUa -CWcftE1VZuPrtSrsC3kVCbeTL1u+zKJR+lcYDr8fCa+kCg7x4rxhuUpVSm0k -/G5K79PZjevRye7l3lORsCAyWi4sE+/vNwSSyrMjYfVcl+gju2iUrNuZ3JUc -Cc7XDevuZuB60/w0yOUfCYl+Cv/odLx/5TY0GttEQg6XkMUSnI3unuHJ0o6E -S5U/Dtql4fq99am5WyYSOp0HXmxNpZHDrPe0mkAkDP++L1O+E6+vY4OHciYj -YE5RTWTvDhoJiy4v+f0uAhSsT9Ry43z3IOfK+EcRYEqn/dHajueFyzUrR+oj -IPBYlFnwNhpBVUJpSkkE7FzjfCB3K43yjXcWcOVGQOEHg547W3A/vCo25+y2 -CLi5X34JuRnX+2AoZRoRAb2aghGLNv9//z3vMuocAT/6J2psNtFo/vZ/OqVE -BIilv/m1aSP+Xv6FBREqEaChfN/k4ga8/wxc3K4hEQEOT6r3da+nUe5J03dc -nBEQt/H4c06cTZtz2t+R4bBfOm2xRgpe3+JlWu394VDWGhkWkEyjzx9K5evv -hcP9GKfqg0k0+iRWUlBbGw4fRA1+3kqk0bkFNceung4Hzoalxl8TaOT8q3fR -3b3hIBsksFcS53onFZXeDeFA8E48s4ynUaFLdfNkUDj41rxeuCEO319z5CcZ -+3DY6n4v5HwsjSp+eh9zNgiHE1NVl57F0Kh5KON5jmI4XC859mMmGn8Pvldn -ukXDoXttKrES5xfZ3r/lZ8JgbDwi2zcK17foj/fbv4XB3JOOT/dF4vlk4mTA -294wWGGiL3UzAvcDMf24ta1hsHZYLvhzOI2WjtQL3b0cBlGH+CvFcXY/NsvU -+HQYZOt8/24WRqNjLeP8HXvD4MKbV0bJoXheaoqP8tkYBi2ZrbvPheD1s97R -/2dwGLxTrXr8OBjPPyGJX085hMF0V/6CqSAazao5L2xnFAaLt+4MVMXZSKzx -AdfyMDCQiyj3CsT18S17aZt4GHi2O4xnB+D9UrNL7AhnGGxM0DO87o/f/87g -c5F0KByVkMv84Ecjb76pdqvXoXDlNt8jUZzdy4Nz1DtC4WnouLiJL+4nvY5j -S6+HAiXwyj/Bh0a/K9PHl5wPBcG6ltLT3ni/fF95WCE3FFS8L40+8KJRaX9G -l9aOULDiyNf/44nnnUPXr9hFh0JY2Y4MZZwvlH/XSvAIhV0O4Q/cPXD/in/v -XmgeCkWT9vN3u9NItFN18TONUECndf3q3GgUYZ+QOU8mFN6Yy15850qjIM11 -uV6CofD3Gy89F+dolyDjS79DQOrImC7hgvez1eb5vJ9DQNfgZVqsM55Xufcf -iu8OAdd3zR0nnXD/ONa2auBuCCTvqRTtcMTzR+iejR41IZC76qjPTwca7c33 -DH15KgSqX2wvWYZz0W/0O3xfCDzcEUa62OPzAL+Z7tSmEPiqYK+TsY5G+0eC -pU+HhQDvQ53Uy3a4fhNPX7J0CQHFFJn2gbW4HsTiPv42DgHzhbwiQjg7Tlm3 -X18ZAsF3R70MbfF5hfuC247FIZAW2V8cZUOjR5fHDq7jD4HTc5u/HbfG/Urj -VJLSr2C4db1C+74VrucoYQ6BT8HQ73dkx4QljXi+VRv9eh4MP7m231+Kc9jP -QQX6bjCIXwqd62SBnz+dq4GuCQYtl3WeqeZ4Xlyx8d/v08Hg9Gd1UZUZja46 -HhoROhAMCUVLvr4ypVH5xIV9qluD4aA1jxY/zi+7BXudI4Ohgqa36ZnQqD9Q -rGuXezC0H+trDTfG+0kj9/Y75sHwac1doXzA82WTWg+nVjBwfSx3byHwPPHv -0RtHuWBYeuDw2bE1NOKoUz1WNjcYjLW2DcvgvH8wc5pvOgj8X4Zo2Bvh9aAr -vmDDSBBsT7fbut0Qz4Ny4oNfXgbBSeXVLRUGeD9vuekV2REE9U+kBfv1adQ7 -qZ05eiMIXmyc48aD81/nawEZF4NgQpo+vVqPRnFK4SPS+UEgcq/3U4guvn5q -gkrLriBYFdu06rAOzrKTUsnJQbBOrHxz02q8/xxYdEslKAhiGvLuUtq4/3BN -C5EOQbA3aCu/NM4fMupFbxJBUMob4rJWCz9/c3BHzooguFez9tQWTRp9MxTR -jF8cBO/dtT+WauD5WLHHzkMgCGZNL175Qh3PR1sapWz/BMKSC9ybuHCOGHl2 -wvJLIBjZUUhzFY22/FzcadcXCN7fX/AGraRRbHdRlW9bIGw+iZwOrcDn5Z1B -ZhuvB8Ixk7KTt9VodOia1/6CC4FwdTj3/TdV3B9/Z+6+fzQQug5tUVuI8+fc -lxpTuwJhVCd4g7UKfj+Z9rlrUgJBeMD2zsbl+P2VPDuXHRwIaru1eC4o04hz -t1PIK6dAsFVb7PhcCc9DafW9eiaBEPGcq4ADZ+V6cuqseiDs3kq+W6WIz+fi -PT3zZAPhvNwLFf9leL60dQjYPzcQ7rbfSTmgQKNFZ1K0ZaYD4G1CaWODPD7f -PH4jaPgmAKYkcrm/LKXRMuP9VtGNAbDozmb7BTjnn5P/cKkwAPTDgo5byNHo -ubb1EOe2APAQtB1MkaXRQN8Rk1jvAFhfp7m8WAbPuxyFHF/0A+Cw96Lkp0tw -bvwmt0UqAC5zcN2alqaRdJ1Q8eLf/vC4bGT2CpwtVTPSn/f5w4hDj53PYnwe -5WlFp+v9gf/n7fy9i/A822Hlu+2EPyifuThwYyGNUN4299jN/mBpcUjpkxSN -VOXbqhI9/SF0ZFPifJx5h/YH7dHzh4wjgTdNJfG89kIhqU7SH84Z2HAmLcC/ -f+nTq7FffnD7ncbasxJ4P7khU2TW7wev9iw8+kgcn0dGFzSV3fSD36tmv/k7 -n0YKv+fpyZ30gwW935ap4FwhbclbtdUPVu/sjvcUw9/HdWSVnY8fuCy7fSNL -FPc/QrN62tAPkh5emHVNBJ8P1IwzWhf7waGUHJv383A/WqRXeXrKF6oWbjos -gnP3zdXK+wZ8ofNuwCuYi/fnMPtfe5EvDEdaK8QL479fmbfw9DlfmDNPI+6U -EI0y++fktKT7gsINqeudgni/G6h1mgr2BVN/zplfAjRaE5MTamvuC4Hc36yU -cF4bdvhexTJf2Hnpea4bP75eVFXKEh5fOOXS2L+Lj0ann7THXRj2gYY/JUuv -8NJIvKztCnT6QF/RwZhBHjxPNGWZkJU+MGm98aowzrvOv5asPugDYqP+U0Zz -8Hm4oEA3I8EHNI5bWcZw4/2kMvtUlJMPOBDqhwq4cL8rjrYM1fKBuI+SfW2z -/7+/z9JOFveB/Qc45CY58f2snAk58tMbyrW+RingPEtRqqet3xvaXnZdcebA -807br91zG73hY/qtv2mz8PmzznRD9BlvmL28xLxmhkK/HfOL+tK8QfbpgYNv -pikk0rWHzzvEG4hNG14I4HxAs6aSsvAG3yX+MgZTFHI2OJp1TNkbtt6zjIz8 -R6GEnbdPOAl4w4nYVbXH/lLIJrhiUIbygutikn9a/1DovWS3L8czL+humGX2 -/TeFJC5WCUzWecF40Jf9cjg/eXv+29QxL5jH19Xt8ItC1gnSfyW3esHKyw3S -O3/i3+vaqmfl5wV2HufDL01SKNRXoniPsRdETe+vefmDQiv0zHXeyHtB9oX1 -v3hxTot0/m7O4wUX7PxMdCcodL1jTy/66gkt3y32hX2n0CfR5e/WPfaEdydX -Pj8yTqGlvbhKaj1hxmTB4uYxCl3NOuR3Pt8TpL/MhI6OUsgnseFh9BZPMMwd -rlqC8/5mSW8LP0/w0n02aUdTqPzAo9laJp6wceAmbKPw/Tf9aNNa5glHdxfv -KScpFKlZVmLF5wlX1PY/6x3B93Pqx/F40gOePk9ZOAfn+2eGz5Y98wBqq2+I -9jcKeaDdDT+ueYDgUotLwV/x9eIefHQ76QEqHSt+5H6hkArnFZmOnR5gnShB -oGEKDTaphjuEeED4gpks8jOFNvcr3Bi28oDMO5+fLMJZLmrXvHw1DygOeypp -+wnf7zalRFcRD0CCN4M2f6RQg+C354qT7vCmrqji4gcKyfAV6wu+coe/3vu+ -d7+n0JTDwrNcTe4gxZliNBtnyauLOOddcAfdcp/dGkMUEpyKCFy5zx3cHM0f -B7yj0NHhhhsBCe6Q8lNtQc4ghZpUO7lLXN0h74x4YONbChmvt7D+q+8ONRbT -ZV8HKFQQ9WJ7mIw7PBz5NCaJc0y1bNEQlzt8PfLEwOoNhWrf3b2S/NUNeA3r -d214je/nrFON+FM3UBw69/D8Kwrdygw6+uCaG5jv3Sve9ZJCSao7Ao4WukGw -erL/LJyn1VWEE9PdIK3Xu3RlP4Veaw+f9o9wgzM7zUZ9+yjk91JdMHCdGzQu -U9Pf30uhsY5N7uu13ODlw/kZN19QKPiKytZCKTf4lTLV+bmHQmK2tet7ZlxB -fNEnMQmcrR3jzJZ+cgWt5se+5t0UCsxpeJ/+0BWcom5cSH5OoQX9lPPEFVdI -mHeOOtdFoQci3jlbClzh4I09uk+eUeiGkuURkTRXqPRPSpt6SiF9qZ/+jeGu -0MHt3aGKs8iPCmrLOlf4fMlU1PsJhc69KgBbbVfgdlX12fOYQitnTdqvWOQK -8n/FSq4/opByxTcpeU5XMC7+N/LhIYWCdIpOq35xAX+bj6vFcPaU1O21fOoC -20cf7TR5QKGUV20t62+4wMnj19sSOilkoRAZeO2MC9QTZ+ed6aDQo1rFCt4s -F3jxMdvrYTuFGv0Fz8THucDEgcTiP234+yxdrP/Z1QVEtb2+KeOcJuayOdnI -BdRfmWh73KdQYniZ5zwFF7DPUNmx+x6FdiYJ9TYJuEDMcrH7da0UKr4UN7rr -uzPsffpXeKgF11NddZHXK2co3fTBYx7OMeaXP5u0OMO9JY/OEc0UUrO0Q0aV -zvD+3rUvsXfx/bS6L7M94gwccWc0C5vw/U6cloje5gwy87O3dSAKHdf+euhU -iDOsuZXQ+vMOhaSEpg++W+sMPsGeQoo4+2kdE9LXdoYtfCburrdxPe2NEji/ -2BmOXV5+NqMR/73c8kwZbme46iE6fPkWhTQM0tZXk07QNf1H/W0Dhbos5/c7 -vHCC0QvvtwjhLPcts3o2cgLhdQ+bDW/i9TkdM9ZR6gRqE1cFouspVJHjd6Y4 -1wlsC0+7nriB18Obz7UHtzhBpGnW6fvX8XoJPaK8P9gJsr7Ef5q4RqHx3qHp -k2udoCTXY5U8zrvlXTRuaztBs67xZqerFHJL8Gkck3aCtwPKd1PrKHRJJu6U -Ho8TTO0W4a++QqGsF98f5Y06wqIVf5xf11KoZ73buql+R9DvHirkx7ll0bjE -thZH8Nj24IPeZQr9WKGgJFDlCBuWXl0RUUOhp47GW6uOOcKRjlMb86txv4jL -FwxOc4TaxN2opQrXe13sS+VoR3iyIJ53/BKFJo/xDXK4OsLIHXcnWZx39pRL -kWscgT8cTtpXUohvw+5dX5UcQVlI+f32Cgr9vfdY6o+II1henadWWU6hs+2h -tbq/HCDU5/f6/jLcL5OqN5996AAZnEO3eXBe+qMxXbXIAc6Vd87RKcX9MaCt -9dUGB7jjWOcQepFCH/1/61+2dYDXPwtPHL6An8c8pb9MxgH+nMl811RCoT4+ -28J7E/YgaRmnQp+n0EH77E1zOu1hNemWIo3z5oDl4TFn7cHlKNG4thj3Ax35 -gIn19pBkqMS9tYhCzam+3kW29nBoaK592Tl8f8drHZJl7aFq769jL85SSPbw -d52IyXXwQP3dWy6c/Q2GedIeroMvvR3KWmcoFC5h29BYvA54Uq8kBZ2m0Nqo -dgvpLetgmWJhw6FTeD9YzVNc5LAOTB/tmn2nkEJ3jM/et1FcB4HrY+1GTlLo -sdiSSvEpO9i5yC1/Ic7PZrituLvt4FTzmgHrAgqFyTzOk6y0g4YoRaVNJyi0 -xWsowyHDDvrmzU28cBz//yHnRSq87GDyxs/658dwfdwLX62sYQfzAwY5OHEu -79/4sYPXDjTndNiq51Oo8pmo1KHBteBYVXvE/yieF27Et22qXwvxridfHzhC -odTkus+7ctfC/r8Zy24dptCqe9OJdZFrobw4Jv5LHp4fXEO855ishTYb1xsL -cF5VNnxyu9Ra+DhqNMsyl0KuK/Yvlxi3hdknltmsP4Tfl77RVFenLciB8OHi -HNy/BIcE687bAnyafPn0IL6f5x4O17fbgt/Bt/IzByi07lLcrddutrBNuz12 -Bc5FF4atFFfZwolXl6/57KfQi5aMb4d5beF6RsH03n0UWs/57dTSIRvoXp5h -Vb+XQl/d7zn33LKB8afRuZ/2UEi0rmJ2Zb4NzNvs0j8f5wae5RfPJ9jAShmj -pWbZFFK3alFHNjZgd18hJimLQu0RYwVT8jYQHSd09exuCoWEy/b5T1vDnvmT -/x5lUuiP9ZV3Q33WcPHWgMW/Xbg/y01f2ltnDa3BbTkqONcIO6q75FjDO77L -vZ4ZFOIw/RIEUdYwc/mEbHY6hR5Oz+jam1uDtGd61LU0vJ7OoLJUGWswnIm6 -8j4V95/D1hXP/1iB10XnvyI4u0Ve1rR9YQWb1hmaG+/E9dvOofe+1gryJ+QP -xu/Az7/T6PKZg1ZQVyj44tR2vJ9vcMvbHmUFz0x/LHmwjUJz7+g8225hBdSX -NxG/t1KI5zAKOytnBYJ59y8r4XzdpdX8w5QlqOjV/Hbbgr/PYeHQtS8twfrt -cdPMzXg+E/S72X3dEsKz0vZf2YT7c22IQdoRS8hcEdU9uJFChy4+eL0u0RKK -u52k5+J8xULr2Jp1ltC0zSB8zQYKrZZf6eugYgkDS+VrYtZTaETYQmEXjyX8 -6xD4VZCCn0di8n3fBwtYmDRh3J5MIa19V3Mdmy1AV/LN3skkvN//GZb5etYC -3NC9LgWcd5iMZV3YYQEp4dWLXBIpZLtT9laGjwXkCR0PTU/Av7cY1abrW0DN -1dSqmnj89y/4A4sXWMAjn8jJN3G43ut0bn34YQ7fOJ1AEGcOkZh6625z4KvQ -32MQSyHTM/fsHl8xByWnpc8iY3B/C/WK25BnDha/+Bcej6bQvdaVc4lEcwg+ -+z34XhSF8lPNlJQdzCHN8nXl90gKdV7ZV6G10hzOkK0TcjinDIwcDBQyh8aj -VWscI/C8+snqfvWIGbw0PJa1M5xCZgsSrJc8NINfQzufXAqj0Iy8Kl9NpRlI -7IuQfBVKobgVBlOB+81AW8MxiA9n82YHKe0YM3Du06vQDaHQsqH5zsprzSAx -Ve57WDCFlGYpn1qjagYHFfmNjgbheZdbeiRFwAwqH41nNgdSyN1lh86Db6bQ -sf7Vo9EA/P3vtyWYPTSFz4taJWRwrrqZeOD1JVPgbrkUsM6fQplzhtKOHTQF -+ej8sm1+FFLk32aSFG8KJiI7x8p9KVR6Mbc51sEUAurDDfp88PNbxP3ao24K -OwIcds3BOfuVY+89EVMonKP3UNsb79/DiS7y302gvkpWPMSLQhPbfwUWdZvA -C1c+/zxPCkUZ8E7AdROY+Dt2EXlQaJ7H9Vkzx01A9PxLmnSn0MBZ4W0DW0xA -3bZFbzHOqttEPQZ8TMB+rDLd1o1C2t/q90yvMYHYE0c7N7tS6GIuNRtkTWAf -7BArdaGQfFV+41lOEyj7FObb40yhjmVZF2U/GsP9g/YXZuN8c/fBa3fbjOG9 -ti6l4UShk7MS3mRUGAPHaxndQEf8fje8Fwk9aAwyu3jTchzw+0oosAxLNIY1 -KmPtjfb4+dRWJ2S5GIPPs36Rb+twPZ50TG3TMYYtm5u9pf6f/bdFKy80huMy -leet7PDzl22wdv4LcO3+kZENa/H8KT/f+EgzwPO47atLbPH776orFtsHMDY/ -bGeXDZ6HXsp5PHcCEG5c1zYL5573EuZdUgBqITrzVlnj+pLWdZw3RIAtv4yX -nxVeb5aiwQcrCIis5Sneb4nnuRr5QJsUArI8R7/etKDQT+hSNzcioGSmT2vY -HO9nOxIbdnAT0Hzx7nYJnOtyjN7/eLwGBtdV3DM3w+/DJDDv0ok1MD1xWDjF -FM9Xc8cqioLXwOJT2zyKTChUIj8q3qO2BgzMQs89Mcb7s59tveVPI/D4avdl -Cij0xqtv0+RdI9iQt1pTDWdI8Tf4cMAIjugt2eZN4Pmx88DHuZ5GUPt2Tuue -NXi92c74b5E3gidZtOANIzwfVK05IEsbArmiz+2jIe5n6becuW8ZgkBP0xkx -nHer9R1XyjaE5dvLP5sY4P3qx4BxtoshWMkfVk/Up9AoF4+WgqwhhHZu3XJG -D++3MSku0yMGkJEU0vxQF89zylrZ4g0GcE7STuCvDoVc1EzqorIN4A7Sdl2O -s0BAZuMfVwN4HS592mM1hdIvPMluX2oAf4TmfNqtTaH+j+9+d43qg+Q1auVV -LdwPBcN/SiF90PHt3TSkifdL0e+hpw/qg+vspqZ5OP+bFlDy89WH5IoyPtDA -1xuTWeqlqg+5TnnOcer4+ZbuM8j7owdVv7YUFq7C9fAlz5W7Uw8enA3+0LES -v9/WaNdrBXrwxXLtil8r8Hr21l5SFKUHPJTWRkWcU9P+5Lfr68Gy/MXIVQ33 -N7h2VpVfD8yMuHl3qVKorc9apvOlLgS9Jx1rVfD7erj71/lKXUjd96Lg7XJ8 -nn+tPPfmdl04rYGGhHDOFXtlyWevCw19papGyhQ61qax66iMLvSl5q6PVsLn -g6LqUu8xHZhU3HL7hCKFtp1Dx31adGD+46A5bcvwPCeDdI7n64DmBluHHwp4 -vn31PUIoUgccF2udkMe57Eeu8B0DHYhvWfTOSR5/zz/XhMqFdOBANJdK2lJc -/5/irR8ProYKETK5Wg7/nurVohVXV0N7fc+t17IUEr4W/e9+9mr4FHCHSwDn -2JEQw5M+q2E2T+k6fRnc75v8TEpXrQa56kPHIpbg73ORc4ycvRrAbfPbfGkK -WV74ohbfpw1+/wKVWxfj9eByrFepShu2nbdJGl+Ez2uDm58vzNCGAlvNBlmc -Q6QoTisPbbgxtnC2w0L8+7FgfUlNG3pOzLbbIUWhy2TxbltObfgOI0crJfHz -BBieluvTgnmfu9/0L6DQRi3JJI1qLViZc1uRF2ePvNXvt2Rqgd3qiwk6EhR6 -5bO954+3FkS/zqkPFcfvf3Xz8qsaWrBn1yaOI/Pxf9/dcfcCrxZcVAm0vSuG -97cdJqlP3mpC6zPrI7QoPl9L3zfTuKEJQ5s1Xkvj3KE/ONqZowmzZBcusxPB -57Od3JGnwjVhSRtn/NZ5FDLZcGLfBUITjOK/XS+b+/9/DxnW/yShCV7i3TMv -hHF/ktL08Kc1YFNjozU3zm99z7QItWtAfsiFPC0hCn3ZZxY4cU4D6vhzXgYJ -4vp4pCAsulUDntVulM8VoFCrrWZ1uIsG0J4BsXf4cf0r28iNq2mA0CzrayN8 -FIo+qmV9dY4GqJaqTy/Eub+lbHbVoDrY2EtZ2fDieXHKTvt1gzpE/ODI3cRD -oeenahtM8tUh89TXvgtzcH2Iu216k6AOxWbP5bq5KVTwt9i2xlYdmr7eiubE -+QrXxPwby9RhIK+kTp2LQtzzcq5PzFKHf3oH//nPxtdzsZZ5Wr8KFg5usDjI -ic/PS6tmLU1cBXrZ/jm3OHA9bwp7NqC0CtxXWvV+mUUhq/pwpzmDK2F9zypZ -SZy5H6YZnzixkvVwJDqg6O9/1Gkl1Pzn4Ui0qGtX9B/+layHI5HZFieFztYV -rIcjUXcix9rZqStYD0ei1rtlpy/or2A9HImWaOm+ujyhxno4Eg25bGpWuKzG -ejgS/coUFuWIVWM9HImEZu/YY6Gsxno4Eu0alf4w9kEVbv/n4UjkHKD0nrtY -lfVwJCK9K8zSA1RZD0citfHDLSHSqqyHI5GqSZls1SsV1sORSGvbAUm/kyqs -hyPRsYnGyBQvFdbDkeik2uHHtKQK6+Hw9XqBp6tvOevhSLT7c/cTqYLlrIcj -Uc4Jw4/3vZazHo5E+pv1ufoXLgfu/zwciYoTE744vFZmPRyJJLq8nFTPKLMe -jkSjvo6/ogOVWQ9HovVrs04KyyuzHo5EVT78E+KflFgPRyKb/vtNaeVKrIcj -UVJpeqN9nBLr4UhUZvu6OFVDifVwJDo77rJKbFKR9XAkEss0kea7pch6OBIV -FfcrBaUpsh6OROca1PgXWSqyHg5/H36lVB1BRdbDkeh7da5JXdcy1sPh+igT -EswrWMZ6OBJxD0sXPAlcxno4Eq3wlj4fr7yM9XC4PkizD3GjCqyHw+/z7AWJ -R/UKrIfD33uX5sT+dAXw+c/DkWjm8XWdClsF1sORSGfo5XGl+QqshyPRKmf5 -Z7MH5FkPR6L946OFRJk86+FI5Po2rbY3WZ71cCRqzxrpfLxGnvVwJFKuW14k -zyfPejgSZRzlJ3u6l7IejkTbO9dEfDy3lPVwJJKJt293ilvKejj8/haVtC80 -WMp6OFxv/zJkjXmWsh6ORKVPBPbc7ZZjPRyJUicNb5wplmM9HIlitpFhvYly -rIcjkaZ079oYfPpkPByJEjwPyHoLy7EejkTeSTsKz7+RZT0cfn87fqZYVcmy -Ho5E5+MUgix2yLIejkS2CwQWnl4ny3o4EtUQh9zsl8iyHo5E/cbvj9YOyLAe -jkQ7J8/6b06XYT0cifZo2W4YVZBhPRyut/o8C6mOJayHw/efOWg4HreE9XAk -ejns3bpVbAnr4UjUeeqt7ZWb0qyHI1HEUx7DE4HSrIcjUbyx0iw1XmnWw5Ho -go0dd9zlxayHI5H5P7jm7bWY9XD4equytk5wLmY9HIlyj/oh/apFrIcj0edO -RC73XMR6OBJ1JQcltM5exHo4ElmaXKnivbyQ9XB4vYq9EZn0Xch6OBLN5mjn -OsC/kPVwJHqQNJ/uqJdiPRzuXytl9GsipFgPRyKNTbPWGi6QYj0ciY6KHjgQ -1ybJejgSmYY5hVlslmQ9HImW7yo0al4uyXo4ErmZJW15/2oB6+FI5OKSn1GW -s4D1cCQ6bJt1Z57pAtbDkejh7Z1HFk1KgNZ/Ho5Ecc4bItorJFgPRyLBrsXn -JAIlWA9Hor41H4q5JSRYD0cipaHJ7qMPxVkPRyK+aKPCll3irIcj0eS4p3ee -oTjr4UhULlu1cer7fNbD4f6q4e7KUzWf9XAk0hZZ6VEdPp/1cCSaSnwwNCY7 -n/Vw+Pr96d7PXomxHo5EH1qD5OyOi7EeDq+HOr2TQS5irIcjUYltnpLIPDHW -w5FoPLlO1fuRKOvhSPQkrYTXaL8o6+FIlBetJHvdRpT1cCQaWHD7eRuvKOvh -SLTuXUlmYrsI6+FIdCTuzZGaPSKw9z8PRyInJ8XgTBsR1sPh9eM125HiF2E9 -HH7e1pbbIw/nsR4Of599RwRSD81jPRyJlgaWF5Q4z2M9HIliZbrafSXmsR6O -RIHE+JN11+ayHg6vV+G8l1wwl/VwJDqdP8f3TYcw6+FIVBm0ROSFmzDr4XA/ -tvjeSg4JwbP/PBz+3nvvz5FPEmI9HIl0TwTdWT9LiPVwuD52nD3+LleQ9XD4 -e1fet4tcKsh6OBLtfbL/OM81AdbD4e/PIWdxx1qA9XAkapZ0fb3vDT/r4Uj0 -ouK6XmwKP+vhcL0ebxAO4ueHt/95OBJtXuA1P7KYj/VwJFIXapxJNeRjPRyu -p8BnWaU9vKyHw+/3vHzAm0Re1sORaIFu5xpZIV7Ww5FINCa4N76Ch/VwuB4c -BQfbrHlYD4fft06UgMrwHNbD4flj5KTg0T1zWA+H6yHF7BiXyhzWw5Fo7YtM -760PuUHpPw9HohQVDoGJBG7Ww+H+XfjSM3E+N+vhSHQnvW6CvMnFejgS9W6v -zokJ5GI9HImijsa/+MzDxXo43A88F2wLujyb9XC4nuesU+31ms16ODz/lGvn -W3HNZj0cni+M14fX1nCyHo5EBR817cR8OVkPR6JTlOT3GD5O1sORyEDckafh -Bgfr4UiUVrhL+184B+vhSBScNLhMYwEH6+FIZOItnuXRPov1cCRKFnWZids6 -i/VwJHq83s4wZcUs1sORqNA47v6D5hmC8XAkWml4GPaqzRCMh8P9jW+75uIT -08SO/zwciQzNS6h07mmC8XAkajHY13xj/RTBeDgSWRjW/W34+I9gPByJ/N9W -fNrj+Y9gPByJRtxnP1ny6C/BeDg8D9W5zWwx+0swHo5EIRqmd4/f+kMwHo5E -N7IS/Tas/kMwHo5EHiq5i+bV/iYYD0eiN+c8TcNW/iYYD4frvW3vguSqXwTj -4fC8Kj3yVmvlL4LxcLgeRJzell7+STAeDr8v2yOeD7R/EoyHw783fuxgYcMk -wXg4Enly2SJx00mC8XB4nsorWaH74AfBeDi8f84tXzDl9oNgPByJNl0IvRU6 -NEEwHg7PM4m0W0ziBPH8Pw+H+8PzWHnhWRME4+Fw/8QndNu87wTj4Uj0d2qO -mbTCd4LxcHi+3HGBN7N+nGA8HIneFxgMb7MfJxgPh+fXCEUpzk9jBOPh8PuZ -7nkmljpGMB4O96uWFqtqqTGC8XAkyq/bVPP42ijBeDgS+VVnGyW7jBKMhyPR -252rzNQ+0gTj4fB66Loqm6BIE4yHw/3zrVxKaTRFMB6ORHJmC04+qSUJxsOR -aK6l6/d3f0cIxsPh50u88bLPeoRgPBzuJ4uhovrEN4LxcHj9CvM3+H37SjAe -jkRNXJ6Bg8ZfCcbDkYiy2zemXvCFYDwcnh+8FJpsJoYJxsPh/cXl4eJlzsME -4+Hw+qxd6377ymeC8XB4nrt/6KagxGeC8XD4e3hX5Alt/0QwHg7PE4ZdSg0f -PhKMh8Pz1rOQFkHHjwTj4fD3vPKufOb2B4LxcHj9rv6x5ODKDwTj4Ui0bU5C -aE3Re8LtPw+H+3m9VUPggvcE4+Fwv/M+5194aIhgPByer/haDnrxDxGMhyPR -oV3yGfnZ7wjGw5Go9lbAehuedwTj4fB6izUuTNg7SDAeDu+f5TdVOYUHCcbD -kciOfFE7ufwtwXg4Es0qNy+rMBogGA9HovDLNQXzXd8QjIcj0fNN4e+UE18T -jIfD3/O9WO+r3FcE4+FINB3b9Fju+kuC8XAkcrd6Lkm/7ScYD4f/PlOMU0+4 -n2A8HJ6XDMqfjxr3EYyHI9GjkiXPhTb3EoyHw/OnfZDprroXBOPh8PlFvSXA -4nsPwXg4Esn2/w2z1u0hKv/zcPj8cmj5gbTUboLxcCQS3tTFPfTgOcF4OBLt -u/KRM2jxc4LxcHje29NYM5bYRTAejkQ/shet3tPxjGA8HH7eUq16ScVnBOPh -8P4Sf977eNZTgvFwJEqXG10/2vOEYDwc3j80/T74X3xMMB6ORGHC0RrBOx8R -jIfDf//xRcaQ30Pi+38eDtefv+2vNvMHBOPhcD28dqn4o9lJMB6ORDxNcRXB -yh0E4+Fw/62e4RxWaCcYD0eiWz2BN5KV2wjGw+H7V3vZ8lXzPsF4OLy/3yqT -1jO/RzAejkR038wVU99WgvFwJBJJEYgZ39pCcPzn4fD7Wze+bNW5ZoLxcLif -W/Y1Dj64SzAeDs+n+YMc9FQTwXg4fB4UVW8y0WkiGA+HzzvvBjalf7lDMB4O -z9dChaGlybcJxsPh+xGfXdfK00gwHg6fj8tP3i0rayAYD4f7F8wMLnW7STAe -Ds+/+SMunEL1BOPh8H6kauIg8fQ6YfOfhyPR107OPyvOXCMYD4friyMkTnLT -VYLxcPh8XNRytcS7jmA8HO4fJrceFVlfIRgPh+fBeqmyAeNagvFweJ4/M7JM -xewywXg4Elnl8OhePFNNMB6ORJx7vp1x7LxEMB4O30+j9Y9R3kqC8XB4v1LN -yFXwLicYD4fnMaPzlXtulxKMhyPR61uR4Q2aFwnGw+H9vdvmnvvNEoLxcPh6 -Qum1fxzPE4yHI5G8rfhv099FBOPh8Pm0fcj+Ye05gvFweL1YWeeHT5whGA9H -ouPXJp8pl5wiGA+H+3PtDwnJ5JME4+Hw/s/nNxbrd4I4+5+HI9GXapv6gLBj -BOPhcL8cpQ8o7z1KMB6ORM8eV3nPtB0mGA+H5/lQ6d5FcnkE4+Hw+pi054pR -OkQwHg6/nwNqdjzEAYLxcCRSrHWs2Zq5l2A8HL7/2dYjmr+zCMbD4fk809zm -9MlMgvFweH1yuAUoRmQQjIcj0XfVvkhDvzSC8XC43j8f0cqd3E4wHg73/523 -dBNTtxCMh8Pr42OgH+m0kWA8HImyZr91eBOdQjAejkQXn/B/nt+WSDAeDp/n -/KMdnt6PJRgPh+vJ7/Vu1y2RBOPh8PcKaF3lczaUYDwcieYnaYVnawYRP/7z -cCR6al1p+MPTl2A8HD6/nxrWLhfyIBgPR6LW+TJrUzY6E4yHw/37TcnF5/PW -EYyHw/MKd3Y3v4wlwXg4vN4CVT6demZM/A8tuXX0 + Rational[13, 4]]))^ + Rational[ + 1, 2]), -3.846938237844188649971065722`6.077318117507377*^-11}, \ +{Rational[-1, 1000] - (Rational[19289664, 2640625] Log[ + Rational[13, 4]] + + Rational[-79152, 105625] (Rational[-1647, 52] + + Rational[732, 25] Log[ + Rational[13, 4]]) + + Rational[-26352, 8125] (Rational[535824, 105625] Log[ + Rational[13, 4]]^2 + (Rational[1464, 169] + + Rational[-38232, 4225] Log[ + Rational[13, 4]]) (Rational[-1647, 52] + + Rational[732, 25] Log[ + Rational[13, 4]]))^Rational[1, 2])^Rational[-1, 2] ( + Rational[732, 325] Log[ + Rational[13, 4]] - (Rational[535824, 105625] Log[ + Rational[13, 4]]^2 + (Rational[1464, 169] + + Rational[-38232, 4225] Log[ + Rational[13, 4]]) (Rational[-1647, 52] + + Rational[732, 25] Log[ + Rational[13, 4]]))^Rational[1, 2]), + 0``26.49226731311519}}, CompressedData[" +1:eJw0mnc4l9//x420aFBRCSkjo9DS4mVGEpKkFBFaEskoihIhIkRlRDbJ3uPY +e++9qXDf95t2Sb/z/Vz37y/X48L7fd/nvM7r9TzX9RA0va1rzsLExGTIzcT0 +v5/PfJgFv7MQSHrZ1NxNnQ3w/yzLvDj9P741tbm3hpVA49nJ3Ncv8YC2vLTf +62UECp+0569p5AWZELXjN9kI9G1G9/YR7e2wgWH099hyAg3WabyW/rwDvqnb +Z61dQaCKMkNHuSxh6I3yvTmKWc9V3c4gbRcU/I7ZkbGSQJecLn13HJKAsDNF +fW6rCMRfYm0cfFQKHr7v8D+7mkBnBxgr9cxl4DLbrJooO4EyHmnm6KruAyUj +ln8/McP2ZdPlcgdAKHdLTj0HgbpmNqpGasnC8vUyt8LWEGjCRMFl2uEwfLqm +LmS1lkD6hzngRf5RqC8zHoB1BHKH8t1hG+QhZatDAOd6Am3ZkXePWVkB/Gyf +n5jAXPnPNvSypyLYNMYyZXMS6LXziNbnPiU4I1yc68FFoFxZUYvXsipw4GGn +lcEGAm1925dhFaUKPD2zwuIbCXRgYprtKo8a/JJiHfqD2XcmUsr1tToMeG4N +atpEoAuqhf9yRTWgeEzm5FtuvB+CJ1U5yk/C2yMnWGx4CJR6rKb5/rVT8Djw +cr7SZgJJDay4wcyrDWZzDtYbt+D3v7hzodlSB46r+olOYz75cB2bqO5p2BUR +N5y7lUBZIdUdT+V0YfWP4pdevATSCv6hzNhzBua0uzQNt+H1ZGc5aiyiB80J +c6y7+QgkJPo9vGPnWUhjXla4hNktNei0pqg+BFzgvdPKj9/nr9aRWulzcDdz +r9g7AbxeX48fO65gAOc4NEZttxPo/l+to1Vnz8Nhc5MQVUECrWCZ2apicwF4 +Sxy1eHYQqOXKyZKyAEP4y+3P9hmz7E/9lXIFF2HkdnxRwU4CMbFEDWR9vARl +tSW2PkIEUpKw2rqL1xiiBbvFjYQJVITOd2iIXwb3+8SYlAiB0F52maW6y3C1 +Y9lrZlECtWt+D06xNIETktt0OjAPbIiwM+AyBUn3fStid+H9zrgkt1RgCmuH +NUrsxQikaXfNKMLiCjAOmtqpi+P3ralTP7TJDNr97klulSCQhbS5ZUO1GWR9 +8p+Yxbxd5/uhc07mEKyY8KZYkkCjg+v5B/ZagOMbdNpvN4Gm3m5/pk9YwIUv +3StN9hAo6M51qjbpKhzTJNFeKfz5R1cUyNy8BvyxbA7LpPHvZ4nz/nuuA/PS +tj3dmNmdPstNfr0OE/r7p+JlCBQxHd4pWXIDqlJPht3bS6Bgyac+171vQvzK +K2dO7sPn88SvjtfnLcHL5P5qvv0EOii7fUuxxC24WfCijMQsOv2vrp3JCk5t +SHQsPUAgdfm7ev29ViBlWSoVcBDXy1YZkY7M28BZ1TN9RZZA/jtM9IfVreEL +HxV+4BCu18Iq8ZP51tBtv/zsisMEeio2WS0lYQN5LXwcfZiRzPGxZxE28GbX +gYqkIwQafmYibbzhDjg/0rzvfJRA3qMhNxK97oBR/xUZrWME+lFz8PgNZltQ +2Of0SUCOQE9qHe5F3beFHT4Bb+cxa5x1LdD6ZgvLphL1K+QJlN1ogmxs7sK0 +XNmal0AgPoct8qupu1Ab3FtpoUAgI3f/OR4rO0imKKdDigSyXhfm+oa0A1/1 +FftWKxHoH9ff1BfW9nA7in9mADP7ncvSf7/Yw+nfB6JSlAn0YN4od9DRAfad +OWXgokKgGtkHv6X+OcCm92brTqsS6GGDROp3D0f4scy5esdxAv3hrPGWXn8P ++i4FPviK+cupEKWhN/egMCdpf7Ua7l8Rrc8WRe5D+Lry2RB1XO9/AnifZ90H +12t9766fINCrwzNxL5SdwLSMcf6oBoFmmJvHl3c6gcrWlZxrThIor0vwCWHu +DCK2ArXDmDezZ4PqL2dY2XjQJU2TQJ8alOrXPH8AM0JaBx+fIpDVxju9x4Ue +QuMDc+KMFoFYMrr4GYUP4UO3c4ywNoHSFOdOrzrrAv5SQYY/MI/s2S4SQLnA +Hc9krjodAi32TohWqbrC2bHyujenCeRxNaDMMcgVZI/0u1rqEkghaW/BxIQr +bAmcl5U/g8+TZdss2/5H8Gd2JbVOj0C9RjbSI08ewZDK9rgxzGNc7Ka3ux8B +Cpe9lHkWr8eSz4WcXY8h6rvWRnd9XA8OA18ynR6Dm7ZFg/45XH+5rSuutTwG +84QHj3cZEIit+5h1+043UGN+efg3ZtnGqeVfHN1A/MJ7RsN5AvnZPI2ta3YD +jsyK+PALuD84dwjrCz8Bkn3A6LYhga642pi8cn4CrWYLmxQv4t9TSzL+nU8g +o3hVE9clAhVyr7OR2+0OQdyCTyYxh26X+PnWwx3sbx86mmNEIJKzPTJ31B0M +arUXnhoTyLzeUeXJUQ84Ing18fxlAsWyehexhXjAtvsPL0uYEOi9jsX40S8e +sNT+kucvZhE+Mz8RnacwJpHS3GxKoMb3LWFVKU+h4kmle+QV3L+2zk5s5vCE +2KGBY3fMCDRkQMptv+kJTw9++aJsTqD9UiueDNR7wnW/1cmbLAh0/Oh5Pw0J +Lzj5SdD0I2Zb6u+hWz5esFvx8Jb8qwQ6F7DsgjLpBeve6LR6X8PfL3+noVHH +G+YXrj69eJ1Aq0cVLVZleUPHSRf5PTfw+ZU1Y/3F8wxyYoK//cPc1YWcQp2f +wau/Ke/bbhJIhU8mgTH2DO7rV12JtsTzTsHb5KeaD1xMHdxqd4tAd5QCXTM+ ++ID8yq9tx60IFPN11TA/ty9sN2H32nybQO9+ROnKPfQFloIdCjOYu/OX5a75 +6AuTXEd+FFoTaI1D24SfznOovnn6g68NgTpkw9MrCp5DQuU1c+M7uJ5aF34m +CPvBMz7XbTK2eF6P6wbACz+4ZR/SwXKXQDY9+/Sf/vUD7ZYP3p2YWxZc1yoc +8AeZXdWKcXYEWubhN7LR0h82PBr66WBPIAcvm9VK0f7wre9r6gkHAvlUnXKq +6feHnr0cV3kdcT+dVuWP5XoB+c928hOY/ZztR/o1XkDo5JGuknsEqvv9Pema +2wt4IKfr43+fQHG5fZZaRS/gcvB1ZVMnvF5zEpw+316AEuX6e58zgQ5nfXMT +lAoAIfVX6WwPCKS45kj62usBsDwq9VoP5u/d35+ciQ6AT7+qBRIf4v7XLjRL +DQVAve5w930XnIfe5bZMbA6E98nffDVdCTRXF84vpRcIz5etUeV/RCDtlNKK +Nr9AsL4ktEhhXvFiWXJDQyDo5hzNLHtMoMzX6qXbVgbBgXVnbgS6Eai8wYKq +VAkCnms3BM2f4HnAvl+o8lEQ/Cp91HvQnUA8vK4aW1EQDGx57bfSg0A54Zu0 +ahaDoPhO2vF+zF0bCrY2HHkJbxtq/iY/xfs9uDtM+N5LeCQ0kvXAk0A3D0iW +dOe+hCsPvt/U9sLve/im5fD3l6DavWanoDeBqkZDA44dDAZRKeH+BcxtzDe2 +zdsFw2rPYy8qn+Hzre387U92MMyNnlEP9iGQncHdVZe+B0Pz4Zv/rvrifu5F +Km+UDYG0gMc5h58TSFL8sb+gYwgEzL6+xe5HoMdGZf1P8kPgrkq60BDmQeHD +a+X/hIB+eO3AB3+8fhdsuE/JvYJD30cCXF8Q6Hrm3HC6yyvYqv3jhG4AXh+0 +64xl+StYjF/LLBSI/38m3MiZ7TWMMInkfcPcdA/Njam/hrLzcrdrggj04tmf +T2E+ryE6Q0/k9UsCNWwulE9pfQ3u7JZDN4IJdO+LSR/Xpjdw1cwt6FgIzl/V +WjFN59/AieI3J9e+ItBV7gHvoYg3IMGdwTKKmQMMHZUm38Ca23X56a8JdM2O +32BRLBSomlFrtze4vqqtNrFbh0Lb9p+iZ0MJJMH9ItQqJxSy7q0bEQnD+ylY +1SXyNxSC20WCf2K+d181dZ9KGDhKyJ+qD8f50kdtw8tnYXDhydllYRH/y4N/ +5jQ6wuDYkGXhrbc4P2T6iJ7lDQf+g0/uQCSBbjRv/5B5JRyY/ELFOKNwv0zq +vWnxPhzGP2aMjmMmx1pO3voWDpUK9SFZ7wjkMr7tcK18BMS9HtPyiMbzldHK +7+AZAV4LP9kMYgi0cefPj/faI+DmyfXFYrF4vl177dK67S2cihG9+wez7eOc +1vtX34LUX3mJpjicH9g0qx0z3gKnvv54RDyebxdPn6z/+xa+fLj12joB9yOT +i7G5QpHQtcJdRykR55nY3J5MjUjIvRy2YmMSgVbe3JPRZx0Jr/MzS6Yws63d +Ky4WEglOXA12uckEKtCVOfCuOBKMbo5Ler3H/cPiVa3KZCQoVP6auJBCoF+j +rX3r2aNgBx9nqOQHAvWXKhot3xsFy+x36S5hHrfSPil8Pgqmm2FVayrO60WG +wbdco6BW9FxpVBruj+NV+0fioyDJ1crBNh3Xv9sM7/2WKPDpc9+jmkGgN0k7 +FeV/RIHV3vAp7kyct+pqX0sIvAOdZ1lhnzAbFu7hVVJ7B/smG84UZBEoujy+ +4NHtd7BJbmK1TzaBPnq53ZoJeQc/Xv4uu5SD93fnOjHn0nfQR3Lek8olUP3K +l+MHP7+DQjUxaeY8ArnyXvLbyhUN4ZEKH9sx88e8FxI9Gg0uv85FxOTjea9b +HXLRLBpMdG+ftS/A+y03M1TgGw3KyR4c6oUEKp04O6eYGw3CyyIqthThPCSm +kjc/Gg0rL2Xfn8UcfYE4WL86BmayG2WKi/+XD59eatgfA41rJz89LyHQulQV +wW9GMfDh6p+3lxHOkzf0HdS8YsC/lOvc3lI8TxVn9MozY+DOFvG1y8oItNtV +ItV8OAb07ihWdWHe1KvkJLMqFg42GDjHl+N5aKL/QXh/LGwWst53rwI//2sv +OSXjWPjt/HRGoxL3T7O/fE+9Y2GwKyJqWxXOm6tbVb5mxwLak2NAYl55jC/e +aywWop42rSutJtAH9qXDamviwG10svpFDYHOf/FckDwcB+aHFx9cqcXzva2t +6oh5HKgFbDhwoI5AxjOfE6xfxIHYrPjc8noC7Zoe8W8ujgN2FaXoXszh7eiO +3kwcEGHnLyQ14P66I1CZhSceWr5Zczo34vyXfPlnj3I8ZGh51p5qwve7JEmP +Lut4CIp/6yLQjD8f/Rz7HR4P9ky5B+cxM5MVTOoN8WBwvpkob8Hf99a7p+hn +PBzJmIoJaiXQs7fHDc+LJMA29r+GFm34/iFAufLpJcDSlY0bDrXj85TlKLfq +cQKMFknUr+ogEKXf5b4tLQHKNyk/GsB83PSr1rnhBIixunAopROvR3C5bz5H +InjU2FAPuwh0l0dcVvloIlzf7hWn043vjze5FL5eT4ST9yIv7eghkLzz5fDG +V4mwuz1341fMTiHflRtrEmGdREtDVS+e37eydn35ngjzbtOPQ/rw/YnTQUFR +JAk6Bv8evt5PIK5fm11zziZB9oFN80cGCLRvyWZQ1z0JQp5LJnAM4s+/eE6D +OzsJ7n1UNh7G7JkeWsI0lQSGCobcaUM4Py+t3cO1KRnkX99pejSM53P8Q18N +1WTYvuD15MwIfl6b7LZEu2RgORl1VHgU76ebEyUVlwyT0XkL3zEnXgseGOxO +hurFlsTaMXy/5ajzTlvxHhLOfrz8Zhzft4s65pMOvQfvD0s8lhM4r+66y9Z4 +/T1YruBukZsk0F8T84INoe9B6/Juj3VT+D4yaMz2uPE9SOeryI1h3mS5ZYB7 +6T1s4Lr4NWMa52k1Vel2qRT4dsM2+clHXK9sQb8yTVKgp8LbVP8TgWTeZkoU +BaZA/rZ3W3Z9xvndSrtwpioFQu3yW39h/rRnfTj8TIEHza1PG2bw+/8JrSoQ +/wDGop/kw2fx3+sGi1289AEUXf99s5oj0CrOyOKd/h9gZx93igKB+7XdOXvO +ig/AtnePGReJ91/AQF34+wf45K3KO4l5+9qju4zFUqF+4mJ7NkWgE++CV6OL +qfD+2F2vpwwCXQ5bmlDxT4XnL58pnJ8n0Bm+ZYmMilSwJt/9EF/A8yrugFb5 +j1TQVSv4sIj5mM+6ycFNabA/ss28+QuBovpj3Gel0oD716dtkV8J9HUt//I1 +Gmnw8zRTp803AtWKFRsrmqVBfxLPM+XvOJ8NlPt4PkyDYlYppU0/CJTxxOrp ++Ks0eHvx+K9pzIoHlk5oZ6bBo+xLaXk/8XxM+dDc3JQGV9baXfX+hc/fxcJ1 +Jp/SQPWqD//F3/h8mxitWM6aDqKl0V27/xCI8aw1tZgvHVZtKfT5h9n2wglW +z0PpMGvTrty2SKC1f5b+mJ1Jh6b6z7/f/cXn4aRIkJ5VOqTuZM64u4TzUe9C +lb5XOgQ4b75+/B+BekaCn92MSYe7XVLbNzOR6Kmm8kgASgf9PWo9nzGfLRAt +bOxPh0NPjZ4XMpNo+d07W7Z8T4eto3aqviwkSrLV+erAmQGLh3wXjVhJFGxK +qH6WzIDhFzGZ0stIdO617cpb6hlQOlN4g4UN/70Ax0FWswx4p9wh2InZ5v1w +bbJLBjwJm+mNXU6i5FjOQovQDLj6jdnfYQWJbm6vX74/NwNOaG1RO7GSRM2j +EnEbOjJAIl56aesqElHnzweyURmwhkk9ew7zZIpt7Sr2TKAMjC1LVpMoNeUN +bBfNhLZ0+53+7CQ61De3oK6cCZmrn/ebcJBIyfjpoJtxJry8Evti3xoSWfB5 +fG91ygSHoiJ1trX4fSNZj0q/yoTzmzr/dWNW2bElJiorE45ZzeYkrCPRX99h +CeG2TOCvYbG6v55EJU43GguITGDavlVYk5NEBXvbXUxXZ8G4o8wgHxeJqhPE +FLaJZkFlm3oghfnkY7cVn5SzIE78skbZBhIpcM23VF3OAk83B+bAjSQSvvA4 +MPtBFtwYfJ5ntolEX1cd18x9kwWaB+JuH+QmEb/ria/1uVmw53mxyEoeErnW +vXJndGbB+o+dQ32YjaPkf4suZMEXmAtK3kyiCzYqmjbrsqHrFavmgy0kMj+d +YdcomQ2581tZtbeSqHKFn/VhjWx4rbG3YDsviZZWjh7Mu5oNTtEnbBYw9zkk +lqu7Z8Olxcu7KreRSEeYXDP7LhvgrOPISz4S+fincr0tzQbBD37BV/lJNDJN +1JsNZwPrivhThwVIlMuaIH1sMRumjUuWsW8nUbj1gJzw1hyozesqHMS8y/zJ +nMChHEjiJO58ECTR7+rwg5L6OeBzY5m46w4S5YgJcmvczQGrCt6x0zvx75uX +u98LyAGdbfte7RTC9Ran+qAgLQf22mlof8OsdarjJ0dLDmxsNlleI4zXd13M +vDWRA99F7hW/EiGR7VSSyTR7LvS6+N+9IUoiZoc+pVviuVDQGy9xbBeJujdv +92M7kQvhMmh8jRiu36ZbCqlXc8HFu/v1COYfqmk6NzxywWSC0EkXJ9H3kY78 +g7G5oHyMbaWbBIlC4ivsNlbmgvDLbUhPkkT39a8/ZJ3IhRXkPnuR3ST6fCal +iZUlDz4fP7n7J+a2LPtLmwTzoOGt6WTdHhKVHk0WPaSQByk/74WGSpGo10BK +xNI4D/xPv9C9JY3Pg9i0bvrDPLiTlLAKZEg0cS89fkVEHuixlpau30uioTIb +/tvFeXDwYo/DOGbmXcvTPw7mweZsck/WPhKtd9U8b7OYB7/XLJ92308iuZOC +nBzb8mHQgi/83AESiecZt+cezYcStF9P7CD+/HdjwXcN8yFysyb7H8w9QS4G +Sk758NjmSnmjLInaK9dzCobmg1n9/XsRh0j0i/tmwfrCfFDbGSBtfRjX/7Yr +WpwD+SDmnPhR8QiJ/m1srN7xJx/Yu0ojNhwlUcasA58qbwEQu3vPTmE+cERW +0/FoAbR4UBy5x0i0Ra1Ps8iwANJHlld6ypFIbLnkFk7nAgg8xO90QZ5EVzcv +JtmHFYDdiwN7JYFErI0bF+eKCuDcjObnv5gvbFNYfneoAI4om0W2KJCITD9e +wb5UANvCnM5FKZLIbJySzOIvhKWvAWttlfB5SmOWuwWFMHoqqUpFmUS8jQrE +wcuFUB5X5sytgus1wFqW81EhxPzr3fcJcyzHmU2LUYXgYcCYyVclUdRCguuP +8kK4lr7i3bPjJJJKkLFlnSwEjdUC5y+p4eeVT54WYCsCySsH10upk+iKbXun +pkgRrC06VcN0Ar9/hqmst1oRzG80f9iOeZaLe2XvtSLouOV8IEaDRG4XYhUO +ehdBdnXgnN1J3A9/1/THJBdBiEBytJomidg37G/d2VQE9xzLL2w5RaIIq4it +mWQRGLb1cc5iPuNRkKm7vhjkxOdri7RIlOdy5CXL3mIQcFvp+lybREd2t+SV +nykG5kEB2cs6JBJcu2tzoF0xTOyXJWVO4/Ou9eW9bUgxVPtqxbLqkuhOzZC1 +WX4xJEybX+zC/Gop4JL5QDF4w4MN8WdI9FKk2NL+bzFYvgqqd9QjUQM/26sQ +gRLQmk9+pHEWr78tV1+tYglIa1Qc2qZPIt1Lz8RWm5UAV3Q/RWDWKN/oZuhR +Al//zMehcyQq2687UpRQAt16q4xeGOD1+TIlu6ehBPJStm+6ch6/z6y1RypR +AqHLDzXuv0Ci1qyASoX1CB4Ya7stN8TPE/R5dmwvAuM8iyO9mHkfcH0POItA +kfPhfOJFXP9rEgfPOCLYeeNlgtMlEh32V3stFIqAreK98SkjElkteQizlSD4 +yFvJLWCM+9ni0v1vowjq7g40MTALku/qfjOXQnLTwpPyyyQqP/Uz8/GGUvAV +WX0syATPyzsn7nEJl4K1i+AXc1MSGXgacycdLAXd3kNJsldIxMXJ6nlCvRT2 +y+iYrDIj0fapP/WM86XA7X118wDm3fs4B9/eLIWf4w9b3pvjenFdlaf/oBT6 +jwZ7PLTA9RGfZLjJrxSKglLkdK6SKK0/v2IgshQiiMqvgtdI9C7qz1xiRim4 +Hh9M/oL5ef++TpfKUjB9+8W06jqeN/nC9pe6S0H15+qtITdwPdcHtih9KgXR +0zvart0kUQoBQ1K/S2FV0mHPI5YkenhhNlSIowxmWU4Dxy0SVUTorxTkL4Mm +w2vfhzDbRSgKCEuXQWqWS0qqFYn6A28OSSuVwYs1IWaPbpPo0bpAUNErA1uL +D7xnrEmk/NNOydiiDM6iqnYhGzyvn7WNP3IsA9nNQ17fMROGpnzvvctgq81X +hdo7eB6cmSSHwspgsY7952tbEt3I5NLjSS2D4R07U2/eJZHH+oQTBmVlUOp0 +xELODueFc0aNkR1l8K7zNN86exKZNJK9jKkyeLL7eucoZqEVXJbqP8vAwsP1 +WYYDXq8fLo/jV5eD+kiI0hNHXD8T1Oa1fOUgfij119l7JGK7ulnSWaocOF5U +p4nex3nhbmrevGI5kJ+Hrv7CTJ25UWilVw5tSt/4G5wwx/yV/mJRDpmhHN1h +ziRyvMjH7XKvHF5+3elr9YBEa6qdr3L5lIPDqaMqCg9JdM+tWTAlohzOx+n+ +4XTB89inUlE7vRyO/rueMYE5RHp95c+KcuAzeHQ925VE1zeYxiZ2l8O/tFfb +nz4ikb70jXGTz+Uwtiqtx+AxibJ7exwEF8uh0rTmubgbifZknTf9tLYC4gqH +VRcx55eGR+QIVoDnxu+LTU9IFKl7WsxnfwXcuLUm6607iR5vFPx3Ta0CNKuF +btp4kEh7Rf62UxcqYI/AsR3KT0l06nHlw0O3KmC945m+jZ64f+8jBSVcK2Ch +9Yb/NOZYvlEW4cAK6BR7rJbnhftNmDK/SFwF5Dx+veTlTaIHxmXWe/Ir4NVA +WrbhM/w+Vsw/5BorwGl/reVuHzzvRZJT9EYq4JLvyM5/mP8cMX9xZ6ECYPp7 +f6sv7q85XREhbJUgCGsD3j0nEcevqPaKzZXA+kr4xF0/nM/yrcR+SFTCFOMY +03F/EkWzjb6VgUqoOaGXy/OCRJcUXu+11a2ExHc3rT5jHt0pN1xoXgnP/jwW +LgwgkW/prbfs9yrBSu/NoE8giSQeNN4x9akEnZT0QKMgEn3i/q1X+rYS9i6v +05B++b88FqwknFkJG41HmVmC8fn3UDz0oroSvuf+yOvAzPr09d5l/ZXQu36d +dWwIiTzzj+15SFRCwXURUYdXJHJ+Viv6j6kKwsrlhtVfkyiutI/36cYqeMh7 +9uXWNyQ6bsuxgntXFVy+a6k5h3n9xsWp5KNVoNTkxloSSqK9N5Sz1bWrQFgk +tMAvDOf7QGebOdMqWOGSYWMSjucDqyJPiH0VfO6p27UvgkSZ7mLR6t5V0CA9 +NrLsLV6vI82czBFVkOL1M7gb86U31cal6VXgN75OKyGSRPV3Er08qqrA5qgo +2/0oXD+fOHzO9FXBmSD5opPvcJ538LgiSlTBAeKsLV80iT4wkjhYmKth8/Fb +4hTmIee1TyY2VsPviCdjpTEk+vhGpbphVzUM/gh9FRBLIs3toy0Fx6qhRCdT +2ywO5+PDtuFpOtUQmVi//GA8iXZc85NIMauGxyzjxSsS8P54VNmnOVaDmeGv +u32YdStjnQp8quF41nrJ5ETcL+LRwYbIati1ZteEcxLOH2vfxYxnVcNqC3ij +lYzzreRAGVNdNcyV6J/e/h7nyWc/vYWHqqGFx2rlAuZujqeLOvPVkG7tjipS +cF77xLXBja0GAuvC7F9+IFFgimhD4ZYasNuRtftqKn4eBR3BP7tr4JxTw+Sh +NBLFDHFzKSrVwOHO8dDV6fj+xcP2xle/Bnh3/9YdxBzTE509eqMG/rpzrv6Q +geeRkZPJEZcaGBneVeaSiee70oY3bwJroFxWwfF0Fs53NguGzAk1EON/Tmpn +NokCpN5GWxXVgMdnq+mvmDm3vL013loD15Q8wqtzcD8XcMi5OFUDGqHheq9y +cf7zSb4/9KsGJL9msd/Iw3l7CeWYra2Ftacay4/m4/2/JXt1YUctMGIn7q0p +wO8vEuTpIVsL7Uu/pUcw32s+xyOoWQtZ57g+pRXi9TVmXV9+uRaC08TePi4i +0ZvdPLev29XCvVWK+nrFJPIa5ZLh8a4FQ1ODNSIleL8d7XQbImpBrvB25Q/M +DaeKW9wza0Fg41OnOoTre8212OO1tcB8K2JvaCm+vxQttKwZqoWJquzPlmUk +ks3tOzkwXwtV/E2R8uU4j6a92pS6vA7iHSbPra8gkV5mkpgXbx14tf5ZO475 +k4D3k+vSdXBTbEN1ZiWJmj6k7dBRrQOtx+IP3Ktwv7wdwiR3oQ6kBxT3n6sm +0UJNzlbp23XAtf/87K4aEknae9wSe1IHX32s3/3GnPP8wbddr+uge+rp+cZa +/HwPuFL3fKiDPPm36yPqSHSUrTD4SEUdvAnJqbldTyJLhbkEzd46cGY0PVRs +wP0hcuu4GVEHRiemDmxoJFEWkS/vxlIPCu8W5yYxn1CXK4znqYedfzbE5DSR +CER59Nol64FNT8LQsxnf1wzy2ViV6uHjeyWuCy34+1hfNh4+Vw91bBfqJFrx +frzbGmtvWQ/JRjaufzG/5Ch4lv+oHnxzPWVb2nA+uTnuzBJSD7fXR5KR7SQK +UmaxO/2+Hk5fz42900Eid6un1nFl9bCvvPmiSieJHPhHbjL31MMm3ukN3F0k +Khy0u2I6Vw8/bf/Wf8S8yTv3TB1zA/Q3bnyc343zgNG6wwd5GqBIWPLwsx48 +72c+rkuUbICIh8qMi734fGxM7hRUagDXngvxe/pwf+Atd4s81wCm0neMmPpJ +dCz45RbhWw2g4uW1qR0z/x3fgNTHDSAyHtkYPYDvR1/Xz8KrBlh5NM/NbpBE +3oUeAt0pDTAT2HJEbYhEPwv0JO9UNEDj3PT85mESvYDRNRv7GiBVdSlhBrMt +4VtaSDbAi4hNl4tGSGRTWqZwfVkj2P6Q5Hk+SiIejhHvbVsb4ayOSrPxGJ6f +TuqhXVKNIJto6C4zTiIjrstWQaqNsIXF9hjrBM5P4k+Zzhs2wp8L3l86MYs7 +8mvttGmEocyopLhJnJ+XeZz54tEIiCPfxHEK57W9fGvqwhrhnXnrZo1p/HlF +Sg4xGY3wpORjC+9HPO+y9J671zaCBc8/DwJz8JM0LcvhRlC35pZHn/D5pTKy +DL42gnjd7m/+n3G/XZtXpLG6CTh2qL43ncH5SY/3itL2JiDvX7yyfxbnJ2/Z +GDjYBK0dtluXz5EosdPCWUmzCTIkn7X1YG5IZvmkYdoEQe7vPBMJElWNX5kw +cGwC++F8cCLxvIsZv2H5vAnOy7Z916RIVHKn+757TBMc9f+Uws/A9wGx4HUx +BU3A9/mfGQOz9R8H4drWJvinyLOtfB7367ttefPTTTD2Zk9H4AKJDjn8qNr+ +twkqvqh6m38hUaqC/ImzG5ohVvOSouxXfN80+yXvJ9YMT2Pv/lz5jUQXW52i +m6EZri89S+3HfLKWx3aDfjNonou2eP+dRFtn+JMuWTbDnrQCvoc/SJQuMa6S +8rgZ1q9q79T+ic/fQDqwvG6GBZPPzwR/4ftk5FDwxdRm6CxgUv6CWeNe1vGi +qmbI2bD5d+Vv3N9LnpwUHGyGV5ZS6cF/SLRWMCzq2UIz3K86fu3aIt6fRDXV +Pytb4CK/kcCRv/g853fstRZoAXkHu272Jbxf7D4WMwdaYHurj+8QZoHMwsHr +mi3AKhajkvoP1y9vygvStAWmHhX+cWWikMnqpEeO91qgpr89Q5eZQsJhfxJW ++LdA4r6Z60IsFDJWm2ENi2uhfTgKiQwUPz9Q3EL7cBS641Ks2tnRQvtwFBLK +lhJ2nGmhfTgKrZRICfJnaqV9OAq5aSjGf2Rvhe//+XAU+vzQXl2Rp5X24SiU +UGBlH76jlfbhKJQbdlJqcXcr7cNR6LX1HptLh1tpH45CV/YcOlKm0kr7cBQq +v+/lKarTSvtwFPoVp3TB37CV9uEoRKa5pfyxaKV9OPw+ypau1++00j4chVqy +eNv6HrTSPhyFylalh2t6tdI+HIXcFzQmy4JaaR+OQucaV0QfjmylfTgKSUax +9WYmt9I+HH5+UdNH0rmttA9HoesVR8JTy1tpH45CD568F5NpbqV9OAp9F+0U +yu5rpX04CsXzNPscnWqlfTgKyd7IMa5ktELkfz4cXu+JhFDtxVbah6NQw0Kp +/NCKNtqHo3A+3aJ2a0Mb7cNRiO9nU8Y//jbah6PQnz0/XYLE22gfjkJMLhnv +JQ620T4chfjzuWWrFNtoH45CSobyfCan2mgfjkL6/kqX/hm00T4chdSlj317 +a9ZG+3AUWluqPKFk3Ub7cBRKtLER/uTURvtwFJISGsr3e9pG+3AUqr8SEXE4 +sI324fB+Xm9sm4poo304Crlse3g6KKmN9uEo5Leunlc1p4324SiU5Fa952dZ +G+3DUajQwsszpamN9uEoNHpml6h5Xxto/OfDUcgmMG+lwFQb7cNRaBWvtsQA +o4324fB++zL5vl5so304/D5HxyQurGynfTgKbRVkW863sZ324SjkOOOyZVyg +nfbhKKRFmZklSrTTPhyFdhyombwj2077cBQas8p/Ja/cTvtw+PkWTz3k0G6n +fTgKTc8FBw5eaAem/3w4Cu35Hdv9waKd9uEodNvXR9XtTjvtw+HPKzIcPv+w +nfbhKKSoKfR2r3c77cNRqPf9d/c1we20D0ehR3/H/Wei2mkfjkIfVv8qrktp +p304Ct3SUmdPzm+nfTj8fYwR++dV7fD1Px+OQuuqm5ds29ppH45Cero7owyH +2mkfjkK/q2YNVT+30z4chez/Hdgt862d9uEo9M973QYB5g7ah6PQ83fu7OvW +dNA+HIVmdsduZN7SQftwFHpffl/ym1AH7cNRSCWG6/SsdAftw+HPq3B0nTjW +QftweL/K8vKG1Dsg6T8fjkK+n4Z+9Ol10D4c3t8DX472Xu6gfTj8vDqsbr2W +HbQPR6GPLzY39Dt20D4c3s+/x7hGnnTQPhyFDh6/rz/l30H7cBTKb+p7SYR1 +0D4chVijzZp/JHTQPhyFLrntXGLN7qB9OArt6+ffyVXWQftwFNpSZnR0R1MH +7cNRqP3fjMr+vg7ah8PnjaNBTn2qg/bhKNS/ermQ0XwH7cNRqLPp3Te7vx20 +D0chtu74NL9VnbQPh/uNLu+Z5E2dtA9HoajtrAO1gp20D4ffp9Hs+KfdnbQP +h+eDn2rgqiOdoPefD0ehbN0kJHm8k/bhKLQ/JaTutG4n7cNR6PwkZ6qjUSft +w+F+M7fNKupGJ+3D4f2xKFrWaN9J+3AUYo/7Yv3zcSftw+H6TahKE/HrpH04 +vJ58B8r1QztpH45C1iYq0Z7xnbQPRyFPl2+nizI7aR8OP/9ejcZ51En7cHg+ +jCuvF2vspH04/PzxE7ymvZ20D0ehUHVxImyyk/bhKKR5ZPPjPkYn7cNRqCsy +pZfnbyftw+Hzrj1DnlvVRftw+HxWN5W/3tRF+3AU+nTonO6QYBftw1Go4ol7 +6I49XbQPR6H1h8+FXT/SRftwFLoWWK+bcbyL9uEoZOk6VLSo20X7cBSqDfXt +VTfuon04CilI90YH3+yifTgK5cgWbJt26KJ9ONxPDaUVZZ900T4chYYXDq7z +9u+ifTgKiS2vfzIc1kX7cBRKPzn1dn9iF3T+58NRyEjsiZFPdhftw1HoclZU +yVRZF+3DUehY+qESheYu2oejUGugsmF4fxftw+G84FIU+Ge6i/bhKPTyeLi5 +4Zcu2oej0IubI81F/7poHw7PYwHnJgGObtqHo1CNiqXxk83dtA+H1+9GwuMZ +oW7ah8P11L7rgK5MN+3D4fl4Y+puoVw37cNRaKCjC0Q0umkfDve7i/OBAfrd +tA+H+88yqdtMV7ppH45Cx++5t9y+3U37cLgetsxmjDp10z4chUK+nOU549lN ++3B4fasKf1cHddM+HIUOLHIaHovqhof/+XB4vXJOHcxM6aZ9OHxey654SxR0 +0z4chTLeqJ+Nre6mfTjcn6wZQds7umkfDs8fAW218JFu2ofD+eKAwQ3euW7a +h6NQKWPpz5uf3bQPh+cBHPzNy9ZD+3A4b5QwTCM4e2gfjkKRxdv37+DvoX04 +ClkdybsZL95D+3C4ficSVuyR7aF9OApt2zLJlqPcQ/twFLr3+YIZ6PTQPhyF +qjcuba+/2EP7cPj8s5cc1r/eQ/tw+LwR3u8n7HpoHw7PCx89hzuPe2gfjkJf +r69+xeLXQ/twOF/khXIEhfbArv98OAoln//RLpLQQ/twFPoxxv65IKuH9uEo +tPpljaZOWQ/tw+H9ubp5cbqph/bh8Dz6N/v1YX8P7cNRKC5d6sDmjz20D0ch +Q4/WgowvPbQPh/vjgUI3LaZe2oej0AWRQd9Zjl7ah8PzwFagx2tLL+3DUcju +k/UlMZFeGP7Ph6PQxX+F/PV7e2kfDs/bqdnNltBL+3D4/DrMnlin2Uv7cBRK +UY1NzTTopX043N+fs6qfN++lfTj8/yqM9Ux3emkfjkJyK8+uTHjYS/twFDqx +h1fy9LNe2ofD86NQ4v6fkF5o/8+HoxCv+W1GXEwv7cPh+R7Y7HMmvZf24Sik +YSxzirmkl/bhKNQW4CKVVt9L+3AUmk2MlLnc00v7cBRaaHM6zTnZS/tw+Hk/ +//CpYPTSPhzuZ4//jNn/7aV9OAr1DVpqSazuo304vL6kTOsodx/tw1FI1FDa +PGRnH3j+58NRKNjpFLu2dB/tw1HIwcCqdIVcH+3D4Xp77/C47EQf7cNRyHTq +lLazfh/tw+H3FewSOnSlj/bhKJQlPc/07XYf7cNR6Fuw+1iGcx/tw1Eo09Ou +0sarj/bhcL+Yi46XCe6jfTgKOV/84rnwro/24fD77jhukZXaR/twFNKVeyjn +UNRH+3D48wwfcByt66N9OFwvI0Jt/7r6aB+OQk+Gz3pVjffRPhyFNvHP7/Wh ++mgfDs9vnp6GM4t9tA9HoYc/Pp7etqqf9uEoJB3KWjG1qZ/24XD+CVzFl7aj +H/b+58PhPCLUd8lJqp/24Si0Qfm0m9qxftqHw3nulc6zjSf6aR+OQs9cs23H +z/bTPhzOmyuNjqSb9tM+HO4fC6JDrrf7aR8On790xvnTzv20D0ch21svP+zw +6qd9OAp9WVro/fqyn/bh8Hz6MtVd866f9uEotNdbIy40tZ/24fC8yllUsS7q +p304PO/5e9NU6/ppHw7ff3jzPvJ299M+HIXuFjh8XBjvp304CgkWzKfUU/20 +D0ehzc+ZDkcv9tM+HN6viw89nFcN0D4c/n4m1SB97gHah8P1xrbnsszOAdqH +o9BgCtcnDukB2ofD9wGiQezzsQHah8P93HLvzuoTA7QPh/uDB397tP4A7cPh ++aRxbf/jKwO0D4f7s/NHNRPrAdqHw/elQNvVig8GaB+OQhvzJ+4Jeg/QPhyF +JhrXBrGEDNA+HIV0TBr0J6MHaB+OQj/xGlanDdA+HK6n/tNNicUDtA+H6/1a +jbNv/QDtw+H7Zb1wo03PAO3D4f3kVsrXnxygfTg8bzfPKx6bH6B9OHzf1llh +tmNpgPbhcH6cN+BbxT5I+3AUqprMv8ngGaR9OApB9V/NXqFB2oej0OOPP0pK +ZQZpH45CBlpOKFF+kPbhKLQz+4Jm4MlB2ofD933va1ceGAzSPhxe3y/2LNfM +B2kfjkJ/q8+JnrkzSPtw+D4aONgi7zJI+3D4/V93/xL3GaR9OJz/2rijeV4P +0j4czpfTt+qWxQ3Cxf98OLy/R97fWMgYpH04/DwTUU9G0SDtw1FoPol/U0vj +IO3D4fsB18KWkr5B2ofDeUF71j9lepD24fA80+xzCP8ySPtwFPJJ9G70ZRqi +fTi830VNPg/XDNE+HK5fP+uc21uHaB8O9+MJBTUT0SHah8P7vYdD7sz+IdqH +w/kp9O1LVcUh2ofD+8Feq3VIa4j24fB9hVvXQsJwiPbhKMSxcnU//7Uh2ofD +/Xy8MJ7Lboj24XB/NN7XtPzxEO3D4fk+e1T9z/Mh2ofD65UUyc0IHaJ9OAr9 +cpQ8OJUwBMv/8+Fw/phKjOnPHqJ9OAp5HZwwbi0fon04PP+vvzOvbhmifTg8 +r6TTM4sGh2gfDufPr71qmZ+HaB8Oz7/ucYGk70O0D0eh1OSQw1Gsw7QPRyEL +ptKAV+uHaR8O9yceITF/vmHah6NQ5eyz30/Fh2kfjkJmkmiZq+wwFP3nw1Go +XsFd1VFlmPbh8N8fjy2wPj1M+3AUWuSaNrluNEz7cBT6I732sOnNYdqHo5Dy +xIScoeMw7cPhet6520rPfZj24fD6RqXWnAoYpn04CplXS6urvR2mfTgKMafZ +EQrvhyHtPx+OQk37lbOP5A/TPhyF1MYvhe+vHoaCGZ71HEwMdOKvV/SejmGQ +CImevYuZ7/Gzql2jwxCmLFUzhNlXSIR5JzEMaxgF744zMxBDm0+P7/cwPAw7 +/jAVs5zo/iKeFSNAqbef38zCQDzuu2W5No7A5W+XDjzCPPanoZxDcATaoj6v +n8Fc39V2ccWeEVDSspvTZWWgkY3MrCxHRyDzN1NtIWZeW97MRbUREIr3iRZa +xkAOpuM3fuiNwMszm118Mf/i4Nm1YDICy5liLnzHXKV3/9Oc1Qg4vJc6aMzG +QJKdjYkfnUbgk0EhZy3m0qHm6+OeI3CBTY2QXs5ARs4KIkMvR6Ahvb32NWZV +27HBnncjcMzIKIZlBQPtkLd51p46AimrZ1xuYhbkTJVqKhoB/lw7w07MzH3n +a2rqRsDvCrOs3EoGEjgqrVPePQJM63254jD7vGTUFE2MgE3RZnLtKgba/M9A +MpcxAuPXYuocMN9QE3VK/zsCZzZJx45idnfi6DvLNgqVZYWuJ1YzUGG83cd2 +9lE4YKV2MQPzq9iUj9pcoxC3tUOWl52BPjUH9DZuHgWeGqMNTzCvvcCaf0Jg +FDxtZ8g5zGwXhp5WC4/CLwH7+rMcDHS7ZElZWXIUbjQyx5VgDj2jOof2jsKA +o+8j0TUM5Ff+3OXY4VHQFN5yyR/zuhd5i3kwCiVtMYd+YY4TjDQ9cHwUpB5K +bzRdy0DpmTvS0jVHIVK8iKrHbHaFb3L3mVHg7FFr2LeOgbrEbv1NPD8Kj906 +4sIwsw2Rv4Uvj8IXKePHbOsZ6LOUy0CUxSiYDc5cssJsnfE7nO/WKHR52h/u +wfyiTU7xte0oHD/AskmBk4G0eoQqN94fhdwxX0YC5oqZZ8L+rqOw6/mWRk4u +BhJbr23G/nQUXh+Jjb+PeWKXhouH7yis/ijtNoHZ84uBHXPQKDgFFhlpbmCg +j+znVJ3fjMIcqB/JxrxxVoD8HjkKl+Y6NvFvZCCbj8+tbOJHofmV8bwH5tz9 +LlWzKaMAqrONFObFiYZ586xRSJu3TzDYxEBlE9oLIwWjIBjB8qQM869/U1Xn +y0YhQOO5sTg3A3GNX7vZUTMKy35sORqIeWl95phm8yjYRcdyL2KWn48Qre4c +hWltmQUzHrw+lcuPwcAonFssamrCnJdcyZc3Ngq1CeqJBzfj/Y7OaJD+NAqH +z3Y+eYuZxSNJJZEchSTmy5dXbmGga2s8ngp+GwXeD7NHbTD3tvAHvv4zCj4X +HHj6MSNFLQtOljH4u5z1i9JWBjLJJv55rhwDq8znzcmYJy90GzGtG4MR461J +G3kZ6LLC2COHTWOgwxHn/gDz8OmPV0neMSjLkzGZxnzzfNk68x1jsNe8+Jj2 +Ngbi/6fmOLBrDKI5T2zOwyxYdybytNQYbCzp/LKdj4GKeIs9ag6MgfuNyy1e +mC8fvigud2wMvnPPJS1gTlFa5Z2hNAbXKhw8DPkZ6NHBsBjRE2PQd5vVtBLz +0vycY5j2GGhs85PbLcBAL6U72Dj1x6CwduuWYMycPiIa7hfHQNIu7usS5ijn +EpVfpmMQLri39ep2Bho1uPPV8voYrG0uTm7FPH52i8Ho7TFwuX/i6WFBBjr6 +1NvqjP0YMES6TN9hXjMSdrDaeQxMOi7Ls+/AvEc09ZDbGLS7zG25izloJ1NP +ktcYKEs6fhvEHH1q6f02/zHI6mVtU93JQPObf0o9Dx4DYXe/9x8wL7RWGP0L +G4NgGV5PHiEGmn4re8g6egxWDMddccU8+lyscDRxDBy998JnzO3ut6d10sbg +88GSrbrCDBR8ciSvNGcMLkyc+F6A+Ze7lox08Rg0+HW17RRhoOr8AK23FWNw +7JhJig/mdo1H69bWj8GHT3Oe3zCzOlN3nFvHQOClo5mRKO6HtzMcZrrHwF9x +mUIN5nUib7cZDI0BM+nHK72LgexdvS9XTYzBnTe8P15hlpE/obR3Zgwmjse3 +M4sxkMhSamkEYwz0vuz9cAPzc/nA/tU/xqDqbYlXB2amSzXP7f+OwUFNDfNj +4gz0MHj74BjrOMT/7FKIxey0wrZMc/U4bI412bZWgoFmkwPkctePg9dp4oc9 +5lPGZ7QFecbh91/HjhHM6YOe37z5xuFm0rJUdUk8T0qWS33dOQ6D+v7e6Zi/ +uUT+vig+DqdYt1ls3c1Ax++LnauSHoeS1HhFN8wBH+8q75YdB6mL+/jmMN8b +O1sSJDcOkSvRT709DGRn8rb6j/I4cGVrdBZjHlcQMjTVGIfHJt2pIlIMtPpw +7v1anXH4usb0mR9mmQlxoT3nxsG8gLD4iflt3mn9wEvj0G1xT8lEmoHSuJbW +/bwyDmob2PjrMXeLs+hcvDEOecj/114Z/D5bpTeVWo+DmOW2rlDMawfPG+10 +GIc3mxPSlu3F/Ub9tLjHg3Fgr9rncwtzB+8nh09u4+Bsg652Y1akKFUN73Eg ++E4qwz4G0pM/EpjsPw5G9d38CZi9zF4ZcYSMQ4u96e/1+3F/Dh+IswwfB4Wd +ZNc9zGnLu240Ro9Desu99HHMImFnEyWSxmGHM5vvyQMMNHB0j4l32jgE7npx +LQszf4zUi08547Csa5sK30GcB2zFDx0vHge7RwkCHpi5JSi96IpxmN69/w+J +mVdca/pf3TgY9KPuc7IMdDWDZ9awdRzqPE5mlGJWmeY1ze0ehyP7enzFDjEQ +USuuwTU0DskjptcDML+S3/jOcmIctvmQKn8we3AkXKv+PA6+h+5vNzvMQBx9 +2WECjHFYmmRbbMQcIbFGzvH7ONx+8aLnwBF8niwfqLcujsOoHF9mBOa06a5i +UdYJOD2T8HzFUQaSrpwKfbhqAsqD99+wxtzN6TzWuW4C9imXqvZhti++6ivO +PQEx1ElBpWMMdCbYIcJl2wRsCutZTMK889T9DZ07JsBD/UrvBjkGEr4rPysq +NgE/vpKZzph3uQQIOUlNwLWo+35TmEMqVEqaDkxA36nlN7XkcV5w3JkrcGwC +NH6/OJ6LuTNncY2N0gQUxvHt2A74vN6LKCtTnwDJM4l/PTG7hHa3cmpPQMS/ +/X3zmD0H7xwxOTsB696XZl1QwPNyUOFvquEEuBpo+ldg/rpt7eYlkwmYX9Z7 +U1KRgWJZErxOXpsA0/Qrai8xh3cM6LyymoCOS9SOJcyyefaWk3cnQGW105KF +EgP9LlAdkHKagOyc5f0tmONrN4XdfzQBIlcCsg8pM5ByWmZK5dMJCFnH/yIK +s9jOubVrn0/AyqJEy9UqDLQp+1mJftAE3Lt2QN0Ws/SgUWHEmwmY2Vi2cxCz +wLzMsunICTAs0/ynoor7t1RbiGT8BDTe6u1PwVyfudLuTsoEyG01y+E+zkCZ +LjFvcjMn4EM19cIF80c76xWL+RMgYOt06xNm+YuHCqB0AvwFVpw4rcZAxgM1 +6Y+rJ4ClMUCoAHP9w5m5ysYJsHXkZ9qpjudt1s0byzsmYFIoaeAZ5i0t3GJq +fRNwtu1A7lfMRcy5ok9HJqD6QVnApRO4n5jxmFdPTYCs+Cmraswa8yyjy+Ym +IKG794SUBj5vHiohSgsTsMXNTPgV5r+TcV4uPyfAW4rBxHwS97e0r9mFSxPw +Z8Bp8Dpmyubn1h/LJsHSc0VeO2b2QOdcGfZJGNofGHhUE7+v6Unvm5yToDXG +fzsG8wiXVEAMzyQg3ySNNadwfsmfbxrkmwTpIwdF7DE7al06tlFoEqKmy5hH +MA+lHh3UEJ8ErsBTQ2paDNQUrv/eVXoS3KAvLw1zZJFDcvbBSfg6axa0RZuB +3IKu9X4+Ngnmrxi3H2P2erEgw6c8Cd0qzidnMReyjuRon5gE9fkVono6uF8I +/DB/pD0J+eGBLMWYP/5hlc84OwniGgLDwqfx/SC4WW7ccBJCvyflP8f8qlnA +jNN0EjiiD778gfnd9dJUuDYJD7TLrS/rMtC59fcEbllNAvnnlGYdZg4drozX +dyfBOKFPdO8ZBnr8Rs+i6v4ktOqZs4Zi/qK68ijDdRIUmeeHWfVw3vD9tmfr +00nISHEusMR8w29SQdl3EnZeWBnchblZJ/z2zcBJCFoeZCN/loGWF3zMD3g9 +CWyZAqfiMfu/esaf/3YS7I2Td63Xx3kpQidsOHYSPrLLLruHuebKkgzr+0kw +yCsfGcPcceXisEjGJNSZaRVqnMPzk2lv5Im8STjC2R+ciTnv4XH7myWT8L7Y +/M42AwaSYjcx9qmcBL4b86fcMS/LUb/wvn4SnnM/ECMxr7KrNG9onYR/5SvZ +zp3H9bsz1PVz9yRY3w4aRZg9HwUmLh+ahDHe7UW7LjDQ3QN3R3ZMTIJubXLI +C8zB9ayC8p8noeKurO1vzHPpK28ZUJOwX7BC64ohvp8pnSi3+TYJsU1a4o2Y +zXOfCXj/mQTu+/1sBy7iebcv2C2KeQqeiliMhWO2RHuI3BVT8LN9vmj5JQYq +UeM1bFozBdddHry6jflJpGDj2IYp6JdYdbcX8zW3FfBtyxSc7A3SVjTC/dE/ +IGPF9ikoerJdIgnzC01P4S0iU7Bb5v3yDcb4fAl9CBaTnIKIIdlxJ8zkjbpl +h/dOwTrviuJJzFXn3lqpHZoC14Par09dxud79bd2PfkpWBjvv5uDue6pn7SJ +yhRc8bPQETBhoPNF+zwsNaag8+iChCdmu/tx7fY6U6D66cGKeczHnd5vdNWf +gpygVRPnTRko2Wj1Sc+LUyCq+LKkHPOJ5Cd3/Uyn4BWx/Y3EFQZ60zzi9/La +FKx6894uCDML32joG6spuH/80Om/mAfaToRE3J2C2YUKSQszvD/rxlyj7k/B +xbfaK1swPx25fi7adQqaTg5MyJrj87U3YUuMxxTI/7RAkZgvjxpXR/tMQWrM +wptVFgx0NkHv4ruAKdh++qH9HcwL0hr9b19NwYu/q3QHMB9buQzCIqaAJenl +bpWrDJQfreoVEjMFtvqCq1Iwu15uz32RNAWTLCmTm67heeB2q847bQr0Uw+V +PsT86lB/0eOcKag2rAz9+D8OGvC/VzQFh1bqOOhcx/e5wP0qt8unIDFrQDcf +8+/NCV1Xaqdgq8nVPTtuMJDB/E/lc81T8GzNl1XPMHu3fvY90TkFi/kPp75g +LtSVyzjSPwW3LFaXXbzJQCcXs1PFR6dgmCs4rArz0GFu9y3TU6CNBB33WOL7 +w+XtMivmpqD0ZsqZEMwGKcEZX+anQGbzYSmmWzj/Hz+6cuTHFLyrrFx9HbPO +kVbpur9TsMFGZ7oNc6sLj0QG6zQ84RssO2KFv/97+7fXq6bhW93V8GjMAVcr +/VzXTYOF/RdHjtsMlOSW8sN80zT07HDRs8OsNKUtqcE7Deotq6WHMQetuyW5 +W3Aa8p2C2dWs8Xywqv6+VnQaxHft+JiKOcmAz5uSnIawzpTyzTYMZMu+b6p5 +7zSseXQ44hFm0dTa5SmHpuHh7qp7M5hZzr4lvOSngerTOXvmDr7f/HB4Za4y +DZc9BqWLMGs5bViuoDENbXuvcQjb4vySsvfAFp1pUBr58tEX86JqsND82WnI +fOZS8R2z89RSe43hNAgdYv8/Gu47Lsf3iwO4PbJCyCYZmUmhxCWSmU2yszOz +ouxQJCTZhUoq0iBJ6KTS1F7a+5n3zXPfZWTkd76e8/vz8/JVz33d13Wuc3zf +r+fBhkP483uNYd42Enaj9qZjMmbLRVXb92+XsDbXdFaOP4z1iC+Zb75Hwo5M +DRl/F3Nz4zJ5j4MSJpMbd2ppj/trq/90yVEJs775QbYLc7l7w8KXJyUsdcaS +hDzMrd67dj97TsKmfCl9MPUI/vfS4ZcXuUpY8L0dxx5jflB1KbqPu4T1n9Ow +sstR7O8P2d+tvSFhVxpOGRzFfHVJwKhn9yTs78MOnaswH9Yq333IR8LsLG/J +5zrg/plZvNYkQMKqG3U+PMcsLlrzsylYwpY9DnnY1xH7tRuDzOOeS1jCUpPj +5zC/XiOffC5Kwoz+frDiMWvkHSo0j5Gwx0+XTFh5DOttX6cBrRIkrNeqss6A +ucOUrI5xKRJ2oZWtYvhxnE+GDvA5mSlhjWENH9wxD44eWWycL2E71532acTc +Iz4isr5Ywkradzyx6YQKTL45GgVXStiCyFur0jB/bZiyYrNEwt5tGmJoeBL3 +64JIrd6chI3tEtrFG/P4w4EH0gUJe/DGRNn6FPaDeVl7Tn+XMM0diYl7Mb9/ +zbcy+CNhZ7SW+hZidt/0bmJ1CykTY8tOTD+tgrHf/zS/1k7KNu+xtQ7CbOO2 +Z+u0zlKW1/urYbczKhgzJHWDoruUWSSe1jyGWRqY/cWzt5RFHujI1WCe991M +a9pAKRsx8HbSAiest3mf0up0pexO2hC/l07//XvIsh5uI6VM42joyQFn8f6t +t/uiry9lx3SnrHbB3NWGX5VnJGVcVqKRCnNRhPNi+ylStu7E0q7W53BeXf4z +r6eZlGXolXPvMet6tq57aSFlrMA2eeR5PG/Pt55atkDKwpy++l3HbF1W6Ptl +iZQNHnfm1G/MtWN7L3S1kjKPko5rtjrj+w6RnBqyTspaXrg9MQNzyNI/E99s +krJDhrrdJrngPPu794ElO6SsrjKUf4DZ6UTjOMkeKVt5eUpKuws4z3is2udw +UMqSjJMe7cd8XFY/toODlE2WLD1djLmD9oU9905K2ROP8jUzL2IOytYbeU7K ++rCdk4Ixd+56edOri1LmpvzarYerCuJe2PeceVXK/tw68/kE5s4188zTPaVs +r3mnVAnmYRUfFCvuSlmF6rb/oku4/wv82pU9kLLF3rpnojC7SR56bfKXsvdz +w9YOdsP938fBX/JEygy+TZnsirl+t2ywbZiU+fkmda/H/CHxiabipZRpLVr2 +Zc1lFdT9PWZn+0bKzv8qT024/N/v6zVdGitl3wJ2Ph5zRQXr+pic3JwoZduX +fztzE/PJlff1ytOk7FMzp3V/MYcPb2ZmlS1lc591Mt5xFfufrn3jMwqkLNr6 +jlY2ZifnJyHmpVI2qs1QlbG7ChbIbVu8rpIyr+dhab6YQy9pvRsllbJOG0wD +OlzD/i10a5kXJ2UnOyQ7HcJseV57U0dRylSvlq0vw9xlnGyu43cp27ilwtjC +A+eDd1evS35LWY7mrh6hmGcZpE5d0kLGZr77pup1He+vk0tnR7eVsQhbp4+n +Mfc/WxMyuJOMDe3ZOVCOObXb5IMu3WTsZtyds0s9sZ/5onFd2UvG2u4buuEN +ZquPPTst7C9jR/uGm+jewOdZ0LMyREfG5EmmPS9jntEqQaPzCBlbfShZ+IrZ +42jxpV1jZCxt0PL09TfxvA7U2pRkIGOm6RWBSZgdNoxyGzxZxp457Dqnfwvn +Jffido5TZWzAsO8b7mD+87ukIGuGjF3NcZrS4jbOt+XyhqFzZKzZqc69dmEe +sjHGxsFSxvaPuivmYr78sHu/tKUyVl04NMP0Dt5XIX46fVfJ2LJz4UH+mAe5 +jjhku07GEvSnnu98F/v1YWu7RG6SsYllyRuPYH67/YfYbIeMBVxcblqJeaHu +q/7z9siY9sTKXnPvqSBQd+mVawdk7GL1rvpwzI5Dzs4sPCJjP698z+jjhf1Z +ekvTvidkbNeUs0/OYi6Mu2y/zknGSqWdnTnMQZ7FgreLjFl63rVZ4Y3zRZJf +cKmbjMVMHzY1BrNerq9/bw8ZG8eHaw+/j/Vg8LWS5bdk7OGdqQ1XMa/pPMLy +ipeMdbVIyfyB+bdiUOMHHxlzEpc/tXmggnY/Dct/PZax+vuVzqmYs/b3/zUu +WMa2zN+9acJDFXw/dn3hpnAZy//+faoX5utGiws9ImXM4tHZ3q19cL+EdvR8 +/0bGXi3u8nUP5uX8mbOfY2VsxJ+7WQWYk6U7HvVOlLG7QcOCma8KnF0dv85I +kzGNlc9dAjHvernv8M4sGTveYtrmrn7Yj5o2H+KeL2N8SMo0R8xeUunvF8Uy +tn7Nij41mA8eLG1eUCFjmW2rvs5/hP2D+y39b7UyNj1id3YE5pTabBcthYyF +b/wR3N9fBZfam7cZ/0XGdDqdu+CMOfjy4yfzG2Ts+usuW778lxvCD25plLFW +2+6xVY9xfSIHbDzWJGOHuw3v+x5zvxn+e91bypkk5vk3vQAVRP744+3XTs6s +dk3L8cD81qCKi+gkZ8m9Up/9wnx6atvVCd3kzDhhxcUtgSr4tGZEbXYvOXti +V7UlHbNB4u+LZf3krG//PdMnBuH9+MR0nnSwnLml/Oj7APPuMQ+HfBkmZ38O +n/ve9gme5x0VWl9HydleHc1cO8zjdj3v16gvZ5UZ90KKMO8zzTb+ZSRni48N +d53xFPfnp/qdv0zkLG74i61PMY80yAlpZHI2IW+amVYwzrMGuq2+mcvZo9Op +/U5gjo/2sVXNlbMeY1b+qMPs8LBFuWyhnDkXVeUufIb7LbxpQ8UyOft+fk/o +K8zdXlh+zl0lZzsMGl0HheB+3xjgkrhOzorKz227iNl6d/zIV5vkbN4lzRki +Zocz1gX+2+XszSSv/mtCVbDNbPBFj91yNrp2eGM85l2zcsxO7Jczb/cXeaPD +sN8eoPt3q72cdZ7Kwm5gPrwiAxYck7NT8tRLTZi/77zgNP60nKlurNy+PRzr +T2F7C63zcmYzo3pGFubCjhrtv16Us5zPewYYP1fB0oPTU3OvyJn5vcZGH8z5 +u7dcCLsuZxGzz+drvMDft2zMjEu35WxYg2b4QcxDHJd+2+wtZ7ceermVYp4x ++pKfia+ctbMcsWNWhAoWhVyZ0yVAzhwaX8wMwQxTtWqrnsqZwp8N7PUS17tr +7qHnYXK2Zmnaz1OYd61yajz9Us4+Nq0skGF+Z1pkZxktZ1OfVocviVRBZeWV +ol4gZyFWey9HYzayWW1QGS9nA1v93DHkFf55rujwOFnO3MPOm7thrpvTJXRn +upw1X9d10FfMlqnbskbnyNmB9t6/1kWpYObasFKuQM5qXo4oTMRs9Mc350mJ +nC3fFPF83Gu8z/y/hm2rlLMPnadfuY05f+4G+0F1cjbxTZpt82gV5BXcHPxJ +LmcB261m7cQcbrQs/PJnOdPWqhmUi1lr3nxds3o5c43d+3vKG5zHRCMH8buc +/dz9s/AR5kXtU0N8fsvZ7t7OLzq9VYHO5pj4hc0VrOxD16v2mMOyUiIbWyvY +wgPeOysw9xjg5+yroWAwQM9izjsV9One3GBuFwXTT4sYHI7Z6uDdV3x3BfM5 +Mv1P7xi8D5qG9nTXVrBuuh8/OWF+u2/1Av3+CnY2yypCiXnlqc+rMgYrWMPx +mqvLAetR1W2TncMUbKvevl3vMK+10FS1HKVgBfk/LYbF4udZ0+HovXEKNtvJ +Wecq5uVp07P1DRUsamy3pu+Y9Uy3/4yfrGB6Jd5FG9+rQHupkbh8qoLdddF7 +mYJ5TIjNqxozBetg+NLdIA77xc++c+wsFOx45fTd9zDfCg199HOegvFuH2e3 +isf+3HVaqtMiBVtvvGrIHsyderSJardcwbLqapryMV+re7vbbZWCmXnsK56W +gPP+ld7STusU7Pm0Xy8DMJ94mDP0so2CDVE6X9P8gH//6f3hGtsUzPNWtz0O +mMOmGCrP7VSw1ub351RjPl8+d9+fvQpmr9LTnZ+Izz/g5vODBxVM6vXy7wvM +uecyX0iPKNiquWYl/ZKwP7kbvN/6uIKlfP0YeR5zO2mNIvm0gpn4rvL4jHmd +MGrAxPMK9nRh7R6rZKzvbIqGz0UF6/dr39xYzP1XJT9rf0XBLgf80tVLwXkb +Lra181CwpmUuzTwwL/1t1DPvpoLta9a99CfmRI+zn4zuKVhl8P1Xm1NVcKed +nuWNBwq2xHrk9Y+Yd9aUHhD9FCyudeReozQVsKAVcywDFczwudm8+5iDKud+ +9A9WsEfr04e2/agChabdn19hCtazg3VzO8y9404WL3qpYC6vaks/YV5wYvQm +n9cK9mOzXZRZugqiP467rnqnYLaav68/wVw1he2eGqdgxW9d9nXPwP7Kqivn +kqhg8227zz+OOShkbdesVAV72+PBsDrMnbILi3tkKtiYuJEtFmZi/7HYeLZ1 +roLd3xtZFonZL27SiruFCtal74zXA7Nw/7692LKoRMFOJ6V7XsCcVVC3uEel +ggkHre0EzJOetTBdVKtgmwbVzV+dje+/7Ga8s0zBcj/aDY/H/KOtteQNp2Dm +Dr9bjM7B+SDrr+9nlYK9HHqh3BNzQpnZjwFfFWxYTvfoP5j9ivJrFzQq2K2T +D25sy1XBuf0Hth/9o2DtRo3an4l57cqMkz7NlcyxMHLB5DycH0wf6iW3VjLl +2RkjfDBfLbu/jWuvZGv1M1pq5KtgwNfThp07K1l6qXXFAcyrzf9cG9NNyaZd +rIsuwWzvnnpiXk8lCzXaf9O8AOuh34NvW/oo2aDq3/ufYS6fN+HX8QFKdu3K +BcuehSrIWW3q6qGjZC2maOmdwjz76X5f/2FKdlD6oJUM89PerjMiRypZ7fVR +lYs/Yb90wdQ2YaySrZj+6s3r/3Il65FloGSJ3IxbOkXYP3aZP6toopJNupNx +4BLm+nY9flSYKFngrNULGzB7Ji4fXTtNyXqLdXrrivH+mvO+sm6Gkrne3986 +EfM8z65adRZK9mven8qxJSoY/ep3QtU8Jdv9/cLbW5hNE4zFkoVKVu6ndbtZ +KfZD+afu5i5VsoWLHx60xTyjo/Pb5JVKFvt71KIczGfdW1q9Wa1k44NejZxS +hs9/LXTH0/VK5rtiZptHmFfONlPd3qRk3VtkVnUsV8HtL/u4s9uU7FzI6neH +MQ/OFtfs3qlkX1dLbpdj3mdyZurSvUq2re2BQ7MrVDDcKeeq0QElK3zxZ1EY +5tlt7y/paa9kczZeHNW7Ep9v/L0zDQ5K9rpjj7ZOmHfYnRmQdULJRr5+WK3A +/HBqu+FBZ5Ts3tbRMcuqVNCyr/TOqfNK1rFb1J23mAuv5x9edlHJTsTMPDy0 +Gu8700uvdS8r2eedmYuvYP7yAjbXuyvZhl5rRn/HvMxn5EHwVLKseEnbjTU4 +n0WelVy4rWRmdgdqkjEPD3N6u8hLyZ73a4oZX4vna1lFffeHSqabcvHuXcy/ +9y+5lO+nZJ6He9i3rMP3IXE/4xmgZG10fJbsxux/c0X+4qdKdiRj9Jh8zF0P +WZ3XCFUymWNUu2kS/HyOC93fP1cy6+HmtY8xGz0XGw5HKllqbiZ0karg1Jjf +4cOjlWzK6TX3jmLe2GFIYsE7JQseLbWvwnzcfqD+2fdK1r/owNJ5MhVc8Q/6 +PPqDkl053zTmBearJQ7N8pKV7O941/b95Crgts/ZcvSjktmV96g7h/nF43Tt +PllKVuXqE8tjjmsW1+91rpItnTTGa6VCBY3Ksr0rCpUsvibqCGDepizq9KVY +yQzdzZeNUKrg2NW9P86XK5m/adbYa5gn2y8f06dayXrK12j8xLxpvvnTp3VK +duGGtG4Th/1r8jc7E7mS/TA7+D4Ns/BS90Qip2Q7Pzd5GfLY/8udMxaplKzk +rutRb8xesws2F9Qr2YLZPZe3+ayCvglpU1Z/V7J39T7j9mHWsxm0ouSnko19 +OKbDJ8xj9C+HWDcp2YMFryXTv2A9X5Jmkd+cY5qN5nFBmLd8u95vYWuOnfHP +8u6mwnly3cOxCe04Ji5Z63AM8/bCoGOTOnJsc5N0eS3mI/G2LQK7cCzvyUF9 +SwHnt2t33vfozrFZVn87RGLeHy++Ot2TY5EtL0kHiCrQl4+tlfXm2PCwnvEu +mBf6t521sD/Hbq/1va/C3OzpxKKwQRxr336so3U9zgMxhx9o6nLM8eXrFXGY +7SJP3twznGNKm1njRzXg/nDo8C5pJMfWds7u6Il5WIuCrgPHciwjeq3sN2bj +NbevHxzPsWnbZfFbv6qgwLej2QdDjoV1P/QgA/Oq9ry21mSODY796zjpmwoy +sut7b5zCMY/dl1Y+xDx6acmMoGkca9m7l0H771hv69d5fDHj2KEPvp0OYDY2 +mNh2wiyO1e0fKy/GbHh/wMODczi2ckB0wswfOG+UZK8Jn8+xpNRZD4MxuzzU +MOYWcmzykexjPRpxP0efnai7lGNBQ9ZZncRs3u7HUusVHOuTJTOQYt58Sufy +pVUcu3T8UOfFP1XQWS+mJnoNx36PaKaIwhw3xHmFdD3H9uRf+jD4lwom+BlV +aW7iWPmZXj6umM+oXM5P2sqxRWP9jtdjtt852WzNDo7FFo9dtfa3Cl6db9H9 ++C6OjXeJnvAB85+PPo139nLMd4JFl7F/sB+tyq6P2M8xrcpsxU3M4x0XNks/ +xLHzbusS/2L+vDW3f/URjn2bLPfZ0YT1+2K/+Q2OHNted+hENua21XXOLU9y +7NO1ZtYmf7FeOykzu5zh2NxpboZ+mDNvynV7n+PIwwnww8br3EAXjjycAOtZ +LK/jypGHE8Dbt+uGIZc58nACZPWyLBzkzpGHEyB75rgVfa9z5OEE2Fd7qLDb +TY48nADBWcVr297hyMMJUP+jf+2Pexx5OAFWbP+1S3qfIw8nQALTr8/x4cjD +CWAZ63D0zSOOPJwAS8xu/HwYwJGHEyDRaPrRs084dvSfhxNgz6jJqk3POPJw +AnRJM7FhYRx5OAHefGqdqv2CIw8nQDNDK70vLznycAKM/MSdiIviyMMJcKr0 +XKLHG448nACOh8qab4zhyMMJ0F4VMXbke448nACpb1LmC/EceTgB5tsUW71M +5MjDCWDm4r/EPoUjDyfAR0E+yfAjRx5OgHCnbe2/ZHDk4QTwMi5OeJzNkYcT +YJVhK9s1eRx5OAF6hvo1dCzkyMMJ4N/q6LY3RRx5OAGeh0x/t62UIw8ngIbF +u++dKzjycAJ8cnqmFVHFkYcToNY0u9vKWo48nAA5u2SqBgnHxv7zcAJsHf7i +mbucIw8nwNsAYbYex5GHE+DGrC0xMZ858nACuDmmdVkicOThBFhbJTWprOfI +wwmgM9Fx2u5vHHk4fN6VM3t//cGRhxNg54xWqY6/OPJwuF/6nlz05w9HHk4A +16EHAo8348nDCVCR5JP7owVPHk6A3m4Zmftb8+ThBNAbGH1P2pYnDyfAwSXD +Jq7W4MnDCdC5V753SkeePBy+3wsXso268OTh8P1Utsi635UnDydAxpk2t1tp +8Uznn4cTQKVaMWJ7T548nABPPr04lajNk4cToLxdjZdOX548nABN9o9PHuvP +k4cTYOm090OyB/Lk4QSY8vCb6xAdnjycACdaabw4oMuThxPgdGPM3ZhhPHk4 +Ae4YFk1vq8eTh8Pz49Hv0YJRPHk4XN+elvFXxvDk4QQw58d6p4/jycMJ0Han +s357A548nADf22kfNzPkycMJELk38KT9RJ48nABJO35PDJzMk4fD/cx/8isw +4cnDCZDXv2VC86k8eTgBHs2YflOP8eThBPiVuaL3QjOePJwAZZvbL9g3kycP +h+uVOGjM5Vk8+/TPwwlgy9m8CZjNk4fD/Vzv8TVmLk8eToAoXcfinPk8eTgB +Bnwv21FjyZOHE0Da4fwD1SKePBy+3/ETT/xcwpOHE6CTTVSz5st58nACrInP +GdFqJU8eToCLwQsaWq7iycMJ8PA+b9NsNU8eToC9c0/YNa7hycMJkO4aP+DL +Op48nABf917YVbWBJw8nQJy+54osG548HK6/SWjJm808eTgBCof4/vbbypOH +w/WMNHp1YTtPHk6A40oDjZ22PHk4ARwUmxpm7+LJwwnAdbx6SGcPTx5OgHel +py827uXJw+Gfa7UwSLfjycMJUOJTutv7AE8eTgBfn+LJOw/x5OFwPbYkek6w +58nDCWC/e+epxiM8eTgBWu679v2NA08eDtd3eZvfjsd48nBYTyZ6Xpp4gicP +J8C0bW38P5/kycMJoLV1xGy/0zx5ODwPig92y5148nACnKl6MqjFOZ48nABt +dvmtCD7Pk4cT4EDpsc7LXHjycPg8sc3mfbvAk4cTYERRU5ubrjx5OAG295tp +buDGk4cTYHDU3WZpl3nycAKEaOaabLzKk4cTwOX9a0Fw58nD4Xny7qd72oMn +DydAUGhKloYnTx5OgAsmV79du8GTh8N69XqKZ49bPHk4AdIqvQNv3ObJw+F/ +733OoNtdnjwcPk9P0L90jycPJ0CH4H6+zbx58nC4n37sPn/gPk8eDu/HnFNF +lQ948nBYz+YM9Z7vw5OHE2C2/5jM5748eTisF3a2e3s+4snD4f22O8jB3p8n +DyeAx62YLzmPefJwAvQY45g5KpAnDydAX/9nvc4E8eThBGiMGJmU84QnD4f9 +w6L40kHBPHk4AST+c612PePJw2H9G+Zu9DyEJw+H5/e0rf3XUJ48nAB1DwK1 +jcJ58nACvAob1Hf/c548HH6egf7Hg17w5OHw591sb1oewZOHw/rRSmdVl0ie +PBz2HzfiMk1f8eThcH9Hgc+2KJ48HN6H/T5/dHvNk4fDehOhszg0micPJ8Cu +FwYjM97w5OEE8HSvt5a/5cnD4X5oPaW8WQxPHk6Au10qXmoBTx5OAJsvLyt0 +Y3nycAJU691cPf49Tx5OgKsXVg41iePZ238eDu/v3hnTWDxPHg7rgX6e//QE +njwc3mfbp1tP+8CTh8PzdU9hNTmRJw8nwMaGJw/HJvHk4XB/l1kbDE7mycMJ +cKV9VgfNFJ48nACPD0lG/cKs9nACLLexv1adypOHw5+/2npKYhpPHg73l5Ht +iMcfefJwAnTsdNrKKZ0nDyfA3LlHk1Zn8OThBBh6d/SRcZk8eTgBZv48srVZ +Fk8eTgAhxOhaOma1hxPg8hyzxpvZPHk4ARS+9l5rc3jycLhf6sIdBuTy5OEE +4M9lXi3FrPZwAgwzCy67mceTh8P9MmLoJst8rGf/PBzuL89e/ZoV8OTh8H7S +t9EIxaz2cNi/ihWjVhfy5OEEaDf4wKnmn3jycPj3F39r6Y9Z7eEE+LZtfpR5 +EU8eDvuRW4vvVWJWezi8L3bUPT1azJOHE6B5qFzWsYQnDyfAn2tTl3hjVns4 +AUa7vq/RK+XJwwkwftPKB88xqz2cALqTypwmlfHk4QRo5TDpchRmtYcToJ/S +4p1ROU8eDs/3I75rKGa1h8P3q9nZVbeCJw+H/e5nV92bmLf983AC5C+bXdmy +kicPh+vxZNjbPZjVHk6AGS86vsrFrPZw2D+fK8s0quLJw2E/73qitSdmtYfD +/vRS9srPmNUeTgBNv5g482qePByej1kj59zCrPZwApxjP+vqMKs9nADbXmnd +06/hycMJsGX0xm1HMKs9nADxR+ItojGrPRyuT/rQKY2Y1R4Oz3/03hmGtTx5 +OKwPI53X7MKs9nACLNy0/Px9zGoPh/dti7iYdMxqDydAgEZUm0bMag8nQPf8 +gWsH1fHk4QRo6FPzbiZmtYcTYEy9cuRmzGoPJ0D/XF3fk5j7/fNwAmhfPapz +E7Paw+HnWZ3zJAiz2sMJ0Cu1v8lrzGoPJ0BylkVmAma1h8N5LN7Y9iNmtYfD +825d0yYLs9rDCXAoSD8gE7Paw+F9OrbL3DTMag8nQIsPu5VxmNUeDueXZ5Mu +R2JWezgBnrmtH/MYs9rDCVBjm5V6DbPawwlg/fz4FgfMag8ngP7kZY1rMas9 +nAATJ5hfMMWs9nBYP82ma2pjVns4AY5un+z+BddT7eEEmNR1QNt4zGoPJ8Co +bjUHPTCrPRze948d8tdhVns4PN/780YOxaz2cNjvn5EckOH7Vns43P+WfsEB +mNUeToAHkU0FmzCrPRz2r+15VW/Mag+H9efPpsaPuN/UHk4Ao8gVX45hVns4 +AZTvo7OHYVZ7ODyP/S88SMf9rPZw+HzjI6zsMKs9HPbD+UaNnTGrPRy+30Wt +zgbheVF7OOyXlvdqYJjVHg7rQZvt83Pw/Kk9nADOjUqXjZjVHk6AMIMHgQo8 +v2oPh/f3MMdgO8xqDydA6Rt7DxHPv9rDCTBw/lVrO8xqD4f150FMMwXWD7WH +w3n4+1/nDZjVHg77udaLlFlYf9QeDs/nl4DR0zCrPRz2v4vazgvA+qX2cAKM +m7RzRkfMag+H72t0htYerHdqDydAt1HjY1OwPqo9HK5PwLWZOpjVHk6AOW6f +ve2xvqo9HO4/09kZiVh/1R5OgGjPe7ndMKs9HP48uTJ4NdZvtYfD/qSdsfV9 +rO9qDyfAItNzhWVY/9UeTgCLOZnDemNWezh8f7v6WSzG+0Pt4bD/3rTX8Cze +L2oPh/fLlmRVON4/ag+H98vwsY6leF+pPRz+vHK/zBaY1R4O7zuTsSpdvN86 +/vNw2K/tyimegfef2sPh/Kpz88pavB/VHk6AZldPaRzA+1Pt4QT4aXPD8ize +r2oPh/UtvXylO96/ag8ngPH5zUPv4H2t9nDYP8GoKG+8z9UeDu+bidM738f7 +Xu3hsJ8Z/mTYXewH1B5OALtlB5t5YL+g9nC4vs0e3z2P/YTawwlw/9eChkPY +b6g9HNavGwc7bsR+RO3hcH0Dh1ZbYL+i9nDY71zfd0gP+xm1h8PnZ+vj2mK/ +o/ZwAvj0/Ztahf2Q2sPhfTBk4+VX2C818/nPw+H8XnS13UXsp9QeTgC2wHvK +Suy31B4O+8ket4cOxH5M7eGwvq1y/1CD/Zvaw2E/mXyzz6OXPHk43B++b4ds +xH5P7eFwnnzevbIX9oNqDyfAkWUhC9Owf1R7OOz/9zzY6xjGk4cT4PrRn9OG +Yr+p9nAC7D6ZG5OG/anaw+Hn02b8Huxf1R5OgK77l6Z0eMqTh8PzYTFkqT/2 +v2oPJ4CBNMnZBPtjtYfD9zXJalsa9s9qD4f9a6jsixX212oPh/3yak+dSj+e +PJwAB0sO/NmM/bnaw+H62nqfqnnIk4fDP88ZE7ge+3u1h8P1OTHNPh/nAbWH +w89vq5DN9uLJw/13P1r+fYnzhNrDCWD13Slq4B2ePJwAXW4Edj+P84jawwkw +eVdJFwnOK2oPh/3dMePgGTjPqD0czsebqqR3cd5RezgB/FaL7z/jPKT2cP/9 ++5Gb8TScl9QeTgCTU5mWF3GeUns4vP8mlf3JvMSThxMgsXfhnG44j6k9HPZL +xyvGLMZ5Te3hsL+w6h180ZknDydAoFlgXAzOf2oPJ8DmI2F2X3A+VHs4AXoW +rnzZ9wxPHk6APTWxV2ee4snDYX8fr/V3G86fag8nwPRZDi2ccT5Vezi8X4t7 +3HmI86vawwlw9n63uEicb9UeDu+DpbePJx/mycPhPLYxKyn/IE8eDufjklrf +8v08eTgBNp1p1qlmH08eDut79MLWNTiPqz2cAE63f7mW47yu9nACLC6d4J2P +87zawwnwXtCdkozzvtrDCZAp/t4cuZUnD4fzQ4Ci58PNPHk4Adwv9F923oYn +DyfAhrcfem3bwJOHw36/r9aWGet48nA4T4wzmdRnDU8eDuuN0U53fhVPHg7r +X5/CvW9X8uThsN6fjch2/u/fR/55ONw/iROjFizlycNhv6ryGNx5MU8eDu+L +hKaOaZY8eTgBBr0Lczg7nycPJ0Boh8ptk+by5OFwPe4m5EosePJwAph3ugXX +zHnycPh+3rvrTZ7Bk4fD/ZKl1CxmPHk43F9dag4dmcqThxPgZafnyzWn8OTh +8Dz3vRH6aDJPHg7rm3nKOcOJPHk4rG8r3HJhAk8eTgCN4n73LMbz5OHw/A2I +Lk4ey5OH+28ee3TNYjRPHg7v16q+AHo8eTisvwmbNhkO58nDCfBd/43jI12e +PBye35k72mrq8OThsD5WPGt7ZCBPHk4Ah+Asx6J+PHk4AYL69tw4qQ9PHg5/ +39aESPdePHm4/+5TnZN1Wjx5OAFurzn60qgbTx4Oz8POzmvPdOHJw+Hn6zt2 +f3JHnjycAKL34AYNDZ48HN4XnyfUzmnLk4fD9SsKm+7UiicPJ0DV99p2r5rz +5OFwfV72N5U2ceThBMiwDCrq9psjD4f3bUZBpXEjRx4O+5/e3xav/caRh8P1 +rrLSc6znyMPhPB9qaXtdxZGHw/ulVk8zkOfIwwkwIYL1j1Jw5OHwvI2quRov +5cjDYX82znZ7ai1HHk4A2Ynhfh+rOPJwAvwNPTQjtZwjD4f1zTjQPL6EIw+H ++39Hn6BXnzjycPj7d+nuC8jnyMPheV8w6KZHDkceDutrw47+DpkceTjsx0av +brXmI0ceToCvDxbPm5zCkYfDel0U9FkzkSMPh/fZ7ARVbRxHHg7XZ+rfRRHA +kYfD/lcV3eHUW448HPZ3J1YNmfWaIw+H9VtqfLNNJEceToAU7bjN8c858nAC +ZNcuv3QslCMPh/N8W6uO44I58nC4H0eMlZQFcuThcB4qnq59wZ8jD4f16d43 +nzG+HHk4AVZ+euWUcZ8jD4f1mONf77zHkYcT4PfglrNb3ObIw+E8FLFJ74Yn +Rx5OALfMoxuHXOPIwwlgdv25MvgyRx5OgLbdTqaOd+XIwwlgumze73Bnjjwc +7r9lLqfHnOXIw+F81vbxykenOPJw+PuttU/2PM6Rh8N5bdySb2ePcuThcL9v +SYjhDnHk4QQ4nScpWLyfIw+H9WHp2GlhezjycLgfw7o2aOzkyMMJ8ATa/di4 +jSMPh/2Jpdvc55s48nAC9BjZU9a0niMPh+dx6OBcizUceTi837vrabhaceTh +8LwlP3FLXsaRhxPg9WDd1S0Wc+ThBNja1P3ApAUceTicHy1+5m6fw5GHw/5N +anXqujlHHg6ftzTkYPR0jjwczj+6TmGlphx5OJyHM1YY/ZzMkYfD/dcQ0ry7 +EUceDu//d917DB/PkYcTwGtdh30Tx3Dk4fA89x3QxUyPIw+Hf98yv8FiKEce +DvudNW8GzhnMkYfD/v39mqvm/TnycALs7TRzpmlvjjwc1vO6JpNxPTjycDj/ +thlg378rRx4O7zcz/YY2nTjycAJEnkuMULbjyMPhehcceJXWiiMPJ8Alq+Rf +j5tx5OGwP5+95syJ30rycALkN38/e9EPJXk4rKfN3Rf2a1CSh8M/r9pwo/aL +kjycAG/Xp/QNVCrJwwkw2Gpb1Tapkjwc9gvp2XWDapTk4XAekQeMKChXkofD +efTH+SDnYiV5OLy/92rsMChQkofD86vM21yUrSQPJ8A1Q2evY+lK8nB4H0yN +7do7RUkeDvsZ3WHx4QlK8nD/zWNrw2bFKsnD4bz5pW1R3hsleTicL35mmm54 +pSQPJ0DIhLUltc+V5OEEmPt0RcTWECV5OAFiHm1LqgpSkofDenHbRMvaX0ke +DvuRiSfvpj1UkocTIHdrhbWxl5I8HPbzFp2W+t5SkocTYJlBlFOb60rycALU +xF5Tbr2iJA+H5//DArfYi0rycHj/L/He0vO8kjwc9vORkw9tP60kDyfAPpf8 +6BfHlOThsB7eGTX5l72SPBzeD60alFMPKMnD4fMPk+cf26MkDydApV/i14gd +SvJw2B/tmDtfvllJHg77kaBxBdoblOTh8PNLJt+cuVpJHu6//98y9JLtCiV5 +OAFeZERFuC5WkocTYMfPiG4B85Xk4fB+G1rxKMZCSR5OgDNWf3ZmmynJw2E9 +5Au2VJgqycMJMPaWoYdskpI8nAB9VleKnIGSPBye/+v+ztwYJXk4ARy/L7aU +jlCShxPA5WaYRdkQJXk4nG+Lrx/IGKAkDyfAcLe0rOjeSvJweP9l6dn4ainJ +w/03zzsNOd9FSR4O34dLUK/NGkrycAKkjbSfatpaSR4O78ME8NRs9n8PJ4D+ +9g39K38qyMMJ4H95XOGTrwrycLhefTrG2akU5OHwfa7JKRuvVJCHw/VoZzPy +c52CPBzWh9m3A/wrFeTh8P09s16xqkRBHk4AQ3ZhQpsCBXk4vP8PtJsRmqUg +D4fzuH7s6WVpCvJwAkz5dPOL+EFBHg7vu2mHr1yOVZCHw/0TOmvdkDcK8nAC +yFX1ayJeKsjDYT0x3+M6PUxBHg7r8/aHsqQnCvJwWA9unLCf568gDyeApeu3 +CckPFOThsN44NQ0yu6sgDyeAxTTXqS89FeThBLjb3P2i7lUFeTisN7fatr56 +UUEeDufrJYrQhrMK8nBYTztMcF1xUkEeToDw0eXXw48qyMPh8wfKP7Y7qCAP +h/NhsxVGa/YoyMMJYGsxOD1wu4I8HD5v/yWego2CPBzOX+9krkZrFeTh8DwJ +fNihlQrycHhf9t3RJnSxgjwczrcTN16qnacgDyeA/adK1mOWgjycAE/1vw0x +YwrycAI87xQyaYexgjwc1t/SXsdcJyjIwwmwINj8c8AYBXk4AYTRs6/FDleQ +h8P+KGLClrzBCvJwAnCmg7bX9FWQh8P+b6fuXb6Hgjwczquu1n/ELgrycFh/ +bxS717dXkIfD8zsuYfmXlgrycNi/PzOcK/kjJw+H56fngr2fvsvJw2G/O3B0 +wgdBTh5OgAGTfswLUcrJw2G9OJnfwqNOTh4O612WQmlXIScPh/U4dmOb+UVy +8nDYbz6wWTooV04eToCTjr2zVB/l5OEEaDcg8tTbRDl5OPz82tttzsbKycPh +vBW97vCsaDl5OAGSeqe/aREhJw+H85uWwvDNMzl5OAFuLFRW7g2Qk4cT4J5x ++9j+PnLycAIou57MSborJw+H/WX1ee09nnLycDgPuaz36HRFTh4OP1/o7OlB +LnLycLg/ip10pp+Rk4fD/njTMuMcRzl5ONwfR5vObTgkJw+H9WhYWmvZHjl5 +OHwfS1u83bVdTh5OgI1xnwMUG+Xk4bA+9ClL2bJaTh5OgLLqvjrFy+Tk4fB8 +nv8VNs9STh4On3dZhn2khZw8HO5vZ9nB/tPl5OEE+Gn2MPCUsZw8HPYjNyx7 +lBnIycMJEH9iXrTRaDl5OPy8CvHWxaFy8nACrIt+FPJpgJw8nAAX4qFJR1tO +Hg7PV5b/hR1d5eThcH56HL0gSENOHg779RZb5te1lJOHE+CET/vz/f7IyMMJ +IDHt8XPhNxl5OPz57uKT419k5OHwvljT5ba/TEYeDufHjSXvU6pk5OGw39yd +PEJeLCMPh/f98nnpLfNk5OEE8G0sCO2dLiMPh/3fPEnWyEQZeTgBus4W9SeB +jDycAHnNjmRNi5KRh8N57L4YahYuIw+H/dm6ukz2REYeDuvH9Wx9Yz8ZeTgB +hr6YkjvGS0YeTgCY/+RV/xsy8nD4/B0fl7e7IiMPh/sv8fWcL84y8nACHLM7 +8C3rlIw8HJ6/YRtkz47KyMPh/Dqm60Dn/TLycALEfut7z3qnjDycCEMG91g3 +YrOMPJwIdY6eW8U1MvJwIuS2Mnz5armMPJwImxuuLjhqKSMPJ0L3SIvRhhYy +8nAiJH75sVw5TUYeToRhZ+cmeU+SkYcToYN72dn5+jLycCKU2a+91DBCRh5O +hNYl+8pvD5aRhxNhqX/8icl9ZOThRNjbps3O3G4y8nAiWDpI/Ww7yMjDifDw +Q5/Rv1rKyMOJsLzFipYXfkvJw4nQ/LblSM2vUvJwIuyfGeNznZeShxOhj/bm +nd0kUvJwIvg5/TznVi4lDyfC9vmzxOaFUvJw+PPutHlxIFNKHk6EbLFlcnmS +lDycCB4zWxtYxErJw4lQU5InBEZJycOJUHGItWsbLiUPJ0KEgZb9xiApeTgR +Jgfomr70kZKHE+FSnvma1nel5OFEeDFuft5iDyl5OBGYn2bgLVcpeTgRFNfs +CoucpOThRJhbNGdzr2NS8nD4/HkOCxYflJKHEyFgveT6uV1S8nAidCs4aBKx +WUoeToTdAzTMKtZIycOJ8N7vemDr5VLycCIMWvdn//AFUvJwImzMnHjf3FxK +Hk6Eki4GY9eZSsnDiWCcVKOz31BKHk6EsYYzT5weLSUPJ8L8sfOmXdKVkocT +IXXE123X+knJw4mw1mjmDw8tKXk4EZraTKy/2lFKHk6EnIbsVRdaScnDifD1 +d/+Rx39LyMOJYJM0ZMeuBgl5OBFSAr90X8lJyMOJcMbffaxprYQ8HO7/Q9qv ++5dKyMOJ8ObMvYifuRLycCIYiPo6uWkS8nAiJPiLLQLiJeThRAgz+WNl/0ZC +Hk6Eg5p2OmYvJOThRIibt2dz26cS8nAiuOb17pfiKyEPJ4KwydvS+a6EPJwI +647qNE7zkJCHE+HY9oKB9Rcl5OFEWLJSHud7RkIeTgQLsys1lg4S8nAiTGv6 +6dRgJyEPJ8JTtsP35g4JeTgRnt3qOtNwo4Q8nAhjjhltS7eSkIcT4a95j06b +FknIw4mgYfx1smghIQ8ngp1LP+WJaRLycCJEL8rXbT1RQh5OhI6PdktdxkjI +w+F5dTMwbDNUQh5OhAvtHTue7ichD4f1QvvO/q/dJeThRGj3SrZlWwcJeTgR +ztUkSHNaSMjDiZDv5/bL5GcdeTgRCtr4+twX6sjDidBmxM6SP7I68nAiFBtY +PllVWUceToSe2yK7hBbWkYcTYXgvrU7NM+vIw4lw/GWh38LEOvJwIojph/Nv +vasjDyfCuM7b/Eoj6sjDidBrzpAu/YPryMOJoH94VB9rvzrycCL0jf77wf1u +HXk4XM+duloJ1+rIw4lgqDG4Tf2FOvJwIqxecdq7/+k68nAizGFZJTOP1JGH +E8Hqh0/c1r115OHwfKguLju7tY48HO6fsoHuXmvryMOJkBnV5BC+rI48nAjn +UxK6xc2rIw8nwsBH3ddmmNWRhxNhwTh3q4LJdeThsD4EVLcpHldHHk4EU9/I +XUXD6sjD4Xnq9sYlr38deTgRIuf7rk3TqiMPJ0Jyax3uXYc68nB4n2ysnxTc +oo48nAhBshyzm4215OFE6HLndIfjqlrycHh/VMHNddJa8nB4fz22qjMpryUP +h/VjfrfG7vm15OFE6B32LleWVkseDn/+xFFHXsfVkocToT55XN3517Xk4URQ +FvsNXBhWSx5OhMq9m0d0C6glDyfCnt4Lm+d415KHw/rSenbwZc9a8nAivPtu +OGrWpVrycPj7lrU4/eNMLXk4EfYN8A4OOFpLHg73c8vvz5fuqyUPJ8KVVa1v +NG6tJQ+H6z34/XKvtbXk4URYpDW8wWRZLXk4rGfekw7nz60lDyfC59pvRbum +15KHw/qfuVu3aWItebj/1t9/pduYWvJwIqz5HnKgp24teTisB+Xejl59asnD +ieD54YLdgK615OHwvF90XenVtpY8HH6+ivdjezbVkIfD51+15NelhhrycCJ8 +2bYh5o+ihjwcnoeNPR13VdWQh8P79+wj/fzCGvJwIkT9mVJrklFDHg7vQ67b +La+EGvJwIszcsnn+z+ga8nAinDy4q+Xy8BrycFivh+95HxTw/++Hw/1+LcTl +l/f/vx8Oz1Oqw6q5nv//fji8L7/1m3jd9f/fD4f1dL5Cp+j0/78fTgQnrcmD ++x75//fDiZAWuXGC9Z7/fz8c3v8v49Zc3/z/74fDfuVVrFeK9f+/Hw7Pk+v7 +H78W/f/74UQ4NUL/wEiLGvJwIpS+O9Zhhen/vx8O+6GnvZKOGfz/++FE2OB2 +LOD+iP9/P5wId6cMCX034P/fDyfCkcIrlZ+0/v/9cFhvk2ZMU2n8//vhRCiP +SUpt2fz/3w8nwssPTy50/15NHg7fp/EJx4F8NXk4EWS5eb7Da6rJw4mQFTq/ +1eiiavJwIvhYnvYZnVlNHg7fz+0eJ/Q+VJOHE8FW+fyOzptq8nDYP/Ro9bNX +eDV5OLz/Nr5+2D6gmjwcrpeni/t3r2rycNg//h7+scqjmjycCEd3r1qZfKGa +PJwIreaUjH96spo8nAgTzx2xcT1UTR4O33fID+nWndXk4bAeimOTp26sJg8n +gvuAL626rawmD4f1O6CbT/X8avJwIviGHnkQalZNHk4EvA1aOkyqJg8nwtYF +NzOmjakmD4f749WQ1s2HVJOHE+H16rtBoF1NHk6EoRqqGMfO1eThROi6feAc +g1bV5OFE2FU3fI6ksYo8nAgm2Z3ibn6pIg8nwoDlxVEz66rIw2H/6XhvHF9c +RR4O36fJFr3rWVXk4bB/PbIgyCixijycCKva7X+e96aKPJwIO2PqZ9uFV5GH +E+G+7a/d7QKqyMOJEFP+Qtfbq4o8HD7/H8sDYz2qyMNhvx/xd9VblyrycCKc +aN+q1OJEFXk4rI8D7jWlH6giD4f9qZFGwpIdVeThsF5G3xuXs66KPJwId2Y+ +MF+0rIo8nAjeXrZtUuZUkYcTIThl+VE2rYo83H/3baz38wlV5OFEeCt2cdTR +qyIPh/V+fojm1QFV5OHw/jLV2PqjexV5OOynZ9x2XN++ijwcru/knOXvmyrJ +w4nww3rS90ENleThRDi0aMHWE/JK8nC4f8fd8y0oryQPh/Xjwovno/MqycP9 +17+NvHMqpZI8HJ6vBXetM2MqycPh8672+943opI8nAixBkr7rUGV5OFE6K/Z +v+Tp/UrycDgfpMqHf7leSR4O16+krc24i5Xk4UQYtX2C6+6TleThRPg2fWzA +44OV5OGwX8SSWLajkjwc9rdxL9O6rq8kDyeCPLq6eMaySvJw+D7DBvJ2cyrJ +w+HfnzO/jdfUSvJwOM/8nTsywaCSPJwIbQ3arJMPryQPJ8LpgXa+HfpXkocT +oajhRNPIbpXk4bCfyh51eHbbSvJwIoz2/q4V96OCPJwILTty575UV5CHw/Nl +sGRGr/QK8nD4fHaLVhi/qiAPJ0L7ZX0TV/hUkIcTQer91Wv3pQrycLj+60dV +nzhcQR4O+5PvPe5f3FBBHk6EZfp9i67OrSAPJ0L1jGf33CdUkIcT4YBi3udL +/SvIw4kw+MCpojNtK8jDYf2Lr956QCgnD4f91OHeXutKysnD4foW552b+aGc +PBzup665I3RDy8nD4cvRrHD/e7ucPBzu79dZH/KdysnDYT2occt6vLucPBzW +172tow+sLCcPJ0L4YuNLxtPLycOJ8MHf0PKXXjl5OBEcYzTbR3UvJw+H9Va/ +IWnfnzLycCLwtd2u60jLyMPhedULOZiVVUYeDn9frNZBh+gy8nAiNHK+d/s/ +KiMPh/ONS1z928tl5OFE+KmhdLE6UkYeDt/nY5+N/MYy8nB4/81zcDk5r4w8 +nAidYrq16WBYRh4O778n/SQe/cvIw4mw4tmy8T3blpGHE+GPrZPgqSolD4f1 +6/YZ/S7FpeThRHjUa/KPc/Gl5OGwX/59d+m34FLycFgf/Z7N3XyzlDycCDvi +zkjTTpWSh8P1Pjppor5tKXk47G/W/2HuS0vJw2E9vavZhZtSSh5OhC2f3z6b +ObSUPBze12lrh93qXEoeTgSVjfVZyfcS8nA4P0eMzBxfVUIeDtfzsZWWQ2oJ +eTic17Ts1719UUIeDs9/6ciXv7xKyMOJ8PC73rBJziXk4bBeXpz4Zu++EvJw +ON8IOqd8V5WQh8N+qjzreI5ZCXk47Fc2jIemkSXk4XA/fzdfMlyrhDwc9sPP ++k5b8KeYPBzOf7r57nskxeThRFgY+HD5pcxi8nBYv8zeefpHFZOHw/2e5rD2 +rU8xeTjsR1obvcp0LSYPh/eh77qwioPF5OGwXm86sIxbW0weDuvvoBbBX2cV +k4fD/lT59MOvscXk4bAf7zowuKlXMXk4nDdN++5qalZMHk6E9afsOv+SF5GH +w/20+69PQ04ReTisF3qvxyvfFJGHE6H2zIOU8kdF5OFEWNyUcDjzchF5OBEa +GhbMeGdfRB4O59GHu6YEbigiD4f35fhte9znFJGHE+GjzKP28Pgi8nB43w+1 +fraqTxF5OJx/j4/Pm9yyiG355+FE0M3039qT+0QeToT0dUv2CnmfyMOJYF9W ++TPl3SfycNj/X+6m/fDxJ/JwIlTNj8w5ePUTeTisl8HPJs06+ok8nAglHbll +WjafyMOJcOOJvWHV3E/k4fD+e71F8tTgE3k4ES4fqbA/1PcTeTgRnljo1Ju0 ++kQeDvszcNzxlyskDyeCddKc2vf5heTh8L5+0nTQKaaQPByu17jBQ80CCsnD +idA8eHbrpquF5OGwvg7up/P6aCF5OOzPF665eMCmkDwcfv6kvDkj5xWShxNh +peauvZUGheTh8LyHdG93o28heThcr1mFQ+a0KiQPh/Phk+zMRq6APJwIz/+O +7/Mkv4D9/ufhRIAkk67WMQXk4UQwaj4jvk1AAXk4vE9Mw6e9uFpAHk6EtZGt +PdYfLSAPh/P87qy0djYF5OGwnk2O/Bk+t4A8HM73sn1jVhsUkIfD97My9UDz +vgXk4XDeOPu4KKBlAXk4XO/gRjtLLp88nAjjd6TPFvPyycPh/fCW7bn5Lp88 +HO7PyWdVxo/zycPh+exWUVl6JZ88nAiGxqGWp47kk4fD++rwFovBG/NZ538e +TgTN0+eK4+bkk4fD+bTfk95bxueTh8P3M+F899Z98snDYf/AFxf6t8gnDyeC +88fz9hbKPPJwIiQlHf4ryc0jD4fz2dYwJ5e3eeTh8D4pWKw5wj+PPByuv+aF +qOTLeeTh8D5Rel2ytc8jD4fzjjF3R2NDHnk4rA91svqns/PIw+H77NzviaV+ +Hnk4ESYMHpr+RTuPPBz2q99e7/FonkceDteXf+xlpMglDyeCf4fMnUU5ueTh +RJihNVp+4k0ueTgRbgdmjBjyKJc8HM5bm8tNUtxyycOJsO3bHX27w7ls+T8P +J0KihVVf7fW55OFE6HPpbMf3Frnk4bA/2OHXY9e4XPb4n4fD+0dz2Zye2rnk +4UQwWG8RGdcslzwc9idf5+y3k+eQh8Pft2HapYE5OeThcH1H6vTMis4hD4f3 +Qdt2uk5+OeThRNgk75tk5JZDHg77MR3frspDOeThsB9z/t7Dd10OeTicb85f +k6y2yCEPh+drYdONHuNyyMOJkPq5dmJOrxzycPjn+1qXujfLIQ8nQr8fk28v +kWeTh8P9yO0/qZWTTR4O61untz5F0dnk4UTo5WXaw8cvmzwc7vfDBtxOt2zy +cPh8E78ZTzqcTR4O++91P7u2WZ9NHg7r9c40l0KLbMb983C4X5u6hD4dl00e +DvuRZhfvOWlnk4fD+wi62qxtnk0eDuebzg+7GyuyyMNh/77HPEk7N4s8HP78 +USPdf73JIg8nwlSnW05Vj7LIw+G8HMs/T72cRR5OhJs7g4xe2WeRh8N5bfWm +gQEbssjD4TwsSzt3d04WeTh8Ho2XjtfGZ5GHE2GMY7uebn2yyMPh/VX4cZdr +yyzycNgP3Zur07sokzycCFfs7k8c+jSTNf3zcPj73BYy4xOZ5OFEiJg+d/uK +RZnk4bB/1n5V5DA4kzwc1ie9n+8f12eQh8N6sDJkfumHDPJw//37XpBvn9sZ +5OFECD36W7TZmUEeDs97o7DjuWkGeTjcX7M1x3fskkEeDvdrnvbRfVXp5OGw +X3jWblfpi3TycHg+07UnLXNOJw+H+31rTPu8Venk4URwG/9Uc8OodPJwImh/ +SHdo+PORPBy+j5IlBz2zPpKHEyEk4OEo5veRPJwIDsNtshsOfyQPh/XnwNHr +L+d8JA+H/UpHDZ8zfT+Sh8P5yuSonvXnNPJwuD8Wb1lr+j6NPJwIh9uF2o7y +TCMPh/dZ4dEzw7ansZv/PJwI90x18saYpJGHE2FdvwUeZp3SyMOJ8EvzE2dT +mUoeDv/7OJnW1Rep5OGw/5xaPSXFOZU8HL7f2Tuva65OJQ+H+zt6h+XWMank +4UQo/NTWK6lZKnk4XI/AiPBJeSnk4bA+zh34/mVACnk47KeWTfljdiyFPJwI +riOn3yldmEIeDu/f9UHJ53VSyMNhP9liEkz9lkweDutbx89hrVKTycOJkPJM +O6fYO5kF/vNwuD/DLaxi9yeTh8P+v9jy8MtZyeTh8HnYPJvo3snk4bAfenZ/ +cSafRB4O63/zr0cb3ieRh8N66zZNc+TNJPJw2O/vj1hgtzOJPBy+77aftiRN +SyIPh+/X5ODVcd2TyMNhv8PdbhkkTSQPh+u92v/HhLeJ5OFESD4x7XGWeyJ5 +OOyH7Zabn9qaSB4Onz9rcIfpJonk4bBe642e0L1LInk4vI+XmX/9UfOBPBy+ +jzJnVyHqA3k4PD/2a0f/ufyBPByux0JHrX6bP5CHw/N6tvr4wskfyMOJMMKt +9oFnpw/s8z8Ph/PY3f5Rn6sTyMPhfN3Jodm6qATycHif5etHVFxOYNP/eTgR +mtWldz6yOYE83H//fj5iwRDjBPJw+HlH2AbWdk4gDydCU+d2G9/UxpOHE8H9 +ZEZoQHQ8eTgRzNMK8oLc48nDiWBV6tYxbls8eTicZ7xCPFWm8eTh8DzYLnhq +1D2ePBz2Qy4pV67J48jD4fzlUXqiVWwceTh8/+LVV1duxpGHE6GN5Yxj+nvi +yMOJMPz343aKmXHk4UTo7pT44E2fOPJwIpT9bTrtL7wnD4f1LLgVH5D8njwc +9p/zqnXjH7wnD4fnx7fR9rv9e/Jw+Pt+t2wyX/iePBz++Y1uQ4KHvmfO/zyc +CFkDr/Yd8yeWPBzOn8amI5PzYsnDYb/LGXmcCI4lD4frNVy8aXkuljwc9tNT +zY5PXhtLHg77/W0LnacZxpKHEyHe+GHjho6x5OHwfW4zmGiSAuThRHhho9rA +OQB5OBGMFeG/CkYCeTgRLi63vfGzJIY8HO7P1ITXVpdjyMNhv7ls3LfP02LI +w4lwtSL7U6zqHXk4EcYu9VSl+74jD4fnz31Aes8V79jtfx5OhInuRU332r4j +DydCf1tVz/XRb8nD4Xy8fUnAuj1vmeKfhxPBc1DojHuD3pKHE+H6xTPHe+W9 +IQ+H/VCXtvIclzfk4US4EDqrf8aUN+Th8Hl7dgxtr4omD4f16Y1o6Pwomjwc +/vy/ay3MraPJw4mwYLHjMfPO0eThcB6ZN3uPS/xr8nAihJdfednJ4TV5OBEe +Oyg/F459TR4O76tRyRGS2ijycCIMybfxM7kXRR4O12NJslXekijycNiPBlTs +i2gXRR4O64WJjWsJvCIPh/vzZ6nFvCOvyMPh/rkXPav5uFfk4USQpJuMaC6N +JA8nwvf9R93nPYgkDydCYKHt4HKrSKb/z8OJENZYdfKtZiR5OBE+v71mKUl5 +SR4O98v49hPWnn1JHg7rRUFU/oCpL8nDifC1Qiwd+z2CPJwI4jFO5RYeQR4O ++4+BEG28O4I8nAi/s21rjYZHkIcTQc8hR9up+gV5OJyPRie1HHj/BXk4nH/O +/13SafUL8nA4r33tH27Z8wV5ODwfA8MzK3Oek4cT4dxoo3VJV5+Th8P7d2QP +jRaWz8nDYT+4O9jlmsZzNuOfhxPhbO3sC/bJ4eThRHh1ZTiEO4eThxPhWtap +ypmzwsnDiaCqdvQZ0yqcPBw+3xSTqH3xYeThcP75WViicTaMPJwI6612JPyd +EUYeDuub1q+Ri1qGkYfD91ljfL3eJZQ8HPaTFs8jm2mEkofDeej24clJbiHk +4bB/4L085miGkIcTYefI2WbOns/Iw+E82tIr7kzvZ+ThRPBolXnT9EEweTic +P+7qTI8aGkweDvuXYcoZ34KfkocT4UwPj6E/DJ+Sh8P9+fO2Hbx7Qh5OhI9h +XiGWs5+Qh8O/f3bV7kfZQeThRDhkHTT5w9og8nDY70rdH4XLAsnDYf+tmDxz +1+FAVvzPw4nQuP5bUH2LQPJwIqSlLbBbcC2APBzed+eFcUcGBZCHw/u0Wea5 +w2GPycOJsHFIu05zzR6ThxOhte+vHfU5/uThRGAbZk+x3+pPHg7vp5y0Ebk/ +HpGHw/vlYOinDpcfkYfD8zl2U8NgnUfk4fB+Lnk4vFeUH3k4rN+xRwfxC/3I +w+Gfa86/6i/xJQ8nguXJrGEzTvmShxNhdHI/rwRtX/JwIlgs93089oUP4/55 +OKxf6UbNnBb6kIfDepfSdWus4iF5OBFWHSy+rnR5SB5OhP3Mx7L1sIfk4UT4 +YpH9dIDrA/Jw2N89W1TXM/U+eTg8X/ren751uE8eDvvzH9f6xi3yJg+H++Fc +m7nHb3iRh8P9vqqkmV7ZPfJweH+kNpOlDbtHHg7rXdbJwB0H7pKHw/WuPi60 +iL1DHg4/v3Tukftd7pCHE2He5C3102xuk4fD8//4jK4s4hZ5OKyP925leGvc +Ig8nQgdWE715003ycNhPhguvpr69QR4O6/vywDvjtG+QhxNhyiBL46n2nszg +n4fDfrHj1cM7C66Th8Off+6KwZvJ18nD4fzB11lP8PZg5/55OKyXk58XF7T0 +IA+H9fTqvaCg3dfIw2F/3aI8NrTQnTycCKcWLR3Em7uThxNh5CH+btqOq+Th +6uF92ZfHUxZcIQ9XDx2/HXQ2MLxMHq4ejj+O2xY1yI08XD28Knx1Or/rJfJw +9XAzPk/bo60rebh6WGu79NS35hfJw9XDaV1DzQ7NL5CHqweTbasnfGrlQh6u +Hkw9bqzd1smZPFw9pDdFdYjpc548XD0EaId8lI4+Rx6uHnKfuEziZp4lD1cP +NfNuqHI3OJGHq4c8uX1gwOkz5OHqoeKifj+7x6fJw+Hvn3B85G7fUyz1n4er +Bzf5wtxWLU6Sh6uHkB56P97sOk4erh40VsUf8atwJA9XD+cat89LX+dAHq4e +tg3q5zRfcoQ8XD1kLEuZp+9gTx6uHjYs3K643PMwebh6SB007L19zEHycPXQ +wv/23D92B8jD1cPLGRXahmP3k4erhzsdHr9bw+0jD1cPq7ps+vjz2h7ycPjz +rNz0dlnuIg9XDxM3TSzMGWBLHq4eosfM6LyqzXbycPUw//mbaYNbbWWl/zxc +PTxuNUFzhfZm8nD1cG9Er019ZtqQh6sH/2FDbuu330Aerh60YxJMuddryMPV +g2KzY0Lk7VXk4ephwQvLiAr/FeTh6uGDcUCrV1VLycPVwynXjjqXFywmD1cP +7CKUbE2fTx6uHiQDzU7Wb5xNHq4ewoetS9y2fCZ5uHrgHho5Ji9m5OHqYYhJ +YKTO/4g677iaozeOG2VTIknIlp29nsyMjOyGTUIhhOy9StHe695u3duu2x4n +p6FIZgqRmZ343iSJ+J3f73ueH/953du93/v9nvOc5znn/Xw+2ZM4D/eN7vG4 +2G3IxzGch/tGncZZRq7MGMZ5uG/U8tqPgV+G9uc83Dfq9mPJpYuW3TkP9432 +OtFZs7F5e87DfaPvEx+OWj33xzSRh/tG1Wq/mKxpX/7//1v3T77afZHaVXz/ +qcWpMx9PV7uKn/f75fWxPh7aRvh9Q2vGDTQ81NoIr8f1yDGnNIP7BK+3xZ3o +6Vc9tHPw99i+6ZR9vI9uDv7e6vA5C/3Lm+fg/Xj+GX5utKgGvF8d3cqbW1h3 +yMH7OSi9bIHprb8E7/f5ryu1Xhp/I/g8bB+vbj5a0SoHn9fLS4ln+ikbAZ/n +rsLnb6O+tsjB5x3Zslg7X1EDOB7e7zR42aSlIjhe+va+NfnciVKC46nx6q73 +R5Jb5+B46/ItyCF3Vy3B8Xjj15ilSa2qCY7XnOACjcjzajk4nicP0MuqKKkl +ON5Dz32cGju8iuB8SDpSenb/9Q+A86XMoPXzeZt+EpxPwWGvxzYf/ZPgfGs/ +8/6q+pYqgvOxaG2f97s71xGcrw4Xj7vMHNBAcD5v6P5a91D9a4LzfV/PYdv1 +Mp0B44GVcsGsEPVfBONF1Vmfcc/r3hKMJ7tevTNp7F5PMN7UXJ9V8vFeNmA8 +uj9qZryVrJJgvNr2e2HpoIAHBOPZjAE2LxdYvyQY7+Y8CJn7vCgBMB4uiD/r +F+b4AjBeLtyQNNGzoZZgPI1WZepFvPpGMN7GxrjPdEuLAYzHQfURZIpHHmC8 +ttE3CN3o9pBgPL/Zo/G39oHngPHe0901TfvCW4LrgXt6lnzIlfcE14uHfoqJ +M5+/ILieDJuyIP9F2DuC6030oj8/9L9dB1yPAl1dCnv+vgu4Xr3x0U38s7qC +4Hrm3eneTqMVRQTXu1nBFaTgz0eC6+FOM6vk70NrCK6XF4a2aNPL/CPB9dS9 +9/u9+67fAVxvrZ3Wrz7ctRBwPW7G/+F6fX574C+9CXcA13N8Hdf7CcF6If7t +HxPMBxzjMud1/VxOMF8Y3yLaf23lS4L5xOfEvS/8mr0nmG/I9Q5rz3IqJZiP +1I5cF9924QeC+Uo/m/P2ww98IZjPFArLW/Y5/pBgvnNz3JiJq9SfEcyH0rVr +zO3f3SeYL53T29uvQiuPYD71dGSfTcWV1wnmW6HZpu2uFr4gmI9proUbVbPL +AfM1/L2Yz9lP8bP1OV9JMN9rHXLXfr3TNYL54LDpp/o9M31FMF/c6Z+xV7H7 +HsF8snVNi2tND4MB883L44vOPvkZAZiPqlvYjex59w5gvpo//dXYuLGZBPPZ +kLKYfu5PbgHmu+PvfZ+/IOQ5wXz4o1aXsxF73xLMlzd59DDVafuaYD6d3/ai +nkzXHzDfbhdwvKSfbRLBfNyg5ybHvm0qCObr5+9+HDRiYRZgPt86P7D77JHP +Ceb77dtNme649TXBesCzx5bFh2+WEawXJvr9DTngcI9gPZFnV+Pd5vFrgvWG +RUa/QcvMUwjWI6/UHJ92jntBsF6xDvgSunhAKfl/PRNo+d3txAuC9Y7Z5YEn +7H96AdZDcrUNI5rmFQHWS4/WN29n5V9AsJ4yC2xvElSYC1hvGZyq1bmZnwhY +j5VqLCof9DidYL12a8N87d5hzwjWc1cqD3XcsfoewXrPxiX6QttDWYD14Mr1 +N0ZEWb4gWC8m2gabal+/QbCefLd1Q9ctn58SrDdTxgydF+WUCFiP+ujM/zp0 +5lOC9er05S+P6Y+qIFjPNrca8jwz/ibBeje74sQHp1UVBOthx0kvvyzKLydY +L88Z4aFvfO4ewXp6bGlHR+WalwTr7dxDxz/G1aUB1uN/f1k7EotbBOv12x8q +HK1vFBKs52e1SvhgrCkBrPfD57l21xl2n+B+wMN1wz9e7lgGuF9Qrzduu55x +HuB+wpGMwRe122YB7jeYtE2W/2nIB9yPMNb30NVRlQLuV5i9m79gwJYswP0M +qBux5VdKOuB+B6wNWtLf9hrgfoj2gfcDr+g9IrhfUvDUeWVZciLgforN+Nt9 +0wxLCe63vBmy0dJ2pBJwPya1fFRcPygiuF/jcM/BaYBBGcH9nMYZJUeP+eQR +3O/B+ID7QXbrukTekDwiuF+0PXJd3arBCoL7STVJu4dZLyoluN/UzFLNhk7N +BNyPKp03e7jH1quA+1Wqg22e27kVENzPwu/D/S7HzVdkM/cqAPfDIN5m/6uy +OwT3y6ZERvxp71QIuJ+WtWhzx/CdOYD7bSsHr4luYZVLcD/O6rZrZ0+/bIL7 +dRarfLofOXgVcD9v2oOxPslbEgH3+1a9Wnnq83Ul4H7g0aV9qseWXye4X/in +fViuoVc24H7iSbvRWTs8CgjuN05f8ab5GcGf/H8/sm2s3hbZfYL7lZeOXbFU +nbwGuJ95/XzdjlnJMoL7nZt6SFoOSc8A3A899WnPPMPbIQT3S6Omujqe+5tH +cD81SufaOYdXmQT3W+uaZeV0Zs8T92MtZuygzzqWENyvzZrv35EVqwT3c5+E +Gwf+ri0muN/rm0AfG/QrI7gfrJXitPUvex33i10+uXZepBsJuJ/crH5ZUHJg +NsH95gGHH5Z/lN8C3I+2WNttrVuLVMD96gWSbzUBVn4E97N17vS2in6YQXC/ +ezRdOrrL8wKC++Ff9P1i7l7OILhfPsrIrdXJK+kE99N93w27a7ZHArjfvjvZ +pld8zg2C+/Hjz7Tz6b4hH3C/fl3by+vOnSwB3M8/oj7W4v59Crjfv/bIzwsf +Z+UDngeEhQ69+Of8fYLnBe6DNxcYOuUQPE+YONCn18jFdwmeN+j0uPqnXUYW ++f95xIt5pWFWeYDnFVdnfHo6W7OM4HlG1UHTM932Xid43oHzAc9DlKeKtp+J +jSN4XhLTqenjS7sSgucpW0uHRhsa5wKetxz8G14qO+APeB4jybQd9Wn6fYLn +NV+iyoe8GRBL8Dyncb59m+LYLILnPXN/tT/heauE4HnQpMW/R97sUEjwvMjs +zciqYf2jCZ4n6f82P9tom07wvGm4/oExxw/cI3gehb8Hz6sc4mvOtTVOBDzP +0jEhHacsDQM87zofNGdct3kFBM/DNtvucLaadI/gedm0IX0t9nxMAzxPezNw +j1WXoV6A522tBhpuzxh1GfA87tKl1we3VeQRPK8rcPHLmh+VTvA8b4bhq16r +xhCC53074Yn/vQluBM8Dm0Xeez/fORPwvPDca8sv8ZZRgOeJQv2nFZnDowDP +G5e3bV2R/DkP8Dzy8+jYvZUaSQTPKxe+XHp5Ivt9eJ7pGPJnk0dSEuB5p6Hu +4CvyrrGA56GO+h0ftszNJXheOn+E8c5rh5UEz1N/7csLK/O4R/C81UKRnWVr +f5vgeWzAbWPLroosgue1Pfu9u1m5TAJ4nuuxfsLMhV1jCJ73Lu8VdmcxLSJ4 +HozPD8+Ls5yidndsHU/wPLnDqebyG+sTAc+b0/xjjo96Fgt4Ho1/j+fV+H88 +zy6oXtPvoFEewfPuV2fPvl//zA3wPPz5tu0BhYHXCJ6Xa1Y5brt+7i7B8/Q1 +9gP6P/HIJ3je7jOt7tqbMcmA5/ET+m1/d2WFAvC8vtvzwA2nL8UBnufj9eB5 +//L3Dmb1s5QEeQB8HXmBXk/rm0etziXIE+Rq93ed/kVKkDfILJ0eOL88hSCP +4P71RfzPrmkEeYVPJX//vBvoAcgz4Ocj76Be6FLrlplMkIcYtdfX6GNREUFe +omu01c5Hu3IAeYpN4Rtqi9TzCPIWqz++/pEx/R5BHgM/H3kNm0l/HxyZmQzI +c8i+e87XCJQB8h4Nfqe91c/GEORB9gcN2tmhhzMgL4KfhzxJQv/huY9PhBDk +TZasLO5WcT+III/yMNz/xaE8L4K8yogWOr82TlUS5FmyulQ7DRmYSZB38fKc +aVE09hZBHsbxukN9gnoSQV7GUOdLBa1VAvI0vdrc3N5gkE+Qt5nUZFRqu0EO +yONY5XiMn7e3hCCvM3jULk/bbnKCPA/+HuR9ag559r3WKocgD9RmQPCj7W8T +CPJCViUVKz1zCgjyRPlmB9Nym90kyBt1dTiSHKt9lyCP9ODRSTkkpxPklRrm +dtGyvU4I8kzOi8umXfW7Csg7KZoeuqwyKybIQ039plZeMzUJkJfqMP/v6Uiz +NII8lf2MpMqYN/GAvBX+HuSxcg9MVijnpxLktfwefrAuPRxDkOfKUB0deW5c +LkHea/Xq5Kpe8VcJ8mDeOx6dvzAmCZAXO2qen781O50gTxb7c0DUo4RrBHkz +rTrtnhrTEgnyaLPmBs1blJ4NyKvh9SHP9vvx1ZN9f5UQ5N00LiiGOU3PIMjD +9ctV/spsk0eQl8O/R56uYnlf08LO1wjydr/MmiZ/251EkMfD9yOvZ6W88nDG +1wiCPF/6Smst/QsRgLxfo+XgQacaWTzjPGBeuIFdVWkuQV7w//GM84TE8k95 +1A8/QN4QX0ceseXVpA5r0/IJ8or4OvKMM6/ePlZvJSPIO+LryEMW/VYV7LZO +BOQlP/3RN9ghjQPkKTOvzgv3180C5C1Dw+bfqpqRDMhjlsak3Lm61YcgrzlS +pt7y/D4CyHPu3T9w+GKJNyDv+a1T8853ArMAeVAdKB80ZZEckBc9HKbTlDYx +hyBPiteLvOmKPh015Wx8Io9q9qrZNLu2BQR51Xm/9pm0Tw4E5FmVHkP/Wk1L +J8i7bm4xYXDb9YQgD4ufj7xsyL3XxSb90wF52uP0XqlsFiXI29bl/a6oX6oE +5HEHpS64k7U4kSCva1BBHiy6n02Q57WvqSzXPZ1EkPcNiX5nPMkklyAPfCNv +wf0ci2SCvDBeD/LEZ5d3vl28JxqQN97xyiDOL+kaQR558G11I2X8DYK8svrC +T+SRiZIgz5xeUeOxMysRkHfGz0ceGv+PvHQ3+2a/R3fOJMhT2x7pZbDkmZIg +bz3aV1t76eo8gjw2/j3y2m5n7u+KOJdLkOfG15H3HrfVLzJprIwgD56uZVOk +ObKIIC+ec6Pt6aezIgB58hmTv/pd/iQlyJsPkT6I7W97hSCPvnnZEfs57eMJ +8urGGw2t/ByzAXn2F6o+m064ugDy7k36Jv4GltcI8vCy9+1CggYRgrx8fs9l +LQIW5BHk6Y129t7UNLeAIG9/bMRCc+WYNEAe/0w6mdV7dgRBXn/qwHXd67xT +CfL8+PuR9x/qS6sX3kkl2A/Q+0tvHV9VHMF+gb19O1tXmd8g2E/Q0XlKWL9V +iQT7DTZtKng9c1QSYD9CUXDHYfHZWQT7FfD7sJ+hjXnIyGlnZQT7HbRsU+tt +6tMA+yFqzU0bg0MiCPZLTDeOuW0UmkWwnyJvtPt61za+gP0W9bPfHG409wbs +x2joGt5oqpFCsF/j00eJn8PWFIL9HDBkZGThW0qw32OCQ72hYVwiYD/I++we +D5f5XiPYL4LXj/0kYbZ+e83PFxDsN9k8cESMQdQ1gv0oba+NrIqukQL2q9g+ +UHWsGJ5CsJ+laFud7/RTuQT7XRbXr9JKy8kh2A+zaX7Vy6W/rhLsl8Hvx34a +TesuqrS2qQT7bcbsvNXiUZqCYD/O1LnTZnTcLifYr9MU+3zr7p6pBPt5stTy +7UMHRhLs9xm3/GbQpSmxBPuB8PuwXwjcZpR4N6YR7CfC17HfaHXus+t3Wb6A +/Ug1G37HrjuQT7BfKdl6/6OCjBTAfqYJularD5nkE+x3mvZb/4lhYzhgP9So +7+8HQu8kwH6poaH+lVeM5QT7qQKn7l7xPbqAYL/V3WsFj5a3SCTYj7Xp8UWf +AdsCAfu1gkY9HDBankewnysu+KHTxSNeBPu9oq8YGaqkSYD9YBtX3Xq+hcVD +7BdzmjM4JblzAcF+spbv31lcvH2DYL/ZxLsBfSvs8wn2o8n0g1PM7vkC9qv9 ++mTfcvTzVIL9bJM3KCNXbZQQ7HfrOT/e7kFGFMF+uOKL43QmffQF7Jerueeq +GXU+DLCfbsKw3y22PC4g2G9XPrWLtPRlLsF+vG3bzd92e5gG2K83NG20b61p +PMF+vmCHN3UbthCC/X5RWs4HPDdnEuwHnGD3IGCDpQtgv2D/IWmTztzLINhP +OMBaU6NkVjJgv2HrDos+tD8bCtiPeNLiyXGD6DyC/Yp3TwQauY4IJdjP2LZV +5Hq9hmyC/Y7Ofo8ctuSlEeyH3LPuqOWuFfkE+yVVeqtleRZKgv2U1YdOlFx+ +HUOw35Kafx9nuCKMYD/m6g3SzHtNroD9mqH6LgMOzsgn2M9pZTN59E6TaIL9 +nvv1On5Sj8oj2A8a/tN6coEGJdgvOn6cU6F2kBKwnxTHP/ab4v+xH/X9z7K2 +w1bmEuxXtW0W36Hdh0jAftbgtpOfTxqcSbDftfhS4O7PaYkE+2EnmiTdhYIg +gv2yRxY29Yx7nQjYT6u51EL/pkwC2G872tNrrfaWbIL9uHg92K/7Nvu83p/w +LMB+3u46dT/NLT0I9vvuWTGieE5xLsF+4H7N2tt2OnEFsF/41dIpd+Rbkgj2 +EyfP/W09u7UXwX7j3UGndMImSQj2I88dsmR9bC0h2K+8Zb626bZVhGA/c6iz +WdKY0SEE+53b1P19ZJcXQbAf+sapG29afpQQ7JcOPNan6vAUd4L91HppTU2D +dsUS7LeWL3J85PwkCbAfWz0ltttfIZpgv/bnBO0Br2x8CfZzdy7/4guHcgn2 +e+P9wn5w/D/2i7uO/TvL8aEEsJ/8dqTx8/kfrxLsN7e/PfpYF7UAgv3o+PfY +r75/4/avBQ4Kgv3sFUvbhka5ewL2u1fT1Q67hsQS7IdP893YpvRsCsF++brX +tRaOGz0I9tOfKj43qSQzlWC/fV7N3JxBySkE+/G33IiM+e0eQbBfH68H+/lX +N3RvpRkfDdjvr3hzs3vD/nCCegB0/tRuOWcJQb2Abad3N8x8G09QTyAhc13P +aC0vQL0B/HzUI4hOmWJ/5JQ7oF4Bvo56BhNTXjQJO/MI6h3scC5r6BEQR1AP +4SgbWVGbcgnqJegaXanQWyslqKfQVzrznW5vBUG9Ba+35Uf3uSUC6jHMnmb2 +2zgxhqBewxVzvyE3WqUR1HMwOfFI/6mfDFDvIW7LA/nJvRkE9SDeLe0wbKhT +HkG9iE9t31ab/cgjqCcxvc2C8XOq0wjqTZwsO9d6jI6coB5FzupH33TPSgjq +VRwOdJ3Uf3w4oJ6FtN679WG3WIJ6F0kLXiac3RNCUA9D13jV+Hl/kwD1MsiU +NYZdMhIJ6mmcvDdd13djAUG9jfJtwx8b2uQT1OP4O/ppjOmZqwT1OoLHR1pE +jvAE1PP4aJ+2tPh4PkG9Dz33hS49TmUQ1ANZeLnjnPkH/AjqhXQZdPPnqoU+ +gHoi56SN8p56EQT1RnYljlDvV6ckqEfy0/po2RCtSEC9Enz+qGeC/0e9E4+G +yVnWv4IA9VAsjAIeqIJjCOql4PtRT0Vn9uF029lpgHor8ifXzU2UuQT1WHJK +nn63XKQE1GtZbrIsaijL/1HPRS9kTXH1zihAvZfQKYvde2iEE9SD6Zxl7Jpq +kUtQLyZj8hG4PiKNoJ5MROPgZfuGehLUm2l2qdLJUZIAqEeD14t6NRNX3Fnn +rh1NUM9m7s0xl/z0vAjq3dgNT43U/aQkqIfjF9eg+KKXR1Avp+M5I6eobmEE +9XS2tyzqn99fBqi3o7b+BSUD0wnq8WhNsL7UlJoEqNfT02HSoqIABaCez8y5 +o1ZOVoYQ1Ps5+1Z9tfMPOUE9oEetOp9Z2y6foF6QnueUsMWP3QnqCVHtnLRB +igyCekPVEz82TZJHEdQjmryoUuOJczBBvSLDDndVIdcVgHpGeH9Q7+jphlrn ++g0+BPWQDv2YcHriqwxAvaRGf/uUz0XJgHpKC1vrvo1enUpQb+nYgd2PyxwS +COox5b6PHh+YGktQr6lm3qKMiqepBPWc4jf+vlrtEASo92QR28GqHcuXUQ+q +PDLme8X+ZIJ6UYYP8ytSF4UD6klpkg/B6m0SAfWmnJJaJS9N8gfUo8Lfh3pV +rmdk+3IHpBHUs/qe8SpEo5oS1Lv66VhWnBrrR1APa1+wRtcLm9wA9bLa9LCT +h3RNJqinpakIvz7vYgJBva1KCwP5jg+xBPW4WqmUoxRLEwH1uiYOyDBWdkgj +qOe1LiJH79waD0C9r0Q17b4rwqQE9cDw+lEvLGNqrOOG4ChAPbHdekuvrTdJ +BNQbm7a/3clWLpkE9cj2F8aeGOviQVCvDD8P9cw+Zq11flzsB6h3hq+jHpr2 +jcEGdxekE9RLq8+V6f5tGUZQT83hvmdoZd9sgnpr97aPSQqlmQT12J6bm+m8 +nq8gqNfW0vXPa/0/fgT13JyXbXk9N0FJUO/N4HG/FtPzMwnqweH1oF6c0aWr +xnolWQT15CYbrG12v3coQb25llet4oIW+QPq0VXo6f6dd9SfoF6dusmNNtZB +WQT17AKnjt1EApQE9e6kam9aTbCRAOrhPd2VcGi8TjZBvbxxX0Z4rDmcRVBP +b/zZ5z+1bL0I6u31XxCzJTRUQVCPz756n85Ka1+Cen2VJS7pAUdTCOr54e9D +vT8NvzZPJhmmEtQDXNAmKeGEbQxBvcDBoeZXfcZ4AuoJ4t+j3mBva3vHqdYS +gnqE+DrqFTZ7G16SGkYI6hl2LllsF08zCOodXow+ae8rzyaoh3jKrM3l1btz +CeolXjN13vJ7kD+gnqJpwEi5z5VMgnqLhiscPWVNSoJ6jA4nGy76x2cR1GvE +60E9x0ynBT80L8sI6j1e0Ag+trGtP0E9yGW9Q2uTPyQT1IvUHe20KWBGHkE9 +Sfw81Juc/fdx5ZcGGaAepXVOCy2vK3KCepWy5qVPst86EdSz1L5a2OyHuZyg +3iV+Huph4v9RLzOo5JN3exslQT3NEoNZczNK0wnqbZ7+vtqjtbmSoB5nyiI1 +vzKrREC9Tvw81POcpaq0P5KVQ1Dvc9wnZbtl4bEE9UAP3Dy5ts/SGEC90I1V +nf3PF8oI6oni56HeqOZF+eK3cdkE9UjXxamOZFUlE9QrbWO/Y8HgFjKCeqb4 +96h3anE593HRhDyCeqiKA9PPXJ6cQlAvdf/ed7XtPsUT1FNt9mXokeQ6J0C9 +VaOUD0te/fUG1GPtbLtobZBxJkG9VpuIM58t7gcT1HO9knfBUW1NMkG910aT +VwsHaKUS1IPF60O9WLsBweP9ZuQS1JPF11Fv1tKga2rq4HCCerTTex993uqp +J0G9Wnw/6tkmaDVbpCyNBNS7fdLc3e5kXTJBPVx8P+rlLjjZXWEURAnq6V5o +9u7iCNtMgnq717rV9CsLySSox9tw6YTlhNfZBPV6KwcthNRNMoJ6vi8MNi3R +nRUKqPeL34d6wI/jf3gXn5YT1Av+6PF1o9eXKEA94QmRr9arP/AnqDfc4s+a +/CurEwnqERea9Y+fqh1HUK+41dsDWq2q/AD1jOvJu7i7T5UE9Y4HGE3o6R0m +B9RDtv/x2aSxsxugXrLmrlATPVUEQT1l63OSrn9aJRPUW361OiolvbeUoB5z +SAQ1MrD2B9Rrdpy/WbNxSDBBPedWRuea1T9JBdR7niw/6KnTKougHrTayspn +kx74EdSL3rlUM+jCiSSCetJ+OzYkfMnzA9SbXq7MrzatySKoR73V+LCp7mXk +4VQ0uKOBr6tnDkE96+27XY636RQDqHeN9x/1sLccHJV3PTWRoF62sf7bwHZv +swjqaX8ZNOLFiR0JBPW28e9Rj9s2/7nZw5QQQL3u466Gf8LXJAPqeeP7Ue/b +p3FxQW3vAoJ64Be/LPWfoRYOqBfefXuQoEzxBdQT/3ji8aihdokE9cYvvLtx +emuFkqAe+f/jGdcrXx+9TljUM4mgnrlvhvqLceOyCeqdewaNkOQPdwfUQ1c0 +u1b1Y6Q3oF76rB8X7CXqSQT11Ke7rgrQ/iElqLeeplhiv6p/AkE99gqTeRsP +9okE1Gs39uhkpm6UBKjnHm4d9nfG2nhAvfeS6h5TTVn8Rj34Yt0uu38WhADq +xQde7vhBv1gKqCdvU9F6g/nGOEC9+Wm2ZQ7XKhSAevS9vpk5jV0TCqhXb9FF +++XJYUpAPfuTU6bm+4+KAtS7N9Zvc11fGQGoh5+hGh47XyYF1Ms3Wpu6UfUz +GVBP//J+r107dIIA9faPr27jr5UVA6jH73Gn+Eh/3WRAvf4haj+HfiwOB9Tz +/9vYJWFlmAJQ7/+UPGVQGasP0A8gyzF0YkhDHKBfwIVRlhuvPpcA+gn0n1Ka +d7ooDNBvYP36ui3vv0YA+hFs8S5LjroWDehX8Lfb0vpe45WAfgZxl9N7x06I +BPQ7+DFivn15RjigH0L8+U4jzW7IAf0SehgVdxj1PgLQT8G759Rqs/xo+L/f +glE7oztqKYB+DOs3dzl2uDAa0K/h+KaCBJMOUYB+DkTzuFbPSiWg30Ofl3rD +kmqVgH4Q8tVfCmfYSwD9Ipb67O2z4l00oJ9Ev4mHm/vLFYB+E8d3bvJ06R0F +6EdxZKmbFWkdBOhXcb7kaEyX+3GAfhZWXplD1rHnjX4XBxYftCx4EQboh/Ey +3zsxXMMf0C9DEr1iuWuFBNBP48P10uLqbbGAfhu79D5PeK7uBejHMW3t2U4L +tscD+nU4hnnur/cNB/TzkHUz2x8zTAbo99Fsve6wZ5cVgH4gWho31O2nRQH6 +hZhLL61PDogE9BPZeL15bsfTyMOp6AmzHfMOSaMB/UiabvU1GxacCOhXknOl +8HmhsQTQz8RsXvJ0W7coQL+TCAejAPumWEA/FP+U3/VfL4YB+qXcGVT6bq+B +BNBPpWUNTApqJQX0W+mW2Gb+1OWRgH4ssj7zt3xPkgH6tbTaVmpsbCIF9HOx +0Cm27GrpC+j38mSXy4qvVWGAfjB3RuSnz94uA/SLyRrxRcMm0B/QT6a39wq3 +/RsSAP1m6N1Nzy9NTgb0o8karJM+/UIMoF/NyseXk4NfxAP62XzbMz5nXqgU +0O+m8/TPb+2rIwD9cMrXrd+89E0UoF/OD+f9lbuSgwH9dPqoF5/ptjsI0G/n +cu3t8FmD4gH9ePy+fdK6NTMF0K8n4HvrIWMfxwP6+QQtWnepfkssoN+P18/E +Suee0YB+QELNC9W151JAvyAfB+X0qxPDAP2EDOvG7+k1OwrQb+jVpCPrp44M +BfQjWnTcTLa+fQKgX9F4p9OVpzaHAPoZTT9d7JL5SAnod3TZWxbxR5AD+iFV +D4pb47kgBtAvyfvPWDixJgjQTymnUefi9X4KQL+lPJMuXevmhQD6MdXFlb2t +qA0H9Gv6vWvtm+LzkYB+TqeGf4ibeFwO6Pd0f25DodoBOaAf1K1FUQ8+VsYB ++kU9GaTU/loXBugntSF+YOLhfnJAv6kfHTSezsmRAfpRXTrc1m7flihAv6qS +Xx7N7i+MBPSzcr2k4bhzWgyg39X21aMnu6RGAPph0ZzwDReOxQD6ZXU0vT69 +s9Id0E+raOu0P3+u+gH6bdWNa6NtFqUA9ONKrZ4SavM7HNCva5Zbsv2pgQpA +P6+MPt1dmvYhD6eia46+OfDHzxPQD2xietWDu+2DAP3COm5YMcvodQygn1he +gtOuG/0jAf3GEhNNz09wkQP6ke18VNU+J0gO6FdmOk/D1yZBDuhn1umpn8mL +dgpAv7Pg/TmWA/LlgH5on6YHGHc4kADol+bvnWhzcm4ioJ/aygpP7xGRSkC/ +NeNZaxyjp4QD+rEZTtvR+UZlGKBfm+fi5i7qC5MA/dy+WU6yS41LAPR7W2ll +uqDlTwWgH9ywXN8pezwVgH5xbUNKToxj6zX6yR1uUIbMKogF9JsbfkXt8pSd +AYB+dOnPMo6PCA4A9Kszs9g9q7pzJKCfXZoiYM3vhChAvzvMl9APr3/xeL3k +A+GAfnlddtmk/3zvAeinN26pl2vzwRJAv70vw+5vKVWGAfrxfTqXEWCU7wXo +12d7YtqRjmulgH5+Ly7Pzk5bowT0+8s19titExwO6Aeo9dXG16x5AqBfYMiG +SGHTWjmgn+BOm7MBex3jAf0Gt/u0CfpaEgnoRzgy4ULUyRlRgH6F9ideVHWu +DgP0M/zbrOHF6y2ugH6HNW76RWadkgH9EN+oNziYtXIB9EsM27qh4/xOPoB+ +ipo7898ccJUC+i3uOpa/WL91GKAfY86Fkw/GVwcB+jXGDbmbYZ4pBfRz7Jzp +ccZtaCyg36OWpV0bs0mRgH6QwlursUOjYgH9IrvPdegaaB4O6Cd5ts+bhImv +EwD9JjsNHHp2kk40oB/l1TT/BSm/IgH9KhN6mZxuGhUJ6GeZlfBq7CWdBEC/ +y79T599y3xsI6If5+NjAP5lbowH9Mt+/WrFOlRMB6KfZbaLxizJ3P0C/zZXP +noU97hEH6Md5VeZsvL8mCtCv81vvH517hEgB/TzVXasVh5siAP0+mymCXY8I +MYB+oFozlnkukgYA+oU2f+T3ZueDGEA/0Qunojt11pEB+o1uvXjbuHhbDKAf +qc3vguN+630A/Uqj8l/PGzUiDtDP9E/4jgszM2WAfqeGFpUbhnX3A/RDTdxT +XLxpsQegX+qmqzVTP1vJAf1U3+6bVpXVLg7Qb7Xs7/l94dqJgH6sXZMX3u7w +VwHo1zps3qHLTzRiAf1cJ8svKBfejAT0e9WsjVZqTkIeTkWddWXPEouiAf1i +a80NBk8RwgD9ZMf2HvDDlMUv9JutvD5Hf2JwBKAfrepMZMVrhQzQr3b3W4eZ +CQ2RgH62jo+u7j0yOh7Q7/Zsees9wdXhgH64pYsmHOnZRQbol/u83QrvlEIZ +oJ/up/EHAjeEKgD9drXzZ2Sbn5YD+vH6wom5M+RxgH69/b5M7BjmLwf08124 +dcb6yGPegH6/Uwd5TTZg+Qz6Ac9MPnk9uG8ioF+w106zZmZOCYB+wrmL+x4e +u1QK6DccXZvQWrUmFtCPOKhHeNw69rzRr7g8aubK1a3jAP2MA9TXra2ZEwbo +d9yr6efc/TdiAf2Qk7pPW+86NwnQL/lKSZG6w4BEQD/lOQ8HV1SuDgX0W17Z +cccwDU85oB/z8FlPS1p2CAf0azbasUW3fIsc0M+5qMDDZn/vJEC/Z3WDT4WH +9P0A/aATzhZv3BIoAfSL7j2j+dpFhhGAftLOQ6Y7hDQPBfSbtjaaldHXUwLo +R114w+Ru0zQvQL/qIwdWVPZy8QD0s14aXttTP8gV0O86feeXzQ6WsYB+2CXN +a8uH20YA+mUHr65dNuKLFNBPe0XhIIt3gZGAftsrB95scY3FV/Tjfn/a4LBk +YTSgX3fSmzure2lLAP28je3Hq83MSQT0+06PeOiqMTgB0A/8+3a7stbxSkC/ +8Kl1K7dlPosA9BNvs7GPWcMXJaDf+PIxfW/NNI0D9CM38irO63UnBtCv/Oka +y7VVGqGAfuZHTkWePpARCf/3O2/KfT7qkQTQD/373t4S4wehgH7p5i+kKU5G +/oB+6qdfOw9qZ8TiGfdb3zBp2cqv3cMB/dhtvE/NOnXZC9CvvfXsZJ3fLF6j +n3tQseOQhAwFoN/7L8nHjZq5UkA/eMlBr51Hl8oA/eJHzzd7NaQmAdBPfof5 ++Z2vvMIB/ea3nJ0+fV9ONKAf/SH3rsM3hfkC+tVXDyyxsdoeAehnDyWeF7Z8 +DgT0uy//uctiv148iDwcux/LU0x2zpFyHo7ly11cOrTz9wGRh2N/P95FzYp4 +g8jDqej8zAfjO10I5zycip5c0V4vvTSK83Asvo5+d6CVuwJEHk5FO2THj7cx +DQaRh1PRz6cHfNAIigaRh1PRzY35JyVp8ZyHY993epbNXOIKIg+nos++2jX5 ++ESAyMOx+DtLX2WkLgORh2P11w41qffERBB5OJZvDlmuPO/iz3k4Fd1W0GLx +Yd0EEHk4th5GfIw84+QFIg/HxtPhhhEhEk8QeTgVHWR9vtLVTAEiD8fyiw11 +azvJo0Hk4Vi8Uu7Q/6EZDiIPx/KlPRY9T/5NBJGHU9HXhaX7YEcQiDyciqp1 +Dc1eaRcLIg+nooGtfSftZOu/yMOpaP2w3XvdZ8SAyMOxeFPSmHn3eDyIPBxb +/8or9/86Fg4iD6eiE/ylTq8a5SDycCr6U7PZUqv14SDycCx/PdwrY7FmFIg8 +HFvf71weGeKexHk4tv5GpJzcOD4WRB6OjR/LSU22fxSch2Pxe0fPqWO/JnIe +jtVLJzQ1tpoHgMjDsdf77L3RSicCRB6OPS/t/b9sH/qAyMOxeH3C4E27bwmc +h1PRm+903rl8jAGRh1PRwV8O5XVpFQUiD8fWj6MTW23tJeU8HKsH3u40nb0k +FEQeTkWzc9YdijOQg8jDsfXxdPZA2WUFiDycig7oWHU+ndXfIg+noqFtqe3h +ORGch2PP//LZrHk3AkDk4dj1bGr5YoF7BIg8HPv97Qucvpv7gsjDsfHzK/2L +T8tIzsOx+NF49pfaF2fOw6nox/ht8H1XEIg8HFsPl3T4UP1LAiIPp6KjNmqd +vvQ6kfNwKjoiYkjMjPRQEHk4FW2MtCAzOyeAyMOpqNUcRcr4DBmIPBzLR3YN +uv54ZDTn4dj43jmwdGdUJIg8nIp+vdV9yB+1BM7DqeiYAvXK68PCOA/HxvOe +IDdFSiyIPBybf8mfe2sc9QaRh2Pjs9c9tYuTo0Dk4dj4bjr++F6XJBB5OFZP +mw14t0MWCCIPx8Zf8qzpZ7/IQOThVLT97x3B39ZGgcjDsftbGroxvkEGIg/H +xsdL97lnJ0dyHo7N9ztr4mdHKEDk4Vj8Icmtz3QLBpGHU9FjkslHj62KAJGH +Y8/bplvsakkEiDwcWz/cin7MHBrBeTiW/9fqDerrFwIiD6eii00b9CdqJoLI +w6noPedOy7c1+IDIw7F6rGqmUeW5GM7DqaibvZnXsFYKEHk4FdX9uXjAF614 +EHk4Nj509vacuSkSRB7uv/7pGie7svpT5OFU9MO6V6M8B/pzHu6/+xut3+Yn +xIDIw7F6Z2x7c90lviDycOz+Rde1nbvdh/NwrN4K3KmnviMKRB5ORR98OjnP +LkQJIg/H6ouI79+d9aI4D8fq9/WVAWmqBBB5OFb/bz9wdV5YNIg8HFt/bX5u ++PI8EUQejsWj1feMtMZEgcjDsfVzqnH4fD8vEHk4lp/LUko6lUpB5OHY+nU4 +XVl8VQoiD6eiDbuT759ffAVEHo7d3/h9hqGdgkDk4VR0XrXk0TNjCYg8nIru +Mdk4OioimvNwKrqgLOPbsZ2+IPJwKnpqRPtvrm6eIPJw7PUz1XPPacaDyMOp +aGZOYeHq3hIQeTgVXbIg7VDfehmIPByrNxdqNRQPk3Mejn1f4fQiTdNIEHk4 +9vz6dL00sdAPRB6OzX+/6w59LkpB5OFYPeVjs+1nXjiIPBybr1on7Z5qRHAe +TkU7GgzwrGX1gcjDqeiMwk+9ZiTHgcjDsXqxLC74tkUsiDwcWz8GXbAo2i4H +kYdT0bul8aPUPwWCyMOx9f1UettbzyUg8nAqqm81RmfilxgQeTgWX+yeW/9O +D+I8HIvflts+hi2LBZGHU1HF0ZFv790PBJGHU1GNlCWVHwK9QeThVHTVKts2 +kYsSOA/H1selYw7cr4gAkYdT0aqEJY6bT7txHk5FT3RKnyod7gUiD8fi7cbs +G8NIFIg8HKsf2k8bom0UDSIPx+bHo7N6hmkyEHk4lg9/P3a586xoEHk4Nr6y +day9f0hA5OFYPDl96+7tXlGch2PXL5348YhGFIg8HKvnhyycYmOpAJGHY9cT +FynIFwRyHo7Vv1+6tZDcjACRh2P372G5xrvDChB5OPZ937wUo6z8QOTh2PMt +6t/HoUUsiDycijps07zZxUwOIg/33/NZawnkyEHk4Vg+RMNdvtkpOQ/H8od9 +ZYm+l8JB5OFUNOXYu2eHSmUg8nAs/x14IOLT2zDOw6movV//iS9bx4DIw6lo +ncbCxzOexYLIw6moNN5ZvnKxBEQejn2+ddapjReCQOTh2PidKT/h5pbIeTgW +H7qpDn4+GAQiD8fiR+sWs9/NTwSRh1PRCnlN3aCTMhB5OBW1aHJ40GZLDOfh +2Ho83y/NfU44iDycij4JKauy2xcGIg+nomvbrf/64GMc5+HY+Ippe957QRyI +PBybD703PTyiGwsiD6eiL732Jx0MknAejs3/xmmB0gQpiDycit4HU8+qWh8Q +eTgWvxs8JrzLjeQ8HLt+20mZ2edDQOThWH66esk5Ew055+FY/Fg+VNsnTAoi +D8fy+2bev+44SzkPx+JP9ZvcztIgEHk4lo/qdmmRP9IDRB5ORTcWSLZd7x8M +Ig+noucGtFuqRiUg8nAsX53U+8aTaTLOw6loy882qd8DfUDk4VR0/bL6nLeL +okHk4dj6svnk6dD9ISDycCqqXLD/25hD/iDycGw9ut/RwOFNPIg8nIoezdUw +9LCVgcjDsfXNwvZAuTKM83Ds+1ZbVvV9GQwiD8fifUWYr0wexnk4Fh/em/by +XiLhPJyKdgp47Tv5pTeIPNx/88+zv417u4HIw6loxNmSKdLvYSDycCzfrPk5 +u+6tO4g8nIrurcv5+aNdPIg8HMsvYjbqb49h8ex/PJyKxjqusrTf5AMiD8fW +B69nuUUzJJyHY5/X8KjLOaNAEHk4Nh+r53ru/hMNIg+nojkXHT+f0g3nPBzL +Z4ae2F+fEsh5OBU9Pnb7mmWZ3iDycCy+uX1d73A3FEQejsX3R2F9VxSEgcjD +qejkX0/dN8piQOThWHx65frq+w7k4dj96/ruUB+/QBB5OFbfa27rnabyAJGH +U9G8lYVrTgRHcB6O5U/yLdOnvVZwHo6Nv91+jj6Vcs7DsfwksvaizaYYEHk4 +Fo9apAcHqCtB5OHYfIxIf2d/1AdEHk5FvdVLizsVy0Hk4dh8e3y2sX6HnPNw +bL29eFh/3tIIEHk4Vo81c5L1GxEFIg/H5oPBfauYn2Eg8nBsPZkWP3RU/SXO +w7G/r51pstAkBEQeTkVNv5we7v4mkvNwbD7c9tA+o6kEkYdj9fMj/RNdOkaB +yMOp6PPAIbUn1oVyHo6tj6NOFp0rDOI8nIoOr/kgmKp7gcjDsXxhf47Vxs4S +EHk49jzetmn26agMRB5ORc8cbne22DuM83Aq+vDRzTOXYmNB5OFU9Mbh3krD +nmEg8nBsPN3yLvcoCwWRh2P5tsavNlv+RoPIw6lo/MRF80x1o0Hk4VT0lteh +tV1Zfi/ycCrqd/FTVWTbCBB5ODa/3ANkp45FgMjDqejWyyv3Lq0OB5GHU1Gd +K2lHdayiQeThWDxK3Du9IT0ORB5ORa2LO816c9EFRB6OrS9+cX20lyVwHk5F +3zVqmjxgz1fk4dj60Jh6W9dJBiIPx8Zzv55fGgqlIPJwLH6XWjS9lcZyHo7l +RzPy/k5h9brIw6kovSl8aoIArg/H6ivt6uOb3slB5OHY+6017k5wiAWRh1PR +iyllg83vBILIw7H4Of+1Xdl8GYg8nIo2GcgPnVgYwfXh2P0tPqw54HU0iDyc +isbk20SXjJZwfTgVVff2sZ0914vzcCyfb1P4tNo2EkQejsXH91PPHLwUDCIP +x+bDjPMzOvWXgsjDsfEwz81sgIYLiDycipYYT8/J7B0OIg+noguvTywam+cH +Ig/H8luzl2dMrSNA5OFU9Oqc1cKQFcjDsfX++AzdrM5hIPJwrB6Z/M2x+EA0 +iDyciv6ulMwwGR4DIg+nor26pk55NjEORB5ORd3XvZ70cHg0iDwcy6eetvO8 +1zccRB6OjSf3+qX6JJzrw7H1IMay/khBHIg8HKuXLl64aNcuEkQejv3ew4nn +LhVJOQ/H6sOXvYJiwoO5PhyLp/VJp44dl4LIw7H7l5B/b7lvGIg8HFs/hzRO +n+IiAZGHY5930WJLZZw/14dj620guRsQcYXrw7HxFOylMTQgHEQejq1f3xb1 +W7kiBEQeTkVJ2+r0KcXunIdT0eTm5uqf3si5PpyK6t2q/WnSMxJEHk5FN7gY +d80pkHN9OBW9bWy16lbXAM7DqWhb211Bcg8fEHk4FX3TvmN77WHhIPJwbL09 +v91w4e8YEHk4lu/sezl+uraM68MJdEjDHg3Pz6FcH06gh03MtbzOeILIwwn0 +Y0Unr8weYSDycAKtqKlr3ds5HkQeTqC7Oq11mtLHB0QeTqCztwc8+fE0hvNw +ApWk95m5aK875+EEOi9xhyJmWRzXhxPoqFVFiuUZ0SDycAIt22hNDbcFcH04 +gWqTrinEMAhEHk6glxZ3N9iWEQUiD8e+zzOxhpr5gsjDCbRh9NnNUu1IEHk4 +gV60nB61kcVvkYcT6C2tBW7LZsWByMMJ9ElJwpk1k9xB5OEE2rNxyPMJTWGc +hxPo+Mr3xwIspCDycAI9kjDxp/2jUBB5OIGuWfvrWd9rYSDycAJN2+jWkzSE +gcjDCbS314/XA1VeIPJwAv3eZKY9K1YJIg8n0Kv0VlWkSRjXhxPotvp2+3bG +R3AeTqDNR7rn9C5SgMjDCfTA5KHbluVKQeThBDq5qvYWFMs4DyfQ989LzF4u +lYPIw7HnteDBlm8bFFwfjr0+ePZITTsp14cT6NmAkZ9Xx0RzHk6ghqZGc1+X ++4HIwwnUqOz2WPmTGM7DCXSuzLPL5mmunIcT6OU5Ey/UTfADkYcT6IY8K48V +rSNB5OHY83cbvMKugzvXhxPoyLjj1W0PRnEeTqB2N6Z2cvOKBpGHE+iAiLwz +O92knIcT6KutPy+uM5KAyMMJ9IzyWpL/SR8QeTiBTjVsfOcTJAORhxNoVZVk +2v1pQZyHE6ivU1zzdbsjQeThBJqUoNvuzO0wEHk4dr3j73daOiMARB5OoFm2 +0ML/tDvn4QRKms13P1PiDyIPJ9A/nQvXtT8QxPXhBPq41ROj6E1XuD6cQDv8 +tLDqfEgCIg8n0ME3bm1JPRrO9eEE2q31hVm3hiVwHk6gDsY/X64blsh5OIFu +GbQiv/dWOYg8nEB97OpvHBoVDCIPJ9CNTq1US2fKOQ8n0Okhfrc/uMg5DyfQ +Gb+77UpMjgCRhxPohKqus5/MlILIwwl0Yrdx3/TGKrk+nEB/7oiOXeMRDSIP +J1DzbV20FmxVgMjDCdTb3lUIuh3FeTg2n2ozuyelunIejs3/d9rx3Y7HgsjD +sd/T/nSHoFx/EHk4gbbtd2nRuUg/EHk4gR4bonep/9FozsOx69nTqD62rZTz +cAJNbEmC9V+6ch6OxYeCk1q5s/04D8fuLwm62WdXGOfhBNr6nPML05G+nIcT +aOGfpJp+LXw4DydQ2Ygp/V40RnIeTqCbj8Wc0VfJOA/H5uMB32xrzRDOwwk0 +W5LtYW6i4DycQI3HF/dVOio5DydQW7Bx3Xw0jPNw7PeYlQVfWibnPJxA/Ryr +/Hsb+XMeTqALJuQILScnch5OoH977Ws9raUf5+EEWp44Xt3kjoLzcGx85x5w +az09jPNwAm20bu3ZsEfJeTiB6uzYVLRgqRvn4QQaf879zu2iYM7DCdSydUqF +bZM75+EEGpwjzZo8CHk49v2BJRfrZ0ZyHo7Nr4/F9tfTIzkPJ9BmitZPfxmE +cx6OxS/16Npu5j6chxPoiYeynDbjpZyHE2jgphkddXZFcx6OzXezX9ov1ko4 +DydQ1+c2RmZ3IzgPJ9A5a6ZmQ1UM5+EEOj8g487Q9fGchxOoy4fkfu+mh3Me +TqA7WvrKV/f25Twcix/kb+s1bcM4DyfQ3/Wn2nbQjeA8nMDy69a9ujhEcR6O +Pe8Tlan3jUM4DyfQMZfX9l6UFMZ5ODafcjt+ruoi4TwcG++xEV0HNbvEeTiB +RvbdvPNKtwDOwwk03214Yeq4KM7DCfSTycXC7j3knIcT6KykVxuanY7hPByL +N/Ov5e67HcF5OIGOfma/vXaSlPNwbL7vP7nM/5k75+HY+Par/PGc1c8iDydQ +95FN0W+2xnIeTqAp3mMfKOwiOA8n0HFB+7IvnPbhPBwbf3Frmz55hnAeTmD1 +Z3a7UybenIcTaAtv3ZLadu6chxNoddXbnBfHwzgPJ1C5ocuErLdyzsOx+Jg/ +x3/+GQXn4QS6P0Stxb13Es7DCXRvm+rkK+MiOA8n0A/GsvHR32I4D8fu1+QN +Pdp3RX04gd6t2/Z66IoIzsMJFGz635Gz8SjycALdbpXtuShXxnk4gWqW3Xnc +xSuS83BsPU4OXtqc1V8iD8fme99rs7WWeXIejsUDU89lCsMIzsMJtE/XzBU6 ++tGch2PzyfnI8YxPwZyHE+i+DQc8e+eFcB6O/d4eoFYeKOM8HBvvf2d+79MY +xXk4tr6H5SXmXYzgPBzLB1wW2yxh9ZTIwwl0T+CPlyUrQzkPx8Z3skbjM4cg +zsOx+RWyPnDskUjOwwl0aP9SF/NgBefhWP7idSDL0zia83ACdUzTsDzilcB5 +ODae2u102/QqgfNwAh22r0Wxw2zk4QSqYexjbTc6jvNwbPyPdp//uC6M83Bs +PdoVur7zHn/Ow7H1Y7en7ER5OOfhBLq10OBUaJ6M83ACNb3fZ6xGZjjn4QTa +JWddtdZXD87DCVR9oU1B93lSzsOx35vqUNDVNIzzcAKtf9Y1fONBBefh2PX+ +Kn/o0jeC83Asf9jwcvOdTsjDCXSsk9y02fFwzsMJ1Gx2yL5TibGchxPowvDN +c4pWyjkPx9b7y98jtU2knIcTqLKl9VDXokTOwwn00TK1hBe/4zgPJ9CgPUk/ +nwmxnIcT6IMHi8+OCUIeTqBTJnufnbZawnk4gTZZfaWNnjLOwwn03nibKWek +4ZyHY+NXdcPJsbWE83ACNfj9ZE9qnyjOwwn066N9y6OqYjkPJ9ALwasm/zde +iTwcy38e39c5nRzPeTh2v8faawx+E815OLb+bW18ZWMawXk4ga46ea48lEZz +Hk6gJianfFf2j+I8HBu/fbb+3jEmhPNwAk3tseBsyBAJ5+HYeuy+rot5kyfn +4dj8/DKKhBd4cB5OoNYet1a7lkdyHo7dP6vJ2xb5R3Iejs3Hof7qeVOlnIcT +6DXwGNlqKPJwbH7d1s14Njec83AC3bmpaHW5cSTn4QSa4bq5dHbfUM7DsfjV +vn+XmuWoDyfQ0sNXD216Hct5OJb/ePw9KGPrn8jDsfUg3macXXEU5+HY+vPp +zErnUCnn4QS6ory3e/WLMM7DCfS25NZOtTA55+FYvtvtw+uhhrGch2Prs6uO +Y4fFAZyHY/mxlnye3xjk4Vj+tF7/dqVaBIg8HMu/Z9g1vTwcynk4gb42PWee +vSyU83AsH4pds+tJQzTn4dj4uuLZ15w9D5GHY/nNyQJqwPJTkYdj48uo6vTa +zXGch2PjcyKY04sKzsOx/GKe1drvpfGchxOo2uK0m23WyTkPJ1Cr835KTWUk +5+EE2rlt2kmTTsGchxPoKbg1v9kxCefh2PwX2pwwqJFyHk6g12PDPEp+STgP +x+6XdHTmx8tRnIdj6wO9qrH1VBTn4Vh8yJ+2UjpHynk4gSbsn9zh+NVQzsOx ++Nj50Ghlrh/n4QTq0eHLi9cukZyHY/me86tDFzuFcB6Ofd7RXiuNq2WchxPo +0qr4C71vR3IeTqDvJBf2jVgcz3k4Fv8MlOGrtGSch2P5xrbYgz5ngjgPx+J/ +H50dVZ+DOA/H4jM0My6f4815OPZ7RlpY563x4TwcW89KXpz1Hy3nPBwbH86f +YEaxgvNwLN+QLJebqcVyHk6gy39OPJZ6L47zcGx+pnbfuOx9Iufh2PV/Ku1v +1kPCeTiBtgpbbfPnqTfn4Vj9YB671HU/8nACPe/5bsyRbgrOwwnUvt3sO+bu +cZyHE+jAd6OPSK8EcR6O1WM13352GCvnPBx7v1ZucK8VgZyHY/O3Z7RXez8p +5+HY/X9+wtBMT8p5OIH28xKGK0fKOA/H7v/uDqN71Ss4DyfQYpc9954Nj+Y8 +HJufglptn0dKzsOx8TO0auHaJ8Gch2Pzucvvdja58ZyHY+PVcebkyHBvzsOx +5zVjU3Sr33LOw7H6+4nxjKnTAzkPxz7/ySK3d6MSOQ/H1uu17x5erZZyHk6g +i7UazVcs9Oc8HLu+k60mh+xQch5OoHnHiqvdG3w5D8fG/0ejxJaNEZyHY/nk +pyM9dY6Ech6OxeOvez7eqJRwHo7dv41qR/fFRnAeTqBPNceCyZ44zsOx1xvv +nr1z1J/zcALte2rlde3KKM7Dsfs7uyHPxgj14QQ62828OuC9nPNwAu2h4Run +uzyK83Csfr5ZoG+kVHAejsVzWU5FTI0b5+HY9dUmfBzjKuM8nED10r5XlP1Q +cB6Ojeeod2WD/0g4D8eu933n44ljIzkPJ9BOhyLdv9yL5jycQCsfWgzY1y2U +83AsHkdPPJhuHMN5OLb+arW8/3OMkvNwAnXa2DBlkLeE83Asf3wq273ZNoHz +cGz9mrK0+tSaK5yHY+t7K0XuxBHRnIcTaNz00Cl3TGM4D8fi3UPtoBVBUZyH +Y/X1yPqtH5IknIdj9eSCXg9vmYRyHk6gycVloWfOSjkPx+qHbdfOPfWRcB6O +jb8aU/dnEVGch2P54EqpfXsvT87Dsfxf09l68zp3zsMJVGXxZtrm/WGchxNo +9z9JevHHZZyHE+igaU9DHZaFcx6O5RN7ms4t7xnOeThWD0yy3PFkvpzzcOz3 +f/huXuwcw3k4ga4LC3p4Ik7BeTiWH9mre+x7J+M8HJuvc7cpk0eEcx6O1Y/K +fh2MjRSchxPoi9j6rd1qHDkPx+JjkfZ934lBnIdj8fhm6dR9t0M4D8eez+/a +c3bGcs7DCfT4r4Fer/aEcx5OoJfOnd7a6BvKeTiWb5WuOJPjKuE8nECHbHDZ +UDxcynk4lv/pqVX6V8o5D8fiWbnBlAGXFJyHY69vPdtvZW0w5+FYfpJ86Jzx +RAXn4Vj9aVkUbu4Zz3k4Nv9fWM40DkEeTqA2L0+Z9VtxmfNwAv04Jd7aqH04 +5+FY/jKn0DktRgJ/Jf/l4Vh8kFnPvFnpw3k4dj/aL5hzuSGW83AsP2661zly +ZQjn4QRacvOe9IhDGOfh2HiuGpowNTOE83Ds+n680r6/NIHzcAIN0Pkw3Gal +hPNwAt0dbT5U6i7nPByrv7oEx079KOU8HMsnthuPCdBK5DwcG1/jSveuGYQ8 +nED1p7lfCOsXznk4dn9sG2LvZ8k5DydQrzifQON+/pyHY/mX4VEjv9pIzsOx +fKOldX3BIgnn4Vh+c2Vq2bDPcZyHY/P52CWj97+k0PF/PJxA59350fOqbijn +4QR6aNE9jRbBUZyHE2hEluyR1tdwIvJwAj3YoXL2pWR3zsOx8TxozeSeO525 +PhwbD4XBe193cuU8nEDv3F+uN3ewguvDsfFpm+28vM0FzsMJNMa3sMXRPZlc +H06gFh8CyfszEq4Px9a3uqZmul4yIvJwAm0/7lr/7yNjuD4cyw9d1DavHZTI +9eGE//cbiDwcix8B+/7ceCPl+nDs8/Tt1umfCuP6cAK9MaatlfYPD87DCTTz +cfarRw8zicjDCXTSsjV1TfWuXB+O1RPbD+wfXhjOeTiBttk6ZUpCZgDXh/v3 +/SIPx+Lxi5DjFq5xROThWH5rt92y7cMQzsOxejfqpG5jJyUReTi2voTc2zud +xTeRh2P5xw7rG8MvSrg+3L/PF3k4Vs+YazjZqsVxfTiBPvmwxu7lLQkReThW +Ty32fbr9fgLXh2P15/rThSZF0VwfTqCHP26y+ePrQ0QejtVD6j6aj+MzuT4c +y0/Wvz+gVqnk+nAsf0m8NIh6eXJ9OIF+avux0+d4H64PJ9Ds0wGPTG9GEJGH ++3e9Ig/H8kfdubp+yotcH06gM39P7HTBLZrzcAI9mvK4VG+dH+fhWD2rfbK0 +r10Q5+HY/V+V/uzW6nDOw7H6+Ep3zXOWsVwfTqD9G/LqhmxNJCIPJ1DDpTOi +XtUmcn04gZ57NOD+QQc514cT6M9506jpJm+uD/fvekUeTqA583poHzFI5Ppw +LP7tdB/ywURCRB7u3/tFHo6N/83mL3VnJHN9OIHeP/RxeNnyi5yH+/d+kYdj +83erDuxWd+X6cGx9mzL+wuCwSM7D/Xu/yMMJtHbTms1Pe8q4PhzLt4Oyzs9N +doVe/+Ph/r1f5OFYfXGq65K8fl6ch2Pzq2R8rb9OCteH+/d+kYdj9zN27lSb +oGSuD8fqPUWdaZ1LPBF5OIEG92jpfGGXKxF5uH9/L/JwLD5qq/mvPCXjPBz7 +v/vNbs8dlETk4Vg9q7lh7urAeK4Px+JB5duI/hY+nIcT6DK5xvei9TGch2P1 +mO+x4g/pcs7Dsfh/cfL6B5pZROTh2Hqf5WPorCXl+nD/rkfk4Vj+ZPJKd1hE +KhF5uH+vizwci49zfStupfhzHu7f6yIPx+JN9cvtq2bGEev/8XAC3eRZsiam +JoTrwwnUctGJpaPCnLk+nEAjb0DdtRYKrg8n0K797z11myXnPBz7vSvsvIJf +J3B9OFaPwNqXy4encX04gXo2E8xLHNK4PpxA5+dW3G1roeD6cP/N13e0Liv0 +4Ppw7P4mbXi65XUo5+HYfL424c/tLf6chxMojal38egUxXk4gdZ97xJa29Wd +83Bs/k1y731vg5TzcAJ9s627wzjNTCLycP/uh8jD/fu/yMOxfHK9T2VQcAbZ +9z8ejuXXbSNqhv70JCIP9+/9Ig/37/8iD8fiYXDywkOGCs7DsfrVZY/b9VQv +rg/HxtuPD5oGW3w5DydQR5/cGSUnFVwfjsVvme/kFiaRnIcT6FSz+03et5Vc +H+7f94k8HLt/EdojLy9KJiIPJ9BX7dYfGnczgevD/Xu/yMOxeOH8quhOdxnX +h2Pj17SPZitFIhF5OIGWHbLv47QnhPNwArULHn3ywqgAzsMJ9Mvm7uerJW5E +5OHY+tNt11a4FcD14QR6tY+2psGFIM7DsfWqc7sys18yrg8n0O32izYG/sok +2f/j4djvvb7ii/foRK4PJ1AS/cjNsDyViDycQLfVDPFfZhnI9eFYftrooWug +l8L14QQaW95K1b1jFBF5OIFKO9r0ftvRi/Nw/36/yMOx+q8tCZ2rEcT14Vi9 +pBSSlrP8U+ThWH4WpD/MuCiM83CsnsnQm5Sq4cj14Vi9MuJKpxnVSiLycKy+ +qdHtNcArmevDsXrx0878M19DOQ8nUPOedv0TPkcTkYf7dz0iD8fmx5R2h76u +SOT6cAIVtixv2+GqO+fhBBqWsMc5ok8Y5+FYPpWwRGf72iwi8nD/Pk/k4QT6 +eNuhujssHxB5OJZftV5w4+WpTK4PJ1CJbmeXkbZOROThBDrL3sf3ZYsIzsMJ +dO1jnTFnRsk5D8fWz9DxY/rtkXEeTqClF+ccLjJMIiIP9+/7RR6O5eMH1+xp +NyiWiDwcq6++LGuIfCjl+nBsPts6mn01UxKRhxPohAvj5j4ODyciD8fyTbNZ +f+bbp3J9uH+fL/Jw7P9C0/o1D0K4Phx7Pr9Vz0N1YonIw7H17fCz2KyJSVwf +TqCKP/X+q75LOQ8n0OZX1fTZSsr14f59vsjDse8fqPup49gQIvJwAn27T99y +y5M4zsOx/En6e/HDm95cH06gvRT78nYsQB6OxfvWB+fpPYji+nACbVd+ptg8 +IITrwwk0wfzm+mPLZVwfTqDhao9vHjoWSUQejl2PSfzUbbMzuT6cQJ3NTAOe +KvyJyMOx+kV/XLu6YxGch/t3/SIPx+rfpUE/3zWTcH04lj9brD3jZ+LBeTiB +3ovXJHv2S7g+HLvemsqs8FXOnIdj47dm2gLHnxLOw7H69ux82aiv8Vwfjo2X +Yd//hBp4ch5OoL/iPiij1wZzHo7l45OVw3uY+3J9uH/XJ/JwAh3ttOd3X0tv +zsOx+9No59ZBlcj14QSqVWH8+exfGdeHE2ho39G23dxSuD4cq3+H7h4ieEg4 +DyfQoFvBxz0HBhKRh2P1o+0Ep7rhLlwf7t/3izwcq5e+jB5zWZ5MRB6O1dvP +h8gOHkwmIg8n0M+HEkfPTJZwHo7VN2o37uWuSCAiD8fy2YT+0ovaCVwfjuWH +ddNNO1N3rg/H6u/+v1bftQrn+nCsHohbaD+m0J1U/I+HY/mOV9GHk7lxXB9O +oLsitwxbMETGeTgWL04M2LKgPIXrw/27fpGHY/X0MacXF7omc324f6+LPJzw +336Q4tamPlwfTqBXLqibtxsYzHm4/+b7/mHB472IyMMJFGLrm25qhRCRh2P1 +28Uko47j5ZyHE2hhYauFqkUJnIdjz6NO486JBUGchxPo3Ng5bsFzgonIw7H7 +57HuyLRAJRF5ODa/p6qHfRvtxvXh/l2vyMMJNGnUDcnAKleuD8fqU7+Fn4MG +S7k+nEDHLFirkF2Wcx5OoD6KOR8bEmM4D8eeT1rfjw9jvEnf//Fw/z5f5OFY +/dNxu93kbBci8nACfRR8ehI0RHB9OIE6xN6RjFqSxPXh/v29yMMJ9OS4H23N +taO4PhxbzwduLZ18P4Drw7F84ZL5jbcdvDgP9+/vRR5OoBc2/qep+47rKfr/ +AE4lKSISChmV0CJCjowGlSIiKirSEklCKlqSdmnvvfcep0477dJQiKwk3XuL +kKzf+br3+/t8/3w9yp3nvM/7eDwfNzV257UVzPfhcL/3s/NAp1c68304vB+W +0qk+dDyF+T7cJNrpeElm6dxMxsNNoosjvmSBrjvzfbhJNLC6uXNykyfzfTi8 +/zCcs0lMKofxcHh+C9SlW9eHMN+Hw/P5wsHb5T6JUPqfh8P9l6zRmFxaBvP3 +UvF6erBF/nFqOvP3UieR5dmO1PD8eOb7cJPoRpSnaF3FQ+bvpU6iVY+j+z1+ +pjHfh5tE7dY56hkx//0+3H/2118E/kSXMN+Hw9c7tdlyeVkx8304XJ/YIzdO +wQTGw7GeF+3hJlFN77e0poP+zPfh8P4uTr1b5mkQ8304vB4VKe8Ik45nPByu +Nx0yZecEMpjvw+F6aRfVpnIvl/k+3CSqK0/sWjj43+/D4fVTIrR90/ki5vtw +rPPTHg7vP6+CVNvacMbD4f7j1zj3Ts5AxsNNouGBlE2aB4KZ78PhetPDtqOe +NwDe+ufhJlHt65tKglNFzN9LnURTGWzpL+enQtrD4X5heTbPs6PhjIfD/br6 +ZcG/XXmMh5tEB4KmOXp2/Pf7cHi/aLRK41t+IuPhcH+Q8mBp8ttkxsPh+SYz +NWdeQynzfTjW/dAebhKlOB5Y+bq8lPl7qayf0x4Oj0+vtKCYz/GMh5tERRnm +5y3Ms5nvw+F+7omfrYNWPgx0WGmu8pdiza+Ncwtu/KGQkqrBuTTuaNjR+nE2 +4Tfr55+snyj2/GLlBasqvf/+pNDeLaTgnFspcFNNYr8kzt/fSJ6rrs+Byqbe +a/VnKbTWmBc9nUkHF3ltTT1/UOh6lNP+sn1RwLn4XF7ZDOt4sfoqP0a/U4jv +SpLCE+tUANmlDy3HuctJIcJDvxA+y1jhpfiNQjkJr15x1aeAGa05fdZfKXSw +TKVOiicQCPwYWx03TSH7ZNVoIfcksCOu51LnF9bxTxyuyPn1mZWvkQnft+B8 +KNB1cfXJUugb7HXg7BSF1nhbQu2D+TAL3Hj4YJL1+61v9Z8UUxTa52nJoTtV +CMc8lYXekRQSMec2Ju9nQc7tUsZLcb5c+VuDqzYDbhwSyD5AUCgtaVf1O3Ef +eNDp79erE/h6t5uYHjYPAgabxhSiP+H7mXZ92fC0EDp2dj9oG6eQhcZMbGNC +AYy0Le/+8ZFCBe/IFKgZB8tXJ6wSx1n57tSe0bJs+LTe88LpMQp5qlQ8swrO +hF8tbDLdPlBot6pLWAlnAFi2VH+6YJRCicIxFsrniqFMudK+1+9Z96NpKOm+ +GGfzNxc3cnqkQ8v5Al373lFo+vbU3TN4/fPM+bPC8i2F2kO94oy/58G0Ux8M +I95QKHSBWc26n8Ww6VdX+uPXFMrYbb3XQT0bvkss+/xthEJ3d16fu5HvPmBT +j98rivMMl02q3OZSuO7zQ7eTryjUap3DYVgdCRXCr3c4v6RQx7WjgkfJJKh/ +QE8gb5hCkUHC80ZOxgG7D4oGL19QSNjT6tuxhTkg1FcibSHOgp4eXENO2aB4 +5/Ip+ef4/LH5fd333WHvi997zJ+x7m/KddQldIhCx1PWzdd9nQwWb+1qaxyk +0GDI0eXz7mQBySel/NNPKcQvwJkvtssTqNvFndvwlPXvzdc9TDk+QKEFoVeg +RnYidG+2pu72U6iQ6C+ZXBIHk67q7s7uo1BMR1dj9IICWLdc0fl5L4UOd/9p +5ysphyNwa+sCnBPfLuL2KPAEfy7yL9v9hEJfTh36/E3CA67m+a1n0kOhJL2t +W8H3VChf8D4pqJtC4vtaFT/8wfuDs51EXRd+3hl1N6w4ksHNOaVyU50UMkMP +r5ZWPgJBqbH3hDtZ11ug6fFYo4OVu75e43Nop9CA/2fvXWcjARF1VjejjUJc +Oborby2PgzxKhxIHW/H9vZI75lr4AGz+tGWCE+f0dTaKJgdK4eHAZTt3tlDo +l1vs1D7ZeHhpzy/Hi49Zx3cdedcU0Iznv7PxbFNiDox/0LG4polCjcet7csO +BAEkVXKGbKRQ2WFhdsmmYPCiPyZ+Nc7KOwfXGWmnwlmHB+NqDRT68zGXfds6 +f7hS5JqsXT2FzgqYs1lIZUC5tjMOqXWs82lfP9jYX4t/LrqS3+lvPLy+agsv +B87nntSY27/OAf41S3W217B+P8f0Z6whwvOxZEE6p2oRbOd9N+ZbTaFyGe6O +AbMkMF7cvq2qikKx1tf8LlVlQa5zxXc+QQp9RduDJeTSgChHTP0qnCclxJL0 +Pf2gYqb7wiOV+PkF8vw4sDgYGJ2wOnWzgkISe7mPuXs+BPd+6MQklVPo7VjY ++bSECBgdd+DDkzIK6cXe6J8KL4WVhzfLzMV5izjsnrlSCodIPjvpUgrJntkW +tpg3A34Pnq09V0IhQ/FEeFI9Ci7f95bbu5h1P9vftZ2sKML1R2NLoO3zPHjc +qyhqrJBC89UN+C4SieDq9uj3Ajh/fKPlsPdHKvQeui+lXIDfX6qgTo14AMxw +unrLJp91vMebdGri8yhkN3hZeL1TOBzt3L+gO5dC9V/dps19YiHHTfETf3Io +pL9paNl3yQSwYQ1fpATOJWjS61BMAjzQ8OOtbjaFCM12g2NyfuD85TcSD7Mo +JJO+Bv5wzoD2S9tsSzMpNJziv20U94/h5YXV7zMo1OQ8s25sTwYsNYyaz49z +epPp5EWpTNg///7xQ+m4HseKbRySz4dfcq6EX0vD9SMf8XJVJAC+06ffxKRS +yPtn4JYttQFA+rfC1o4UCrkMonLJdXFQI2nTjZ/JFLKOU9QxUsgHl9WXVG1O +Zt2vx+eZeWeSKLT4vU1q63Q6SAl/remeSKFdO2/vcJ9fDhsOtIYWJVAo88bG +dGGJMvjmQ8HIm3hcb4a1VXV0S+Acv8jNfPGs462Vc7u+Pw6vl9AYNp30AWDY +svJKLIVgSKlNZ0Y01HU7xREVg993Jo848SMW3N6qoNEaTSFur1wpHoU4EPJE +LGQmCtdD5eB7akKhsMhu8SsxnG+L8dqLo0z4ZN3MplORFKrIdt5UoFgMqeaR +a64RFHo1Gq7hlp4PF1m1lOeH4/Nl5dWYL0mEWwUK2EbCKOQ/X7FvIiYJqFZF +qPPi/GJuX2TFnDRgauwaBEIppLVfyNnBLhTe57Ectgih0IWb9u9c+UthYoG2 +WHgwhX6P8U3vtkoEtWf3WTUH4fr0zOLjg5v58OUcsbKvj/D6uiRqUKUlHP5K +5Z0r8oj1PASPfVc9EUghpy7uE9AoDOz+9irQKQCvXwq++ubuWfB09OPnOf4U ++qTpAvx2J8AbSvkiw34Ueq540YYfz8/AT+FXeHD+28T/Vk88FeYFupTs8aXQ +qaHGop/WAaBzz+W/pj4UCttzv03ItRhOjJw8EuLNOj+3Bwho8MLrBY/8GQch +fyguLfrssydeX77kiHEeTwbKA4s2rsc5U+Zs6EclH3DR8dvlYw8pNNawSv4S +Xv+dRV4VOXpQKPXeoailcoUwtq35d+YDCo1wTcwxsvWEVdfzVJ65U+hBiXsZ +bPOHz1eF+3HhXHCWDVW+9YE/apwH5e6zrmeFmcX6S24U+tB+z6x3OBnuXHzS +4pHr//QjJXsLa10otOfGjUaXqGRw7ZzIL8qZQppH/YJd44OgL8ci5bU475Sc +9K2VKoFZmV99jjpR6Gq34OEZvjDYeuLlwJ17rOON/WgSTr+L+6W6Kf177CmA +Mz7X7KkjXm/SVaYSAqKgyJGw/Hk4H3K51Ne2NAceopxmZR3w9c/brnR/qycw +DDFXvGCP+y8xr4irS33B3X0nvP3v4PEpvTHq2+YQGPlOvr/aDs9faWrFycYY +WO61cS1xm0JFRH70lzx/8HT7QlMhnN2dM1zs9PPg16HpXNVbuP6+eDAy72AC +XOY8PHPrJn4fMVlKJ54mgm3iTQdTbFnXf6wrx7PvBp5Pl5xNZR4lgCs3Q3vZ +cD5Voe639Ewq9FrjtHqbDYV29FxCjbUlMK3B7JLBdXy/hSJqhWUhsOmyVo6P +NYW05etSCkKSwbul8t8rr1HozkRvQLdyPmSr2HBg3IpC4bLvKMnWTLjOiOfh +SpyLnAd+HRkohApc0z0qV3E/ttDYIEo0AOjnvhC0vfKfemFxzcE0AN453Xgx +0ZJCqnwihvZ1USDsd3ZWz2Vcv7aEJ2cmxcOSpJCvfy1Y99Onfk9BCueJSj6z +VfYlcOqz6QN9c9yvVIb0VhoVwsURx7s9zfD7sPdR7XSLhZIH96wqN8X1f1VV +/5mcGKg+tv7CBxPcXzR3xgizlUJzP+7M5Th/iU0qsi9IAA/kvnxRvMQ6X/Lw +c3DdGL+/GX3D4wdyYb1bw/24ixQyjd7OqZEeDF5vze7svIDHu/X1a2K2+fDP +k+AVv40oNNpOyYuNFsDVd+4absV5TdFcTh/nYCC/3jT9rCGF4vP/RuwD/vDM +42OfHxjgepkh/6BePh7etNq9t+Q8hUK6wbndHvEgSGC927tz/9OPVC3oWPo/ +udv48/KD+ni9nLLiLhQPhyTP8/NWerj+xLWdfuuRChcW1qdG6+LxYRKxc/7b +FLhFN2uy7Sw+/vne4bpgP3h4bvCe2TO4vq+sVe1MKIKX0hxdxHGOWdU6lfPG +E7geM2k7rUMh24mKzRrm/jD+myb//dMUmlK71e3wJw+i6F3nCk/h818Qys2X +LofDSutSXmvjehJ8q/6Xair8+YmLWozzYa/fU52JPmDVo6ldCidxP56FFJ9o +RoFd8s+cLE9Q6Mj88fQLVBHUfl3XEqGF589qv4hL8+LBdY/MpS3H8fkvyH9+ +OewN/KWD9L4fw/NfelDK6kkOzBlwSBI9xnoe7Y6XiJOarDwuoinnokGhUpeC +pplFJYCrXe5e3lEKqRcktnE0JUMxG+HHL9VxP778qNJdlQSoJMjFtwjncwPL +/q7YUAIv1E6e3atGoZNJmYUiWwuhk9lQgrkqhVK4i1ojrqXB6MV1n0KPUEht +gc7vxNXlsLIkY0fTYQo95jw+Nbc4Gw6de+Q4rUIhYw9f3ZTRKPCdw6FpA86D +gcGSIgZ5YHmW8WItZQp1bu4+admcD2RPapy5p4TH6xAPj8QPN6A1uzM+WxHv +P+a/DMyaDIRW8WvHnx+i0IE9twJ0ApOBz5H5stw4j3NaDFicSoQZFGW/++D/ +9CMhgw0mB/D7ie3xRHuK4ei+2kXB+yn0qGw329nqOMjxPv10vQLr9zd4B+LG +FNfHZSu3+Y4VwAOy9mPCOLvIbxJwWB4Ezz+7uE0T4H5OqmFCwiQbOjgfveOw +F/dvRex1w78CYIT4zvoMeQoFFOz89bUwF5Z1rVk4tAf3gxvTTKhzBXDgJuep ++f/JRftf/rEpANNrqOiduykUpFggMz8pE/I1Ph29uItCmxPVVftup0Jpyxrp +QDkKLQfzImOICKixLP12zU5cL8e2aqpWlsDLFQG15A5cT+9FPX8okgoeGt3h +XoOz03ojf/mOEpjKdfGkuiyu783bZYNCsmFjrnqU3XYKdR/ZLywtnQDent7x +PnUbhW6OCT19MzcPzPmzWmpABt/PpV9sX+dlg7XJ825x4DzpWul9wSIDgqMk +2i5NodnrDURrYSXU/TLAZSSF58OK3gdfyQJwOwJp+UlS6Oe75l3R/AUw5GBa +RJUE6/kWjfm//bQV94OztSUxalHwiZ+dhCDOtedyttZdDIGTchdsj2zB/TgP +z+4Ni4og70u16pubcX8iKlNYvqgMStyXnZ8sjsfbfDUeojQKqEmsPt67iUJe +qmoDj+KToWkvR/hcnP17TSxSdmXA+3eI19JiFLLxnfxcJ1oEE9cPbDkviscb +1+/+27tSQO3jahtvEQq1nG9qW/khDryySoUVG3E9Ut7qteV2Fvgt4D/v4wYK +VQvadsgnRgOh6tuaK3Duub/+rEtXNthzyShUeT3Oa189lVOLADoL1UZs1rHu +90bh9s0JwqwcqCt0vXst3o+1Tx068CwU5M3lqPyzhkIKOV5OF84ng860CXZJ +nNlvXjQVv5gLJo71H9VbTSFfQe3fHwfKIff3quCHQhS6Z57bkJ1UBsVjUl6W +CuL+jhJaWJBZAlWU/TaNrsL1/Mr4g20WJdB44tY1fpwDU9xejnf4A5dHhuWH +VrKuJ05elc16BV5/tv/aKDURB6peb1OPFaBQ7uLFon7cRfC5h2BQx3IK3Ths +E29wvQD+kGYf/smP+/8h3y8/yxLgiqefRLfws463827f1TPLKNQ8+ePnbvMH +4KRoVan7UtbPrduT5xTzsbKfja/q2yWsnC14K5APZ4FVmUG8i6JAa63B8/2L +8f40RmW/UHgWHDM7InKVF++/S7msib5syLlk25WoRRS6bv05Vti1EoqUripp +XUihiMfdu1OXFMND59n+zvBQKD8wkV/COgkazvt0eBPOuQenjnR8z4R3s3r9 +T3Hj/muvyO2bZ1NB1Ek45LqAQv2rv/iJngwEFbNJGwq48H5z/ocvb25nw8F4 +n8sj81nX++3IzSLe/8nLJs//BpwUOtZg4jNemwy2hR5WuTwPr3duazecgong +mIKMXzgHXi9DLPYsnusPrrxfOdjMjvtf8qSjyeds6OU9d/03Ngp5ro3iCC9M +hemy4+YiOHsL+2l5bM+Dzc+eFJyYi9f/0wE5vwd94Hvnyp9Oc/B8iRz5fvFV +NGDfnKSU+5dEznc9J0NOZ4B13d4+w39IJCV545T3g3yocMt2gAfno+rf4jqL +CqD+2vPC8r/J/7/+O40qZma/SPRa9Nf8W3GFMMxSOj/kJ4n0ePSzrk17gJJl +K2cbZkl07EWy7PLNpbCvYo7ilx8kGkgZ0auiUsBno49e63EObi6XOzdTAZcs +eNJ3bIZERjKcDxXUi6FUXsWau99JpG//+O12kVx4VCfRJOsbib4arM/pcIDQ +/I9X7rOvJFIq/KrptiEQPki+McOF84Dk2JaAuWUw+ei5g7umSfTTTfSooV4C +qP+i7HnpC4l+XVjPFhgUD19HSPU++sy6n78HV6yumyKRcv0T3tmdfnDNx7/G +k5Osn+/1H8tei7O7U0jyIgkvcHZXz7ejFImSEqLfJRamw5svy/fbk6zfD7qf +4JFOkEj79QffWeESWCDh1fN0gkTh1369GrscBrp7bQQ5cf6mvavZyqEIknf0 +L+74RKKD29b+kl+fAxZuUM66ME4iacFX83YeioVbWiS/+n8kkbHTjOYlwUfw +yDUBBTRGoqqnozm8PKHAZMVfd+IDiVKyZS5HjedBt+oPXUI4t40kvY3ki4AJ +l7pXqo2SaPnCPqFEo3yIFpYb3X5Pogrrw3YVDm5guDA+I+UdiSS0dRuS8uLg +T13PL31vSRR2b7mRPu5/V7HZAHacfTYVxTfpPwC70vXub3tDIke3DbznPsaD +U8eVOg1ekyjk1vCSc3/jgM13iRW+IyTq3WHz21SpHAbELDeEr0h0O/qHWnd5 +HshV/pM2/pJETdv59ntqhYP2idGplTibXUp1dubNh+OPuuQPD5MoT0tAOS6o +GHDtLXO1fYHPL3RyZZduBhB7E9ee+JxEc0XimhXdfYDSw4fLnzwjUVeSDQGr +feAFmevn5+BsreEzY/MzAzo91U2VGiKRrJiMhtfTUhhzV3FSf5BEaXPfaNae +LoFQVGKP11MS+W07s+NMcRZ81s7vUj5AorcZLe0f+AvAjM3v1g/9JCoaiYuv +3JsHlwuNLhPAuV53ll3JMQXK1nXqK/WRaLX3tu/el+Khlnlp8vVe1niwWhJH +xj0hUadOupv6zQLoU+qxq6sHj4f427sMRpNh5nlrp9/drN9vmafbshVnMuTN +3Il9BfBD1qGlul0kSp2ZXpyUkwLnaW/V8+gk0e8aZW2jjZFw489lSSUdeLxZ +nWmpvJEJDyT8mnjXTqJQfpek7lX58Lzq+53LcH7WUv33VKo7cJjsuHuwjUQ3 +b56TtE2MgxGhJc1WrXj+BeeeMHgXAMoUYpfEtJDoQoVRyXhcLhx4/+Bs+2MS +nX4nsOGpRgqc9r6WMNtMIp2amIgrdt5w6Y6zn8RxFi+qbPclqqDM84M7dJpY +96PpssXxfiOJErTlr6RszgKXNy9rKmwg0avevmuZiYXwYfdP3jf1JFKxWZ92 +sqIApt56p7ME5+mEH+vb/eJh49qOOIU6Eq20sBCR8SoAbxuLP1rW4vE7qNwu +Vu8J5l6J2R5Zg5/nRqPb13mCgTD/A/sWRKLNt90iJgoiwb5Kq4bv1SR62fM5 +LOdpPtS7cGaRWDXr+uwWHDytXYXr4Rm1wkTpSBCStznWBZJovutq9eqtybBI +Z+lYXiWJdjc5ft7xMQE++TMr86qC9e8nk9/aLcI5cJ7rtjKDcsir0V63txw/ +/3b/JFgZDCSmi3gsyli/rxYZrR1WSqIo8qfg0ZvJ0OyQe3RTCYmunEBW/bb+ +wP3j1dHpYhLlcv7kaDiXCpL8daQ34vxOZiR/6GIFrNt14LZWEYnGtCJnk3an +wVcvxWvvFZII8PjeGRcJBr/v83HnFJBIPXH9oqMH8qCQ5OyJF/l4PvcXCVS+ +zoN7+t5EcuP8uMnyqe1IMtCxb3u3Ow+Ph63GQgMWadB2Q5GkaS6JWoqeRSr+ +CYKPWqJuBueQqGEIWgn5V8L8a/dRfTaJYsH63utHkmHXiqtcn7NIJL/omWR0 +ZB6YqD6ttQ5nt5V6P78a5EFuk/0Rmpkkin7jGtzvWAnFF4m/dcggUU5JIfvS +W3FQpWiJRGY6nm82ukrdCZnAWO/HjaE0Eu3Qjn7jp5oMXdjeVM3HWVjbLCpR +PxLEpbdyyqWS6JH0Ai9X/xBYfbzwmHEKiYJEdbRt6grgi++RYYHJJDrsnn4w +Gz6CszFur2uSSHRf+eD32eO+cKXKlS1UIokuB1W8ym2NgjuJUzZrcOa9IiGy +SDsdnAxSgOoJJEpc8HKl7cs8aL1307w78STKWHy7+3JbEfR7s1gzLY5EIwfs +fucqh8HshzMhA7G4Ps/kiEtZFcE2mdevOHDWrbQaD5HIgh+ftojLxuDx3XM5 +zmoqFcy/V2BtFI3nzwrVFZseF0BRscgKvygSuXSTOxKgNzzU4cpeHYnH+8UZ +8XbrAmB4w/LoRASJ1DgErrikF4O7QqeCBXFev2lh6YNfmSCqbt/LI+Gs8VVh +LrbpVhgrDy5ZfC05lESiZsoRgp4Z8Fvp97LeEBJdC92mv3K9P+Q3GJnLhvO9 +Ffeq/qQhuJ2zRU0mGD9Pgi+rqjMDHs/Of3Q+iEQnOCKyj2WVwKvaES+8H5Ho +knjSwWqvAuj100W0MpB1vvSEy1c/BpBoxkty0UK1Qtisql26AucJYFStmR0H +30+COSr+JCp/8pWPfSAbsoeJqt7wI9ESYGJZ4xoH1u/nDUzwJZEAx2K5sZ+l +cP/ot2fdPvh9GnDAe+Ml4JzPq41/vUmkFTarfCk2E9rveGwpiXPS1Xtjnwfy +YdjzvGI9LxLJJf8qf7EuHpS4hP956InXv4bo89EzybBvs8vhsoes6/3cbeE/ +6kGi8+I7+xTyc+CS2yeH+HGu/hbukSwAoZQw2KD4gETtvp9+GgRFgaNNIpet +3fH9L7+1vnM2FlhcWVQUex/XX313v6ZsP+DB/+1Xhxvr+CmVL5V/uZJoQ9o+ +6v1wDGy40Oy7BWeJ4dkP2UtD4esFeU/PuJBIVT3DRdGqCPzNC1v3wBnPH0Ez +gwWN1XDNGWfzYidc79p3Kr6/FwL2/jUveHsPj5dZ42ChuWngbMqJn3w4e0So +ch40eQRvaexVOnAXP/81z20NfNxA8PRGn6uOJFpoeuv0HtEAWBi5cCDKgUS2 +WpZUuHkF7Dn0dW2bPYks39orfFYrg+THYdMfd0j0RU4vVfd6AlgY0JS3CWdr +s3kP/ZZmgy27c3+cssPrkYj30RGjCnjkVeght9v4+ctqXzuxyQ2auDt5FdzC +88NU4IX5ZwjdJM37Rm7ifkZzR0qscC5M6NNasxjngfOD2vfN42GNvbzJPlsS +xQ28cZfZWg1fbtiYe/kGicbhQ5lhi0j4q4VnJtwGP+9K5/ovr7KAoPX0gcfX +ScRx0rjvQ0ka3LVy+OE3a9x/LnFxJI7nwlOo8YmINev525jkCJ28hufPWP6m +RsFiGLAo1NjZikSc5qF6hk2BILfoXnbuVVyPW7Id325JhR16Zt+Gr+D51V/t +4esZDj+xae1fiHPZxPuNz64VwAUZezzkLUn0Odg4gSOhCm7S2tBjdhmv/3fU +71v2R0HlGW7BUAvcHxGHjYedi+CF2C8XGs1Z1+Ok8iLzixmeX3c1E4MOhcMY +omF6Pc7yNgf3/XlbAmBQ9r7jpiQqNmYjZx9A+GxviPtdE5y1Rw9LfYBw5s3d +rqxLJIof/8uhmh8ABDxNVz43xuPF4KW4nX8x3LHtuNECnG0Onrh7RjMOnhjc +nbHrIokO8Nnvc3IpgNfurf9y6QK+3h6N9pRbadBHjBsEGbGuL7Pjs1ud4f/0 +Izeed0wa4H5/qdfE5bsQfBBqEBDGeUnMt16ZzEo4rz7LQOM8iSTZdkX8sA4G +Gy2C0+zPkSi9eEDPyCkdHOS7O5Wuj8ebn6NwEpEJDcpM5Af1SFTZw31CvSUJ +Ohocc+XE+deJxQXvSvJAJOfu9h26JDrzPXnxUjYfUJa9bvnFsyTqv1MYQQwk +wQHtBecDzpCo23zI0XutO5j+OZWCdPD6te4rW3Z9Clya+IwiTuP+TlXJVvdk +JZRRq9+9Gmcl4Y3HDG9GQM2pTGe1UyQSclm2pqIwD1qGBbXe1mbdr+d+x2Wp +J0nEvsF5/0ktH5A2ekm//wTr500+msnsOGesWWAQtDoIvt2xi9ymRaItmslf +BqNr4NwXwrsMj5No7ddjo6P80UDYlcvJ9xjevyzofvA8LBns2zL1GGri/l/C +N8NaJxTo9QzxfdIg0cXQwBvKcx4Bu9t1uqtwllvKx3NvfSUMFc5MPHwUzy/F +j7PqJg9AcdOjCVt1PJ7P3Lo2fTIZ9l5x2JmkRqJD3qaca14WgSn+S3efqOL5 +YjnJc+p1IuCFGs1zVFnXL3FRbon0ETzeTSRD2hckAjVu4bPnDuP508L75KCo +NzDLn5/gpUKiJxTBdu9VEXQ/MzlerozX66Zr/lqDuTDp76DsmBKJSlbnF2mV +Z8G6lFoHAZyrC/vtXc1CwYhGRqOSIu73RC/MNwouAX+mA3ltDuH+Rfyi2vID +eWB1lL1O/EHc/6f4TTxaWwnlFY3jug7g/ee+5/McstKgzvjRj7/3k4hnp5Tl +/P4gYBuwc7sEzpIrSxRLxAPho91r7XUVSPRnZRhbpbYXzH/F2eCxD7+PWC1N +NfEI0OVOLSwFJPorMMO/4V0wICQHT73fi5/PbTm96yJJgKe/JmYZzl5j3f6n +8H5zs0P6h4PyJOopkWwR0PIHhzcGylzbQyJzIbm5X/VqoHHrHbuY3SQq7OT3 +/KL7CLhYX6xr34XXp5FPd9yOZoG4lUd5fsrh6+cJ4ZCdkwirceeyGee9700c +/N/GwRcma6J1duL9QqugpcadBDi7iHP0/g4SoYU18iF/auDKYlKqSJb1fuT0 +n956sx2Ph8Ags8HAfKjNXlOzBOfgqmPLPnkVw+sZaQv2byPRvpxXxZ8t/aG/ +VsCJKzIkWsreoPT5QjbInrGLjJTG4/3rkqlW2YewLfbCuxYpEl3nkX5hdrYc +flRRl5yRJNGpTLcNAUEVcD4pe1MM570cp3a3mMVB0eDVSFuCRK7qCXFqLlFQ +Eczjct2K+8kN4gsSLmcAo7fE8fwtrOu95zkQ/moziTxdheTWtEEYvQ29WYRz +2Ro9vpzEfFAxmLoViJOIf3930Onr+XDwnv8Ni024/qkYLPiYWQa/idlVhYmR +6BY/z+g81VjI32nE2SzKOv52W7VjX0VIVKPOa+CxphYeXy0btvE/OdtSb4oq +hFfrhV5rbSTR94U7MhSPlQBvC44tThtINK87Ucb9Sg3M4COu56zH/VzWrPYa +2Qr4uKy/8sU61vFHDao5eP4ns89P1dgjjOvPvJDZjyGlcH2OX4jpWjxehmtX +dp1uhPtP3X4VvIZEg8F7Ru/VecFzvwzFG1aT6FPv3mvl2Q+hfaKq9WchvB/P +1ahQqS6F4WrbK9bhfGjpnE+XQBkonRJkPyZIIrtKI659uSWwP4z9qOMqfP7B +q1Z1Xingy/6JoMyVrOtZ8qFveGgF7s+S02JjFHKBlG+VGBfOnza3xi0Vr4BH +d6ZYyQmwft/ihW+Z8XI8HtxVkMCVIujhemvuI348P9Z2nX7zMBWkbDFUq12G ++3m3KJED8VmwoefII2opiSKH468QZzzhm9vbXqxZ+p/9WKTcy8s1cM46QdGj +fHh/+PtDrcaFOri2me3qnSUk8r5lEygaXwTB1U8laYtJdFy0CayQToZnl/f9 +HeDF/UnaKW7P0w3wFoRH5uE8IrAi6f2dfBB8MTlAdhGJuOUfD5hx1MBCbt9n +RgtxP2HPU6YuFA978m9u9OfB+0O1i05aw3mQOmNgWc3Nur9Fc44UTyzA/bvu +gl6NrfFga6rMH0GcFWa72L2l66Gq5qrDqlx4/S4+PtC/sQSafp3rf2s+69+7 +RY0PJnPi/YOcy289lXiQoNi7vm8eiQrqokxtjZphzXilBRvOC/vZd5b71sCX +AUmFMhx4vekuvSNRHwJ+7fb5dZ4d14d5P081ZtZCwRFbZR82XA9d9BfVLwsE +ux+c962cSyKu4d1LRp4UwNNSh59+nIPnv1iJx8mYBnijX3rdSpzlorL5P1NN +jIcjkL7JiuEd2+th7j8PR6CbvU157vkBjIcj0OXHo7d2LqmFtIcj0KrzXe0C +ecmQ9nAEKkkpELbTyQO0hyOQHDx0biahhPFwBKr3LvyeJ14CaQ+Hjw9F2aRT +/+vhCCQquzxp+8lAQHs4AkmoCbROXa+DVf88HIEGXzaI9skWAdrDEajZlhNq +7HNnPByBfLev/BLWl8V4OAJlOaYlfLkRxng4Ai0VuRk4sSEH0B6OQFKDUiJV +h9sg7eEI5OkRIlmTXcR4OALtGxx6/80nENAejkB8ql3+U3IJkPZwBDqwQr9M +z7UQ0B6OQOUFaITHKQjM++fhCJSp0yndHVnOeDgCNZHu1xxeNjAejkAXPT3C +U/zLGA9HoPtqpty3GvIZD0cgdcHnp7+exf3IPw9HINWqCKkJ3kbGwxHovOTT +9aZdNYyHI5DLwtojMfEljIfD98eLwsxbgxkPRyBO42yvvOQWxsMR6LX7hnNV +G5og7eEIVOftfnjsaynj4fDz2xWWOnAkHtAeDr/P1/mi56ciGQ9HoN/Ok3ap +c4oYD0cgOGmetC2unfFwBAouMPC9414PaQ+Hn0fDjI7ns3DGwxHI/+6XJoq7 +CtAejkABV2Z4fykmQr1/Ho5AnR289rK2+ZD2cATarBF2PKi5E9IejkCEOLF7 +jmwppD0cgbL7P+waj6xnPByBJlWPdVWUZEPawxHIoZRYXlxYxHg4AllWKyvv +/VYDaQ+Hn59jR+isSQukPRy+/gPnONpS6yDt4Qik7Zoa+2XOY8bDEchCpZTP +uzGL8XAE0rsv8FezLxrQHo5ABn8N395eW8p4OAJdOno1WkelDtIeDt+fl8Oq +2rtBjIcj0NkPOUsuc5QxHg6PxyTFFUdzYiDt4QhUzK+80GJPCKQ9HMH6/95/ +Ho5AC96mBI9rFQDawxFoedDmrQu3dkHawxHoikDq2dbITEh7OAJZN8RsHe5r +ZzwcgZxmRmxDN6dC2sMRSGfDJf4D+x4zHo5AhxXOrgpZVgpoD0eg6I07TcSk +yiDt4QjkqPCMe8HcTsbDESjj2WLLdLs0xsPh+T8mOt6WWgxpD0egOJmh4VBc +X2kPRyCNobt77acqGA+H68ujSGnkkMl4OAK5vk7bPJ1eD2kPh6/3wv3n2+82 +MR4OH//55OjGsShIezgCtVksuH0hPxvQHo5AqdSL8V2nUgDt4Qg0URDUNBSZ +zng4An376MHfvDuU8XAECtystDdZNR7SHg7Pz/uGDsfONTAejvX8aQ9HIB47 +O4Mto5mMh8P1ap1srQhnPuPhCNQ3f1534IsCxsPh55UxX21CqgDQHo5Ar4qc +FLQ/lALawxGIiiChkvJ9QHs4AnHZPZQSetQIZf95OAJxcLp82viiAtAejnU9 +tIfD55fnHYsWbmM8HK63qTcbj0uWMB4Oj3f2hGxDs2JAezg8v1aF/53YX8N4 +OALxG3qI6tVUA9rDEaj1bVrpXsdaSHs4Am1bIB9z828K4+Hw758OqxBJKoO0 +hyPQD5cONkOPJEB7OAJtGd/drP21k/FwePzfdiycTcsCtIcj0FMp9sv2ad2M +hyMQ72HzmWKFHsbDEWi9pZday/HHkPZweL0ZWf6F/WwrpD0cHp/fkxV2bIKM +hyNQzlbjXf0y2ZD2cATq2msyaLeqFDz85+EI9Eu/QAya5jEejkBhCwuTHmg+ +Zjwcgd77fVta35IHaA9HoCKdLVwB+wsYD4fP3/7uduCtKkB7OAJ9NT399pqE +P6Q9HIEaXAxrlIbKGQ+H1wuxK6fT/R5D2sPh+tmrLL5htAHSHo5AzyuOCDRu +6WI8HL7fr3xzmjXaYc8/D4fHu6Nlkzp7EuPhCBQeVXvIZm8IoD0cgRI7Ux8H +yNcB2sPh+i0io2uVWwNpD0cgQeEu0vpMKePhCKTSrie91LGb8XAEGu227li8 +oYrxcHg8xtiKnDBpg7SHw/NRxGPyknM3fPXPwxFo8dqy2EMZiPFwBGoX8j2/ +b14poD0crreqM2zvFTsg7eFwfZI3ct6uVc14OAKd/GZstvtBD+PhCFT6TCWv +T6QM0B6OQJ8fu2hsrXnMeDg8vzZpHDNo6oC0hyPQbuXRhk72VsbDESjelUhQ +DymAtIfDx2+5qSm0vgdu+ufh8PsYcz1ltRpC2sMR6KP16i6l4AxIezhcH37K +7ugsLmE8HD5+KHvv4pMxjIfD77frkJDX9SeMhyPQwsEFApReM6A9HIHYJvku +NagkMh4On7/nCmf3zWZIezg83pxql2nOr2U8HF7fRZ6Z9Us0QNrD4fVV/oPJ +tEsvpD0cgcSuzL0+4FcFaA+H10fPO2HBxyoB7eHwfDbpNjM1qmM8HIFivz06 +GFzRC2kPh5/fncb9fJcHIO3hcD+Q+Ft81+snjIfD469tj0zQiQ7GwxFo+oRh +h+VAH6Q9HKueOP7zcAQSdjmVdhl1Mx4Ov++4UOWGPZmA9nAEkjHqPrvncxyk +PRyBzKKlhtCFGkB7OAI5T0ebJb9qYTwcrj+/2Y3LrpdC2sMRCJhusfSM7oG0 +h2Odn/ZwePws73Kca4UA7eEI9K7KIFAKBALawxEo8nt7noZ/F+PhCESezo2O +y4qGtIfD/aHS+F1972pAezgC/WkrLfgc0cN4OFxPFZR28jYlMB6OdX7awxHI +pi0yiW1+D+PhcP9w7O2bVTXdkPZweH29GnPAy/4BoD0cHh81atcXD+TD3n8e +Dv/7D6GEAHsp4+EItMTv8vOplY2Mh8P9Bd5MaVomMB4Orz/jFt0bRlsYD0eg +io+nE4pj6xgPRyC7PWZv3OoaGQ+H+xH5IyKRIkWQ9nAE6nD3ONvMXwZoD0eg +/qWP3J5KPYG0hyNQo56MSoh3B+PhCHQoc/qbIdd/PRw+XsEy54c2TZD2cARa +kb9AaVi3GtAejkC7lscqhMmFMR6OQNfV9glKeFQzHg7/fqjEQgc5V0h7ODw+ +uk5vesZdB2kPh9c/Lp3s5WzxgPZw+P38uZdhG9cMaA+H388mw0XeH5oYD4f7 +DfsLr42zuwHt4XD9mv3jMcqFGA+H+/Pni5XNE55A2sPh+tzleiljcTvj4fD9 +aL/h6Fv3jPFweP53/xHuyaphPBwe33bfbj7SqAK0h8P9fNfhpoLXzyDt4Qg0 +Ky429N40CtIeDvd3XHtXqvAMwFP/PByuh6Ur3nd7dUDawxFI91XM+HFqENIe +jkA1ErzXdfSSAO3h8PMYfObhsGoA0h4Ojz/RJ0PbyyMB7eFwPzheK5422Qlp +D0egxy+ra+6+eMx4OAJVTxNzEs+WMR4Oj58I9Ojp61ZAezhc7zPaWpL6CxgP +h9f3R1oLOcjnjIcjkOGWOSNyOn2A9nC4XinPL7PJgoD2cPj5ZNgplNj0MR6O +QCmcW2c3F/QwHg7Xu5mNJ9QGuiDt4Qh0zEvifmJgK+Ph8PFmLGIaVgwxHg73 +s4UNT1e8ymU8HN6/8XQHWtwZgZn/PByB9udOFPnKVELaw+F+fkHPfOMbA4yH +w8+LL8628Fs+oD0cgW68uDtx8PcgpD0c7td7RNbvO/iS8XD4eCuqfSY+DTEe +Dvcb4a3+39X7GQ9HIMlVKnEdb3MB7eEIxL77ddOv8WeMh8PrnyIZZurUDGgP +h+vnlctlIx0D8Ms/D0cghfzzllya7xgPR6A8YXWZs4klgPZwBHrw58T9hbyP +GQ9HoCH7kDwOcojxcATi5hv6WeaRD2kPh9/3fXI0h60F0B6OQGs/+H0YGH7P +eDgCVcl2plktfg1pD0egjZtWVGjLFMK5/zwcHh/sZjMnal5A2sMR6JTrXjvV +wiFAezgC3eFeHdDxdZTxcHi+GfrWc7S9gbSHI9D4HuXmmptjjIcjkFroWUNn +WAFoD4f3z2KmRa1H+hgPR6BFL3x1f/8YZTwc3j/2eH9HQ+8YD0ego5ann0eL +JgHaw+H5o8wt/ffga6D6z8MR6O+q6fWSQf2A9nC4XyzSErCLTGY8HN7vWKmt +rnUaYjwc3u9+CNLP92lhPByBfnqVNPS+mYS0hyNQWleHisDQGKQ9HJ5vwfHh +j/50Q9rDEegaUohpzX8PaQ9HIK87Elc2zOtlPBy+/63bvZzjn0Daw+H+c5mO +loE+CWkPR6AL0jx7sp3SIe3h8PvwcTwx4E5B2sMR6PSP+9XB2ych7eHwemAa +ftZ+ZpLxcAR6IjxSY7ylFNAeDo9XR4ONPot6GQ+H34dFMldJYBGgPRyBeqp+ +GT2YWwNpD0egq/rn+UIdP8DYfx6OQPf2hAdOD3yCtIcjkHvIyLsRsWnGw+Hx +pGJi1Zc6AmgPh/dPdTV3lKJbAe3h8PXZRm/pCBiCtIfD9WTO3cGshgnGwxFo +bFGb5asgAtAejrUe0h4Oz3/+a/r6uz4A2sMRaF3NhoBA4V5Iezi8/z5+99D1 +L2OMh8P3c7E3zMeki/FweD1SO7N1XWchoD0cgU4cGZMdEH8GaA+H1/cfuoVz +O+dU0R4Or38n1qjM2fQZ0B6OQGvKvWtDgqch7eHw+xV7IftzYQ/j4QhkJTfy +rVTiK+PhCETU9jgEPueq+vrPw+H1RTtUHMo0VtEejkDPIm6X7cSZ9nD4fYe2 +NqhJNlbRHg73J7ElZ7ZtbayiPRxe/04WBSwXa6yiPRzr+fwftxor4w== "]]]}, Ticks -> {Automatic, Automatic}}], {-1.13, 2.7}], RotateLabel->False, Ticks->{Automatic, Automatic}]], "Output", @@ -238660,9 +278555,16 @@ EYyHw/MKd3Y3v4wlwXg4vN4CVT6demZM/A8tuXX0 3.895906478507386*^9, 3.895906496657576*^9}, {3.895906543312663*^9, 3.895906556758069*^9}, {3.89590660480858*^9, 3.895906634715371*^9}, 3.8959067160260973`*^9, {3.895906761829327*^9, 3.895906779099276*^9}, { - 3.895906822771905*^9, 3.895906843515761*^9}, 3.895974580282504*^9}, + 3.895906822771905*^9, 3.895906843515761*^9}, 3.895974580282504*^9, + 3.901786877520567*^9, 3.901790024132297*^9, 3.901799255363867*^9, + 3.901799308251259*^9, {3.901801365626746*^9, 3.90180140097305*^9}, { + 3.901801442447089*^9, 3.901801447143749*^9}, {3.901801522764862*^9, + 3.901801548663876*^9}, {3.901801683931755*^9, 3.901801707091051*^9}, { + 3.901801793983131*^9, 3.901801829880948*^9}, 3.901801907797365*^9, + 3.9018019455239763`*^9, 3.901802023688548*^9, {3.901802129612486*^9, + 3.901802137343852*^9}}, CellLabel-> - "Out[1293]=",ExpressionUUID->"3985ce51-7e7c-46a3-bc14-cab977c99fd2"] + "Out[242]=",ExpressionUUID->"7f2c3cb2-c823-46bb-a035-67d6dc074f24"] }, Open ]], Cell[BoxData[ @@ -238672,7 +278574,7 @@ Cell[BoxData[ ";"}]], "Input", CellChangeTimes->{{3.89556449024457*^9, 3.895564502028038*^9}}, CellLabel-> - "In[1292]:=",ExpressionUUID->"f42ddac5-b6f0-4ef6-8e22-f4a88feed1b8"] + "In[243]:=",ExpressionUUID->"f42ddac5-b6f0-4ef6-8e22-f4a88feed1b8"] }, Closed]], Cell[CellGroupData[{ @@ -238712,7 +278614,7 @@ Cell[BoxData[ 3.89557040858749*^9}, {3.8955710852401333`*^9, 3.895571089608408*^9}, 3.895573695514819*^9}, CellLabel-> - "In[808]:=",ExpressionUUID->"37943cce-8511-4624-acdd-6793c51582ac"], + "In[279]:=",ExpressionUUID->"37943cce-8511-4624-acdd-6793c51582ac"], Cell[BoxData[ RowBox[{ @@ -238727,7 +278629,7 @@ Cell[BoxData[ 3.89562986304417*^9}, {3.895630250314917*^9, 3.8956302824248533`*^9}, { 3.89583902303053*^9, 3.895839026671109*^9}}, CellLabel-> - "In[628]:=",ExpressionUUID->"65991956-73af-4b5c-befd-3c8cd033018d"], + "In[280]:=",ExpressionUUID->"65991956-73af-4b5c-befd-3c8cd033018d"], Cell[BoxData[ RowBox[{ @@ -238764,7 +278666,7 @@ Cell[BoxData[ 3.895563748558124*^9, 3.8955638243994827`*^9}, {3.895563875392934*^9, 3.895563875536582*^9}, {3.895565678234394*^9, 3.895565682338421*^9}}, CellLabel-> - "In[629]:=",ExpressionUUID->"e3070f2a-4217-4b5c-911a-c2f8441183a7"], + "In[281]:=",ExpressionUUID->"e3070f2a-4217-4b5c-911a-c2f8441183a7"], Cell[CellGroupData[{ @@ -238804,15 +278706,15 @@ Cell[BoxData[ 3.8953929418014936`*^9}, 3.895478659239141*^9, {3.8955638319198027`*^9, 3.8955638691763277`*^9}, {3.895565686634589*^9, 3.8955656871302013`*^9}}, CellLabel-> - "In[630]:=",ExpressionUUID->"61441c88-dfe4-4d01-ac60-4198903dc780"], + "In[284]:=",ExpressionUUID->"61441c88-dfe4-4d01-ac60-4198903dc780"], Cell[BoxData[ RowBox[{"{", RowBox[{ RowBox[{"\[Mu]", "\[Rule]", - RowBox[{"-", "5.62335612443625059095551131710431979045`20."}]}], ",", + RowBox[{"-", "5.62335612443564876573515610398100609886`20."}]}], ",", RowBox[{ - "q1", "\[Rule]", "0.99996661567049759410564519881172354522`20."}]}], + "q1", "\[Rule]", "0.99996661567041438408365724193970141975`20."}]}], "}"}]], "Output", CellChangeTimes->{{3.895386117088763*^9, 3.8953861951346083`*^9}, 3.895386231847042*^9, {3.895386520303944*^9, 3.8953865243931313`*^9}, { @@ -238831,9 +278733,10 @@ Cell[BoxData[ 3.89556680421721*^9, 3.895569278991457*^9, 3.895570134439693*^9, 3.895570416449636*^9, 3.895571092344377*^9, {3.895628608980299*^9, 3.895628630633853*^9}, {3.895629846822892*^9, 3.8956298683797617`*^9}, { - 3.895630235010113*^9, 3.89563029104084*^9}, 3.8958390314431257`*^9}, + 3.895630235010113*^9, 3.89563029104084*^9}, 3.8958390314431257`*^9, + 3.9017868809363728`*^9, {3.9018513836719847`*^9, 3.901851388188447*^9}}, CellLabel-> - "Out[630]=",ExpressionUUID->"cc018f7b-12d9-4a6c-8a65-d70c1fe26e9b"] + "Out[284]=",ExpressionUUID->"8578dc75-65f3-42e0-9739-16e29604c4c2"] }, Open ]], Cell[BoxData[ @@ -238898,7 +278801,7 @@ Cell[BoxData[ 3.895563969554364*^9}, {3.89556401632311*^9, 3.8955640193793917`*^9}, 3.895564587141629*^9, {3.895565712459385*^9, 3.895565721403296*^9}}, CellLabel-> - "In[231]:=",ExpressionUUID->"a911d059-0604-455d-a053-a74ec1e0eefd"], + "In[288]:=",ExpressionUUID->"a911d059-0604-455d-a053-a74ec1e0eefd"], Cell[CellGroupData[{ @@ -238933,23 +278836,23 @@ Cell[BoxData[ 3.8955640923965187`*^9}, {3.8955641634061317`*^9, 3.895564175989911*^9}, 3.895564208495466*^9, {3.895565733347131*^9, 3.895565733835043*^9}}, CellLabel-> - "In[633]:=",ExpressionUUID->"e48ed740-fd4b-414f-9299-bdb1e54be5a0"], + "In[289]:=",ExpressionUUID->"e48ed740-fd4b-414f-9299-bdb1e54be5a0"], Cell[BoxData[ RowBox[{"{", RowBox[{ RowBox[{"\[Epsilon]", "\[Rule]", RowBox[{ - "-", "1.417267150071258600871896720875775135012765408315541085629647514782\ -09635670733`50."}]}], ",", + "-", "1.417267150071258600871896720875775135012765408315541085629635028111\ +94460048238`50."}]}], ",", RowBox[{ "\[Mu]", "\[Rule]", - "11.5631287156686172674560124000746091191644892435667094321020947151632861\ -2628365`50."}], ",", + "11.5631287156686172674560124000746091191644892435667094321019081967463124\ +6159465`50."}], ",", RowBox[{ "q1", "\[Rule]", - "0.74710130746064609717224479565529963385939713373365133904503924437023868\ -295237`50."}]}], "}"}]], "Output", + "0.74710130746064609717224479565529963385939713373365133904502431210237128\ +947027`50."}]}], "}"}]], "Output", CellChangeTimes->{{3.895560161987636*^9, 3.895560183491417*^9}, { 3.895560222659933*^9, 3.895560247461233*^9}, {3.895560291818879*^9, 3.895560376021306*^9}, {3.89556045993384*^9, 3.8955604648368073`*^9}, { @@ -238960,9 +278863,10 @@ Cell[BoxData[ 3.8955659600650673`*^9, 3.89556608893899*^9, 3.89556630897442*^9, 3.895566506382016*^9, 3.895566785883479*^9, 3.89556703970986*^9, 3.895569560911169*^9, 3.895570289187194*^9, 3.895570551461274*^9, - 3.895571376475663*^9, 3.895629120637138*^9, 3.895839735153392*^9}, + 3.895571376475663*^9, 3.895629120637138*^9, 3.895839735153392*^9, + 3.901787183939249*^9, 3.9018521026966267`*^9}, CellLabel-> - "Out[633]=",ExpressionUUID->"f09ae478-d27f-49fc-83a6-14be65c54758"] + "Out[289]=",ExpressionUUID->"102a0446-fef8-40a7-abca-8096c51088ff"] }, Open ]], Cell[CellGroupData[{ @@ -238976,15 +278880,16 @@ Cell[BoxData[ "transitionEnd"}]], "Input", CellChangeTimes->{{3.895629781856056*^9, 3.895629786681383*^9}}, CellLabel-> - "In[634]:=",ExpressionUUID->"7cabf9bb-9c8c-4726-96ca-06130015c388"], + "In[290]:=",ExpressionUUID->"7cabf9bb-9c8c-4726-96ca-06130015c388"], Cell[BoxData[ RowBox[{"-", - "0.0004234007034365427222590965111509483068764071993338341638649659743591820\ -1211`46.47529985421244"}]], "Output", - CellChangeTimes->{3.8956297871245813`*^9, 3.895839738000825*^9}, + "0.0004234007034365427222590965111509483068764071993338341638774526445109382\ +3705`46.47529985421244"}]], "Output", + CellChangeTimes->{3.8956297871245813`*^9, 3.895839738000825*^9, + 3.901787183989222*^9, 3.901852102781063*^9}, CellLabel-> - "Out[634]=",ExpressionUUID->"32f402da-aea2-4b3f-b2c0-757c2ce46b1b"] + "Out[290]=",ExpressionUUID->"dfd7a31d-b808-486f-9508-8acbe3879af2"] }, Open ]], Cell[BoxData[ @@ -239005,7 +278910,7 @@ Cell[BoxData[ CellChangeTimes->{{3.895568279131961*^9, 3.8955682811472473`*^9}, { 3.895569256517851*^9, 3.8955692572695427`*^9}}, CellLabel-> - "In[234]:=",ExpressionUUID->"9f30b30b-c6bc-4415-92fa-90678ce48732"], + "In[291]:=",ExpressionUUID->"9f30b30b-c6bc-4415-92fa-90678ce48732"], Cell[BoxData[ RowBox[{ @@ -239036,7 +278941,7 @@ Cell[BoxData[ ";"}]], "Input", CellChangeTimes->{{3.8955683115558558`*^9, 3.895568319292239*^9}}, CellLabel-> - "In[235]:=",ExpressionUUID->"eaa0531d-3594-4859-80c7-11864c618563"], + "In[292]:=",ExpressionUUID->"eaa0531d-3594-4859-80c7-11864c618563"], Cell[BoxData[ RowBox[{ @@ -239078,7 +278983,7 @@ Cell[BoxData[ RowBox[{"9", "/", "10"}], ",", RowBox[{ SuperscriptBox["10", - RowBox[{"-", "2"}]], "/", "5"}]}], "]"}], "]"}]}], "]"}]}], + RowBox[{"-", "2"}]], "/", "10"}]}], "]"}], "]"}]}], "]"}]}], ";"}]], "Input", CellChangeTimes->{{3.895568248443347*^9, 3.895568544584182*^9}, { 3.895568578731093*^9, 3.895568626987455*^9}, {3.8955686733230553`*^9, @@ -239088,9 +278993,10 @@ Cell[BoxData[ 3.8955690478821383`*^9, 3.89556904792196*^9}, 3.895571080552455*^9, { 3.895571486151952*^9, 3.895571491360033*^9}, {3.89557163479517*^9, 3.895571656707108*^9}, {3.895571688123694*^9, 3.8955717706384907`*^9}, - 3.8955718569280653`*^9, {3.8955719721861353`*^9, 3.895572015569804*^9}}, + 3.8955718569280653`*^9, {3.8955719721861353`*^9, 3.895572015569804*^9}, { + 3.901852779875689*^9, 3.901852781040017*^9}}, CellLabel-> - "In[236]:=",ExpressionUUID->"52f27624-0af6-4619-8afe-cae8a594e965"], + "In[313]:=",ExpressionUUID->"52f27624-0af6-4619-8afe-cae8a594e965"], Cell[BoxData[ RowBox[{ @@ -239135,7 +279041,7 @@ Cell[BoxData[ ";"}]], "Input", CellChangeTimes->{{3.895572027297989*^9, 3.895572086035202*^9}}, CellLabel-> - "In[237]:=",ExpressionUUID->"d7d0a4aa-9e47-44e4-b0b0-5ca98e1634d0"], + "In[294]:=",ExpressionUUID->"d7d0a4aa-9e47-44e4-b0b0-5ca98e1634d0"], Cell[BoxData[ RowBox[{ @@ -239180,7 +279086,7 @@ Cell[BoxData[ 3.895572156996338*^9, 3.895572160572631*^9}, {3.895572235166132*^9, 3.895572236221731*^9}}, CellLabel-> - "In[238]:=",ExpressionUUID->"76f112c5-a1e0-44dd-813c-82ae4dc5a97a"], + "In[295]:=",ExpressionUUID->"76f112c5-a1e0-44dd-813c-82ae4dc5a97a"], Cell[BoxData[ RowBox[{ @@ -239223,12 +279129,13 @@ Cell[BoxData[ RowBox[{ RowBox[{"-", SuperscriptBox["10", - RowBox[{"-", "2"}]]}], "/", "5"}]}], "]"}], "]"}]}], "]"}]}], + RowBox[{"-", "2"}]]}], "/", "10"}]}], "]"}], "]"}]}], "]"}]}], ";"}]], "Input", CellChangeTimes->{{3.8955725855087*^9, 3.895572600861763*^9}, { - 3.8955726804548407`*^9, 3.895572700935192*^9}}, + 3.8955726804548407`*^9, 3.895572700935192*^9}, {3.901852783647852*^9, + 3.901852783855199*^9}}, CellLabel-> - "In[239]:=",ExpressionUUID->"24019924-131a-44ba-9fb0-bfeeb2bb9ff3"], + "In[314]:=",ExpressionUUID->"24019924-131a-44ba-9fb0-bfeeb2bb9ff3"], Cell[BoxData[ RowBox[{ @@ -239274,7 +279181,7 @@ Cell[BoxData[ 3.8955726804548407`*^9, 3.895572700935192*^9}, {3.895572754904352*^9, 3.895572820793446*^9}}, CellLabel-> - "In[240]:=",ExpressionUUID->"d8e127cb-dd1e-4649-8cc7-bcadfe0ad3f2"], + "In[297]:=",ExpressionUUID->"d8e127cb-dd1e-4649-8cc7-bcadfe0ad3f2"], Cell[BoxData[ RowBox[{ @@ -239287,18 +279194,19 @@ Cell[BoxData[ CellChangeTimes->{{3.8955726579427834`*^9, 3.895572661870048*^9}, { 3.895572777976239*^9, 3.895572780208164*^9}}, CellLabel-> - "In[241]:=",ExpressionUUID->"f276ccd8-82fb-4fac-83d5-a964859b2735"], + "In[315]:=",ExpressionUUID->"f276ccd8-82fb-4fac-83d5-a964859b2735"], Cell[CellGroupData[{ Cell[BoxData[ - RowBox[{"ListPlot", "[", + RowBox[{"ListLogPlot", "[", RowBox[{ RowBox[{ RowBox[{"{", RowBox[{"\[Lambda]", ",", - RowBox[{"\[Mu]", "-", - RowBox[{"\[Mu]m", "[", "f\[Lambda]", "]"}]}]}], "}"}], "/.", + RowBox[{"Abs", "[", + RowBox[{"\[Epsilon]", "-", + RowBox[{"Emax", "[", "f\[Lambda]", "]"}]}], "]"}]}], "}"}], "/.", "solsEndAll"}], ",", RowBox[{"PlotRange", "->", "All"}], ",", RowBox[{"Joined", "->", "True"}]}], "]"}]], "Input", @@ -239308,648 +279216,2212 @@ Cell[BoxData[ 3.895570016813079*^9, 3.895570049388653*^9}, {3.895570841012473*^9, 3.8955708495156527`*^9}, {3.895572041626487*^9, 3.895572045450141*^9}, { 3.895572127747973*^9, 3.89557213402767*^9}, {3.8955726052610607`*^9, - 3.895572619229783*^9}, {3.895572656823152*^9, 3.895572668453967*^9}}, + 3.895572619229783*^9}, {3.895572656823152*^9, 3.895572668453967*^9}, { + 3.901852831572694*^9, 3.901852868011352*^9}}, CellLabel-> - "In[242]:=",ExpressionUUID->"e0bc7855-7532-4e22-8bc1-76884fd6984c"], + "In[320]:=",ExpressionUUID->"e0bc7855-7532-4e22-8bc1-76884fd6984c"], Cell[BoxData[ - GraphicsBox[{{}, {{}, {}, - {RGBColor[0.368417, 0.506779, 0.709798], PointSize[ - 0.0055000000000000005`], AbsoluteThickness[1.6], LineBox[CompressedData[" + GraphicsBox[{{}, + InterpretationBox[{ + TagBox[{{}, {}, + TagBox[ + {RGBColor[0.368417, 0.506779, 0.709798], PointSize[ + 0.004583333333333334], AbsoluteThickness[2], LineBox[CompressedData[" -1:eJxknHdcTu8bxxOyspNsoWRkpMzyIcIXob33LiQqylZJk9Igo1KRSGZSdLQz -6mlP7T2ep56KbL/7nOf89+sfr/fL0+k+577v6/O57us6j6S5o7qVsJCQkMNY -ISH635T5Xj1qKYX4ulIy7e6KAWr7pea8G2mFeL4/V7Jh2QD1oQ2xDemFWFMZ -d2+BzACl/t+dC9KZhTiW2KlkKj1A1Tz+aXgkpxAzkl8ORi0ZoMyn6G56mV8I -+VWy2U2LBqieE69m/PpUiCje8aRFkgPUicppA9s5hUh9EPvKYsEA9WvzscIr -JYUwm9FQETtvgPK8W5DAKS/EvpVK09vnDFCiwiu8xasLUba9yn7p7AEq1OqK -hdGXQkxfnV9nKzFAzWMGWIg+x8WHE8QHqLiVO+b2NBcia+u/Gb1iA9Sqa1Hf -17YXYkKiY63s9AEqefBP2amuQpx64/3WceoABR2DZ1RvIaos9N48mzxA5aWm -BIj0F2I4/Wvx4MQB6uB8cXvVwULUCB0RWS86QFVdPLEr5FshjgYVap8aP0CZ -thUtqv1RiM6Zy7JSxw5QXXtW/ZP8Uwj+Zd99f0QGKKfHfrW2QhwULBXqx+gB -6sfkrtdJIzkIiA17emnkAHWJvpwIBw5T9QNyRgxQ4ytjjimN58Duq9blsUID -1PXNI1Q9J3KwVT301r6/fGrOXeNln6ZwkPRoUUHgbz4VM+Lt6GliHGhNGjun -5CefWmk1q1l3JgfNMw56zfjBp17mu6ZHzubgz4CQqN4wn1JaWRbRPo+DuGPS -Sbe/8qmcq2tPykpysOtH3tHGQT6lOhio4byEA6tb3L1LBvhUhXbv6rSlHEyY -FLnDtp9PGaf+R6aEgy8vW3Qf8/hUx7wHnXtWcdAfk+nb38unHC+OIpfkwDFG -uVq+h08Nt5pFV8hzwJez3+nWxacu7KHOztvIQYI/Pr/r4FNjH8/Vt9zCgXV8 -/jHhdj4VNNl9/aOtHBhunSC3u5VPzRIsGBR9EJ3i38ynyMX6NqpwEOZXMr64 -kU8t3xz86fweDg77my0Sb+BTz+/0Pcjdx4FCZLq2QR2f2kI/voMczLTgPYiq -5VNZlgmmmuocnFL5OqO9mk/tyx+jdEuLg1aPyqgVVXyqbAX9ADmolL25x6mC -TxlezfwqY8jBCemtE1+X8am2gQUljiYczJP+yPtdwqeOaJ99kmzOwdi327jK -xXzq65sa3z9W5P4Oxo314fCpc/M22uy042DRol/bOAV8SuRi6A6/wxz4HlQJ -m/GZT11tpS/IgWLR5bGGH/nUzD2Hfkuc4GCMe2b4vXw+FfkoscrElQOdEb92 -dOXyKZnJE17dd+Ng30+5iWty+NTT47ZB3DMcZFcfHnTN4lObKnKOyF/gwOZb -wrd3GXwqY9Pivac9OAjx6RMf/Z5PMdv3Mgf7nypq7k/nUyVC9cLjfDmYfTjk -yfW3fIpMRsPBAA7aY75L1abyqRYSDcKucZC/xCF10Rs+5bDiW3jddQ4+3uM6 -2L/mU8xyCecg8c3Fzc9f8akzA08POURwcIsrI/3zBZ8apT1J9vkdDqofta5S -fs6n/N84jPsRxYHTvmRN36d8agazgTkomxgZXvKETzHDe8CBy4Ko4dmJfEq6 -1YOOCDC/keps8YhPPdnd5C72hHz+TN+kxw/5FFksZAdz8OYdcoce8Clq0u11 -916S/bLpcYTSfT61+zi948j9pin4X47lU0Xl9ILmYPzfLxGce3yKjlau6Ryo -JdzLlYjmU423p8a9y+BAStd7snkkn7ITolc0Bwuv+rk8usOn+Bafjfblk/WS -m/R96Bafcs9bRlYgB+dthm5sjeBTgnDFQekHPe0rN/iUbyA9wRx839C4piSM -T00ni9m6nIObXG+ZuaF86pYWPcMcHJJQU7K+zqeWvPntPVTLgVDVFsenQXyK -2R4NHCzHnvSfV/mU/IXX2y41c6Ck4bpcJZBPvWsRI0+Qgx7R3BdX/fmUCnPD -HLjqbtCv8eVThSSaaveSvz/j4zwpHz7FTEcfiR+zzv119OZT9U70CDnYuVLj -d6oXn7Ip77Bf8Y2DyRP+myniyafIZiNX5EDP1uSg2iU+der2vcVvfnNwtyc0 -9vYFPiXE/BTh09pe8c5z/8+aLXG3shcWQf7O7PZWQz4Vn7tvg8/2ItzM3Dx3 -8hY+9fshv0TVvAj6w8+vWc/iU4cCwo9O8yiC0PxHk3uG+6lYEg0rY4owIeq0 -0e2Kfuq7Bn3BIuR//77R/VU/tZ9cjcRgdO8XNfIM6aeiZq+uWyJSjNc3qy6m -nuinhuhwL10MzePBRnM1+qk99PLZXQyNcYviHsr1U7ezF5ItVIwVg3dFzaf1 -U/0P6AEWY9M3k6u+rX3UTr/DHb8eFuOdwePp92P7qBtkdO8/FmPG3kMTxa36 -qF41WkGLceiIcGu1VB8FBTpClsDU/oAQv51HXZegd0AJbp4r/qQfz6PIxcgN -lyBdxeGZuD2Pondb+NESdP2wnLdkJY9iws3VEvz6de3sJR6XYh7f0xKITrHc -vvYZlxLcbgk2HB1Xs9qZSzHD45fgfODx1LMbuBRzuWmlELK30Jn9q5dax/yU -Ii//9NLRVC9Fr85hjVLMl9gao+TRS9X+OGf/1rkUh1aLqWTt7qXop3cxtBQG -Ouo+oaK9FLnZaJXkUhTXbuS9KO6hGHmqLEWuydDgvPAeilnuw6VYJXNnbqlh -D3WeXO26RBm0vBR6Sxb1UKWqtCMoQ+65B51zu7qppWvpiF+GoIaMm0+TuqnT -YvSOLoPPW3mfANduijNMT3AZlH0DlF8odVOLmQGSz5fLaUiO7qZOEjVbXVcG -vycmeys/d1GfyOiIpKO3dP6bspAuagFtZ+aX40WCitwsoy7qBB0eUY79oo57 -Y6W6qDx6+ZmWY9HQ13AXXic1h7nhcmwV63/u/7qTcqSnN7ocT3RkFLoudFJZ -9HRklkNbd1aq795Oaib9+FrKoVTQUX9MrJNibndUBe59NVS+Vd9BMcNbUgGv -UU2e4x52UMzlVCowcNVlT9qJDsqa+alAvsjTNU+3dlCMnHpXwHr1y+aOcR0U -sz0fVODAs1WjzcvbWT9VgSuPDm6bH93O+pcKbNTLUZp7pJ31C5XIzEmON9jU -zupzJTyD0mW/jG5n9bASM/Lb3O+UtLH6U4n8/LuIjGxj430lpiu/X9l0uI2N -r5Uw3nZhyHxzGxvPKhF6yHHvkrFtbPyoRHXyiZYlFa1UAr19p1TB8022i2Vs -K/WX3m5rqxAt1J7SfLyVUmcMZhV+j4uxitzeSt2nl/PxKnx8Nn59xJRW6ie9 -/K5XoZdj31bS0EIdYG64ClTXvjX/JbVQ95jprYL+98Nlv8+1UN/o6fhaheU7 -qGvcAy3UXoFBBPlFqTkLWqi79O1uqEZYQ6SGV18zNUAPT7ca76Z01q1430zt -Yh5gNaacK/CeFNRMRdykf6rRcnGEuKx5M8U7Q+/gamR5HTrgva6ZUmYMXjXk -rO8Ozx3dTIXtoHdcNb52NldzK5oocrNkQdegVEU6/Ud8E6XETEgN7DPOHt12 -uomiV5+CcQ0q9cYmZ6g2UYxdPFeDIc8e07MLmyhGfiJrUPbvoOKJwUaKCX/v -a7AryVg8JreRYsJVUw1EgpTSRSMaKSa8CNfC/J3oqKdHGikmHCyqxY9TLSn+ -2xspZvvuqIWNdsGTezMaKWa7WdaipTDQqaW0gWK2h1ctlv1y1xo800Axyzmu -FhMHJ71wlm6gmOWXW4spGgUKNkX1FLNcOmqheljE76N7PVVOT+/YLzi5udTs -rlQ9tYwxSF/wymWKQ3NRHXWWCYBf0Ezp6YWdqaOK6du1/4JHvaOa3sjUUVLM -AvyCvxbzUvaXf6HcmAf4BaZ3TcIOXPpCFXymf74gOFVegVr9hZJkDM4XLFz5 -5ODdulrKhQmodThw6eK9Hr9a6oMrs+Pwbdeo3vuba6l5zIKuw5ehpb2crhrK -iZmQOowc7WppfbOGyqHDfVAdQqeJStj/V0PNYgxKHbrEbnyq+VFNHWHCaR02 -JmmqpCRUUxl0+BusQ1ToaFVhw2qKCVdi9fgmMvQoZWI1xYQXhXpMMuhZWUtV -UUw40K7HjDiF23bHqyhm+7rW45LdjTwLqSqK2W7h9dCxUTv3oaqSEuRf9djg -PD0owr+SzXfqMcZhZEnFtko2v6hH/MpXYue+VrB+vgFnnsusupZQwfrnBmjI -5Q2JmlawfrUBVhqm24ZmVLD+sAGizku7VT6Xs36sAeX/nn4e4VHO+p8G3C/v -y1q6uZz1Gw0IfSeX+Jpfxup5IxbzJG2ePSxj93sjgqLeFouZl7H63ojuF9qF -TbPL2P3fiKvGYZAoK2X1vhE1XKdxKQGlbDxoxJ3rslMzdpey+t+Ildv1lNYJ -l7LxoRGxVwtdJr0rYf0A+fuvnsSonyph40UjXlw2ffZvXQnrDxpx+XKg74z+ -YjZ+NMLg75K5IY+LWb/QiAdShzRP2xWz8aQR+zXcF32SLmb9Axnv5r0nPVqL -2PjSiMnronfG3iti/UQTbP1unpU1K2LjTRMOtHuKL1hYxPqLJsTsKB83kM5h -408TRgaJnnfdymH9RhMcJfzPfEovZONRE5xCgof6Ucj6jyZUrUmvbc8oYONT -EzjJ45Yl7ixg/UgTRn38UL4j/zMbr5pgvj6hLmH/Z9afNCFP9dHW5uJPbPxq -wnqtBT+6dD6xfqUJfULxkzPrP7LxrAlNf9d521t/ZP1LE3oCf1u38D6w8a0J -BjIeKStPfWD9TDNmrrzqvUv4AxvvmlFzb0XzmoB81t80469IXFWPRD4b/5qx -bJb32ZNxeazfaYYWFdFWKpfHxsNm+HBblo7IyGX9TzOehkca/TuYy8bHZvQ9 -2n6vsCGH9UPNyBU7Md3xWA4bL5thnzm5uHFEDuuPmhGduuTP4pBsNn42w3kV -L23j0mzWLzVjfGj5ugVpWWw8bcbQR8eAyoNZrH9qhqzC6UGztkw2vrZgakdd -cPrpTNZPteDqx5gI7rRMNt624N2H31v6EjJYf9WCaT82J2TtyGDjbwssMopm -O9S9Z/1WC4rGHsxpO/mejcctyNGzaFk3/T3rv1qwbtJjs0fGFBufW1B5Y0pl -2I101o+14Exp6/3lZe/YeN2CpOM7tGymvmP9WQvSzx6xVz30lo3fLdhnlHu8 -7loa69daMLqK83dmaSobz1uw+5dUzB/xVNa/tQBc9++Bhm/Y+N6KZfeDb32O -SWH9XCtWBG6Vfd37mo33rRD7o6t+cMNr1t+1wubm+xehHsls/G8F57rGX9/i -V6zfa8W0t93NqyVfsXrQCvfDmuJex1+y/q8VgXsOqvnlvGD1oRXFnzwP7Zj9 -gvWDrVgQ51GWeOw5qxetmFn7JvpT/jPWH7ai9dXRC3cWPWP1oxXB9m8Vl5x7 -+n8s8I+tyB8zXTUjOYnVl1aMHTdq8QPnJ6yfbIXbmYUJvxQSWb1pg+qLhBvv -fz5i/WUbtk/f8Xg4M4HVnzZs/lT58F7gQ9ZvtuHAp+tGWUbxrB61wevr5wiD -NQ9Y/9kGqTP8LUdE7rP61IYaM+dFPxtiWT/ahojJb9aPehfD6lUbjg7+sQm4 -c4/1p234N3vX4/CL0ax+kd9P2y4kZRfF+tU2jFvvUHg0/i6rZ23Q9cksj/a6 -zfrXNswcm7++wy6C1bc2DM/YPn+H1g3Wz7bjiuPWnDe7w1i9a4d0Yd2xg9tD -WH/bTvzT2ONjlYNZ/WtHX/oqqa7/rrF+tx2Svv9aep75s3rYDncTxTDr+ius -/23H+4Bog32zvFh9bMd/UcY7Yswvsn64HfOlZJt4zqdZvWzH2P1q6+5td2b9 -cTsiE97Pa9e2Z/WzHaIKFTFFH3RZv9yO3Q/XRb8cKQWBnrajPyHhdtKgIQT+ -uR0TMsp2y8k7QKCv7YjTy7E78uEEBH66A2HrvVXaCt0g0NsOzOlXbGt9fB4C -f90Bm8XbPX2eX4JAfztwck9WhNYZLwj8dgeWt1u4qstfgUCPO5BX+ymktcYX -Av/dgXu/NzwyORYAgT53wPZFSun4r1ch8OMdWH067lmQfBAEet2BHP80F0fr -YAj8Ofk8v093/bXrEOh3BzZ8Vrb69SwEAr/egQnHT5wq/hQKgZ534JaZ3M0P -dWEQ+PcOJFnKbBnsCIdA3zsRIbLA1bD7BgT63omceTrrprXdhEDfO+G9cofG -wqoICPS9E4rKuwuCsm5BoO+dGKx4/fHYg9sQ6HsnTJ1F0nM97kCg751Yc7PM -9bbuXQj0vRN1Y86u+ykVCYG+d6LmstfTjp5ICPS9E64Sy+es14qCQN/J9fpS -1POeRkGg750wyPv4PmFsNAT63olTQuVnWwyjIdD3ThRJnz7rnBgNgb534mVG -TrDpz2gI9L0TFr/HOSfsuAeBvndhmVpguqbPPQj0vQtjbn/7YfbpHgT63oUr -PhdulY2LgUDfu6AqIzEuaWcMBPrehYcO+24Pno6BQN+7UBe1KC42KQYCfe/C -qdUth7MbYiDQ9y5UOGVs1BKNhUDfu3D6n9d+PYVYCPS9Cwctl3NL9GMh0Pcu -aAwcs8g+EwuBvnchYe7pv6tvxUKg7124ccNMXCI5FgJ978Jy/eJJ5wtiIdD3 -LlywfKpn0xQLgb53YbRagVspPxYCfe/GcYvbo3P/xkKg790I/jkqYfvYOAj0 -vRvDssqy/02Kg0Dfu3HKsdqpYUocBPrejbbpCW5jCAv0vRuuKRJ22RPiIND3 -bngG6/nOGhUHgb53I6fsy6HxP2Ih0PduJJ1qNIzojoVA37uxsHX5+YKqWAj0 -vRuLpg+8fZAVC4G+d+PH4kEN2UexEOh7N3pM09PNr8ZCoO/dkJNRfLP/WCwE -+t4NUxNHlX7VWMHtCvfAycX9qbpMLAT63oNd2r3hbv9iIND3HqjPNeizL42B -QN97MDdLXUQ2NgYCfe9Bf+TdwFynGAj0vQcKw0P3tirGQKDvPWiKEy28PTIG -An3vwWUfqWldefcg0PcebJSYyF9B1ptA33vAdX3ofHT3PQj0vQfWGuIbU4Xv -QaDvPbhRbeI0Ky0aAn3vwYODXb/CjkVDoO89yN8caLF1cTQE4aUHc44F9s8p -jYJA33uwbYnOS8ULURDoey+u8xXDnyyPgkDfe9FU71YoFBcJgb73QjMtlePR -dxcCfe9Fy7bg3DEKdyHQ9144p19xe+pyBwJ970Wy/MZxsc9uQ6DvvVCaemTO -hK5bEOh7Lz6vrxs3de4tCPS9F27uZWKteyMg0PdezEnaHvHK5SYE+t6Lb2J3 -1FJv34BA33thW7MmYPn7cAj0vBdCj40vKjeG/R8HnaMrSr3oEHlR9exDGLTW -LH84vagXo+L4mrNehIGujrwu7sWz+2pj3G6Hof66t5d+aS8qNsuMKPYKY+NH -L8pqOjUkHcNgzRQwejF4WWqqvW4Y6KvtrOrFkZFX9z7aHgaevvLWzupejBX/ -OrVteRheiNIVn16oZO9ymykWBoHf6MXy4OBg5T+h2EKXu+p7EZ0hcsy6PRT/ -JP98c2nsxalg/mIPTiiySukCRC+GL2W8v5ESiitkdG9bejEzaLTeg+hQNp71 -wuTMkX9PfEMxhS4ndfTCaOzH3KQToaCrOw86e5GFiZkPDUNxY/8M/73dvZBL -2TvqjkoojP7u+Mnt6UVsxeson1WhEPifXviIPU5wnBmKNrpc09eLyyrRCgeF -QsHcbn8v+HXrtGS6QnAk5+/z0wOENy2e96s4BHInZSUXDJH10qYWnZcawsbX -XsxvzfkaEBOCVLocMtyLwl/xqw74h+AcUwDohdafPaZjXUJAP73HP8nznywR -+dYoBGP6xfcc+k3u9/fjf/a7QiDwY71IOZUVNn11CK7S5YZ/vVh7UtEteWYI -NEbHBG8ewYWf0/y3GkIhIJMrVC/MRXdKqHNP53V8saUP8LmozR56fbb4Ohvv -uXD0eHlrfOp1WNLH+WO4WJbgrBB87zpkmAFykTbmd4SY33XQ1a/JE7gIqdjY -HHTiOp41dYU+F+Vi/5TtkuMNr0PgD7loylA/enbndWxiDuC5qDYta+hZeR30 -7N6eysVWSS1/rRnXkREfe2DbdC7c9wf4pv4JxmV6esW4WHDTmD+7PZjVHy78 -PcoqXQuDMSl9zc3lEoQfLNEqTA5GiaPJmMJZXBTPTrogGRmMMMlAF6c5XDjk -/3U65h0MA/py87iQL/iwLc0xGAK/yoVMOX+UsG4wWtbPfm+wkIssN8+KnduC -QVfv/klyoR2dVuAhEwyHiJO37y3mwrDhjzA1JRhr9t8fv0uK3E95feC370Gs -HnKREr7Ad3lTEFLI6vOX4WKLaNJEgw9BOGMmR7YcFyc0EuSuPAvCtulmZElz -UbrCaNrzm0EYzRQcufikq5xbdTEIAv/Mhe/4Uba/7YIQIMOdmL6GfH7Xmanz -1IOgVjOHXJKLH+qvvmzeHAR6NY+S5+Ln/O4WrUVBqFZy041X4CL72eXtR8cH -sfrMRWKYzBTPgWswZwqGXEzeH2kfXnMN0syC4WLRi16H+MxrIBebul6Ri4kX -VVa/TriGJ8nm56uVuFjzbGpRVvA1CPw8Fy++99oVul/DBvrxbedCf8sDiUrz -a/j1ifchS5mLyBEq3+v2XgNd3bTZycXC/n9LWuSuwWM1/QC5MNq2O6199jXW -L3DB2fy+slP4GkSvP7yk9h8Xjy0DVpWWXwW924b2kvkSrpUtibuKkG8iJjf2 -c7F94t+OQperIDdbsOUAF6YHf4V/ULkKQX7BhebdPW6ZM66iaQJ9QS7Mmgry -3rQFIu5dxkxpDS5UZ7VTSa8CYefY7/VBkws7B9O7sV6BoHfvYW0uYlZfjLqh -Fcj6F/L8Rowc5S8ViGTPM0Uv9LjYVz5zzPmvAXBnCm7keU+073TKCQCzfQ25 -uHpjXodlaACEI8bOuWvMhfKEYmVdqwAI8h0yn9l3t+xXCIAfiQatZlysmjZ/ -2vbRATiYFGJ1xYILRW3LMRvK/cEsFysu1BREjFbF+YOp/lpzsTRbQmupiz/r -p7gwT5WTW6TiD1NXukLPxdP4IxsWzPDHEmYDcxEgZxM/v80PzPCOcJGzT/nD -wld+YMrxjlwku8XXS3n5QZB/cWEp8Ul2lZYfFJiCFxdHYix/b5Lyw48omxfd -J7gYEE699t9XX7xTp3ccF6e+3FprlOMLptx9kouqDhtpl1Bf1t9xcWjP+NdB -Vr4Yb7vo8Cl3Lt52/V3xQsEXhbPoFc3FpGb1wurRvqCr19RZLsQq4zpGV/iA -KSef5yLcdkXmhvs+EOSDXAzdfRzv6OqDhkZ6grlYYuzTnrjLB3T0U/Uk4697 -kzcg7gObnfQMc+G2uOjG1o4rYMq13lw88f5xP+j1FdZvchG0QWhbr/cVvNSj -K9YkvmXsf35A9wpOTaCfIBcRsR3738hcgSJzw1xcbHU3WfnDG0w59CqJHzEa -m+M/eEOQn3KxM9NilWyEN3xK6skIyXq/uCMgzd4bdPU9KYQLOdl1DzW2eINs -NnJFLs59mlv+dYI3mHJjOBdlnFDLe18us/6XC9fyxkd6iZdhzBToyfM17vs3 -59xlLGICKhedERbJXQcuo/2JVKvHXS5WrgmWylpwGXR1f2kUF0UTPWPj+70g -yJe5yFP+7huR4YV12c9WHY3hQqjWau3NYC8M0+E5jlwv809/rIUX6Gj/6j4X -Cs8eL06X98J5JsBwsWLmvCVto71YP07W38w3qrMrPTFWia7gcrHZ4/Fvo3hP -fKbDQSIX0xraA566eYJpP3nChd6ReSbT9nmCHp3PUy7ubCso9JjrCUH+zsVL -TSXF0TwP1L2iNxwX85IujAmnPBBNL79X5P8vnwraFOQBpr3jNRdfjlds4Zp7 -YNmnKXmpKVzsvjff7rm8B5sfcMnnEs74iHjgObnbEW+5ePU9vuZ41SW4MgVu -Eg8/Lek8mnAJTPsExcXIL8ITzp25BMYevOfiTJhIQtSBSxCcJ3CR31O6snLh -JXg/0Pkol83F/Cz+L8nBi9ind3ljRQ4XDU6fL1zMuQimPSGPi9uKKVLfwi+C -kaMPXEg2DWpdsL/I5ivk/pc9OrtQ6SIMF27nW3zmYqbPj3GVky9iISNwJJ5l -9NrGNl+AoPzPhXGw9zifVxfAhL9iLkpOZBt4XbkAwfkGmR/dG0U3DS5gLVHL -0DKyP9y/VueuuoCve+kBEj2SL+wWFb4AprxeycWY/NW8qoTzYLZbNXm+D291 -fFI7z+ZPXLw70Zk4+P0cRKaJIfcLiQcm61erRZ3DRzK7dvVkPYVmGbTtPgda -fSc2knhiPiD1rO8smOlt4iLq/MFLr8LPQnDeQv7f5YbNIHHPtbQdauUiUCKz -0KHzDCIV6YYcLtRn/Xu+IOgMLBhDScb/InfqlM1nwFyui4sRTrXdSi2n2XyO -i8yJ6tsS/E/jKSO/ZL8evSGuvf40nOl2Kx6J5ylnnJUb3bGRCQhc9F+Utzjm -647fEnRDDRfx8y15jfLuEJz/cJE+XnpJaIMbvOhw/5X4n2PTZgT6uuE/up3p -GxcbCneVfVZww8RGWbKkubiWfOSketMpFAcZ0ksGVi9zxRcGnGLzSy5O711V -sH7TKegzDTFkPGLSKeFtJzGfMRxcrBtXN7wj+CQYOy7EQ1bthiRFnATtNlyE -efh4sXqkR68rBOdRPGRUr5gvEeGK1fRyHs3DRRfbZX92u2KQbscZw8OVK9Ea -6765gLF/43go+/mxIC3WBacZAeZhVnTWp3ANFzbf5WHkLWPPfGEXjKIf30Qe -DlQdXnvwuTPy6XaXyTwcz8mdLGvuDMZuTOXhxUJtE4tpzjjEPEAe7L4vsBjM -PAHB+RgPKqUHzOpPnEC1CS1IPJwdqRQjJXUCd+h2kpk8PJE67/Kx4jgYeZvF -w5HPvKWFV46D3CxRTB409F5NlNtynM2/eQgcpXN2mOuEJ1X0BXlomJ5etCDa -CceZhhIefmzfZfVY0wlMOJXkQVsn/9bdsU6gd++mxTyc+BpXNfz2GATndTz4 -hpZ7vHE6Bg81ugOIh9Y03tg26WPYPZKO+Dzczzj8ZDjFEcz2XcYDf6FO1t8l -juBY0xGaB1Evma3NQUfZ8wAe9laIicX9OwJdEg2ereLhpP805b1Hj2AuYwB5 -iHn79XNZ3WEwy2UtD2+i/DJ2HzhMNxNOur2OB+9byfL3KQcIzg95qE/z3TG4 -1gGydPveeh5uZthPl4uzB3+I3sA86D+QKrGaZQ9meJt5aHkhlhwUaAc3xhDx -ICK++W/KKDv2fIIH5UmmA/Wnbf+PJa/73/YiPP/lsj8TXWwxaq5yaARhgw/L -E5WO2qIzdjggiXBe1Dnhoza2+CSbeDmbcMu1bX/umtqy/pDwoN+dIj1bBEPi -FI/wy6VnWoQ1bOGSX3Bs5FYevges5Cjst4WemoedBGER/xRTOxVbKNZsNJcl -3O+75cadrbZYyGx4Hs4PF7mUbLDFyN4YDR3C8sohP8astUW7s97+w4T3NkQt -3rrcFh//TFK5SDhl17Qh58W2oEcXRnj10EiHx3NtIeif42GuTdCV1hm2cL6x -evV7wg8WVeyfN9kWOpJtS8sJJydyX2uPtcWWhIiF3YT7ggc/XBthi/nrDs36 -R/i56UiPTz9tIEy3F4KHRQ83togM2aBtZ9r4ZYQXCid1K3NtwNwu4QOjL908 -326Dx9rSv9UJTzpU0Pe2wQZXG2rJb/Fw2eMx92eVDeunebi9c8v1TSU20Obv -ag8i/GtLaOOpTzbY7P67/j7haeIV5SnZNpg38nllGuHpHrNO/HhnAyF/m6Ii -wt/Wn07Z/NoGrXT7E2H3LvH7Z57aIO9OScZPerzaYxWphzZ4JH0ldfI2HhQV -HdyFY2wQmKT0YgnhL8YGZrtu28Bp4+CjTTR7DvX7htpAi0nQeGg7pb6sKNAG -G/ca37EgbD0uaLz4FRvMLZ0edorwzbGfQwwv2uCfwYfAAMJe+xfkx7jboLn1 -nPc9wr+f3I/tOWGD3CN0xxYPdyaeWy5/xAYPv3Wd+ky44r8MvbPWNgg4H+nU -RLhxj79cnokNjo3Vsv9GOHfw58upejbQCBpvMWE7D2YbljUZqtuw+QfZD6PW -vY7fZ4PZMS6aCoRXHpTf8HWnDf6uWKG6l/CSKf+ZKW+1QdPLRhUTwiY7AhSu -bbBBDlktzoQ5nXNf1q+xYc9vedgySqxOdrkN/A6OWHOX8OY7gU/PLraBY1Wy -zAvCW6n4VYVzbaBudlgyn7CP2zmdBeI2WN8tObuO8KaGFSucJttA0K9JPj+m -4GHWWBv8/uU/YYwyD1ai50rFhW3Q6KlMthwPhyYaxNv/skbWxO+/1xA+vfKC -DDVkjQdhiV9VCCtdG6cpxrOG7wJ6g/CQbz59hX2HNY7ES3Q4El5W8ibxfaM1 -1NYWNngS3jNl1peZNdaQT/Woukm4R1012bHUGhI7NhU/IZxcelwx/7M1m6/x -sLwo7qhkrjXqNWMzqwg/9BFWPU1ZI7NOL41L+LhKXGV5ijXirCe/FN5B4uP+ -hElrn1vDpy/78UzCe3plB/wfWYOY+7iVhLe47L/UFWuNQ/TjI7xLcRG16641 -1vm2hWkTnhrxKT423Bri029ddSC8oscSI4Os8fPWoSsXCItcG+9h7mvNni+S -+N5bdSLTwxoZiWluCYSV7XunLj5rjdj1Tscp+vPOZkaertbwpqQdygiruWtq -tztaw2HPF4suwuL8pt977KxxgBFoHtYdklZ7bG4NOf3dWtN38nBs+laNKYbW -mNHyW1WG8NlUlZEuWtb47vB8lxLh4Xw9s5oD1vhCdq86YevcsCPb9liz+S0P -2DpL5sF2a8SIlK45Q7iV+nNt0hZrXL56ZVkQ4fv1pvGu8tawl9i66D7hzLUm -RxtkraEaPTg7jbCd5oyWPUutwRzPEU5uixR5sdAaYi+MRdsIv70yp3rebGsM -bxEb/ZOwW2usvs90a9RmfyARkIfmGF2/r6LWSFc9/20x4ToXDQdzEWsI+oN5 -2Dnx+U/OPyt4mXR3qBJuWxi0aesPK9h2RjaaE/ZymCiTOGCF/U5a1ScJ1z/a -kTu31wqrf44v8SccFXtILKDNCoJ6FtHTuWrT/tZb4dsE16xkwt/rzN47Vlmh -OmTF20+E815Hzm8utsK7efSOI58/s1RW65MVou7TC5ror7B4b362FXsewEPI -kisGSulWsEkZEbmAsFhGxOnnr62wd/vrcHnCfqn2+2WeWWHVx8PX/iN8clis -8G6CFaZqLPIxJqxpniwkHmuFr7WVF08QvtPl0BpwxwpVlgHuVwh/Pq/uJhJu -BbKYT9whbDjHmzp/zQqRrvQMk/EmS7/+4WOFS/8SLfPov3fogKmzhxWs6fZ6 -wjI9s9P6zljhv6mzSIgm8T7gYZ6DqxVWRhQeENnNw89tMy93OlphymLP3XMI -K8+4+M3Kzgp0NF1D2GfuqFmt5laopKeDsNWRd1xzQyukvotdq0/YddFH52Yt -K9zZpb/ckbCJ/u4n5getcJEzmVyRh6IN6hEte6xgqZsz5ybhC01jNlkpW7Hn -J0TvLnn5dmyxwgr7NROzCCfs6Qy0V7DCpMG20VWE821Ud/FWWWHg9K2/vYQP -i5c8PS5jhfJRasMj9hA9unq9cFjSCm8CRPrFCc+YlhR5do4Vbou/7VxBWKpp -+5JRM6xwgQ7PhIt22Bn6TbKChczSGi3CO7yU90wfa4Vdz76U2BN+M9TdcWuE -FQT96GS8bee3SP2yBBlcdgjh70/m70gasgR/35+3DwkHP279u4lnibKy56/S -CVco9R3N6bDEayPbJ6WEjXINrqs1WeIWfZxLuDpx9+H6GkuccyyN/EN4omnR -D4cyS5h/v3Jj2n88VO6frvizwBLEHAQtJbyyU3adT54lZMYP+SgSFk9QapDI -sGTPm3hIm2S67WGqJfrmmJy2JmwQ9lx780tLlMaKOZ8mfCBHfdHnREsky9IL -kIfxS4xjjB9YIiL5vFUc4QUYqOZHWbL1AKIXD1bmekVYwjS/W5tDeCl/ue3s -EEvsVIs62Eq46NX490kBlqCf3g/CisFdBSrelphA1G3SXh5Cl7YFfblgCR5t -XwkvmTNH1NndEsXOrnIbCauLP1QUdbbEqz+0wBE/nft4cdwRS9y43LTYnHBb -3Y6MrTaWODM5fO5JwgVT/WdUm1rChDkAJ+t/bsxCZ31LKEsKT4om/DTtfvNk -TUtIJ7wWSSYs+vylwWNVS4xbd+TfR8LVOd1+/+22BDdt0fcGwo8yrE90bLNk -z+eI3jnvmnZ5syVeFAR0jdvHg+3TB7ZS8pYI197RPJ+wimq8a46sJdwbvtes -IzxG2lrReqkljOnpJTx19r83YyQtsZ1pKOdhUDSo7+FsS0i5z8o5Tti+fVPd -fjFLjB3JeedN2Dh4pkf/REv0+Hkm3ybcLbSnKWSMJThim5OeEdaW7hreNMKS -rT+TfOeXRF7DTwuEScdF1RKuO/31wOUhC7gl6d/sJ3zu4e2rsjwLGG6cEjx6 -Pw/jfFb6lHdYYFtGju9swumLs7aca7IA/fbAasLTvM89WFprgTF0uCf8NPvM -5+IyC3Qb0A6VxKeB2oQzhRYoaL115CjhM8tTlWXyLfDsiJq1B+FJfgohZRkW -7Hkm+f31hyMvplng1Pm3OomEJTXPWK5+ZQGDsccPZRLWneTZUvfEAiCruZLw -lZDouQHxFlhE3EYv4fmzBycr3bMAc/yvSvSlIuwd95YFulbsWSdO2FDkkVRk -qAU+v6QXDNkvXap71K5a4Clt/whvyrojNcrHAtdzbedpET5fl/Lu9SULMMeJ -9PU83005fMYC+lWlk84TXjz+43xJVwsomfmMCSEskvW7o8LRApLdW4UeEp48 -zvlwgJ0FRp0Y+v6OsMzmA493Wliw9VHyvFMePvhtaIFPnrQg8eDRHWv8StsC -TybSCswDd7Nx8dFDFggO+1g79QAPqRJD/2T2WsBlwYUyacKrhz27W3ZYsOe/ -ZH2qrg6KVLKA4tqenEOEA25MHTLYYIEFqVHpVoTtdfZOn7XWAiN3aL92pz8/ -6TuvYrkF2j9NeHqV8LXdm7xDl1jgoyZteAkHrvyiOd8CiXWu0W8IS7n0c8Uk -LHDNmo74xE9di3lbPtUCzn1NwS2EP5+23BM+wQI6p8L9vhMWKlML0httAcH7 -NmR9K/qGz/1njvm+wmcXEa7SX2nQ+N0cI6anuGwgfKlW+0vsgDna6OVC2P+I -zBz7XnPkL1lsY0Y4L5uauabdHI/p118I69/cUvKtwRxX1wfq+hFOf5C6N73a -HMQMkQjDQ85Tg4uXS82hTaLLK8KhbkquBwvMQbu/j4QTP51bNivPnD0vJ/mb -89ZbLe/NIdQyS36IsM3uW5+epJqjxYGzctwhHrJmvUx1f2mOvCFPqfmEa3Mf -2BKFQsLZzSRF4oEnG1ouFm+OQFo+CEfI3RrREm0Op6txk40IV76t6n12yxxa -EvSK5uFPyuHwi6Hm2Bg9ZYQ34cyZriPUr5pjzvLcH7cIKxZOX7HYxxz/np8e -eEq4qdxS7OslczRvoSeY+IeVV97lnTFHbnZ7Sw3hY2W3pW+5muOh6u0vfYTX -lmUcdDxmDv8KNSJxZH7Wi2/aaW+OY3S5iPCDCW+aZ1maQ6Pzbe4qwgHWH/b3 -G5ljA20nCSeZWJ/M0zHH7J9LU3QJ35+eZB6pZo4/l+qeHiHsFJM56dQ+c7a+ -QPIt+fen1VTMQcTtXjjhkP7chytgjvh5fyMeE/49+tsNkU3m8Lv/4nqGGp2/ -H/6vWc4cjqvtyBDJ852wNy19pTnbL0LW+9FE3i1pcyhsLzsrpM6D7JTkFreF -5pj10cd1BuEz8h4RurPNQZJLx+WETyyRn75RzByNdHpJWE22U1VikjkE73fR -+Xv63h9jzHGfa6JnR3iorGJc7Qhz+JKrnSPsuOSQ37tfZqCj/XXCW3n/caK+ -mkGNuPF4wg2hLeWefWaQZw6sSTz3UIy06zLDzIge+RLCVYucVxxsMcOvRdGy -HYRPtj10V6gzIz6SToBJPrPtj//cSjNkyosumKrBw6vEAPNRxWZsPYaHo4/c -f/V+NMOVXSenbCHs8LZFpyLbDIfp5UeYZ9N58n26GQ7qNo+wIvx8U7zBoxQz -rGsK/+lGeCBDeWT4czPQbycGErYKrTzq8dgMPweEe2MIK6tevnvsvhmJ+ymt -KYThfTTYOMoM70cdrSsgHNKWtFc1wgyxAYsrmgl/n2SfpxhixvYzkPl/8nas -bKAZHCID80Q1STx1zps0/4oZDsjsfC9Js1hC9eRLZlj77EfKesKHd3vaCZ8x -wwxajghXf3RJ/+pihu+Z9ITw4GJ6t6rL0Qxf9tEZK8n/C+el1NuZgSrj3PIl -vLpxulGZhRnuGXmFRBK+ZRmV99HIDJfbNwe8JFyxumU4Q8eMrV+RfHj2b+4b -NTOofo87V0/4vxETEp7vM8OaiwYnBwlL565e+VjFDNPHTyUpNdGXg77O92GG -4WA64JPne3mzR/QmMzDlRcIlhqa6d9aZIZ22p4RjsucM3ZQ1Az27hoRzkv21 -w5eawYuorxPhtWtKz4dKmsGWZEeXCTvJTT4WMscMgvcJeYjNMl0aMsMMq9Xe -KTwlfJbXERcy2QzTao6vyiG8IzO7J3ScGb6Z04aS3J/6zB/hI81Q3VO3oI9w -Qsq/gog/phD0z5F8fcpd+7vDpoj6s4c4cqL/Z6Zx7vFN4XH577hVhJUWn/31 -oMcUNnS6Svjeqr99iW2m2HvD7pcO4aDCV49fNpiy9T4e5m3IXvu22hRTE8p6 -LxL+FvXf+exSUwzJ0QkrD0f+sw4tKDBFVRq9pMl6Pb7ZuTLPFGk7v1a8J+xj -3j6nOcMUkfTruoR7LX39uWmmuKRNO0Dihz7syv3xyhRWDTMy/hE+3rrlg8hT -U/xn++mNmA7JJyb7hoolmGIl/8LzZYRXPVBbsTjWFFOYAiOJl5Ne+MrdNcUg -vZwJhyUWvlC+YYoKv+jbtoTv87LvawSbIlVMJ/QsYS/lZAsrf1PcuSMaGEx4 -7fys7pOXTXGRfp2QsNavCYp+F0xhmXSSOA6yn3Wf6US6m2L3RtlTxYSFU0qV -XjqbYkVG87F2whvuXed9OGqKScwDJPsnZqp9o60pWx/lYdH+o0+HzU1RbjDS -QIrwTbmPGZONTEHvts2Ex/NV7sromOI2LW+Er0kL71JWMwWdrVoS/uq64I3h -PlNYMAUmHlJ804dPqphi11ha4YgfmywkHAJTLA/auTpGl/bPo6qebjLFRCZA -8/BQgetWuM4U/feSFhYQ7vivpqNX1hSC91d5uP68V1JUxhSvX86eNkz4mLyK -zMpFprilVDReVI+HXzdG/do/1xTncr1GShK2e7Iv4qi4KcwObvmtQPjR7i0i -QVNMoULbXcJHFYe2vhxvChkzOqIS/2UXvrNqlClEGQNI9MzIb9XPPyboOz61 -wYewUgeOqgyYoORXbuVdwj93BO4Jbjdh68kkPqunvGusMcHNiXIf8gkP//pX -sIZjgrNhHRl1hI3XPnC7lGUC0wV3UgcI6/P63pe/NsHOePUXY/TJft0wN3b5 -YxO2/4gH+blHF1+MMsF4JsEkfu7FUpKbm4BHp7+EtyzwG5bzMUHxJ5kwA8KX -fWv2B541wSvN+sBjhMcv10WPkwlu1NENQeT5K+7i/GdtApIcXYggrDu24/dD -fROY9P09lUTYuvFM/oSDJlA+9dIpm/CmBXvlHHeYQHqEvX01YedlQZvLNphg -HHOARfz5kZCmzStN0DuNXjE8vDUPWxqz0ARFJPpJEF7qVy06cYYJaHcqSzjF -KSro1DgThNPHYYQVHskmt/0xZuvvPEyoyHLXHDCGEWW64TDhQxFxDdntxti+ -R5yEQDIfD2e0ra81xhLmhnkopLT9EjjGGKt/UfIR4YDoRyULso3R00w3hJD5 -mKeTFpZiDI4DPUIefojeVpmcaIznQ9ETugmrqb1z8ok2Btlso/4RflnxB6PD -jOEmMvHPdENyP8FRTy/5GrP9rjy89+zMGnHeGNvo43FDev1KuF86YYzFTEAl -97fRuXSUrTFElrc02BAes3xr0RVDY3Q/v1F1hvDYp2+OTVIzBl3tCyJc8HPZ -q1AVYzzLHvnxPuEVO0vuzN9sjBDVN5lphN9xvi5/uMoYJyuOphUR7uiuNFRY -bAwDkyUv2whX96aty5ppzPYrkPtf35akLmqMRYxhI9eXCy9uETLG6J877y4h -vE57xk3Xr0bovPQzbBPh5QtiRCZ0G+EzbZ8JD87wnB1dbwSmfYFw7OdfZRtL -jXB93pyLp+jPN6iuL8kzguv9IrcAwqqD77YeeWsE/dWXj98j/CzlKm/cMyMo -pWxxeE24slNkV3ycEZhyKOGFu21U9kQYYRS52ybChVFDvV2BRqDV6BvhMQUD -igEeRvhEsoUJxuT3H8cpyJ0ywhPLvF0LCa9doVZZddiI7cfkga+4adFFMyO4 -0Ok04frGaPEV2kbQ/dexxoRw4gLOy4q9RlC8cmeZM2Fh0dF/PGCEBcyBE4nf -md59cvJGbH8H2T92t/xbZIzQvih9+gvCqWs9y0LnGeHDoxOi+YQvaV3O+2+a -ERLll42uI6w6q93qn4gRrr2r/8MnfPFTR1LyL0M4019/YMKDZzYnzrHfEDqc -//rmEE61bt69vM0Qm5kB8uA93zKsvdoQ85kDbR40zG8GxRYagtluhH2e526y -zDKE4P18Hu7cXHNVKsUQ+afLP3oSHv94TnDnY0M8GuWXdZOwzpXPyonRhrga -sO3tE8Lild7RJ8IMcVz828sswl17g59s8TOENvMCPhlv1Br70RcMsYkJgDzE -3X1QVuRsiLnPxCOFTXlYKa7Iu2NnCKHNn8NnEh7TLPf6sLEhWjIvXltJeGtH -0WolDUO2H4bEfzED3cl7DMHIL2F7S/G1LYqGCDC65+5A+FfV7tSUtYZwatc5 -cYGwzAX5oavShtB0nHg4lHCwk2SD7RxDbGQMFtHLQo3TO6YYgl59FGGd7Ckf -Fow2xD/iDsoIv/S+k//nhwGaSfbWZUrXG+FexzMAfbr2l3CUx5b69BYDPKTb -08xIvDGoHIquMoC/7Chyy2S9bNVNv1xggGPJb9YqEb4RPnLLkUwDaNB2nHBY -1XwHrdcGWM8kcEQvz/cdwGMDzGYauHhYPz2tfXm0Af5UXxULIqwjnScnEWaA -JnOVifcJp2nqrRvjZ4Dsnp+j0wi/2fm6c/i8AR44P/3LIdx9X1qj29mA7R/i -Ydl67vF6OwMcvTyn/wf9918f2lNmbAD1ycWdk8zJ+H6GlX7SMIACc0BExl81 -ekbOHgNISNIVOB4s17eIvlcyYPvTiX8b4ZL2Vs4ADXIPPpkTvi03QjJtqQGy -0gyzTxIu+FyglDbXAPd3TnvnT3hr3cJp76YawLcg71U04fOnt919L2IAwfdB -8OD24Xhzzi99HGIuSPz94J/6z/36kGcOoIl/Xy0TUt6mj5n8Oze+EhZ5v0G4 -sUYfv9zoig8PI/qOL+vl6IP+dgaiILgzbeH4n9n6yPBLvyRPeNjXK3Zcqj7i -6OM6wtczu77PTtIHs30JG666PmZVrD4OSzccPkE4XjW/SPmmPttvRfxNAkdT -L1AfckyBi3BV33UnD32IE7f2nPBuV8dAv1P6oLPpPMJusqE7HxzRR12J/Z4v -hNWePUjONtcHXY3iE/5IcVtbdPQR21q+ScSS6KXkE84oVX14H/GTm0O4K371 -yaXK+rD/tm3FGsLt697U7tugjwOMIeJhc0LQH6eV+mz/NA8H/v77clNSHzOC -zGY4Ep4su889S1wf32fNnORJmKuUWs6boI/ae59FbhJuUg7pmzNCH9QK+kSb -5CO6C/P3ftPDvZcbyA4h8xkXZ3K6Rw+Xlbj9lYTHGTokJzbqwS73Xlcv4frX -KZ+byvWwn0m4eCj/V3Zv5ic9rKmaWCtOWNFrzKaD7/XY/jTy/GPCr155pYdh -upxH2CPz8/2sBD0w9p7wVzXeOaEoPbz71fLOnvC/gh0SCNVDtOfN5POEB96K -nTjvqwemPYpwelL81YzzerANGxX/kPC37buPjnbRwz7mQIf4nVkbJ++z18Pq -eMebpYTXdGYeDzbRw7S1UsGdhMNsV9+s1dSD4PtHeNholnNJeq8eqpWveUyz -JuO593n1Cejh7SeVM0sJ648LiMqQ10OU5i9nRcLx7gfKpy7Xgwed7hMu7dAt -tFigB8H7OuT+9g/5vRbTw17mwJiHWUm2kyaO1wPtnq8Rfrbwr5blP11MHeF9 -KI7wone/zd8N6YI+fU4lPOLRYwWJbl22n4/kV3O0C50bdJF268HmVsKL922X -LS3TBRHfdT8IG1xIVl/3UReXEqetnGRDnpfo362hlC6smADDg6aq6cD3l7pg -vk6GcGq81BHjBF2spI//CC8PCkvKidQFEw4Iu3n8erMqVBeDenfHnCR8aMwd -/5u+uiDJr5A/4WVJWUtFLugilf56EsJaEil+zi66uDOUzn9FWKgnM6XVXhcX -zjp3fyS8smZlkrapLiwZA0P8T8xWx49autjNFLx5cJyy/Qf26WKFRGjZOFuy -3gfPqL7epotJ0XsL5hO+v3LL4TXrdcFfJpS7jrBEbLbWoxW6KKfLAYQDD5iP -l5HUBWMPCCuvMPK9L67L9j+S/b3zb7m0qC7Oq1bEexMeeBLIjR+hC3MmQSJ6 -56dTvHJYB7tMtkc8I3x75L2Lz3t1sKzzG8mAyXo58PrvpmYdTGS+oIiHL48b -92RV6oAEU89+wvvtbUwPFOig7NLMs6PteMioC1GpzdTB6wkFLrMJT7F7/t0u -RQcRIZeOriY81W2c+89EHQi+74aHu14tH/xjdMCkC4RDpvp2LrypAxWSzRwl -XJmwqyI5UAcyKbpqHoS1u82vH/DUAV0NuEF4jLPMwk43HfTR7XSE+781nvFw -1EGJutuWTMIyshUPF1rpILl2lXwl4bJatQeUvg5uWrau7CW8pvSOi+khHZzh -3pQaYU+eJ2/kjJG7dNh+UfL3xAq9HmzRwY5/o2auIDxp/a581bU6WEq3CxDW -PZT85au0DpjjA8JD9rZZkXN1wFyOcM6N+2f2TdNh33fgoXg4deyPMTp4+eja -wHXCO5/12cT/0cYN+V098YSdfwRG6A1q4zQTEHhomNwSJdqlDROmgMSDHNaf -zqjXhjLTcEPib13JslNl2pDSnVv4m/DKfSMT13zUxrim4typDjxIfp06uofS -Rq8dXQEm86v+n9yDV9ooGlAkS4aHfe2D8laPtPGCPk4kPHOr4USpaG0w4YWw -9ODntPYwbbgzhoOHuofXtiX4a8OIKVCTeDp14JbjJW1sj8y/Hkt4XvuWwvWn -tNn+WuLve1Mq/x3Rxphn67wKCSsPv0j5aKGNnk1dZ1sIWzefdArX00Zh5l3X -74Q3hx0Utj6ojef7aAdD8keRyw7rVbRB745FhN+vsU4cu0UbbkxCw4PUwi35 -X9Zow5BpgCF+q+vg2+fS2mDsBuHBxD4f37naWPy9Ya8r4bk3rdZaTtNm36/j -4Urz7+cYq43ucfsUowiPKBCaPO+vFgqChRReEX56/63K70EtPJ1DV7jI8/hw -WqeuSwshsQ5EMcn+v+mz832DFpjXGwjf8l47Ma5cCwbJFTPHHSH7YTL1zO+T -FrbCf8p8wr9Tzso5Z2hBMn/7uHWE+2e8uWr8Wguj6XIj4VfZbz/sTdRi+5GJ -vhwta9wYo4XP5uaDToQ1Puwrl7mphaSemb2XCT8MOfpg9lUtBDvTFRXiP25f -0ZnkpQVX5kCW5Cdv2tpGntYC035JuPBzsfqvY1pQmswrrCEslnvj7pC1Fhbe -iMnrIywUeflDn6EWRknqvR91lPy/UUdpr7oWOh5OejOLsP7UMek9e7TAtHMR -tq9ae6V3qxae0O0HhO1qXsj1yWuBOY4gPGFf9bvB5VpwYQo+PETY8Zb9XKgF -XW06IpD9cgEnhWdqse9/kXyrQ+K+6EQtLLAdffkxYZd/uckSI7UgzE89l0FY -Z33YQ+kfmmh3O0ZSOOKnRpeeW9+niQ/C0sd6CFfxP6zf06bJ9m+T5+uXV2xQ -q4lrYrRB4OGvo8Qhp2JNnGAKykTPVcY9v5KnSXwmfWJM9CqjfTj6nSaY40nC -rVF/JN+90AQTrggveRqxpuahJkZkzFU6R/hYzvglPyI10fpficJ1wtOyIn7P -CtNEfon3qnjCE8PDUxX9NfHIQGnpO8JztxwwNrukCcH3eRG//XJCp/cpTRxn -GlbI85oxT/fpUU2Q5G3qb8Iz3DhPaiw1sek8fULJg++4Y70iBpqYO5a+Y5K/ -/tw3VUFNE0LMC01kPAFP51nt1gRTriCsMLFnariSJhj7QnhyyR7ex3WaSFgR -2eZGOAEzXwgt10TAS/qAg4cLOTGmGxdqsv3uPDTXbxl2EteEZi7FSSE8OE32 -ZKKoJjYcpDvYeHgxlN3YLayJOVXLM5oJ/16kJr/8hwb+mja+GSbssWfBcYc+ -DTTTr8M48dA452zEkzYN5Bzf90iScIBJSuJgrQYe/hKKXU+4o2n+480lGvCn -y5eEFc7+DfXIJ9kDnc4Qvj8zzqEwXQMazAstJD7HmMrOeaWB9QsqL/sSPjT/ -fK3dIw3Mjvc/H0n4WvAB19RoDfxZQ5/A0P1/8/6K3tAAPboPhMM/bjxhFqiB -bLpdl/Di+r7y154aoN39IGEP32vSU05rwE+TLtDwcHWGnZW9kwbo0/F5hOcV -5wXn2miArl7KER4359eTJcYa7PsBJL82Ukv11NRguv8MCZ+ZOOtV+14N/KZv -l3BtYlTk3u0aaPDRIxk/0dPP692fbtBA5rTJMrcI1wgvV5FYpcG+D8tD7HC+ -0KUlGvBZQheASTw2NE/kztbAkcTV02oIf+7fuc9gqgYOrW8b30e49CxV83GM -BuSpiJGjTpD8pWahoeJfdQi+P46HbV2vOUlD6vhZNPqrLOHfN0oVpHrUUU+3 -NxH2as0MvN2kDua4k3BjSVnNjCp1xNHhj/Dmk7qzgwrVcWWIbjAh+Vf/bdVJ -OepwOBtUFEbY1YHvHJCmjoMiu0nKT/KP+XHXJj1Xh9zV3xnvCS89MCY6KF4d -4hLPU8sJH9xrc188Up19n4IHY0ex6Duh6viybN7jf4SbpYyDpP3V8f55SayY -M3kezddPPrukjpgtdEbDQ6L0aM2tburwptsdCf93fsTSAkd1MOUPwqMuV/Ub -WauDsUOEXzVWP+s3VMdaE2Ni2Xhw365l76WhDrHO6W7BhNOtI2bP3auO72S1 -PCC8cc6PzJfb1Nn3NUn8UsiwOLhBHRTJtooJq0Yo/+mRVce9CfSBJw/fNxRc -9V2iDrpa8Yvwv/HP5qyYow67eVqqU1x4+DRna/T/WjrvuJrfKI6XkfopVNLU -Htp7p9Pe4147IzOEECG0RBmRjJAQhYiEUIlvZglJJE0qRfNbmlr8zvPUn+9X -dbv3fp/vec55zud8vkXCc4COTyHLh7+S38Y/B/R0n1lbICsvOH1e4h8XaDsU -2ffDyJTnvVyg5REyo8AN29jGhcrC2lm7kc+e+dYk/oM7Nn+C30d4n1d+BReu -VJGGCgvaVs13d37kwoE1pMOK8cd00lT1Ai6sa3+EGRvmyy2X/WuecsF956YJ -9cgny/men3rABSrvRg44dVjM4xYXRA597Z28E/PL7N3r+JK50DvtGCuPPPe3 -WtaLc1yoSLD7ZYxc2NMwYd9xLjwh8gjkzCherm00F0b9Clk4uv1D4vhQLuwn -Xx/y4vMXGgu2c2HtU4m3h5FT65/oxG7ggpsTyRDw/rON2LVgJRe0i/fnZiLn -Zps+k1/EhVF/ABb8FhgJtHtxoaeWvV2D7GtcMCfXkQtf/a9e60KeEmp7McaK -C7ldJMHHfGtlT9MyQy5c2ksEISzoKumbGGhwx+Z18H6d6hrFr8AFPyKXQjaP -OFZWK84FenyK3FLlrZE7hQtaJJwiZ8fXRZydyAVy90btIvXw6codwxygdofI -t3VzTBd0c6AMq98M5P3GyefMWjhATidfIdfghiNTx4GL7kRwxsKcTr5148s5 -QLv7yHriql9aP3BgzbJqp/HB+P5UOC5lrzng/PMEiTEw1F327MUTDmhsIR0L -/P31gnAvkwNTiHwSeUWQ3csraRyg7RTk0+LvPE9f4cAXkl4hX1z259uhcxzI -PkkOKHH/WS6zK/w4BxKliaCVhdW2h2cER3Mg/OohwVvIeSYnnm4L5cAqbeuJ -z5D1w6I3bQnijM034Xpcnau0eSMH1KmiFfMziPyxeRUHBN/4dvxFbt2lfTvQ -hwOdHHLHYb4TNjVsJ4cDnyvIgmbhzuc9PqHOHMgicmrka+NeWEdbc4C2V5GL -rprqnDDmQBgpt5DlVujMuqTFgZUjSS9DkPf/+qOVrsQBh+j5T04gvx1stmKk -ODDqj8lC1tp5i0qEOTD53LP0XOR/E2LCf/JzoEOenMhivhL83G/onzd8ukk2 -TMwXebiN2qw3PDQgDVaMJykbwtZUe0MCGQfYw4Lj3gf6SW+9IcTBA0M0/n+m -9F9NtjesKOKNNEceyg1slk/1Biq3QB6o1u9YF+8N9PgFueJqrnDmfu+xeTAW -BO895I7b5g3kNOIYsmJOfsb8Fd5Qspsk5BjvY+O10r28gXTzspB/zCx8wz/b -G4ja4j1ywCb+qPWa3mPz1SxcOT+48r2kNxC1ch/y73qnFUb83mCnKmk+eS/m -H+dPR1zu8wKVjA/68sj1OjEvpzV6gYDZAQ1j5AyNT+pRn72gjcivkIf0hB+M -PPcCehyLfP1fx6o9d70gk4Rn5IbNM0yHLnnBmSWLhQ4jewVq6Ucc84I9DVP5 -LiHXiFZyBUK8YBkdGMbvI7Qg4cwGL8A311+AvOv3BYFZPl6gHK7XWY2c/HZc -8lNnL5jE/7PpN7JdxJXVC028oDUusY4vhIXLKQrevcpeY/NzmC/VavqdFfWC -e8lEgMrCkpH112eP84J4IsdE5q3aJ9rU6Qm0PYPMs0Mu/cx3T1hK0jXkvXUt -210/eALkVz88gGzGPbae56knKHqfvJOAfHXq3ZjcW57AV+6cege5KnK4Zs95 -T2heQRYgxl9NmRXWhz2hqJk0LPD7uZYtwhfsOebngfEodW9fyVpPOD0088i4 -UBZ6eaYKJs/3hF0HPmNFjfl7ksy8nQ6eQL49LeSgQ17FXoaeYE3k2chm37zC -NBU9gbZrkauqC1YKCnvCRFK+IV+sWr3n9z8PaNIjGxx+fx5FbypZDyDd1Xhk -nqd3PN/UeECG3VvvNOTc0vsCj997jM0bYr284MBIRq4H7JxHFBcsuCh8UE9L -8wCfmhas4FiQ0rY8fiPBA6zWXjb4i7wgdqfOrUMeIN8xX1M0DH8epMl/f5cH -0PER5DOzJs58utYDfvGQBBrzbeGMgPfzPYDKN5BF7pQOfnfwgDvkOAdZPFHh -db+hB5wglxe5Pda2SFTJA8h0dxzyoSW1okYiHrAo3ePPNfJ67M2ERbweYGlC -Kga8f7zdlu/rdAe5vKzmYuTpyQF+d767wziXgPoGZE3VZ7e/f3Af85vA9WPM -Gosx7lDoQwRdLIg6XeX1TneH2/XH3ishRzbFTjt2wR3iNtq/NkP2LFy8ujjG -HaicC9nq7oNBsb3uY/OZ+PsRrl9XbHAHCxLukQvM8gcyfNxh5nFJzFBZ0On+ -umq8qzvwShRfvoI8v1FTdImZO9Yd5AAQ4+2KLZOz1NyB2sci85d4e4iLu8Ot -+x1HapGj8/aU7eVzh1jLa/t7kR8WX0xr6HWDbbia/4vAfPT52kJuoxuQbEMO -OXHzfsOXpW4w6v/LglbxlWazV24gQ+SdyBq9W9ruZ7oBbfcgP55ywUo/xQ1+ -kPSPsF9pZeZJNyBqpEPIb5cXFFhEukFaJBkYwvs7TGlCfqAbHJs8ye4+8h7f -s3HzV7rB1tNPLAqQuTklAc0cN5g3c5thNXLm6n0X9tm4gel1Na3fyIu95s+U -1XMbm2fFeiBquJORc4O/WWRDYuGngarEmqluUGdDdmAWPiXsOyX0zxVeE7k3 -spw0szqXdQXa/kW2Mow5HPDNFY6SchD5/J5zE5U/uMKWNbID+5EfXYsv//bU -Fea0f/59DlkiymD8pXRXMNl5uCUdeeVEueiVF11B8p/1jxfIbrqTV6kfc4UR -Mh6IfEct9WxviCvUTiMdGhZO6Kao5W9yhVcJyzHis6BwNUMkcakrpCqK5c9A -fvE3xCfIwxWO3HrLaCILf8kd5lq5wmZq2Iv7YbnMiKGWK1A5CHJgOWeJlIwr -GJPjIWRRhl9ioqAr0OWCHBfXa9gz5AJDC0lBjfFs+5uMX60uY/O/GF+vGhz9 -XuUCL/xJRwD3t32NhVXvXOB6106MMBifIk/6V+e6AIkuTchJlq0b6265AMn+ -RpDH+V4paUl0GfPfwfWctTbxT4wLkNNTNWQPg/bC/0JcQDxp3AorZKkvP5cp -bHIBKg9DNnw5cZHVUhf4Ro6LkXdYDWcv8XCBUb9pjMcXQ/aFW7kAma6IQ+Zd -bn4/VcsFDrmTFY3rU7zRvVTGBTaW2hs9RuYGG3hPFHIB72UDWsXI57mlTyxG -nMGADvhiPhYbGh/U7gxi9AKz0GxWV3G/xhkG+klDAOu/HfeP9BY5Q3UEEZBj -/hR19YYV4wzPBKL4zZCXFm4wOnTHeWxeGvOjJ9k65ZecgbaPkDv7bc9pHXeG -DSSdRFbWfxwYFe4MntpLWmOQP1t8ya3f4gz6j6bhLYz58wTnXQ4rnGE63UBw -vz3/8moaxxlwc/vyFnm/2j8HMVtnqOKQARUWvnWmLzqg7wxMxc/8HuTUxQdq -+xWcIXnVhTyBKBYSGk1qtog4j/nDYH2mu8e7bZwz+AeREzYWlnePMwvodgIP -Ih9HthGIPtv1wwloOxl569rszSGlTiBKE1QWNsz0Zv577QR0/Bu5ftm/6IsP -naBSngzAYX4RFFZodN0JnuKr3UNe835f9MczTkCifT6yQRvDbD3oBAcwG69C -Ft3duk0s2GlsvpwFS+OnKcx6J3Avkl0/MZoFe54W7iYfJyDdRilkla9qYbJu -TiBMC2CMp6Va6l8snKCXjJMgJ+hmzI3TdAIqL0Feae3311vGCZ6Q4ybk0O0T -NaYLOQFdfuTvlzt9rR5xhMgYMeNzyHkZ3/jSWEdYO51M6GF9oxeVs/e7I4z6 -m7Mw621nB/ejI2irkhMErNf2l6dpPXeEafSAjQX1yO6WyfcdoceUCDLx++Ab -uNuR7Ahfny0QmIF8K/DyyNdTjjDqR4b5n82VklcHHOESGS9DrhPK1H60wxGo -3Az5yKljIrfXOoIfOX5Glr7RFHF9oSPQ7QjZwSZk9zUXx7F5fFw/m3lGbpg7 -wlR6gM/C9tXagvc0HKFrEhF8s2AdkJv6VNoRyuKyCz4hW/1Z9fGDoCPkSG5+ -9gs5LbY6umHEAagdN/KL8tp3f1kHiNCsuCd8iIXnF2ZdnlnrAKsfxKapIv9m -Nk2wLXEA59kOWFKzcMN27cD6Fw5A5afICx0/hMdnOsAU2iBk4Ri/77n8qw7w -m6SnyIO/PtgPxzsAubrHkUN9KyNNDjpANu6+V5FVJlos2BHsAKQ6ykG2OJXK -ZPs7QDh9wAOuj2/VT3iWOMCqA53rfyBPqTzF9fRwANL9/YP84Fx06KXZDqBO -E0oWUty22PboOIAgFSBgfmPNf8lL3mHMv4CF8rrJp9OFHYDK0ZG/5BkpC493 -ANqeRj4bOdt7d489nCflKvLxrlqxn432EPrugk4M8u2+iuCFX+1hJR3Ix/vr -9I/gojf24FAzSf4h8uWO7Bkuj+1BjRas+PndxOYW3LKHyVTghPXtpAc67hft -gd1FJiywnrrGSfscaw+jfvos9D+/nr8iwh4eHj6FGSBeT+ltB7oC7SFBxLXH -APnm0c0Nh1bbQwgZT0HOmLbol9J8e6ByFeTDz1qOvXSyB3tyfIVsUFpfvs7M -HuhyRt6VPPxmmoY9/EcFlCz0XO7xZaTtod35yJu7yEprDiZuFbKHjx/h+Wvk -5UmB4Wr/7Mb8HlhoU946+UenHZytT8OMg4XhRrBJqbeDvRtX3JoQw0JO10XZ -9aV24NsjdlUS2XWPc6p+vh3Y0i+QhbK3A5X/suzG/JxYiOdue/zpph1Q+Rry -h/ObndIS7aCNHGcj13Nvh0cfswO6vSF72TavXRduB5nqREGO+cecH8MegXZw -5j4xUMLvO3aRnelqOyDdnufIM2QnmanOt4Nlr3atKkOe65z+TdLZDmxogGbB -OFDAVMTcDpRpQ4+FWIVK26madjCJDoCwEDZS82/aTDsg02AayHsLPweKT7WD -D2R8FXmp/55zirx2QOWshGvjggy6bSGetLeQFbllfM6NtmP+GJgfjQhwVny1 -hSWnKzCi4v7k3MoJK7SF0QQQ199d8/+u5NqCIhUMsLCt/EHIm3Rb4KMDZnj9 -w2Vu9iTZArVvQuaN1otTOWkL7238hoeQv7fcNVhywBbuFkqRIxhwLVp2In6n -LZya87FdBblnY//t0vW2sKsq6qcFsqOKdbTEEtsx/0AWfHd1zVzpaQvWtMBk -QZ7nS9AdsAUFKkhiwSj0egyPgS1MoAOsuH7fq61eqGwLTQeFX6QgC7dIDt+f -YQvUXgJ5Qc/shaICtpCREJJZhNyrNn9H8JANnFQ0wBQa11Od/ML6dhvYcevX -1X5kraRVI5xaG/AxIopMrE+Hq9a/+mQz5ieC1yvL67zVaxuQp4JHMn8XcjIn -ywbouAv5/a9qcyzTbIDKX5ATFopUPr9gA2/JcRgyj/9fDc/jNkBvD/J6/ncc -a/bZwImuUxuSkF9+adDYFmQDRB3xAHmDx5qqyetsYCH9wBivYjoWpvnYgCU9 -IGdBd6vrFU8PG6CPe0EWHjTK7rW2gXFJcvgOMX9y3nExWd8GyPT0TOTJ54q4 -85RtoPDuEVMD5Ho33rL/xG3gNhl/Q5au/ayRL2Az5m/HgnancLLgAMB2cjyO -vDz1zckz3wDodom8/cZcdY1XABa0AceCTL/FuoKbuJzowAbez+V8XpuPw5j/ -CtaLJfBddgdAQ7/JSAWyQdpmmYrFAAURbb0sct4GmUkXbQBuCSSz4+NYKFn6 -6fIGVYDYkwt/SSCHTJZqtRUEIO4V2siO9qG/FLusYT5N2PD6iUSfFSq3BiqP -RX5WwAzzMtYgQwfCcH/tvSfBc9UaaPqMbBrQ0jbpiDWMPi+EhWCH7j2SW60h -n+P14BYylCx8ZbTAGm5WjE9/hvzgVspbHytrOLYq59oX5F/pq44fUrSGra2b -L7UgV3bLT3/Obw3zaEGI+VrVhsXjO2aDKRUQYX01sWi195fZIEUHTlmonVOq -fy13Nvwl4/HI05uF8ickzwYql0cO0pmkuPnQ7DG/Gly/7zyd6zbPBlpOI7ff -3mLqO382ELXKSWSpf4PdDZazYUvux6WpyNkGYWE7FGdTtfcT5NjBg+VTBWaD -CRUosjDgnjr+QYcVSNKBdpLvbuRdVWYFZJp9CHntndWfJZ9aQe06MnGF+Xm/ -3N6qFCt42Smsr4JsYKk3eP2IFaQSewNk4yfKc0ICrYCOzyC388QeWLLICjbT -N4j5w6fhkw5gBVx6oM3Cltnjwk1VrYDebsi/43TdjISsQIIaXuH7Xz6jz6LH -Eobu8GPJhftruMsB9ypL+G7K9L1HrhVY1Of3whJePNveUYf8b/FXzyM3LeGa -K1EMsDAk4nM0O85yzN+HBW+xrZmduyxhEw2ALEw4nPHKYLklcGjDjAWmPO15 -mJMl0HE65Pf3e2+ValsCldchWwkoRxmJWY75fbLwQ/it16VhC6DbL/I2p5D/ -RBos4Hncl/RLyFFrinOOv7MA3IyuZyLfDVjkK55pAQeTbZLeIB9PvT1w47wF -jD6fBvODxJXHHCItwIs25FlYLyEk3eJvAQZ0gAv3D13HqwlcCyDVm8xp4idw -WWOuuQUMeL3fo4/M+/ZBxgwFC6gm47XIsQWSxg38FkDltsgac9c+ye00hxTS -fkNuLdV3vlhuDjQdR941IPr10DNz2EALOBZk718NCLthPuaHhPHCKEQwLM4c -9OiAKAuHhg0eHgw2h+lngm0qkNPfrPRPXGEO/bI65izyh4tXNHJczKEq9Yf+ -+HiM769jBmv1zIHawyF/KsqpFJE0hys5XkrayPePvH7vxWsOUWTcHvnWdNdP -p5vNgMrvkc8XfmtrKDEDDyooxHjroSALj83G/Cixvou+tS4l2QxE11b+jUdW -uyrxTjjGDPrY4/1pyPmSE9yObDeDil2OnXnIlUkCPwWXmsFTnqGmUuTnRq8v -JziYAbWvQd4r83mvnrYZHBAhL4j7fW1xcImYGayjB9AsdHEWJYT8NQU3KljG -eB0p8F3/lynoUIMLrM8/Rrj/LjYd84/CfKlqSe3jbFPoJfIc5EcxZkmxV0yB -Htchxwk9PLzpiCnQ25dw6ZYr87abQpKPyOXryLPPT2pyWmoK9HFnyCt8pZfb -O5rCWtrgYkF8nRu/m44puNKBCLw/bIwaF4ubAqmmB5HvMsH9O3hMYRof6TDg -/TKQZZPYbAKjz0PC9Tfn5Iu3n0zgq7hAgDly65YbYROemAAdz0NOsk7c6XLN -BC4RuR6ydPfk22diTWAfOb5HXsl/Qb5jlwmM+h9jfWPT/oW70gRc6MAVCx9T -rhQxbiZA3B6ykB9FLhEwMTKBqWX/zN+T97P96ZGsmSbQ5UtOtFl4N3/DXPtJ -JmN+W1gvek70q+g0hpyt8sqTz5H1rf98d6UxXBj4IiOPPDP4lL/yK2OIoAUX -5k+LPyyvSDcGOq6LfOxe9LVzZ42ByneR70xaabVqnzFokHYecp3OOFWTjcZA -03vkTzZT10yfbwy/dYjiEeP9Je0/w9bGUJr1vvk+8ryucQ0ds4wh24ZcEby/ -tIzV20WMIbHQtKoaeW3UhsKeYSMIowc6LEwKd83n+2UEq6gAkAXJ+mAFpRIj -cKQD47g+85KqXXONQJ3YCSIHBPoN7LlmBIJkfB857Vzg7kfHjYDK+ZG3yG3y -Hd5tBJ9Jex9ZyGzkpscaI6DlPrLHsqJlqV5GY/5kLOycE7VX0NwIQumBMb6+ -0ocJIUpGsIIKjFnIUuYO9QoZgQM1pGDhRHfWij1/DIGcPrcjj9t520TghyFM -JnZH51loriwPSy4yBKLeEEeecv6XhVO2IRB1nRayUdD+TT3JhvCQ2Hkga25f -KJZ+zBDoeA/y9+YppluDDWH0+Vss+AfN/zx7tSHQ4z9k5T9lrWJehmBPDW/w -/aVA5B8zQ1A9VmKRhqzVYXmuUckQsPg1zEP+mxisWzPFENovEYMq3G8fJrt+ -HzCAj2pdys3IXsfXNbU2GMCDu6kz/yKfexMkNP6jAZw1JwkM7hcuwY+Vcw1g -D21449/byf/mXDcY83PDelVXOvPgCQOg437Ihl/FxxWGGIAKkf8h9zm//j59 -vQHQdgDy8apPnhvnGgBND5CvGHd6vrc2GPOPxf9nX1RnqmEA9yMEqh8j37OW -mnxHzADOCJACiQXVGwff6fAawG4qqMF83jNf+XGbPiylA5gYjx0OSnDK9cGG -GhTh+vu990bnS33AYJqlhDz37/yyxAx9mPTI7a4Z8urVBSncRH2g47/IEqsi -RUQO6sMHIgdG/httrlyzTR/ukfYgcvjNpMr7vvpAywXkrtZ1pqfc9CGYCvbI -PLqjVZiJPiyhA94Yb0vaWrYr6gMEkYqLhUZdYcegKfpj/nf4+VTXeYQP6gFR -0/Qin/NOGRf/Uw+ap6xa999FvP+P7/F/+EkPiBpdDjlLIjmyltGDu/JFi4yQ -p6wt9p5xSw+oHQDyd8j+tOCsHtDxAORrOSJCyfv1YDE1kMD73SCe588WPaDH -B8gH5/fdWbRUDxTIyyEvkmyXfOWiN+ZXjvHgmZ69hbEeNH17qVKArFMZrJWr -oAfv1u2WrSZ/bxP61WmKHtzpJAEB823jtpjHvbpwcjdpIOHrPTLtHv6gC9Qe -C9l3v1fTvBu64EMNajCfzEze/GKfLlgRexDkut7rhx2X6AIdF0KWPP3B6puR -Lown8iHkt1uOxRydojvmF4iff8Ki3ZwmHXhLwgvyQr8UAY0XOoDJKSYcWM/a -NoLkBR2IcyUNahZ8ak/Jye7UgSA60ET0MKq3zTg6o4+PRH56QLR5vYYOWDas -zeZNYoGVKq24M0EHZAPIhAAL0+Jr9/33XRt4+0puaiL/tbrfsDdHGxqJXRCy -UP+LieNPawMdH0Q2tDvWlLRZG24TOSGyptnRuDmu2kDbC8jnjlnySitrw3Y6 -MIn1xUlx64G/WrBAUzTsJvIHvYtu7RVaY37aeP07XDX6H2jBzNnEQQL3n87E -2hlxWkDUp03I9Z3CgR4btaDBi3S4WLjgqvn9rJMWFHy9hDsm7mcd63QGFLTG -/BVx/w1yWbV1RBNim4nADuPnRK+wkXJNoOPEyM82DkZdfqAJ84m8GLlA6GOI -T5wm0HYjMoflrp21SRNo+YHcdnu8k5CLJvyLj1e9hjwz2l+OX1kT6mWJApqF -3XP5+yR4NCE/lUeiGPl9jF8RVGvATT0SoVmws590MyRbA0afL8jCEc2go8Wn -NWArNXzA63XKcK9ZoAbMfVc2qIjM+BcFZ3lqgOm8o92myM2mbw95aGgAtRdA -vq+WeaefTwP+knED5CM8Imz2D3WoI/IDZNdZgW5xz9SBHkcgc1KWFIReVIcb -5O0hZ0xftn7fHnWIOUwGBDBfZnj1Exeqj/lRsiCyr0z5nZE6zEk0y/mOPFS9 -3llYRB2Mldl7PciLfjtdCOiYBZLpKWlYwkFtyD/V2vezYNjYJ0UWua5GoXV9 -2iyoJXZqyCtErNsmHZoF1G4E2S67Qfup3yyg40fI24RSMw/Zz4IjRI6EPKlz -xr4NCrOAHk8ib/yWn7DqnxpwqQEwC6H9rryBNWpA1Gb3kPMGDXPjc9VAInSi -fz5ykIvku48JajA0MXdlFXJRXayRYrAakGm6TmRBf42hwwvU4IU4KUAw/1SI -VOQ3VgPiRiGFfKBT684FUTU4rE4UhViPLXlxxblLFTYR+yFkgaOVPAIlqkDH -EZGT3KYU12aojvl3Yjwa3z+1OFYVaLsC+d0rnYLPAaowSNIX5LPWnD+shyrU -+H6SSCd//7LvuryWKjz7RQ44sP53ZcrWT1Yde74A8jr7mIIWFTg4QAaoMV4v -Unpj9VYFNkbeGOK5yoL80FDcm5sq4DWZnIixoPBxY/OGwyqgf1q0XeMqmV8U -/qLkrwKjz7PEetUpdmG3iwrQ8WTkk9L7gipmqUA1kSsj+xyJ0S3jVwHavkR2 -dPI+2tykDCmknEEuvr45VrRQGaILiUEDCw9Tj5rPu6kM/nPIhCMLcs0eJ24d -VgbPqrz7n5DfZcxNkNygDHpryAkMxoNGx/mX3JRhOr67YeQk8cI35prKY36n -LOzfs7+/dbIykOxeFXnzT+nGB21KwBwkDRoWPCvM408XKQG1K0A+vjNqcswd -JYgi4wvIHgdT3c8dVwIqZ0DeuMfV58lWJaDHG8jFa8TM+rlKoEs+LvIe3ket -LoZKQKZncpCz7pXtujddCfoc7ZZ8QLYLVarV61Mc879nIVDEdVbBV0Ug7iB/ -kBfn98wNylGEy7VkYAjj5+nStWaJikDc9RSRNyucXSMaqgjruopMTJH7H7Zy -Ji5XBGpfguziEKk7xVYRdMg4E3KC3+QJOkqKIEzkTcgVi80+rZmoCPS4E7lE -MPfi/V8KUE7CH7KXoNMG8bcKY/6w+PlPHrU8dVsBku6SgUTM3yPsxFSPK0Ck -OTGww3ixXGaoOFAB/F7o9nYjC68u7oybpwCu7o3t/KmY3/gJD643VQD6OF7k -3W3npBZJKcC0ZWRiiIURpZkLfEfkgdoZIWv/mH93b6080PFGZK20Ia27L+Xh -MZE7Ij9KLigeui4Po89PxXh0efsF3yPysI+kQ8gB8o/PlAfIw5qTJzLvIie4 -LXjhz5UHF2nn26+Rr3SOVxIxlgdNXC2VyE9jw5+USMjD6PNWWAg+K6jCHZCD -Lqy2JtzA1++6xdV+LgfkNEwS+afqYj6zw3KQU0AEsizYHIh3C+TKwQVib4Zc -lVGjUiEpN+any+J9kZm0pV4WVhP5M3JfTkKe0S1ZoO1Q5KtnRI/NCpIFDVIe -IR+3vj7VfbYskGnT28i+guVeF/hkgbgBPEeWz9SbI/NxJpROIQ0VFlKszRXe -JcwE4qbVivxSNOTljdUzIVGeZGwsvO48YPNQeybgZmkqhpzaXpvU2S8D1L78 -JvHvkm1e/EIGHIndIfI5/yKlnqMyQO0PkM2rwxY+WSgDgmQcAjlqweuYTEUZ -oPIIZKFHRm8q2qXhMzkuQZ5utGq6bo40PCJfH/JPv4ZdmQekIaGTGEKxoD97 -7uBajjSE7iYZAgtz+F1SXGWkYcW4LPYncua2ZXuWNEmN+Q+TfrbuwQsPpEBt -umLttDTcP64tKRHcJwX/XfxaroL8LSFixW1PKWBVSIJP5vusrfZKSQG1Q0Eu -azDZtPuXJDwk41HIspcE/1x/IAlULoW8o2P1r/GRkkCPT5GPB9fbxnlLwnIS -TpE1zXWnesyUBHL3ZiP7n+haYNoqAaPP62VBya5Mfk6OBJDqtx7ZLiAq6MJB -CWjvJQcIeD+cue0zY4EEfAwjgjMWEq8MfM1TlgDS3VdAHiyT7j/XLQ5n44ih -Jea7k5S8zwvy5lF7JORHEofMilt48nzJuCRy/nBqtEIhT54tkU8iT+U56Xgi -lSePtlOQqwN99slG8+SN+jXj+7sm5lS4hiePuOc8QBbtqks6Yc+TV+xFBK0s -3MyqOb9LkSePuE9+Q7ZepmW/l5cnL34FcYxkwfJGi6v583/M6PMqWDA2eHMu -K/wfs3QbUbSy4LCJExNo/Y+xGTqhaIB8/WCL+bqRvwy1S0NWLZv49NyTvwwd -n0b2LJLU5gv5y7QQOTXySYHryRmWfxnaXkVu5RqYxA+NMPdIuYWckuDFk5U7 -wpzW+9yXgVw+7pSkWMgIE5xzqOMVclZL0vkMqxFmCX3AAsb/mpkXokeGGet3 -3bUs8r9rT03OM8OM4jxyIstCp4vZ/pbwYWYi3TBx/5rifX6X7TDT7EcarFiP -RL47bTt+mBn1t2aBf2d4jMvrIYbaKSD/4XM8e/TgEHOKjFekk37T349CbkMM -lVukk/PSM9z3gkPMYnL8Qv5+Fq/Bm+JBhl4O5NexZjG8pwYZchrxDDmk02Zn -8IJBZgJNyFn4vUlVUFtqkCHdvBbk2VEjfnLfBph3DBn4w3xR7scF7+QBZvT5 -SLjeDg0XPl07wBC1sjry5d27+DZoDjA7iJ0q8iupIxvndf5hqL0KstLE7SKh -D/8wVmTcCjnIeff0hj1/GCq/Qubzbzty2OYPM54W/CyIBygfD+D7w/wk4RlZ -v2yfRdz7foa4gT5BPngz4Prvk/1MujgxoMT9Y5tP2wmffmbUDxzrT8c8lUD5 -fiZI/Y7GEPKqlwqbjv/qYxbeX600LQPjs6xgRdudPsbCUlJGBblSWiT68M4+ -RvbVh+kWyDriH4/6Wfcx1G4JuZ+vlW8fXx/TSMYvkX3Cp02p/tDLUDkmctrD -vtydZ3sZ2p5B/rp/qQZ3RS9znKRryOUbBbZvVO9l6OOykeUSizJed/UwCyJf -171H5mf3DS5/0sOYT95bWYfs0T0pwCK6h5lJFyDuXx2uCvM5PQwPbViw4Fdr -oX9XqocZfX4P3k8RZY/cG7uZAh2igGFByl3hvdrdbobaryE7hs2KcdjbzcSS -cWzkVrlxU5KduplAIs9GjuQp2mwj0s2M+qfj/c/cLZD71sWYkfINueVtjYFN -WhcjTTc43L82HHt7ZWcX86+NNERZiHvCe9PBvosh6pcaZKHcqKZZ07oY+jgT -5Ps2ASleNb+ZmwfXB026x8KSyWI9D9N+M2S6Rwb5Y159j2/wb2Zrwmc/fWRu -u8MzV6ffDLVjRNYQzty6c/pvZvR55Lj+zqfLN9Z3MlI0gcb41fa0Jf5eJ0Pl -G8hz/XY2H4roZOrIcQ7ymmXbTBnvToZeXuTpOgs6jOU6mRvEbh3Zc/2lhbU1 -HUxMbbhmBfl5qtrUytAOZrM/qRjw/uvNt/CR7WDmdLXIjL/Pgru30DTfPJbB -YktMAjm05OKrphUsM+o3z8LKfO3YgXEsM0zsWcnP0w4kx11rZ6hdC/I8oxDT -Oy7tzEsyvoX8ZAnP/jltbQyVcyHrrpyaGxrXxhwhx7vI2l9yxPSM2xga7pFj -kxQL/StbGc4LD8xQWejgC5+sGdHKGLmPKy1FFsqeIRqk2sqI0wNAFvSK7Gc4 -FrUwQ0uJYBT3gy/b3C8FtTDfGonBAAs91YrN4TItzPPNxJAW65FtjSotr5qZ -a8SuGfn9PV+zmoBmhto3Iec6Oy70EW9mNpFxTuSgkFU5vs+bGCrvRL5pfjK+ -fWMTY0gXDOYTIi8V+cSbmBm0wYC/79Z39saLXwxRI+Ui630DrS+bfzGj/vws -fDqcP+Oo9C+GqPkbkVVKXsYWvfnJXC3oCBhElpp04F3Czp8MmYad+gDvh/lr -J/xW/skQtwJlZMlX1Vs/f25kRp9nhvHSZpOL7f5Ghtq5IcvfuP3CwrCREaMN -TIxXb13En/1oYKjcG3n8rr+hJacbGNr+RX4Qfklni1MDk0fKQWSFV4IrE/78 -YJLPcrWykJ+b2nhybv1gouUnqbxH7m220zzt+4Pxv/lkZh1yoZCBoZ/ID8bT -gDgg4PXmtb74Mr+e0aMJLwuHF6Zdydhbz4hSgQRej6qiYHX9eqb/PRmow+t1 -SWSJ/q86hto7In8c6I0ouFjHMMTuATngPL9S27w6ZvR5BljPHGR3JwnWMVQO -gmysuZype1XLrCfHQ8ipi1TUM0NrGbpckGvny3WLmtYyurSgZmHT69A0/vrv -DLXnR+7aJyIssPA703uBDOxiPhCsc1S96BtToUIiDAuz/DRiNzh8Y0h0kUZW -1I4+/P5JDXMZsz895DCx5k5vkxpm9HlbWM8mKpj23q1mqP0LckyaSvETrWrG -jYyDIetfFtFKvVnFUHkY8sLl2s8fqFUxwlTgyUK9bz3vz+uVDN0+kHUfv15p -qVbJlPcSA1ms721PzXt4o4LJDSMrGr+fwzpe8zQrGDKd3I5cOJz8QiKjnImM -0yIpICxrVVIdb1TOjD7/gYUpiYLtoo+/Mq70ArMgs6g2xt32K6NFGwJYf0S5 -7UorLGOoHRRyfLqssv7cMqabjIdmkfOiRZNqa74wVC6KHDFb5kyW/xfmMWkf -ERZ55JjdV8rQdBK5qux8TP2BUiZiRaxsXhbRJ6+rMZleyqxptsdbGN9vV/3d -h1c/M850A0GOGNy73OQzo0kbjizsvfz6gVHhJ2YKHVBhoUn1QInxsk9MlyAx -NML4mXfJZXVXCfMlvrjLCrmx/Hrc00MlzOjzHfF+al8g7yhfwvwP8rMJFA== - - "]]}}, {{}, {}}}, +1:eJxknHdcje//xzNPe+9xVvdNkczIfKuEpI9NklVK9swIUXZGZUVZichMSlHp +LZt02nvvdY5RSMTvuo/r+9evfzyeD3Wfe1zX+/l63/d9HZHHhtlePRUUFGKV +FBS4f5P4B1tnJWWCnbfAd7I7D+0Ca96cT86EOXtOP521mIfv6uF65bNMuFtk +r7R4CQ9nO13a1y89E34sMFnus5SHJXe73Ne9yoQRNqrpW5bx0EPTdXT820wo +r+xjtXc5D1u3JOj9+pAJ08t/Xg7y4OGWQu2vdpJM8L9XYnzOk4e/xmzMPJKT +CaseRVyJXMHDA5c/3pbkZ8Is4dBB97x4qNpz4GH94kx4WB38Ismbh2e9jngu +LsuE8aH3PF6u5KGZfAcz4aX3PuUsHx7esHIwba3JhCsD/z4tXcVD65CrnUMb +MmHbYNHWxtU8fNzenbejORPGTKiwaV/DQ1iw6GFaWyaYhVkr/F3LwzdPk070 +/ZwJm+qUc5XX83AGX3+1S3smOI9Ye19/Aw+LArZMPvM9Eyq+OJ8Sb+Thsvos +cenPTFhRGeVvvYmHzVOt/4q6MyFCc/2WMZt5uOnusVIfBQmk1l/fOHkLD39q +NCc+6CWB0r4O22Zv5WEgt7m+Eri+1OHAEl8eKhdGbRyvLIHdJpHhq7fx8PSY +Hi4H1CTQELngybbtPDS5vMTyg6YEbE57VAXu4GFUj5Q+2roS2P4qVSN4Jw+t +vIxqXA3I9uy8pkT48TD+7bZnV4wl0DFx3uGbu3g43iovvMFMAlsHBEke7ebh +q+Ch2weJJPA0REGIe3jo0n5yzlZGAm8GJ+zI8Odhwfy2wcn9JaDaer24aC8P +lzx1IpdEAiEfMuzr9/Gw0exm01RrCQz7Yxn/JYCHGwJ6k01KQDPnyaA/gTz8 +Ubc8smCEBH483vlA+QAP901N22NmK4Ge41aONjjIQ8W7pm4rxpLjUd/3wfwQ +D0M1/EbemSABhx/PvYYc5qHRvwEDC8oGKI4/wkOysU+2jmR7KUlxTkd5OGDM +qQ97p0rg+8NVXvODeBh36dPN184S2Ng2Vuh5jIdjudM3QwLF8YNqNxzn4YsV +t5fNnS0Bw9Xj7+8+wUPnt7zxEfMkcH/fqoCjJ3mYN5A7geR8B8S6nwvmoXtw ++jcLdwloq2hNjArhYf1XQc6GpRLIyzg6MDaUh+vm77n/2EMCq4OMBKmnePjt +SUlQt5cE+hqlGb8/zUN/M9uVk1ZJYPjSnfzCMzzsG3DW4dhaCdjOnTKg7iwP +g+u4DUrgyN/+E76c46HB1Jm/DbdIYNwOY7c/YTy8cude0dJtEjhaZ+avcoGH +FhoqCdE7JTB559DbhuE8jN3sEyrdLYEtO+dWsBE8HF3wat2IfRJ4a3PIePhF +Hj4fbT5t134J2Ku+XjLxEg/l0/eQBHClzh2XyzzMUajoqRQkgQtp6/66XeEh +uRiVM05IAMLyFvlc5WEtqQbnQiRQu2cK+kbycM3A72HlpyVgoP7aav81HsqH +S5gEAqUzIkOieLj7a+zMNeESOJhRZ3b5Og97z1cfFHeJjD+vA9fu3ODh8Sdr +lH5elcBrf+vBT6J5qCefwBKQ1Ne8eH2Th/LduymBHVsil+Xd4mG/uv1cRYB9 +Sqv71MTw8P6Uaj/d+2S8nhoX9+k2D8lgITNYAh96Gq3svsPDNPWLw6/Fk8+b +99dc5R4Pp2zmZpwECkI+NRne52FWPjegJTAGmxP6PeAhV622PZNAeac0aEQs +D6suat1IfS6B0Qt+r7R/yMNVCtyIlsDCv7ouM+N4+MUzY7HzWwlEmdqOWfKI +h35vLMkIJOOn2mvw2nge/itXZLwcuWzll8DDoJPcBZbAhJk1Q4885qEOGcze ++RKYGTAUziXyMGIed4Ul0ORzfO71JB4yT34f7iiVQJ9F7ZvinvBQPj0qJVDx +0DsMn/JwxL7EiYE1Ekj+2PAyM5mHqbW65AyS49Pd2lWWwkNH+QFLYES7um1r +Kg8zSTWd3yaBQyWJe34+46H8cnySgKL9hg885GHFJm4PJbAi2kak/5yHK/Mb +Vw/8Tub3UZW9TDoPyWQjW5RA3OYvdcNe8HDHxWvmT35LgCdrnG33kocK8p8s +SDv+6e2MV/+fb3/JcfHQzAKvMVtFNRIezq29EfFSmAVxXhejtpfw8A+pxv2G +ZsF+lZjZmvU8vPXaedRRuywwGuQ27O4n4hu5oLJAtLVksnMXD3/HcBvMgvqB +D85IeytiNNla7OYs6N5yR3haQxFnnghbr70/CxLr6j+NNVbELv/VKVtPZ8E2 +vVheE6OI10l1LYzKglsRwevCBivif3JhZcGL4bb9p41RxM453A5mQUnRoTEK +jop4zZEzRhaMuLTn3pMZijid7B2p+RAgnHhom5sifrfgKkQWNB/W/DDSSxGv +Gg8uZ/pmQ3X19MCuDYo4TS6wbLCtWxv33E8ROzj99MuGXt//Lj9+UBEvc+Vq +FPn9nXfC3EIUcSo3vKdkg9EMSzerCEX8yp0+12y4FzA5tke0Il7kDtcnGyrF +NVdLYhVxslxo2fBqUtuwxGRF/Mxt7mg2jNX1Whf2WhHDL3A/2bBJzX3BrmxF +nHRsbeOvmGxInvLph0eZIsp2g82Sp9mQmz9t/n+NinienD18nw2jbG/tHP9V +Ee3lgssG/0qXVUO6FbFtFndBsuFTykmr/opKeM6B22A2xFe+ey/SUUJua06q +ObDFbLWzkK+E5OKSEp8DPV7VJ4gtlfC0IVcRcmCE2zMtyxFKOF4uvBz4uXCf +93BQQrJz5ALnwJs+L5LtpikhKW5kkzlQamNhNHeeEnLVKWx9DgQMdT+0epkS +yvXrnwOfj+upHFyjhPJyHZwDY1X1HkRtU0L59L6SA3lBrN/rACWUD7/YHFjU +aLJVelwJ5cMFcwDaC64bnlfCf5c3B3p5g+7UKCWUX47qHEjJnfJ+130llJ++ +LzkQGd2W+eiJEsoPt2cubDIZMeDzSyWU7552LiyfaF03JEsJ5ZsT58Lh7x29 +t5Uq4XD5Ty7s+HbnTFqDEpLBQoyQC32y90WofVVCrnr8mJMLMoU7omXdSjhU +LshcWNqyZnCiojKSgyUDOhdsWtU/ausqI5fe+AdzIcu7uecWgTIOlm8wF7bm +uRUXDlBGbmu1N3JBV5LhajdSGcnFjXR8TD6/36OjD+yUcZBcmLkQ8+XYVrGL +MsrjR2EunL1WKQp3VUa5rhpzocLYLlx/hTLKy9uPXEh+7tIQtkEZ87npq5gH +reH31Pm7lHEvN90M8+DWEh3TmEPKaCkXaB4cPzXOYPQpZczlhvPoPPCJ+qKY +eUkZ98gHTB78/tuna2WMMvaXH3AeHBjh2t43QRmzucu7Og9YXk73bVTGXdzl +8MsDw7M+4rkZysjKhZoHtzearu5ZpIwS7nAj8uBT2s/yhFpl3CkfgHkw1t3w +8PpPymguP4F5MP1rxHqrX8r4MYP7yYNB5vGXPvVVwe0kLQ0uz4PUGxfNkrRV +UCQXbB5IfS79OMhXwQ+R8oIAVx8r2ywcoIK+8gGdDyMXOFYOHamCAvkFyYeH +CU//atir4Dsufg3Oh0O966+0u6jgFk7XkA99PZ3flS1UQTO5cPPBp1fgwQ9e +KviGK3/L8mH9rAEVaZtUcJN8guRDjFF72ZM9Kmgiv8D5MPPh+WNPjqrgK64c +hObDE359+7OzKriBm76R+bDCPlbwPlIFjeQCzocohSrD0nsq+IKbHun5ULHG +p/nLExVcJx/O+eD1yTlC/bUKGsgHTD7U+0XYDs1RwefccGnPh033t31YWKGC +8svbu4BcD9UlR1pUUH45dAvAR/VCV8p3FZSfPqYAnu1ZfedHT1WUH65NATgE +lPnZaqiifPccC+BxjtuWvSaqKN/c/AKwal92JaO/KnrLfwpg4UI/JeEIVZS3 +E9sKIOCafcrOiaooj5+HC6DnS6/04umqKI8rYQUQNP5qv4kLVVGut5sF0OKc +1n7XSxX/9TcFcMo0coRwsyrtTwogsYdNxwV/VdpfFIDZzV3jjY+p0v6A/P6U +wyaRYao03xeAUe2uC9bXVWk+L4RE3+D09FhVmq8LgTdY+driVFWajwth4+3J +Dn/fqdJ8WwhmFnEPbxao0nxaCDdfZ3TOr1Wl+bIQAlMtGbXPqjQfFoLo/AZ4 +/1uV5rtCqN7kOv+kkhrNZ+Tzh8VtXaivRvNVIVzJWBI90FyN5qNCqNo590fv +IWo03xSC5u9LW+rHqdF8UggdpW79Pzqp0XxRCJa30/gp89VoPiiEuxP1veM8 +1ajPi2B0n2dKsRvVqM+LINDKSj9hjxr1eRHM+dMa9jxIjfq8CI4ZX4nID1Oj +Pi8CrbTuQV+uq1GfF0GkeuEi3Tg16vMiuOCqNQzS1KjPiyBu+e3UTRlq1OdF +EH8vUeVusRr1eRGw770tZQ1q1OdF8OdCt7lthxr1eREsvVaiGNRDnfq8CGa5 ++tbXqqtTnxfBmQ1L3jiaqlOfF8HBARNTHliqU58Xgc6dimzRKHXq82KonGSo +f3GSOvV5MazPqj/Hn61OfV4Mp/66ecQsVac+L4YvcdGHxq1Tpz4vhg8zdbSL +/NSpz4vhkylPZ/cRdepzwqG9z1ucU6c+L4aLybcflEepU58Xw/NTCT4RD9Wp +z4vB1u1P9vI0derzYpjevatryEd16vNi8Nvg8EWxVJ36vBjOqB151dykTn1e +DNMULh7K/a5OfV4MzNXPDq97a1CfF8P3En21dG0N6vMSeB2e9uW1UIP6vATs +rVN65FtrUJ+XwLO4P9PbxmlQn5cA7g2vVXXWoD4vgWFnXuaPXKhBfV4CFn3y +hq9eqUF9XgLL1tur3/TVoD4vgbUr7vpK92tQn5fAuaZsv/GnNKjPS+BMxTnr +sKsa1Ocl0LW/17Wu+xrU5yVwonlSw8pUDerzEli3/p5q5QcN6nOyP+MT+i0r +0aA+L4Gv77scW5s0qM9LYYqrw+59PzSoz0th0NNUqUYvTerzUujxvPTpCmVN +6vNS8BeE33+mpUl9Xgo3ogfmmBlpUp+XwtLKQutAoSb1eSl4z/r1sbW/JvV5 +Kcz4wHviNliT+rwUUu8c+Jk5UpP6vBTeDtS4MHWCJvV5KZy57Rb5xlGT+rwU +hnYNMZ3uokl9Xgp3723WKZirSX1eCnt9DEK93DWpz0th4mDrK12emtTnpRD/ +pHzK2TWa1OdlMOH1irM2WzSpz8vgwXrjU6V+mtTnZRDiv37q4UBN6vMyyH3f +nG4bpEl9XgY6x6xVP4dqUp+XgUt8p/W9C5rU52UwYB5/5MZITerzMmhqD7Ya +HaNJfV4GxVJXM8WHmtTnZXCq6IpOeZIm9XkZvKmLNk5CTerzMmi0zxh/4a0m +9XkZ7DkadGRflib1eRksNtvZd32RJvV5GdyLmP5ieZUm9XkZFDkWvl/UpEl9 +Xg4eG5Qs3T9rUp+Xwyvpl2aPTk3q83KYHvTMaKOCFvV5OXT7przcr6hFfV4O +MWmuPy5palGfl4MgQ/jsmaEW9Xk5hGalsw1CLerzcnCaoT5W11KL+rwcrp3r +UHYaqkV9Xg7e9kevHRitRX1eDufSemq8sdOiPi+H+zlPF2tO06I+L4f1wsEX +l83Woj4vB8cnPYoS3bSoz8vB7eZPvr6nFvV5Bczb22vvrjVa1OcV8Kl9aN+m +LVrU5xXguvZZ+qLdWtTnFXD6ktKLggNa1OcV8KLfRb2FJ7Sozyvg7JD+72rO +alGfVwBqHGzbclmL+rwCPrQ8Oal6U4v6vAI0PTue3X2gRX1eARZ2J4/PTdKi +Pq+ADEaN1/u5FvV5Bdjamk9IfqdFfV4BExsf2PnlaFGfk+2Fo8iuVIv6vAKe +bwmVadRpUZ9XQKXuyaSGNi3q80poWT475NU3LerzSuhyuXPo7h8t6vNKOBcT +djeCp019XglN36bqnNbUpj6vhMXjmIxTRtrU55WQ25LeFC7Wpj6vhG3x7X53 +BmpTn1fChu3JZ16O0KY+rwTf/vOnNozXpj6vhJ2po25pTtGmPidspfbGYaY2 +9XklPFiUmrh3oTb1eSWM6rf6xEsPberzSpi7bNMi7bXa1Ofk72vW2azy1aY+ +r4Jp4baD3/lr0/leBdGRze7DjmhTv1cBz70453qoNp3/VWAfHnlDGKFNfV8F +spf8lhvXtWk9qCJ+2ZQw4r429X8VVEV3m35M1Kb1oQoUem8cueG5Ns0DVbBG +kGxg8kGb1osqmPDOuDArT5vmgyrY1K17LKRCm9aPKjC23zXNrUmb5gXy+Wpr +ray/atN6UgWuo+ZOVf2tTfNDFUxe+zmho48OrS9VoPLovxONGjo0T1TBkQ5J +Q52RDq03VdAUbFnaZq5D80UVjO/03v93kA6tP1Uwyb2109RWh+aNKrjiumWe +o70OrUdVUBKv/2jHdB2aP6ogZOA0q8T5OrQ+VUGvCdWlCst1aB6pgv06muXz +1ujQelUFil7rnB/76tB8UgWzfTvsxft0aP0i+6/Pq7oQpEPzShXA0w1gdlaH +1rMqCD/n6X/3ig7NL1XwWXLg6ZTbOrS+VYFXUp3Wp3gdmmeqIdOkb9S1NB1a +76ohLqLyuMd7HZpvqsHPpEJmna9D6181LBjf2MSr0qF5pxp8Pi+KkLbo0HpY +DYmaJv2rvunQ/FMNN40O3KtU0KX1sRpWte6c2aaiS/NQNVhd9xrW10CX1stq +uHti9LZBYl2aj6rhvWAreAzSpfWzGnoamcddt9Wleakalt9r+NrhoEvraTVU +ZHT0mzNDl+anaigJMN2a5qZL6yvZvs87hTHeujRPVYOBHa8jfZMurbfVcC78 +oK/bHl2ar6oh4GLEPYWjurT+VkOfVudXCWd0ad6qhu6YqQ07r+rSelwNGZd/ +jHG5q0vzFzlfm7fJBifp0vpcDe66V6zEL3VpHquGOXaqYiZLl9branC04v+2 +KdOl+awaylz+Ni1o0qX1uxos3WIMgjp0aV6rhrAlLYkZCnq0nldDpNLqLoGa +Hs1v1dBk9kDzgJEere81sEybHfST1aN5rgaWV4v27x2mR+t9DWxXbJtsAHo0 +39VA41O8h856tP7XQA+nEukuVz2a92pg7rNtE5y89KgPasD0SUWhxWY9mv9q +wHbP2nbjvXrUDzXAOp7+IDiuR/NgDdzKbYgYdUGP+qIGsqaaR3pE69F8WAMT +x9sYRT7So/6ogaf97B3aUY/mxRoQKD1cujBTj/qEfN724bG5pXo0P9bAm4bM +7R7NetQvNXB+UUNXnx96NE/WwJp1k/Y+661PfVMDuXfZ6ce19Wm+rIGlaxxu +bxDqU//UQFBrRa2PtT7NmzXg0J0xacc4feqjGjBWDTS+PE2f5k9yfq/z40tc +9amfaqB6624P65X6NI/WgE7u2B0XfPWpr2pA+DbbyfSAPs2nNTDp3rlBiaf0 +qb9qIHpJ/q7Vkfo0r9aATKX05MhYfeozcnz3eS9M0vRpfq0FK+vKbcaZ+tRv +tWA31kRleLk+zbO1ENySWuPVpk99VwtdRqzno1/6NN/WgtJtfoeJigH1Xy10 +nOv+e8XYgObdWjDsftAOAwyoD2vBXa9gwu/RBjT/1kLdyJI5+U4G1I+1MKVN +6daHhQY0D9eC98DYR5WrDKgva6E7bchXLT8Dmo9rQauLqfEIMqD+rIUTcTv7 +5IYb0LxcC4efnKz2uGNAfVoLOi8W/NVOMaD5uRZeNvm31mYYUL/WwrvCIyML +yg1onq6Fby+7trbIDKhvyfHbBYJIwZDma8KaguG7tAypf2vhjt6ML51iQ5q3 +yf4cHCeMGGFIfVwLlrtkqz0mG9L8XQu/tHcHzHA1pH6uBb+x9kaeqw1pHq+F +zhWm0ku7Damva2G23dVUhWBDms9rweWN+6zjkYbU37Ww4KGpr128Ic3rtcCL +t/otfGNIfV4H0+7dwIElhtTndXBHOznKQ2pIfV4H91rUTr5WMKI+r4O4qN4b +XXWNqM/r4LrIwt7Iwoj6vA6meh7qozzOiPq8DlZYmLwcONOI+rwOTFUgdO8K +I+rzOqg8YhbUd6cR9XkdbA8cVvD8hBH1eR30eCO5GXfNiPq8DnSd74wrSTSi +Pq+D1SnbKkZ/NKI+r4OaYQG1OTVG1Od1MDDH80F0pxH1Odl/h6ePHqsbU5/X +wXJe08berDH1eR2kxrVuCx1rTH1eB/tm23kvnW1MfV4HvezzP29YZUx9Xgf/ +fVIsfbnPmPqc7N+AD7+XnjemPq+DcW9f2TvEGlOf18GBi9cebHxrTH1eB7yO +VYtbqoypz+sA1bv9437+f/7n9zr48t+D5R+0Tajf62ClVY8No6xMqN/roGru +PuufjibU73Ww40PWFJ1lJtTvdXBmZu2BI34m1O91oFa3OG/RWRPq93rYJxk7 +PSTWhPq9HgoX3rGyzDChfq+HHjpDai2bTKjf62HTGS31c71Nqd/rYccNwb5N +IlPq93rI+Zw/CSeYUr/Xw7GWluuH3E2p3+shasSCge/8TKnf6wHf1t0+fsGU ++r0egnYvmFSQZEr9Xg8fBjmsu1NkSv1eD48Mb/7Q+mlK/V4PJ26uMtY0NqN+ +rweHkDy/u2PNqN/rwXLnyFGyxWbU7/VgUpD/tWyfGfV7PUzf7lO777oZ9Tv5 +/bvTq2remlG/14NwWsYWVZkZ9Xs9FBSnyXi6fOr3eljg9NO/agyf+r0eGiK+ +Tr3mwad+r4c94xYcdDvGp36vh/SJgiiDBD71ez3UtJluaK7kU7/XQ95VTftq +FQH1ez1sX3hhpPZoAfV7PVy5dNcndKWA+r0efIfen7gtTED9Xg+30jMzSt4K +qN/rQZyha1X2S0D93gDjdj4+7GIopH5vgDkHRg28PFxI/d4AamnRjR0zhNTv +DdAjoi101Toh9XsD+H/bvs/guJD6vQHgqJ0j/66Q+r0BMlrvhV/7KKR+b4C/ +YZ1nJJ+F1O8N4KO/dmiRnoj6vQHy1070+TlORP3eAEO01Dp3e4uo3xtAGpI4 +IfWUiPq9AXLn3I8akC6ifm+AvOghq+Z8E1G/N8A3vtP0T1Zi6vcGcBo2es2X +VWLq9wZg86b2vHFPTP1Otsf79KP8p5j6vQHezF8xaeYsc+r3BjhoOfTVmCRz +6vcGCCnaaVRmw1C/N8DGUQO/DCxiqN8bYPVhbVLtWer3BnhpHZgU4dmf+r0B +Gm58WDpt/gDq9wYw9LPb/7a4H/V7A3TddkcmhaV+J/sXk7RnnjZL/d4A92fH +H7gawVC/N8ANxbN7y+0Y6vcG+PorYNz7LnPq90aoN1gbLUFz6vdGOBufc/x4 +iDn1eyOsmlRwOXOlOfV7I4y8Xemp62hO/d4IMfeHR07rb0793gj7g+w3R6uZ +U783QnD4jTUnf4ip3xvhA/94/+N1Yur3RkgR3n9jnyemfm+EcRrOB31ei6nf +GyHr/Rib9Kdi6neyfxIHfeFDMfV7I1yX1e5dFCOmfm8k9SZy0LwoMfV7Iyxe +O7Kr/bKY+r0Rlhw80WZ+UUz93ggv3hsF5oeLqd8boavXcotuwv/83ggqV3+W +niK//8/vjbAzelZD6BUx9XsjCPpXJ3SSz/vn90bY89Im8y3Zn39+b4RtW99k +9iX7+8/vjVBu1Bry9ImY+r0RDnyNWvLphZj6vRGyfz1wjJeIqd8boWdf5rhp +uZj6vRHmZez7b2KbmPq9EawbEmaP7xZTvzeC6+0zQltNc+r3Rtgkubp9MWtO +/d4EITHLHOvGmVO/N0Hu/QczNReYU783wY4/M1tstppTvzfBx5QoYdoZc+r3 +JthyV2A//Ik59XsTqLqa9ravMad+b4JZ2Q5ReZoM9XsT/DrrM9VyMkP93gQ1 +A9/p9jjAUL83QdgG/t6vGQz1exNIV91vUGRZ6vcmuLVu4gPT0yz1exPwX/u5 +D2f6Ub83Qaja1BD77/2o35vAUVbL81xvQf3eBNlqTwwbc6yo35vg9yMn45rf +/anfmyAqfc+633f6Ub+T/VPf8kKzkqV+bwL9Bx/dIqax1O9NcOqSr9LTfIb6 +vQm6T1tH6fky1O9NkD936tDjYob6vQlix379uKbEnPq9CeL6Ggr/CzenPm+C +Qan3vE4sM6c+bwLF6KRNvwaaU583waawxdOSfompz5tgyK3fDkZkfPzzeRMk +1A51vnBDTH3eBPG5ojOZ/mLq8yYo9xsQ7ukmpj5vhsHmgeO0bcXU582wJFA2 +4aqBmPq8GTZP90su6xRRnzeDdr7d2MulIurzZtibcd7xeZqI+rwZ/L5fmtT/ +hoj6vBm8Ow/fSjgmoj5vhmef4kfP2yKiPm+GlFTtk18WiajPm+Fr2dkLOxxF +1OfNkHsxak7JYBH1eTO8X1u9VtFERH3eDKdCO7W+9xVRnzdDUd/7SWHtQurz +ZlC0nvqtpkpIfd4Mtz/en5+ZKaQ+b4a8EMWps1KF1OfNkDW29Lsn8dM/nzfD +k6CGcX8jhNTnzbCyj9EJU+Kzfz5vhoNZprUJu4XU581gn6Cd8Ir475/Pm6Hi +NdM4eamQ+rwZPrrF4IhZQurzZsieFVET5CCkPm+GhosjwXGkkPq8GYI7g7WX +WQqpz8nfr+tTVmgqpD5vhqaTq11jNIXU5+T8lxTuzu4tpD5vholpmnXhX//n +8xY4cXWl0KZMQH3eAr397zxe+1pAfd4CycUxf8c9FFCft8D8x38uR14UUJ+3 +gGHytT3njgioz1tg2ao/Lw18BdTnLfDg9pYSkYeA+rwF+lXPXPRwhoD6vAXs +7cVGL8YLqM9boD16gf5cKwH1eQt4WY/cv9xEQH3eAsON1JOblAXU5y3Q0+K+ +XWMXn/q8BQKD1Z+7tfKpz1tAzc6aZ1fGpz5vgfMVZr3Pf+RTn7dA44gRbxen +8anPW2D0MZ/AkId86vMWSN0j8be+zqc+bwF28yh2ZBif+rwFhH1qftwI4lOf +t0AffddTu/351Oct8N/c+beSNvOpz1uAvzqr98KVfOrzFrioqXTU3Z1Pfd4C +XYdSB6bP4lOft0CeqmfnkSl86nNyfsx91WPH86nPW+DCntyrI0fwqc9bwOGM +9TeTgXzq8xZQVAv0XCrmU5+3gqPOxXkKxnzq81ZQ/BE7+Y8Wn/q8FaxWd6W7 +KvOpz1th8vvlKzV68anPW4H3fUS16LcZ9XkraI2rlwZ/M6M+bwW/vxK3hZ/M +qM9bYXWyZh//ZjPq81ZIMHEv7q41oz5vhR5ttblFFWbU561gdNtBQaPEjPq8 +FW6d8t15Nd+M+rwV7ihlrTqRbUZ93govnFRUCj6aUZ+Tv58xI9rvvRn1eSvU +/XI6s+uNGfV5K7zd4zK++KUZ9XkrPOi93ehMuhn1eSs8qSuPvYtm1OetsHby +hwjzNDPqc/L7oV2BP1PNqM9boeZdjWAw4X8+b4XdJkrjX6WYUZ+3wrd698cp +hP/5vBUw7NJOffL7/3zeCrtS3x/KJfzP561w4+Hlzx3PzKjPW0HqrVu+i+zP +P5+3QkNe58FVZH//+bwV/C8dGZ1Gjuefz1thVqjxIn9yvP983gZZ7wo9b5Hz +8c/nbbBDHFg7IdOM+rwNDGROAQ45ZtTnbXCp5NHvxAIz6vM2WKoWYn251Iz6 +vA1EEb2UO6vMqM/bYGLEjUtvGsyoz9ugavhDXQ2pGfV5GwTy/0vKajejPm+D +XU5fPqv/MqM+bwMnz8IpGT351OdtUOYU4aOiwqc+bwPXoy1iiQ6f+rwNNFzc +1hqb8anP28DeY6nH53586vM20ByydvrcoXzqc3K8uaMuO43jU5+3waSaoV25 +ZD7983kbPE7oN+LHHD71eRu8O2Q4N3EZn/q8DdabzL5guJ5Pfd4Gi6cm7RHs +5lOft4G7YNmTLDL///m8DSY4bZw8NJxPfd4G3m/mek2+zac+bwN1a5dIveT/ +z6H+3BvqbRCw4Tn/6DM+zhsyIEYnqw0mrzCY3zud9Ic1rgaJ2W1weXjBnMOv ++Fhx+vBBt9w2WPDhFqP9jk/zQRtYui3Jv57BR2/5C9FtMCCjZTNk8ZHb2qSi +NvBwWqlck0v6Szf7CU3FbRD2WDvmeCEfH6lyb5C3wRTb2e5Qyqf5og2+Jj4c +2lVB6iv3+nxFGzxYUzcytYaPf0Xd332r2oC/7NXOIw2kP83lXmhuA0lvV0X3 +Fj4eIXuXUkuuR/Lbz6NkfJpP2sB55To706+kPnOvpzeS7fd+raj0nY/c2+I3 +m9pAcfyeeX9+kv52ut7xaS3k/P71Gfm7m4+L/zh0SVvbYC//2NOePQU037TB +bpnWF62+AqznXv/+1AZ+Da7NlsQP8sP93AZjHZvjpqkLcN2rP3G7vrbB2SS/ +xVu1BThs+yCRoKMN/vA6OqP1BTQftQGbvuZsjbEAn3KvV/9ogzdSC4f+AgH6 +y18oJsefGqXta076a3L27na1ge7UQ7oZ/QXI+6w/debvNphld2+hFfHXv3zV +BnpPLdrPDhFgMPf68t82MKse91XRRoBz+kSdGtNDCgFvPXwOcv15YrZCRU8p +pFk7rVSZIMAyH+6FYCkccM5XiLAX0Hwmhf+umk8ZMUWAK7jXg3lSUPx4ZHqh +swAt5DsohZs+p8wPzCT9/eDk/hoqUmgs51WOmSfAh9XNZ+NUpTB1emvor4UC +mu/I9tb5zXi9RICj5S/0SmGY/+CBEZ4C5K7uRS0pmFin2/r5CPD5rev/TdSR +QkOIxXHPdQI8xF1eXSkk6p8fvWCzgOZDKcw7cmjevO0CVH825MIAQynsUrzT +sXi3AHM2LOVlGklhnPHfgZsCBHhOdNJ3k4kUTtxN0ww+JMBF3ObMpGA+cH1S +4jEBzZdSwDPZtq0hAqwdaYyLhFLoHfbjpuU5AXKrAf6KpPDrgY3plggBrgnf +fvGauRQ2zxtw981VAQ6ZHq08mZXCDPGz9f2jBTSfkt9XYneduiPAJDL6jltI +QfdUZZMiyTe7lw8jU45cD+0USdBjAU7UWU6GtBTMfhdOMUwRYB/5AgYp8PtF +rnr4XEDzrRRmiwtd5r8R4AkLqdqzIVLYd/64Gu+jAGeVmJBNSqHjlkX6ixwB +cqO59wgp7J/vc/B4kQCLx+90vWUjBUHn0k3LKwQ0H0thYtzuq/Z1AvSQL0CQ +gkPnwUFDWgTYTz5gpNCtd2X4gM8CJBvTGjlOCsnPp2YO+S7A+4899haPl0Lu +Yw+jSb8FNF9L4fjdc4bDeghxFHf67KRwP5a9MJXkwV8fZO9e2Evhwrvqi148 +IXKrJVZOksLACQHDjioLcf9g7gRKoYov83mkJqT5XArrBk+ZUUfyperpmMBZ +TuR486s6THSFyM22jmlSeKM6eZWbgRDPfO+79Px0KaxdNjrlirEQycF+HPuf +FEQtCd2tZkKa76VQP//zeBAJsVqF26AU4r8sOHGBEeKN1OcG/eZIYUh82O+u +/kJcteHzwXdzpXBmcdhFz4FC5Gbv2vnk/Abv9s+1FtL+QAp6HXceTRsmxMcH +dmc9WiiF357vXd7ZCNFP/gK/FLxNny2ZMVqI8unrLgX3bw86y8YJsWe4osnl +JVIwvqZqvXmikPYXUtjUeVBLY5IQj5FqULeczCfQffxoihBnPDjjdcSTHO+R +H9bLnIUoHy5eUlio8iRYd4YQ5atJvKVwJNiqLWu2kPYn5HqXb3I9O1+Iy7Zx +K36k8H3E96blbkJk5BNYCna5vnEjlwhRvnvrpOC4q/udjocQ5ct7NkghXbVt +0k8vIe1vpOBq3mHbuEqINvIX6KVggWYp5aTf+Hl15aOWLWR8/3lTV7ZJiKmz +uRknhZKs2+/qfIUoXz6zXQorJUUB33b+rz8i9UfpOaPhL0RlH/HaHX7k81qG +vB8aKMRMI25ES2Fsv/lBiw8JkVsNk7ZHCmcf4Z5TQUKUL0/ZK4XT+StSs07+ +r7+SwvbBlzwMTwuxsoq7wFJQarI6vCpMiFz1czkghdISO8eXpJ9aOYm7wlKY +W7Qt0eKqEOXLPw5LobYjv/Pc9f/1Z1JoaixhNGKEGL+QWwEjhed+vgtC7wlx +hwp3BqUQrKh6zyxOiOPkByyFURr+9vGPhShfXhEsBaucfjbzkv/X30nhlEbV +NQUU4tGcCrKH5Hy87X378Ushcqt5Hpwhf2+6ddX2d0Ikk41sUQpGo88oOJB+ +Ub58IYx83rJ5wUa5/+sPpaDS02bkr0IhLpEv+JHC+9aJ+k1lQhTLC6oU3p0y +nlVVLcSG+2zd/stSuLS5S7GuQYjcaqH+V6XQ49CjZe2t/+svyfguerxL/YsQ +h798aL0+iowHGwN/m+9C/MGV5xtS8G85ftznlxC5ap8QLQVh3M6Mmwoi3Csv +MGT+PGzzbO8jov0pGX/no3c6q4hQcTy3IkQK/WrMbGI1RZjBlYN75Pdt9j8T +6YtQvpztvhT21L6acJX019zeHY0lnx9yvnKgSET7WymEdvp+eNlPhOUJ3IST +wr3qG4PWWIkwkht+CVI4aG0/TDBMhPLlYolS2GE2rm/1KBFaftB88zSJnK/Y +5YWx40W0P5aCeIJn3kkHEcaRo+2RIoVFI4utdjmJcJt8wYwUJqtN09o2Q4Ty +5VhpUnBaPOTR3nkilMcDlILG6B6O5xeJaH8tha1xw7rSlovw8M0F74e9lELP +8/a8HytF6LzwkG3BK3L8a1Ijx68XoXy50xspzA91VDizVYRyHb2Twt70cLcu +PxHtz0k9Toxs3hggQneh3RfPDFL/jNY2/jgsQqFccFL4/GRicPBJEf5bTiSF +c2rXzWzPilBe/rLJfPy+LOtLhIj291KoKAxtSLkmwqHElmfzyPnrcfvqhRgR +fpvG7SCp3z57Jx6JFaF8uU4h8XHKXKWjiSKUT7diKbwuqIWLz0T0/gAZLyd8 +BqS/EmFfbV14XSaFCQ13NH9liPA9ubqrKqRgGasxbkqeCDn7qlWR+Rca/iO6 +VITyy1sthdTA3iHGtSJ6f4Hki2cv5lxrEWEpF4fqpHBxqPHpCV9FeGUct8BP +Chnrza9++ilCT3mglMIPcW1mQg8xyjfXLAV7/lzfUCUxvT8hhb+y7a2BWmKM +leuX5BXDlceCjMS4lVu+KZOCRLr84S2RGG3lBYH4X7cmudxSjL8NuQV6Uqh5 +dldlwDAxvb9B6k9Efa+TY8R4kCv338h8DBYrKzuI0YlbHvldCj5j9h267CxG +tapBZEhLYdvcxFrnuWLMDnXnhgw8/zQsWHuxmN4fkYLfzf4/v3qJ0U2+wE4K +P9/dOSNdL0a+PHAQfwVlFfbcIUZ5HFeQwWXHlLHDA8TIpQ3fnjLYKns1bm+Q +mN5fkYHOzVC3xtNiHMwN5z4yMI+0Nlx7SYzt3PI+ngwcZo78rXZTjPL4pySD +bz2lIR9jxbhLLmAZjPrmueLOUzG9PyODFV8uKt56Kcbe3OlTI5939oHOy0wx +vuWWz2nI4PuczwKFYjHK44aWDDKdjDLca8U4U34CZbCol29yiVRM7+/IYLmL +yjm/TjEWL+WEJAPRkCzF8b3M8RK3PM1ABu0j8l+J1c1RrjcjGRgb7TlgZWSO +5GCJMWWQox3XYxFjTu8PyWBCFl/hwWBzvF/EbVAGiW6rp1qONcfN8gVqMvil +sj3m/WRzlJdTkQwUX1/vPD3bHLnZO9pcBirX9LUPLTGn95dkEPnf76LI1ea4 +fxa3olAGdQsrRzVtM8cpvbiKL4PptzqEbvvNUT59Lcn5WbL5ZGewOUq8uQot +g1dHWgJfXjSn96dkEJJ7WyktxhxdSTV4aC2DcTGiUc2PzdFUHgBl4GbgZDnp +pTnKh8tQ8veVBorZ2eZ4vfKZ+sXh5PwyP/uEVprT+1sy8LF0XnZQao6DuOXA +I2WgfL1+6YNf5vilg5vAMogZeWuhpjKD8t0bI4NNV7QexBgyuFMeiGSQ61yV +ubs/Q++PySCjR6rNsZH/n0Wnj188SDimect+l1EM9ja1PxtOuH+reaeGLYNN +13+ceEDYub34dA7hD4PuHXpJeOO3a/PPjmZoPiT/33psousYBk+B4Q4Z4ea8 +yFkmYxn0fftxY68JMnia9OVoBeGFs/avMiR8OupIc+Q4BseV2HoMIhx7a8tG +r/EMCuUTnvx+dRrfcgKDvdqi5iwgnLHer6ONcMPWhdPXEt62LfZLLDD4vlvd +MYAwshv0fScyyO3dOcLDY194jLZj6HpcGeTPSC7oJrz1/ODBSHiko+fmdHsG +F4jq++cT3lj41uawA4Njb4cLWwgPnPtTOH0Sg/zhM43+Eo7U6DlCy5HBntxy +ZZDBjRWf1xcQrp+UrGxJODo+OztiMoPywyX8cOUj9+VTGLw7v9/v2YR3tF3T +6D+VweDK0o6VhF2T7rW0Ef6Xp2WwYEq1NM6JwflfJjeEEh5q6my0cxqDY/x+ +V0QTnoeda8GZQbNecYXJhKUvfjT1mc6gwvGVWVmEq1PnncggXMctpyRc6Sx0 +O+3C4JtLOc+7CC/U9prh9h+Dd/odeaoxkYzXlKHrRDMYPPlg/COG8Nnfpx81 +Ed5k235nNGGXYxfEsTMZnCdv0GSw02Lm0+2zGLSdtuSSJ+GE8Fd+MJtB01yd +czsI73/AW8Gbw+DfRe9OniDsPJbZJiFcU+d/+Brh5UaWD8PmMvh6HbcCVAZK +VozesnkMxnxv3pFB2N/d/JrFfAZP7L2yqZrw1/ARrl8Ib1Sct/o74QsVXqOe +LmBwTqiyp4qdDG6xr2C/K0P7DzK/fJdtmr6QQeMo37k2hH8VO2bouTH4Z+BA +l2mE67z3z6wkXB1f5biU8OGR/f/cWsTgKzJathJevxryN7v/73mRDBwHluSP +W8zgsRk9hlwmXHKrjwJvCYMbih5bPCLMGGfNySY8e/la0VvC01KnZEcsZXBk +i8i4nPD1Qr8d3ssYuv6bjM+E7U5DlzP4+9dxFZ69DNbemT7pN+GqA/Zkysng +kY7iqjceDL5Q6/w9hHDTzKTEU54M3jx375sj4ZiMNUOWrGAwSMBNEHI8P4bk +WnoxuO6WYeMGwqXT9K9+IzxraGblAcIWjgPCnnszOOLp/qILhN+u25V0YiWD +hg6js+8TNphj2NvNh6H9mgzKgzT8+q1isGLu9fQiwi5xG4zbCaeXL0yWElZf +P6U+bTWDN7w14ns6kHq8+kbJ8TUMHv308q4B4Z1u57sWrmWQhPsbVoTLflo7 +9l/H4Ezu9BFWVNnxtIPw8KD6c/MJD3ENdEtfz6C+TkTwGsI6j9zZkA0MdkXM +PLKPMO+bnsmSjQy9vyuDHn9TxlptYvD5veSdtwmHPll2uIvw9ZGbNqcR/qqr ++eftZgYPp/Vbk0e4r1LJlbAtDK6ZWubZTHj52Y9rvbcy+J9c0OT4nv9cYePL +4DC3KfN0JhGfRaw70nsbg3q1v10sCN8ca1uYS7hzTdzk8YSXp/nMitrOYBmZ +vbMJz3JR/7F5B0P7WxmE69i+td/JYFTf3CG7CdtM/fZS24/BQ8FHLEMJf7eY +J60hvNpwgjiacPAvb7tHuxh0iWw3TiZ8UW3M8/27GZTfniN8Ob587dw9DOo+ +WqJaT1j5v+WTWH8Gf4zV7dNF2BnypnwnXPryHamAMnj9zXH7m70MPnPZ+92c +cFXDq5zz+xj6fQMy6BPi6bY6gMGDS1saXQjf32atPi6QQZ+mK1UehD0tRsrU +9jM4fdO84u2EX40J6qokPLhLOec44RDnMcPjDjD0+QoZ3wrzzx84yOB3lW0v +HnPbV5RaLjjEYPGZgSkfCDcbaDRZHmYw1YybcaReyD7k/CZ8NZob0OR45gxv +kRxh6P0A8nk686yjjjK4MqnHFQFhRUW7K9uCGJxmlxg2gjBo8MZPO8ag9fu1 +IU6EWa1EHv84g1pzxEeXEP7QvezvF8LfSgsDthC+/FGXeX2CwaIVJ/yOEF4T +UOMbfpJBMpi3XCLsJS7pWB/M4JVt3BWWwaB0lUiHEAYD/95b8YZwod9hP8NQ +Br25r+sg/Gn94gApYSctI1KiSb16dykh/RSDVuGZ//WdQsbvM1eD86cZ1DQ/ +MMWE8Nsjl6PXnWGQq6ZDCDuu3+vpcJbBQu5yEP6QrPKf0TkGn6ZeH+pGeMSb +Od6fCF+a7DZgA2GFTyvvvgpjMECiQbYog7nhrsKL5xlc4frK5AJh3uiR6Zsv +MPT+iQyuOWidcgpncODqIWovCK8a2xUsjGBQvb2+TxFhj9s9Un4Q/ror4k8b +YTdzW33JRQbze8/60WOqDFrs7l+OvsTgkxN9P+sT3nd76zz/ywxe1E9pGkjY +Q/nimPlXGNzHlWfCiQY2/1lfZdDTon/JPMLHQ2eG9I1kcPLDspzVhEvhr0Il +4X/fb0HGT/usq4nXGCQ79/IM4VOHFq4PiWLwi3N3SgzhF6X91qy6zmBeXlzC +M8Jale/P2d9gMHGxz/1cwpsPzv9iEs1gBHc7l/DnjBK/b4T9N+Re6SZ8P8Vn +uOQmgx6dR85rO5H/X6FlEnOLQRIOQvsT5lfWD90fw6CFcsfRcYQzXX5sX3yb +ofebZPCubL501B0GP5ks3eVNWPu53intuwzmXtfduotwm62jt5Tw40HcACTz +69j3VW/vMRj+eK/XDcJvlcdeirr/v/cLyPY1GIW9Dxhc9rZlvoTwzj/pp9xi +GZw06+qMOsJxDpbzRj5kkDt7Pwm/n+czRTuOQRViN/VpMgiID10lIyzj4ith +1ebHye8fMZi9ddswW8KCQ83jb8aT/NfNCY6Mz/5jP+9PYPD8oWpzD8Ljt6bm +LHvM4G6NMNPthN2MA+vHJzK4VH4DnOSL3HALkyQG7UU91SMJOy03uthJuN/t +xL6PCeeEaEwseMKg0vB1f98Tjh4VrBv/lEFpsrizkvACm9tGp5IZen+OzJ8t +m2dsTGHw0ccTzUrOJF/V/Uz4L5XBsPkONXzCUw7Odhn0jEG/ys6S4YT7LD6p +p5rG4BLu8hKW7UpTbyVsJ/+CChm4//5h+x4ZZP2MXm0mHNJz2pmY5wwq9pKk +HiaclPJGeDSdwdZjBx5fJPzNY3+FzwsGJbpjHjwk7Dkq+OPUlwx9HigD8aFu +qcUrBs/1u3G1lPDCG1Wg9Jrk5QduFz4TnvRt2otmwu62mqf6TCfzseq/He/f +MDjx+asgY8LhJX+W3HnLIPdtJIMJa3mu2378HYM8rtwTXtrz3vN17xlsWcQl +VJKHnLPGz/jA4Me6iHXrCSeWNrcOyWDw4bpZ3vsJ73FTzdD+yND7mTK4unNa +RQfhHXtTFtwjbPftsbgwk8FFiptnphMuP73iwhMJg0BGcyHhuf287S9mMSgm +aaON8JfDmWZ7sxmU3/53If3A5diBHjkMNg+cOlyfsKqbYK1jLoMZ8dyAkcGu +hEGVFnkMxnLxj3DPx7IDqvkMnn7tYzaPsO8a38WfCctvJxJ2+Jznk1fAoFtR +rvpewrtXCW8mFZJ+YvlR3hnCRX3XGF4qIv1EywSFGMLPe75NCSgm/cSWjs5U +wq7Xpp3xLmHo83gyvm36XnEuJf3EAU5IMmjUVy8fUkb6CTXOwKS+3fX9T7+c +9BPn3pdq/Uf6Va+Z7b8I+wr25fUjfDf14YfqCobe/yV5buy9wjeVpJ8Y2vpq +JuGCDfMN7lcxKHh69ZkX4evfMehMNeknHOYn+hH+tU1h2K4a0k98UIkNJvzp +moWaRy3pJ+ZygZfky1Eupk51pJ8o3xb5hLBXd8DSIfUMhnhzFV8GQW9KCgwa +SD/xqfpULeGorWsC/xJesCPsWCfhzbIpHo2NDP3+HtLvWh7YJmki/URQzz1i +wl79R6QlNjPYQyfJdxTh+V/XjLvaQvoJbrgQjo4E2ZFW0k8w5iuXEz6z4EXe +pjbST3Bfp0P4tZ3KZzcp6SdGnnQ9RtjlwsCJk2QMkjBEKowM4q/Zvhr0ifQT +pLokEO5zf/Jeg88McunvPeFc/dXre3xh6P1yMt4mJZ5qJaxQazSig7BKjH1b +/lcGa9dIrJRmkn7thXAXtpN+ouMAyydca+YNdzoYvL1nDGmRZJDs0n/0uW+k +n+D0QTisY4dPwHfSTwTf0FjM/X/aho9rf5B+wpAb0TKw9RH6uHaSfiJSs8dh +wp1xV2wn/WTQZMDrnxGEX27sCUO6SD8Rt+trLOE5/ot3mf4i/cRY7gKTvP3y +lVTxN+knXjbUlhB2GTXz3DfCMS4Xyz4R7luk5VvTzeDxgllEcTKweiQIkvwh +/QT3uIjwieqT+Sl/ST/RlPLamrDhka0LbiuwOIqLk4SbCus1zvdg0birf5Ir +4WnqnX8O9mSxO7A8dh3hN1uR2dqLpc8XSJ5dPjfAozeLRG7Xwghft3mnO6sP +i7fM/oTfJXxx2YhK6MvisehHp58T/up+p8qax+KGwavILpL699DBiK/I0ufX +XL+tfVRNiUUbu7w9CrNlMPm59ZBuwkbvj27TIxz19q66VJlF0lxuGEA4Kf0a +U67CYhXXXhLe4dtv80dVln5fFJmvj1x+pKqxGC1dunAVYRV36/j76iwGka35 +E7ZYVHHrigaLXLU/TfhczJb8EE0WZ5E0fotw0/TeYwK1WBwhv2Etg0MLogu2 +aLNoEN46IodwctOGO146LP4SRw5qJPzUanvSAl0WK+5wDTDxs3tOt5Mei+kj +VAVac2SQ9ixs1zh9lj6PkYEwunzoYAMWj0zerjmWsN6Wp0KxIYtrueFHuMfp +SVP1jFic4VrTw4vwxn2nbikaszi8OqxrJ2HTF0/tfhPmvu3sJOG/n3J1Ppuw +2PW1Z1sUYdeYDn6dKYvlu5LqkggnXh7uWWTGIvZeX/6RsNKJm1UZfBavnzAv +qCF8acLKsOcClr4/QurPsaOHHwtZXHPl5BvVuSTvubIP74hY/M9iEooIt4fN +0o0Uszj04c+kkYQtndmH58xZ1ON0RDhqb+Lh4wyLnencBSHXf6bgfCDLYpkz +17HKYFHTlpod/VhMy5NEBBEu3p3hvaE/i9cWHzxzhfDQORNZbwsWDzWMORFP ++Htqg9liS5Y+vyL9sVnhzLkDWHTpvOFfQXhDlcUz54EsDglYtL2dMAa2ezlY +saijrEVaahlknfxv6thBLP44xRV8kv8uzvIabs2i/PEi4fVzdFMHDmbxGRdP +CRd535/BDGGRu7ruhD9eGGVmNpTFg8S+mwj3S33D6g9j0Yd0R4cI/3mwbZXG +cJZ+P5kMnix1b1IcweLgWak2sYS13oZc62nDonbJZutXhM3bB57/Tfi7Bxco +SV766fju+0gWi1vLBZ8I6/X8YfNlFEvf35LBXvsFFa22LF7tnkoSuQwy/vin +N4xmcf+hP0rWhGOPHqqpHsPiSq5dJXxg0qEJ5WNZnHZ+1a8FhOdeOVdQNI6l +z/tIvjPMup03nkWt23ltAYRrJzgnZ01gsWMY17CS+XxPqPgRWCxKBjKkSf7X +XBX6biKLyZO+FSD3eaLxC17bsXiF+/o/whtvJyx8Yc9i4HwuAcqgO7D1PDqw +6FWp9/wv4Zunfug+m8Sik8+HJ7oLZFAp+fox2ZFFqy/74iwJw8TvL55MZlFT +/oCRXN9O4x+JU1hs54Yz4Z5mfisfT2Wx4FjkRR/CVVVWeglOLD7VXXB2D+H2 +Q9MV4qexeOmS6slThL2Xf7V45MxiAPf1ZISLs0cFx01nccWD7SRxyGCd08jh +cS4sTrEdtCObcPZUnl7cfywOfF6zsYHwrGUvR8TNYFFdfgJlUDH48Km4mSx9 +Pkrm78pV1o9msZi/qNcilvC+VwcU42ezyM22MYSHGbcLE+aweJHTG+FpkzK3 +P57LItetriCMw4aoJc1j0VP+gInkp7ph1U/mszhZkTMcuX4+n9uTF7A4IHTS +4CjC6eWBjs9cWVSTF2iSb7f0LsCFLH6+9kD4kXCoT/CNF24s/T48GdSpToh/ +vYjFxHhj7R+EdXBAz/fuLEaMz1JWXUj27+uG4I+LWfR/fbCXiHCsuYV79hIW +l88Y+9uG8Nr6dT75S1l05OIu4bSiRfHFy1i0WM5VVBkcfKA6sWI5i6ryAEjy +r1WESq0Hi582a1UeJdwoEhs0ebKY8+t14WXCHltTPKQrWPo8mfjJ8KDsqxeL +F9SGvXtLOFw77GmnN4t7zjU+LydcuFPtzZ+VLC4TXHr6lfCvrb10+6xicdKt +2Y94bmQ+jTsTqbL6f+8vy2CAYekG7TUsKssbTBn4eLb7G61lUca1v4Q1jnZm +CtexmP3B4twiwqf79F1msZ7FhLkVJzcSjvIeNWzIBhbPl3MvBMlgrPMtR9uN +LJLmaF844UeeW8MnbmJx6ac/Ox4QfrspcYjTZhbtd8Rvekk4duoJxdlbWOzX +Y/XqYsKWH7XEi7ayqCS/gUWu77dle1b4stimzY0YGTx8d854/TYWs0j1MyTs +5in5uX07i1w6HUS4XtrPJHAHi2Hc7TDCR6Of+B/fydLn76RfKItiwvxYXJy2 +bNRawss/91C7totFu6n6pASS8znv86h7u1lk5AdMrn/osRtJe1hUdAsQ3SH8 +bfTneS/9WWyt4V4IIefj5xinrL0sStZwe0jy8rEje8v2sRjXEanSQnh/6rfO +pgAWyWTr/Zdw6YYrCd8CWdzZV61bx5346Oy1hJ4HWPq+Icl3w0x/ahxkcSJ3 +e5ywaKnlPrNDLJrLCyrxo2PjtIGHWew7oLZyJeFc8ZaFo4+w2BJ3vmg3YcHk +ljtTjrLIPe0LJdystdp+fhCLD1/2eh9N2P2XhpnXMRbPuDxJTyZ8Mujn2K3H +WdxesD45i3CQ26Qr+0+wuGgpE19P2NNIfcrpkyx9X0EGjke2Do8KZlEsD2zk ++HadXfEohMU+XZMuM4RjSk6WvAhlsSmw69xowieu+p/NO8ViBhefCbuXBp6t +P82i/PUFwnF3n5R8P8PiaTOTgB2EI53svBTPsbgtOmvnCcIfukbZGIex6Db4 +0OZrhNeYpkyzOs/i+KSxaxI55rfdmHCBRfnjUMJbDzRMnhXOYm9ytNWE/9a/ +G7QigkXORt8Je8ji3bZfZPED6RZUlhCfub/+GHSJxfsr3kwWEq7tbXb48mWW +vu8rgwcfCw/FXWHRl2unCRfE6X18fZVF17+NQ5YSNnj5bWFpJIvjjlyy3Ep4 +Bxs26PM1FgXyG04yWKxhNLXPdZa+30HGS2Z4jPENFhvEz3QeEV75cuzsIdEs +vruzRfUt4QRvE/vJN1m8N8KyTznhn7Bwp/stFkNSK7q/EPb+pNu5OYbFrdzX +qS4l+cBi/bOjt1lcIHH6ZEJ4XcKBjKt3WBwj30EZBG/eIU66yyJffkObzOc5 +q1Byj0X5dCP8cMn26Mb7LP2+TzKf4tLz/j5g8e2u/PcHCLP71jgbPmTxTu9j +Ly4Q3qJxSnloHIvBJyam3Cf8O2W+9rRHLG7W/x7/gnBDV7GHZzyL8+Vf6Enq +0cxxv3cnsDhaXgBl0Mv9csW5xyyaPtS/0nOZDKZKhMoPE1lUGJMRZkB41JY6 +/w9JLNamB4RYER7ST3l8wxOWvg9D8kpZ3KQeySzK9UvY/Orfs6YpLJ5YfM1v +DeGAUK2htqksbmpYsGUf4fwGJf25z1icu0Ft7VnCF9qUHDemsWgrD1ikH+wz +/NlxZJEbfWmE796ODoh5zuJfkg7yCO9ecyj4dTqLNaR7aybcIm1vrH3BInd3 +7Q/h7TLNYz1esRjDvZ62nOTviX93CF6zeHxQb3LIpL5/rHo4/g2LGx8/GTqe +8OezhTbub1mcw8Vxwt0P+/TZ9Y7FkfIGjvh9xglB+HvST8hf4JKBWULIwScf +SD9RHKwbSthjwrBRxRmkn/BwVIsmfHTpmRE/P5J+orWrTzLhhKj/q+nMw2r6 +ujiOVJIhQ0KDDJ3hDuneSynDSSESyZxZMkTGylz5JUSGqEyliIRkSCmVWqYy +pEIoioQkdZIGCnnX3s99//w8ce695+y99hq+a51i3z75ZhDnfa01H3lFwqAe +NgVmav0QxoeWxxvmPDODNbsNvzeTf683a8D25xhPdH1W2cVNFMoHBUaeeoHx +BE0Q4XnoZbckq9AMevcnFTg8j3slb/zw8v/9LqIQMOLfK43XZvBeGffEDbna +fEwgU4TxRPq8+5uQxUlxfhOKMZ4Y0/32fuQu3Z2yPd9gPPE0J/kMsmanmXND +3pqp58vi/vpUOTKpxAym0Avi95nPrSkqxXiCJqBx/bgMq/7zDuOJulPHG5Ej +r41I7V+G8cQWUvERhZt7XJ+P+4DxRDt6gghdWxJtPMvN4E5wZsAQZOsxq+sO +f8R4gqTrkC+mX25K+YTxBNm+yM/zwh3ffcZ4gnnv6YU8QnNSTfsvZmq9Fa6f +f03vpJVmoKQFLlEo2ZTab9pXjCfQW0tEnj765tWtVWZAoukc5Kzx+odivmE8 +8Xzl+BLkxWEttx9XYzwxl1gstO9jgkfU12A88emltZY7nj+Hqzsa1WI8sTpY +aYhsVWDPj/1uBiubbKUWyBNW3zy2pg7jCeoQ4f//7jHz+A8ztT4d92vLzqV3 +6zGeOLxYfy1y1TjJg+oGjCf6GHQJRHa8HOBj0GQGb2NytU4g7+x7Y6PdT4wn +pCSjjfY98sPD1b8wnkiywh2C+2fmEM8TzRhPjKz5/hrZeN+zRQ9aMJ7Ijvla +jfw05P35ut9m4EQDLvR/ygJHmfzFeKKo89teyJt0KtmJrWZqfZoobEwZvGTz +P4wnSDkPuc/1gO+xbRig7j2yc5F2wYu2DNz+/fH2SuSFkoq27TQYOBN44qY/ +sgaM2W3RngEqj0Le+dRx/kJNBlYcbX/hIvKTmH57DmoxMJEmdHB/x1dpZGoz +MPjC2hMvkG3XvnhR04GB7gqzI5XI6w+3/WnckVHPM0Z/5lnk6sm6DBTbhezs +vkwUgnWfWvl3YiDjydjtLLKpVdqMa50ZOD39t/cI5JQV+3M+dGFgJwn3yd+f +rTrQQ49R94eIQsbtHXFjuzHgSBPGonD6eL3+5u4MEO85BPl8ZXXRpR4MdGu7 +Z0os8hGX8O+lPRkg2ec0ZC5Mf363Xoxaz4f25eBRk7EGDKRHxNl8QtYaPnro +lt4M4OGrakZ+mTjqTEIfBgISusu6LBeFEGmqW3lfBpZSAyMK0b9ebTEwYoCO +p0bWD75f4WTMgIyk/5Bz1l+6GGDCADUHyGtdE++m9mOg3jVKexMydNJS1poy +gMFvm/3Iwd75P80GMJBGxh0jFx0b1nP+QAZONWTWJSNrRK7aEzaIgR2+3lWP +kYvvH3HJNWPAnToweP+8iza0ZxlwoAVvvB+y5d9GcAxIe4cX6qzA+G6/R7oP +z0CXM45PTZCrpnb8eEXCQB3fJluFfGu+t1ullIGXpByA/Ojic+sBcgaoe4A8 +atoUj3nmjFr/KApR4b3rjg5mwH/Sqwt7yPWezHz2zIIBNxogicK9dZYdOysZ +GLdw9MnryAEmHyPGqxjgK5swAsbz/FDQ7sAhDHSmA8/x76emPoahDKAxDfyO +PMx+9fK/lgwUBhj4anrg+Xiy/QKbYQyk6D716Ysc+37MlU3WDJwMC1gzGNnP +c87sZBtGPT9bFDxur59XP5wBGi4gt1mbkqYYycBYjGbWIF8bNdtr3SgGuNTZ +LjuRyzr+t/uqwACpBhxH7vxiQYNoy0AtkdMhV6aY3Da3Y+D51C3D75LP+11T +tsaegZtvzYe8Rp7etmXh1TEMnHD/JKtG3jN5nc33sQxsrzlh1nalKNTt9Vun +cGDUelFcf9untvMaz4D9v/YGUmRrvb7fkyYwwBK5AHLkVA2bn44M0PQBcsCG +EWXWTgzQyyG73akv3z7p//2RojD0xiw7mMxAUnzIj1Bk9A5aNaYwcHzIuG8X +kHX+vjcY78LANmoQRCGvavHB/VMZWEgLSPj94ya6PZvGgB0V3IjCkBm5ob1m +MGA22yjvD/I8mx4D581kQOfDs+xuq/Dv4KwXM4uBag9SARaF217X51bOZqDg +xwhcMrieNFdoDp7DwA2STkTOczzbeeNcBqh5WUXqvaHet+cxsJU6HGgPG5fb +aC5gYD4tUKP/nuqycNJCBkZHPww9hyxW7fgYvohR62vR3hkwOe8WM6B9XbUr +D/nRt/UduSUMfLP+6vsR+fmI8IT17gzk3Y3a+Au5m8ada+lLGUicSDwYjFfn +MT21ljNAdscA5IT9Ta+nrGBgCw1oMP764/YnwoOBeVQAg+vF+tR/X1YyQN0N +5LHNr5arPBkY+Ou940ZkE327q/6rGXU/E9rDA3qzc9cwUKUzccRp5Pggb7c+ +6xh4eqTN0GTkyGmn85atZ+CaIalwicKxxdmRSRsYCDu3Ck9MUTjl1OdpO28G +aHsD8p6XTxa6+DAw9+YrA53VaM8+6c04vZGBUcJ+PRPkzXsME2o3MdD/4Wgd +FXJWo8kKYQsDmqTciHxz/+Tdh7Yyaj0y2otnpdpl2xjIdXOrX4/8YX2bGgtf +Bq5+M6jejTzarmpogB8DR7xJRQX3j21++Qt/BjbShCzG3yEf683+Y4DKL5Fj +/5vrsTmAgZFdxbw3yA/3rrJ/spMB0+Nnc2qRe40fH2Cyi4H2/V2h/Rq034EM +s2E3A18udrnVBznYYvjg7D0MUDkX8rBl6af67mXgCpEfIG/wKFm/dh8DNB2B +fDLuRfz9YAZ8aMEHz8+UVxP7HmBg9kxiETDenGs4dd1BRt1PiNff/joz+xAD +/VZo7r6MfEwx+ojxYQba1aX53UHWTDuY632EgYot6zCEw/NtR9Pq3FAGHrVj +1n1D3tTn6sZB4Yxavy0KBWNrK7YfZSCkJ3EQcD19r8l8eYwBL1pQFoXJFuWt +5icYmEXkSMi9HDTigk4yQNOTyDExQSnlEQxQc4W8s+SixchTDLS9YzTSD9k5 +Lar78SgGPk14PjSUfJ48en59NAMPn+8xv4CsmvGxm/MZBuLnjmRvI1ttOzs4 +PoZRvx+A6N96p2ifY2ADFaygPcvbcsE9lgEM3rr9QS5d17b93fMMWPuTDCWu +z9Yvj/pdYMCoA/nFolB4dlmL70UG2tCGJvR/026Gl1xigJYrkGc97hY5/DID +1H1Bjtl7vXNEAgOXpNGftyAfLMmvarnCwIEkkuDA+PrledXca4xa7y4Kz26u +q0y/zsD07Kz8VOSs2uU6xjcYsHImCjb0B/vlHPVLYsCwSHKnHPmC/qOQsmQG +WheV3fqJ3Dn/wk+7FAbKSTvMelGI2HLmSWwqAw82TIzvj5yyQeykk8bAxd9t +zlki5zk8SfdMZ2A/KV8i773pVVSQwQANZ5A1EwYuGZrJwDTa0CIKbR0N5p3M +YsCy3+vd+5B7le679w8Y6Hthv380sliRdmLpXQb+WpAMjCj49Pn07sk9Bsi3 +e4TcbbZ9qPIBA/eJXBf5VYp+yolsBoh3X4982/+4Y9uHDARPJwUafB6czmSP +RwyQ7LgxcuSC2DvPHjNAqpdK5K+tl2Jtchl1fwB+Xt/ZLWefMkDTG8gVDVVZ +nfMZ+EN+LnJPzZimTQUMvN/rihE/2rPG9KjyZwzc7d6Vi0C2PrspddKL//fT +43lt2nPMrUIG9g4iBWBROHOjYLTZKwZWJwzu/gb588vq64dfMzDF8nPHWuQB +lhfCWosYGJJ1UqO9F8b3KXZVq94w6vdRoP+04U9i8VsGWgo0G+XI+sn6tQ6l +DLwj8iZk17b5UTffMUDTncjD9DzumpUxEEvMH7KkYNCc8A8MBDUQgQna96X2 +yzQ/MrDK93DBUeSOMq0vPp8YcNZywJBfFLYlXSmp+MyA8tCfO4C8I8fPYfYX +Bnr1Tkx7iXzIPZV9XMmo+ynQv757yndEFQMlvPHlf8irnddPuPqNAUh8fq6n +N/6e6z7BA2oYODucRDTor+TU2B8VGdhD5I7IX88beXX8zgAtfyBPjh7bx7+O +AeoOER522bLhBwOKhQvQZROFRGXYE48GBnpW9thyBPlg0Oii940M/MLVEoe8 +dfWveTN/Mur+V/TvJv91ffqLgSyMtp4h9y4Myx/TwkCMLkl4oj/xTiMz4zcD +pFrxG/lS8CGzoX8Z8DCeMUnPRxTmKny1rrQyQNunkM3dui9m27BgMRhG2SCf +/RY29ExbFmg5FLnwlWOgoQYLNDxCTl2xddLR9iy8eVTGbUFeMWDl4W5arLr/ +BOOvO4umHNBm4cxbUlDB/SXE7tPRYSHQnVRY8XkV+tnu7sjC8pqb6LGhvW8Y +skGjEwsTN3q2L0fu79LV9L/OLFB5N/Kb4hmO/7qw0D3odaPuRlFwz5hS56vH +QqPeAdEU+aL7eP2/3VgoPmH3ZSgy77vr5vYeLGQQeQTy+NopL//0ZNXvP0H/ +/2P9et9eLOwktw9Z627K/lYDFpbd7v14L/JcZYXZjj4sOI4jHoIoTDN6NLKd +IQvy/J3pN5Bb4i4VBhqx6v5z5JEvq7RNWGgoEy+XIr/fE7ojuB8Lrz3Oxf5A +Xr7O4mjX/iyk/yAOPp5HA39KwwawELWNCELwPNJT2fUZxKr7dUTB5fPg4igz +FpYSuRRyu6PWdYNYFmj6FHnKrMh98RwLMmJOkd3PnItVSlggu3cX8o3B0XZp +Uhbo61OQJ7ctXmwnZ+EVRr9XkZWu0RpPzFkg2cn7yOGZAjfdgoVTE4ngDPf/ +X+2CUgULtLqPnGE27vdyFQvu80vGaWxGf/fA+Es/hrDgUHGYKIwFnWfzS30t +WZCsJRUL/P8FhWE6w1joQuSTyDcT/xSEW7NAyynIlhWDjgwYzsJL4l4h2/Q7 +VXR1BAupR0iCEuNR1+RzI0exEGFIBK243l7fbnoisOB/LqhTPLKJmXb+nNEs +uMlHaQLy3p7l8io7Vt3fJApL70T32DqGBV4gilZR+HLo8I6O41jo9HBBbSvy +CrmOT4QDC9+nkB2H1w+ZXSebwMKLYrKgMR5qedaY6chCCpFTI29qzAlwcWKB +lleR28w6cPzTJBb8SLiFbCv4WG12ZmHx3+h725FP9HmxsJMLi1H0jIzDyK2R +Ld3OTGXV79sRham5wmTL6SzoHoeEdOSMpqaeuTNYqDUlGVlR0E31XuY2i4Xn +F8mBKQpdmzvbN89mIVlJCqyi8LPWID5kDgsnSDvAVrRHppVR3DwWto9xQhON +/tn8QpM781lY9LRtgDWyebZSOmchC1Rugfzysev9+kUs0PQLcj5/uuqAG6vu +B0P/om5eFOfOAslGHEAO8v/y/t5SFp5tIQ65KHTYeezqwuUskGpeCvLA3/c7 +/1nBAlFb5G4l9u1hy/GVrLpfG59PSfNGS08WiFq5CRneJgYUrmbBjuljrbtN +FCy2O5l4rWXB7GqewhQ5f6apY/f1LOgMC5QMRb4yK0grcQML1UR+hbws8920 +qd4s0HQs8mYTP2W9Dws3iHlGzlh4JyZsEwtH587pvBf5fqZGrOUWFrZ+6qoV +hTz+6Wnr4q0szKcNw+ifbWhYtH07C/jlfuYgf/g2x9DUj4VB/hbfS5DLcy08 +7vuzoN2horIOWbfNC0eP/1j4FhLxQWs7nl85UdBlJ6vunxOFJel1j5MCWbge +QwSoGN/n8Svn7mYhnMgxkUc5xka1C2KBlmeQHz27u+LSXhbmEXcNecPiDw+n +BrMgZJckByKvc16U9Xs/CwOcj1w5gTzWKdop9iALWkUOcVeQ5Uta1zmHsPB1 +EVmAeJ61lMpaDrPw9CspWIjCxsKoHbGhrHpeAvovXWM8XcJZCPttvK+dryi8 +HjSh7u9RFjYFvsCIGs/3msYu8cdZIHdPhrwtqmP27JMsjCLybOR1j7/20o5k +gZZrkWvcmtrePMWCJgnfkEemhu5ZGs1CpQU54ERBY6vZBf0zLJDqargv8Qe6 +umfHsHDV7rHzJeTWspvpm86x6n5DUfDK9r/Kn2dh43SiuMDz50S+UBLHgmtp +FUZwGB+KuqsPXWRhxLLTylZyvbSTVvbxLJjWzpD28MP7PbM8+udlFmj7CPIZ +3wnnL19h4Usb4kCjP+lsNdHtGgtUvoH835Qeh3onsnCFpHOQ564YuyH/BguH +yeNF1vo8tHF3MgukuzsEuc3WSb2FFBZmJzj9ikXuPrrx7c9UFoZbkogB/dm0 +o7bX01jol5XyNR9ZkR8xblUGC+3Gry7/hNz0dI1olsmq55Xgflu7ddiHLBYe +uRJBlyjMLuTNTt1h4XL5gdyByOf2VCa53mMhZJX9g2HI930HVPZ6wAKVcyEX +64/JKsxm1f2ZomDYN80m9CELNsTcIy+HujlTH7NgfKgPeqi4vy7NNu2ey0Lb +3vmnzyBzlfOCnz9l4dNpkgBE+9XgGRmazwJ9HRWyPO3ntBnPWIhPrN1Xhux2 +2DPR4AULB4fH7mxEvjd6eOqbQhY24GruuAPj23aFS6NesUC8jX7IsDUu3a2I +Vb9PTBQe32XS2DcsGBF5J7Km+73FNW9ZoOUe5LeutYk3Sln4SNw/ZNvuxle2 +vmeBqJGCkKeuyZpm94GFSwGkYUgUqu9OONfxIwsHdLXtEpHHFw8+/eITC+vC +MmxykMNrPzucqmBhuvEGVQly5K2yiOWVLFidZ2V1yLMWh0cqq1h1P6soHN+z +xbH1GwutKeRAwvs/RuP84xoWPtiSExj3C3fx6rFaFh4QuTeyw8jy5UvrWKDl +X2SP9ky+qp6F/SQcRE7aWv25XSMLa91Nmncijze4cvl5EwtTa17UHUcOz/04 +8OwvFiw37q1KQNbQ0XbybmGhz79RH+8iR6UcNhv3h4W/pD0QOcO29VrvVhbK +9EiFBu3jvhzx2z8W7p9YiBYfP79lxfusthzEDdDP7oU81HvBzjANDvbFP86U +Ii+YN+ithyYHa+gLwESh7JjVF0GbAyoHQTY9oH+hlw4HQ0l6CPnYoBEmYkcO +6HJB3veId8zuxMHvWSSgxue7cr4sugun7v/F833bzAeb9Ti460EqAni/foTp +T+vOwfkfG9HCoD/vfMLYvCcHxLpUIk8K+1Sq04sD4v39RZZO+jWzwoBTz/fA +eGj/zL33+nBAsqcs8l7xP58zhhwYRLdbNALZ89hfwx3GHFB5GLKr5STfhf04 +eEfSxcj9NRtPCP059fvr8Dx8tGWD6UAOSHdFCLJz4SLtdmYcBE0kKxrPf00L +108MB6sK7YekIYc+Wr0ih+PAeX6zLB/Z2ujM0HgJB0ra4CsKwUEudw7JONCn +Dxj3N6/dycecg+afpCCA/luCY6+5FhyU7CACcry/U2LLRis5AJ1dHYYhb/50 +ehU/hFP3S+P9zMtJ6WbJAS0fBRI9f+yDFisOVhJ3EjnY5H74J2sOJsnnfgtG +PmZ5ncsfzoHiph5uYfw9us1+aSM56EkPEDwParkT5wUO8HB7+Rj5bl7eptDR +HLydQhpU0B7Ktxj+Z89BZnFFdgPyJWlK4NqxHMS4RWbp7MLzKaB30gIHTj1f +B/f3XvmlyRM48PAmGTaMT5V7lgsTOXAi8nHk3nop1RaTOKDlZOSyKc42A505 +6EEdVFE4cL98Si8XDmj7N3LLm/aKjtM4eGNKGuDQfsdOeNs6nYPbeLXryPtf +j53SMJMDYu2zkS0fHgypms1BIHrjb5H3bEiL/DCHU/eX4+/buNCneB4HE5+a +rNDcLQqCob7h8wUckGpjX+Slk7wOPlnEQTcaAIvCqZjuBQ/cOGgk7STIE133 +l4E7B1RegvzQdStkLOMgg6SbkOdbbVp3awUHdPkh2ypM6m6u5CAgWH/oceQj +Kl37ZE8OlvUkHXr4eUVvliWt4dTvSyT1DMn8pHUcyBmSQRAFv9JjTPIGDvRo +gk0U4svu3LvpzUGDFRFk4vmR5zD01kYOXsNMnV7IPZMfbcvYzKnnW+HzmF15 +DLZyEEXay5APW4zd92A7B1Ruhty4KmDaEz8OlpL0M7LHd7uGZzs4oMcRsuE8 +yeriAE7djy8K17VKMz8EctCVJvDRXrRrU1W1m4Mf2kTwLQr/jKxrG4I4eBWS +mvMcefgH8/x/+zi41WcNfEGOOBa0T/cAB/T1fshXHcT+vQ9xsENafL1bEP6e +Wx2Omh3mYEnSwUsMssTnvy+qUA4cRo7BkFoUDHLaGdiHc0Dlp8i9Mq3Mph3j +oAstEOJ5a57b3f0EB3XEPUX+ds2zzCeCA/J0DyFfjcs+GHSKg1Q8fc8h5wwP +MomM5oBER7eQzRctCrl2hgN/+sJYUYh93vrpwVkO3AK/r/iIXG/U07gklgNS +/f2FbHjUxbo+jgOeOpS4XpZ42Ohe4qATFSCIwq2tXfoPusyp5xfg8zvTUDPy +CgdUjo7csq7gzOxrHNDyNHLq9FkjvRM5OEnCVeQXB4Q7IUkc+D6JNA9GXqiy +Nb9yk4PFtCFfFCpU3XblpnIwplTbNJl83sxV2d/SOGBpwCoKjiM71Ove5kCX +CpxEYbt7jK48iwNxE+mwQP+FadRzvsOp38+J6/lWhsaGexwk7w1FDxDvh9XN +T+EPODjRfUKDEjn0aHRSWg4H20l7CvL5RCvvskccULkKcv6EUYO0czmwJ+kr +5Mh/Xg/M8zigyxl58IKTs2cVcNCRCihFIeG117sdzzmocdj38Bry9Zx7s+IL +OSgoEO48QL7rPfnBq1ecet4DxsfdilmNYg6OlV9Cj0MUYrL4HRZvOdi2alF8 ++2C0Dwe18xeUcrCgQf9cH+Sx/jb6B99zMJreQHw+u4KnZX7g1POgMB4Pur+v +9iMHVL6GXLP0Wnr/Cg6qSTob+cb3vl+mV3JAjzfklMaCznurOLjBEwU5fv/h +py0yqzk4mkgGKIlCt12zpjSIHJBqzx1kOJy9WlrHwfz7m9xeIR83zdy7pJ4D +W2qgRaFPXdfYyEYOBtGCnih0fHAQXv3kQJs2gOD55NaltFsLB6QbTIJ8PXTJ +70l/OMgj7avIs2TuRsGtHFA5K/Jl7S+2j9rwEE7KW8htOt336KDBq+djiEJn +WeGx8Zo8zA0rRosqCmkr6p7s1eZBoA6gKLx53qSVq8PDACoYEIUf+2FC1048 +aNEGM1H4mysNn9aFBzq+CXnku15Vx/V4yLVd+uc3+f4DF094352Ha4/6khSM +cLbXtxuMPg+hUwtqzJC1nY9K1hrwsOntrgob5Od+Y6+k9uHV89hEoe+mEkHD +iIdRNMAUhWm8bdlkEx76U0ES+isXZ4ZEmPLQnjawisJFc22XrwN4qNzT7e5Z +5FQDm4HDzHig4yWQ/aqKtINYHq6e2H7jKXL/WXn/ingejgxQoguN68laq5NU +xoNP/JdzP5GTIlfL/cx5cB1CFJmi0CPth/tzC149TwTP99bAG6yKB1MqeET/ +TEe/r99QHmi7C/LDxLATL614oPIX5JQOP5XmNjw8Jukw5PjpFl/2jOCBbg9k +TyPLlPJRPBz+EboyGtlt0L+zo0bzQNQRScgP1u+8EmHPwyz6g3E9OWW8bh7L +w3CaIMfr2Z0zdR3PA319NLINZ7XvliMP7aL74TdEf9Bwg77hJB5I97Qx8rw9 +0+75OvPw6No+KyXy4oFvwz648HCZtL8hb/ulEzxuOq+eh4jniVv5+cszefAi +6XHkSL0VX3u48kCPS+Rq3dPTts/lwYYW4NC+J4dUfJ7PgzFt2MDz5Mnos1MW +8er5K7hfPTL2Zbjx8Omn5d9i5AOddaP4pTzk7KhuFJE/H7N+d2w5D/E6MaJG +CPrPzjOctFfycPDIrC+9kTPKPb9u8uSBTK+QI1t6htz8uoaHGdRhw/3k9Sxp +3noeqDwWuUC0+1zgxYMRbQjD+G1C8/hxG3mg7jPy98UdP2Rs5tXvH0Z/6dCB +K0O28ZA9ZXJSPPLzsxGJCb48XCzWSABkp5rJIruDhwNut2JfIgfZ3HM7G8DD +um9roqqQI0v0u5nu4mE6DQhFofbi0pZTe3iwogIijGfbvOhnvI+HvrThVBT4 +J/4Bp/bz0Era45ED/Q8N7HeIByqXR75qxraPOcyr59WgPZq92owJ44GG08ji +hH1B8Ud5IGqVI8hN9+LMlSd4WJteMC8OOUxe1zstgoepNOGE+31/6Hj7KB4s +qUAR49u9tzOfnuahD21oFwWr9dHbXc/yQLrZfyOvsF61syKWh7LlpOMKrx8w +vdD7Ag/3vndTmCFbngpZ1z6ehzgy3gC5596ZruEJPND2GeQRf94dYq/xsIZ+ +QVFwcXfXT0/kwYUmtEWBqRrwzTmZB7rdkFu9Z3evSOGhNx14JQpFCWP2+6bx +8PtKBwy50B9tx8/pdZuH91aZTbnIZpfG+17L4uEueNV+QLY/X9k08S4PsROI +YkAUAh46P6y8z6vn+6B9T8r+sTuHB09qAHH/fD62iXnMwxRaMBMF/ytdZ+fk +8kDb6ZBn2m4P98jngcrrkF9elis6P+fV8wNF4ddXH1liIQ/0+EVOenkhaPZr +Hu6EvEyIQo61NnJo84YHPIzO30C+l8d6XCzhYU+MbfRD5AGjzb5Pe8+r33ct +CsmqdaX/PvAwmRbk8f6MWaZM+MSDkjZw4fftsvz73C88kOjNCPmborB3pyoe +mifnblUgV0/tlnC7mocS0l6LrNU/8Mq6Wh6o3Jb8e9cwE7MfPJwl5TfkuKfx +rW8aeKDuOHKmm+WUIz95WEkDOFGw6xvTc2ILr56HhPFKz4WTNP/yYEEbRNHe +Hnn/G/7x0PPoZtti5PFtjvf3ayeBnybm1iKy9o/WrBGaEngb91GhES4KXeQH +iv5oS4COh0M+fum2Z2ZHCZy5NXmgHHlZZGe//zpLYBdpt0e2XP+n8zg9CVD5 +PbJtsa5Jpx4ScKKCQlwPj7OuvtCXqOd34v7ZHXU/srcEeix70xqOHBFpsWC5 +oQSaxEM/LyHXf2/jpzKRQPGmsd+zkHtDpFnb/hK43eZ3ZSFy09nQmQUDJUDH +1yBfOr6p+xlGAoHdyQXRv29bOseLl8BymoAWBXnCHIWDTAKOVLCM67Fk7zGj +wRIwpwMu0P8do3WoXiFRz49Ce9trTZ/cIRJoJPIc5DMabsPOW0mApuuQx9rt +qvnPRgJ0+yJ3DfW1WThSAtGu3U+fR942rt50lK0EAsqJwcLzye5wjIm9BJbR +ApcoPNHUvNNmnAQm0IYIUfC63ur7abwESDTdgjy4o+Lto4kS0NMiFQZRaLvD +5c21yRL1+9VFwV3V2/eEiwReG+istkauaxEe7JwuAdqeh9zLY3fS2lkSiCJy +PeQ3z+Kd5s+RwH8kfY+cNMXrkNN8iXp+Lp5veTF+IxdJYDxtuMLfo61hZLFE +AmTaQwpyw6GFHoOWSaDrq3/WuchPS3ev6OshgR8LSEYb2dHRsLunRD1vCz/P +yG+n7loJ3FpnOkj3uCg8C26O0doggcjml0amyO9zjm7T8JHADhpw4XnyT9m1 +3WYJ0HZdZHH5lYXttkmAyneRbwvVa9r7SUBCynnI7sXPR3X4TwLUvUeuj574 +rHOgBOrMieIR16OJPae/RwKFKblfE48T/erFsSb7JJBqS54I2uch66T8AQlE +PLJ6W4Jcl3nw7dAQCfjRhI4oVP34O2tMqATcqABQFIoXp8VMPyqBsbRhHM+3 +inu3l52QAE/GCSIfSzCO3xopgU6kfR853ybPMyRaAlTOj3xiw/v2F2Ik8IKU +95E3n17gcydWAjTcJ9f3mwclFyTq+WR4/VE1X5rjJeBLE8ai4HOIE3tflcAi +KjAWhX3n+ZfWiRIYQwdSiMJJh67R85IlQLLPNchuub8n/ZcqAV0y7ugkxvcy +/U9x6RIg6g0D5NXau5YUZEqAqOtkyLKLm/Na7kggmYzzQB7fqz/LPpAAbe9B +9ut+Y+2MhxLYTgtSGE/wyy/teiIBmv5DXpy6pTglTwL2dOCNKLxukPz79kwC +zIFnNpeQj/3MNB7wUgIY/KqykHff97WaUySBmigyoArP92k3Joe9lUAB+2PQ +V+TrEVeXFryTQNK1OONW5E3Hs/26lEvgmDVxYIh/OCVy8mcJbKUFb9y/rRcg +pFKinudG8g0WYuE3CdB2P+Q8VyfWsFYCZkT+h7xN6b5myQ8J0HIAcqLz5wcJ +jRKg7gHy2Cpbi5ZfEvW8WVF4e6j66vg/EkjcoVOShjxU7uZw4p8EjuqQAEkU +DvY2bf7WTgpbqKBGFEyMLubYaklhHm3ARPu7IzD5mI4UbOmAIlEI7j3qwfdO +UkBjmjIQuZ3jsuaJelLQvul4bRjy/b5Hpl/sIQXa/otcZDO3uIOBFPKIHBj5 +U9dF+1b2lcJ1Uh5EPgH2K/OMpUDDBeShtle2Dekvhc1UsIfx+hynO5GDpDCX +Nnjj/s7MH6nNSUHwJhGXKEzSra33kkrV8+8w/ixdWlFuLgWipmlE9i9qMJiu +lMLXLm7LO57CeDZpcXDOUCkQNXo/ZH+nTfYjraVwzfTp7CHI/85r2iePkAId +B4D8Rqt8/2BbKdD2AGTbpoqBl+2lMIcOkED/cXpDR6mDFGj6ANnSrXbMZUcp +9CeXQ2737G7h4MlS9bxntA95C24nu0ih8t09sxzk+fq32o6aIYUny7eYlCA/ +aso993C2FK58JwZBFL56RlycMU8KR7aQApIodGClPT4vlAIdj4Xsdzzo08Yl +UnClA2rwfNPONtVdLoURZDwI8qaI34/PrJQCbRdC7rraocJmjRQ0iHyI/D3s +qc+r9VL1vECM561Tt3v7SOExMS/IfWKsWntukQI6p+hwoP8/JuBvynYphEwg +BWq0jy8KfefvkII3bWgSBZ3pGwI0A6Uwa+71rGpkf/Zcl2t7pDD807LUttFo +n0zTzOYHS8FkNekQQPsc2vFJp0NSaNv07KIU2XHZj7ZZR6TwmYwLQv5q+vKp +11Ep0PZB5DNtjZXSk1K4TOSEyLmdhis/n5ICLS8gT+oX9+zMGSl40YZJUbjT +pqzH4lgpzJT28LuI/M1n1Z8BF6XqeeuisHzaq4NfLkvBeCSZICEKoSFJeVeu +SYGoTyuR0+ecydqcJIVPk0mFC/c/JywdmyqFnNdReGKi//XU6l7PDKl6viL6 +NwdHlFZkSeHgVyKwE4ULQ7VS0u9JgbYTI5eYzZgemiOFGURejGy9vi519RMp +0HIjcoeaS5WO+VKg4QfyeAfXL5IXUvgXHs7EIjsYlaR2fi2FchOigEZ/pJPh +4vo3UsiOa9M7H7n4ueant++kcNGCWGhRaJ4TNjanXAp0vDCyqih7b3KFFNbR +gQ+iEFISm3S+SgrTnrxqGYBsuc7u8UlRClbT99dbIQ/kb+Yd/iEFOl4AWbAf +mB3cJIVW0m6AnJKalBTUIoUPRH6AnDknKiqoVQo0HYF8vMooKLidDC6Qr4fc +TrXR57CWDIL3kgYBUfjTv3nlyY4y9TxKtA/lfz3Pd5HB1Ihht94jN/367J/c +XQZDB4nXG5DN5hhdyOklgz4JZy9hCCcs2qdfVdJXBn+Gup41QZamODs2msig +jIxTQz7/YupTvYEyoONGkH8mXds0mJUBbT9CNhqsOdlFKoN9RI6EzCibpm8c +LAOankQu/aI6HKWSgQsdACwKr55t1n5sJQOiNruO3PBlb8av4TLo7avpkY3s +mmKZLLGVwW/N9MVvkfP/c21aOEYGpJvuO/Kp+a8Dj4+XwV0DEoCIwocZp5cW +OsmATKPoi+wcfPVUDxcZ7OWJolAUdszQVc6cIQNPMn4IOcH9ARvpKgPajojc +9LFl5+f5MvX8TlEwjSycqHSTAS1XIH/stScwYJkMWoj7gnz17lTVq5UyKF3w +vHcCcieDwPnytTKALyTBIQret7w6BHnJ1PPJReFBzG7rik0y2NNMGqhFYfRY +8yaH7TJYFXDhd5tzeJ7dqLRP2CGDybokI4bx3wNbrtcuGSjCetRIkEeNzbsQ +sFcG+mQcGXLfbpZPfhyQAW1PRhbHS8KXHZFBCZErIw/cuLLTu6MyoOVLZPnN +e5auETI4S8IZ5LWf9foWRctg9yMyoEEUch7bZMw5JwOPqaTDEe2B/bCBHy7I +YNLbrMTnyKnru8xYlSADC3eSgcH99aVgVvN1GfTEb/cHueDlGUXwTZl63iny +qMRK03QZEO+eQRb62+1My5JB5h5SoBGFefGntWfflwEdV4D8YJVse/NDGewi +7QvIh3dO+xL1VAZUzoBsVxzqPP65DGh6A3mr07b0xlcyGEx+LnJIVZwi7q0M +SPfMLeRfAx+mziuTQdNYu7l5yPvbRczq9Vmmfj8A+heLvvcs/CoDMh3kF/Ly +/hd/hosyOF1GGoZEYYxHita8ehmQ6XoDkGcUmUxgfslg+Y+nllbI/+43PGj4 +IwM6vgT5+aZFgTlt5WBO2pmQZ66ICI7SkkM3Im9CLt9aV75FVw403Ym8Wbhz +2FVPDkXE/CFfUmyPHqkvV8+HRX+p+XwPpq8coq+RhkRR+J1Q3Ni9nxwCrMkA +O/S3DGBS+0FyWHp3cGM98saGPgNbODlMmPi5pkOcKOwS0n0b5HKQ0YHtuB58 +9i+tV8pBbz7pGMLzq2RfeZOVHOg4I+TpeQ//tI6QA21vRI7VWJOhayeHNCJ3 +RNYqTeGNHeRAyx/Ij683O6mc5PAfcYeQ3xufMp/sIgf3I4dvXEP2cxnwevVM +OYw3dLj8ANnWzdT5yFw5SHG1vEE+fWpsdPoiufr9HKLwo2RWwdelcviB0Vb7 +C3g/3wz5ZrRKDiQb1gfZbN/zX9PXyeFWDhHI4v1ZI7Q74iOHSDLeDDmtKUav +cKtcPU8Xz6vXI+WGO+SwhMifkctXrly8fJccaDkUeWmnUzdT98lBQsIj5C3b +3S27hsiBdJteRk4fvbJqZbgcyDSAO8hRnb1KnpyUQ2EXUlBB+zNgYS/laTmQ +aVrfkGdkSGJOxcohwpR4bLjetoh7u8TLAQ9LK31ki4fvXwdekwMdX47sOs3l +aJubchhLxh0iz/e6kf9fuhzo+APkn9FhBzvckUMn0g6BHP3pTGlYthyoPAK5 +y6y4HCZXDi9IugRZJyRkVuYzOdwktw95A+NxfO5rOZz4TgZCYfwkmx/eWiIH +3y3EQ8D9fu2Wa1y5HBa1SxErkJlRNd9nVMrV84dFof2SoGUdRTmwPQeU6V0S +hSCrUdn36+XQ8dTrIjPkyi+fDHc1y0E0Iw6+KChHb/Sa+E8OdBwKcr6tXrGB +pjkkk/Yo5OrzjbOrOpoDlUshZwQf/HdXzxxo+hT51s8VxWd6mcNCYk6R6/ys +63cbmQPZvanInu/fum4YYA4MHdhL+pem9XXnzIFEv+XI/e7ljp5nbg41jSSB +IAr8tMjSOUPMocCPCM5w//Cjfy+0MQdS3e+PzBxxv7DK1hyOhZCBlnj+CEUb +Dj+zADoeCXllktul3YUWsIC0SyK7esSO9H9tAaOJfBK53vqpatsbC6DlFOQP +nUzCtpZaqOc1k3lJ9Ut9yyyATM9JQvYvz7q286MF5E8mglZRmLah6/YDFRZA +pk++Qw6t3ZV/8qsFhC8iEyNFYYpXWWJ8tYX6fRZ4voQ34sO3gHkbiKIVf9/b +5PFFPyzA9vfhAUrkJ3KZbkOjBdBxacg2Tn6+PZotgLZPIz8dUxNn+ccCqoic +Gnlb7csj8/9ZAC2vIpusuj4uqJ0CrpNwC9n/lH7uTU0FhFm8aLqKPOZmsvRr +BwVsvhVUex95aZLbmn6dFDCXvmAB93ut7inXrgoY9aS+TCT//mwWHOuugAHT +SUZWFB76xZcX6ytAkx6YonDE0riTaR8FfF1KCqyiMLvvznErjRTq+dZob654 +RqT2UwAdp4A8YVxwT92BCggl7RXIORcS0xczCqByC+Q214pPZvAKmEPSL8jd +6/tmGMoVQB8H8pL1yby/hQJINgKQZ9h1FitUCmhPHXI8Hydc7jHNSgGkmleF +bFVjF3vPRgFPMknDnyjMenUhYdgohfp9MnheXym1ShytAKJW5pGPftZxthir +AB8yThU5yd+/NXG8Auh4FeTqsjQXGycFjCDtVsgpZ5dMz3ZWAJVfIS/aMKn7 +rGkK0KABvyiYV2w+Vj1TARXEPCMznj2/756jADINNAPZZ7AvxyxQQIIBGUCJ +8Y6O7aTHixXqeeB4vm3pu8prqQK8+SuS38h20b8P9vdQwKzEJQP1ruL1Bc3s +Qk8F2AzvY2SGvDF3v/GBdQowuZ/X0wa5dbPRWUdvBdBxS8hmk7zdO29WwGfS +fol8ZVzw2pfbFEDlmMj9/UOex/grgJZnkKtdqyN8dirgEHHXkIPSRr+ZtEcB +G5q7fk1BfmK3NlIarICZAQ8+5F4l809C6rocUoC17rY3H5D3p/+r/HlEAcZ0 +AYpCpMvMIxVHFdCGFixEYYT+2r9vTirU73MSBb3hy21eRikgx5woYDBerox2 +fRmjADp+DXljctaqt+cVcJC0YyOfUiX99+WSAtYTeTby7j03LjdfUajnp4uC +dodRrd1uKGAYCd+QPb71C7JIUYAhPeAw3mvjNW9GugL+VZOCqCjoe58+sCNL +AUT9UopcedbNPPGeAujrTJA3tGa7VOUo4OKeFd7a1/F+1Q3W5XMVQLp7jJBz +P81cs6ZAAetOvFiqQB4wYfKhtEIF0HGMyAev7gzoXKwAOp4BWdJ0Z97yUgX0 +pQ60KIzcqVI9/KAAKt9Abj7sbmhRoYAPJJ2DrHl4rDS6SgH08SL7hcq36Ncq +4AIZt47MvCwxDK1XQHCZv7QYecVUf0nvXwpY40EiBvR3vK9mnPujgKk/qow0 +EkXh+8vNVcPaKgGDLf3eyKA/N7dQU6meN4/n+xOnbZs7KuEPGc+KfHB/pt6g +rkqg41qQh+tGnX/dQwn3SPsWcqaL66zQ3kqgci7krr3KR84yVsI+kt5FdpZc +9xk4QAnU3CPrdHzc9xejhCl3ndBDRX9wV+aEl1IlDJnYrrAQmdmf2C/dQgkG +NAGI/r3BorSLQ5Xwex4RjOL6tzEcdsZGCe8+kwEDuL4mvko5IyjhzhoykBbt +42jGNX6MEmLJuGZkf85hROYEJdDxTcjXckwD3kxWgidp50RO85zo1Ga6Eqi8 +E3nZx563B7sqQUUXDO6fk7t+rlighF60wID2vnFpv8tLlEDUSOnItYX2rs0r +lOr5/KKQPGHz4ylrlEDU/J+RjwXKTyR6KeFcTu3qFmTTFvdqky1KIN2wXZPw +/t75+y3cTwlkWsEgZA+LdDAIVKrffyQKN5Inhp3bqwQ6zg155E/nwyMOKUGf +FjDxeQ5x+/g+TAlU7o1s1GCccvCkEmj5F3nYT9ZmwmklZJFwELnTLsuIrueV +EHPMRZaCLNfo27E8Xgm7TbXNcpGffnmQAteV4HExw/gD8m+tOa/iU5QwSUkm +IJB+AtmJs7eVYEEdXvy8g8+HXLinhB5UIIHfd5f8/a1HSviZSxrqRMFphFd+ +Ub4S6HhH5NtxNqM0Xykhk4x7QJ68cc9SoUSpfp8B+rPLX+3YU64EKgch15NI +npZWKmEFSQ8hW+f13mtXqwS6XJJJv2NxQ3KjEgbTgFoUDNdOnzrsjxLoeH7k +swcHf37UTgWNkaRhVxQMdD/8WKmjgmIzYmFEwSUp866hngqIdTFEvnWpa1RJ +LxWcRu/PAlnrmElBgrFK/f4xUbiY4XT+0CAV0PEvyHXVZusCpCpwJO1gyOM8 +ffx3K1VA5WHIy17O5SKtVdCNCjxxPegsu3PPVgX0+EDeODL27F8HFRQ1kgGy ++P1VDyWOzipI9yMrWhRW3xiafHGmCkh3cg0yuHLnjBaoICBERlxAwSH7ydyz +S1Xq9z+IgmrSXPtRq1UwgT5gPE9t7B7UeKtARgsCJN840jlxuwroOCjk1uQd +U/cHqqCetIcifxxcbL99vwqoXBRZyhVFBISpII2Uj5BnO/x+FR2pAupOkv/f +XORTeE4FOxYdNMlCXtc0+a9pggrcv9rjFhaFQ4tvNO1KVoEDPUDQXt7q8F0j +UwVSWnBEe5I5ZHtEtgq60AYVXG+BNqpJ+Sr40YkMNEJ70LIpsU+RCl6G5/8Y +gezT4Utk2w8q9fv/0F7HuUVoVqngf1DGkag= + "]]}, + Annotation[#, "Charting`Private`Tag#1"]& ]}, + {"WolframDynamicHighlight", <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>}], + DynamicBox[(Charting`HighlightActionBox["DynamicHighlight", {}, + Slot["HighlightElements"], + Slot["LayoutOptions"], + Slot["Meta"], + Charting`HighlightActionFunction["DynamicHighlight", {{{}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[0.004583333333333334], + RGBColor[0.368417, 0.506779, 0.709798], + AbsoluteThickness[2]], + Line[CompressedData[" +1:eJxknHdcje//xzNPe+9xVvdNkczIfKuEpI9NklVK9swIUXZGZUVZichMSlHp +LZt02nvvdY5RSMTvuo/r+9evfzyeD3Wfe1zX+/l63/d9HZHHhtlePRUUFGKV +FBS4f5P4B1tnJWWCnbfAd7I7D+0Ca96cT86EOXtOP521mIfv6uF65bNMuFtk +r7R4CQ9nO13a1y89E34sMFnus5SHJXe73Ne9yoQRNqrpW5bx0EPTdXT820wo +r+xjtXc5D1u3JOj9+pAJ08t/Xg7y4OGWQu2vdpJM8L9XYnzOk4e/xmzMPJKT +CaseRVyJXMHDA5c/3pbkZ8Is4dBB97x4qNpz4GH94kx4WB38Ismbh2e9jngu +LsuE8aH3PF6u5KGZfAcz4aX3PuUsHx7esHIwba3JhCsD/z4tXcVD65CrnUMb +MmHbYNHWxtU8fNzenbejORPGTKiwaV/DQ1iw6GFaWyaYhVkr/F3LwzdPk070 +/ZwJm+qUc5XX83AGX3+1S3smOI9Ye19/Aw+LArZMPvM9Eyq+OJ8Sb+Thsvos +cenPTFhRGeVvvYmHzVOt/4q6MyFCc/2WMZt5uOnusVIfBQmk1l/fOHkLD39q +NCc+6CWB0r4O22Zv5WEgt7m+Eri+1OHAEl8eKhdGbRyvLIHdJpHhq7fx8PSY +Hi4H1CTQELngybbtPDS5vMTyg6YEbE57VAXu4GFUj5Q+2roS2P4qVSN4Jw+t +vIxqXA3I9uy8pkT48TD+7bZnV4wl0DFx3uGbu3g43iovvMFMAlsHBEke7ebh +q+Ch2weJJPA0REGIe3jo0n5yzlZGAm8GJ+zI8Odhwfy2wcn9JaDaer24aC8P +lzx1IpdEAiEfMuzr9/Gw0exm01RrCQz7Yxn/JYCHGwJ6k01KQDPnyaA/gTz8 +Ubc8smCEBH483vlA+QAP901N22NmK4Ge41aONjjIQ8W7pm4rxpLjUd/3wfwQ +D0M1/EbemSABhx/PvYYc5qHRvwEDC8oGKI4/wkOysU+2jmR7KUlxTkd5OGDM +qQ97p0rg+8NVXvODeBh36dPN184S2Ng2Vuh5jIdjudM3QwLF8YNqNxzn4YsV +t5fNnS0Bw9Xj7+8+wUPnt7zxEfMkcH/fqoCjJ3mYN5A7geR8B8S6nwvmoXtw ++jcLdwloq2hNjArhYf1XQc6GpRLIyzg6MDaUh+vm77n/2EMCq4OMBKmnePjt +SUlQt5cE+hqlGb8/zUN/M9uVk1ZJYPjSnfzCMzzsG3DW4dhaCdjOnTKg7iwP +g+u4DUrgyN/+E76c46HB1Jm/DbdIYNwOY7c/YTy8cude0dJtEjhaZ+avcoGH +FhoqCdE7JTB559DbhuE8jN3sEyrdLYEtO+dWsBE8HF3wat2IfRJ4a3PIePhF +Hj4fbT5t134J2Ku+XjLxEg/l0/eQBHClzh2XyzzMUajoqRQkgQtp6/66XeEh +uRiVM05IAMLyFvlc5WEtqQbnQiRQu2cK+kbycM3A72HlpyVgoP7aav81HsqH +S5gEAqUzIkOieLj7a+zMNeESOJhRZ3b5Og97z1cfFHeJjD+vA9fu3ODh8Sdr +lH5elcBrf+vBT6J5qCefwBKQ1Ne8eH2Th/LduymBHVsil+Xd4mG/uv1cRYB9 +Sqv71MTw8P6Uaj/d+2S8nhoX9+k2D8lgITNYAh96Gq3svsPDNPWLw6/Fk8+b +99dc5R4Pp2zmZpwECkI+NRne52FWPjegJTAGmxP6PeAhV622PZNAeac0aEQs +D6suat1IfS6B0Qt+r7R/yMNVCtyIlsDCv7ouM+N4+MUzY7HzWwlEmdqOWfKI +h35vLMkIJOOn2mvw2nge/itXZLwcuWzll8DDoJPcBZbAhJk1Q4885qEOGcze ++RKYGTAUziXyMGIed4Ul0ORzfO71JB4yT34f7iiVQJ9F7ZvinvBQPj0qJVDx +0DsMn/JwxL7EiYE1Ekj+2PAyM5mHqbW65AyS49Pd2lWWwkNH+QFLYES7um1r +Kg8zSTWd3yaBQyWJe34+46H8cnySgKL9hg885GHFJm4PJbAi2kak/5yHK/Mb +Vw/8Tub3UZW9TDoPyWQjW5RA3OYvdcNe8HDHxWvmT35LgCdrnG33kocK8p8s +SDv+6e2MV/+fb3/JcfHQzAKvMVtFNRIezq29EfFSmAVxXhejtpfw8A+pxv2G +ZsF+lZjZmvU8vPXaedRRuywwGuQ27O4n4hu5oLJAtLVksnMXD3/HcBvMgvqB +D85IeytiNNla7OYs6N5yR3haQxFnnghbr70/CxLr6j+NNVbELv/VKVtPZ8E2 +vVheE6OI10l1LYzKglsRwevCBivif3JhZcGL4bb9p41RxM453A5mQUnRoTEK +jop4zZEzRhaMuLTn3pMZijid7B2p+RAgnHhom5sifrfgKkQWNB/W/DDSSxGv +Gg8uZ/pmQ3X19MCuDYo4TS6wbLCtWxv33E8ROzj99MuGXt//Lj9+UBEvc+Vq +FPn9nXfC3EIUcSo3vKdkg9EMSzerCEX8yp0+12y4FzA5tke0Il7kDtcnGyrF +NVdLYhVxslxo2fBqUtuwxGRF/Mxt7mg2jNX1Whf2WhHDL3A/2bBJzX3BrmxF +nHRsbeOvmGxInvLph0eZIsp2g82Sp9mQmz9t/n+NinienD18nw2jbG/tHP9V +Ee3lgssG/0qXVUO6FbFtFndBsuFTykmr/opKeM6B22A2xFe+ey/SUUJua06q +ObDFbLWzkK+E5OKSEp8DPV7VJ4gtlfC0IVcRcmCE2zMtyxFKOF4uvBz4uXCf +93BQQrJz5ALnwJs+L5LtpikhKW5kkzlQamNhNHeeEnLVKWx9DgQMdT+0epkS +yvXrnwOfj+upHFyjhPJyHZwDY1X1HkRtU0L59L6SA3lBrN/rACWUD7/YHFjU +aLJVelwJ5cMFcwDaC64bnlfCf5c3B3p5g+7UKCWUX47qHEjJnfJ+130llJ++ +LzkQGd2W+eiJEsoPt2cubDIZMeDzSyWU7552LiyfaF03JEsJ5ZsT58Lh7x29 +t5Uq4XD5Ty7s+HbnTFqDEpLBQoyQC32y90WofVVCrnr8mJMLMoU7omXdSjhU +LshcWNqyZnCiojKSgyUDOhdsWtU/ausqI5fe+AdzIcu7uecWgTIOlm8wF7bm +uRUXDlBGbmu1N3JBV5LhajdSGcnFjXR8TD6/36OjD+yUcZBcmLkQ8+XYVrGL +MsrjR2EunL1WKQp3VUa5rhpzocLYLlx/hTLKy9uPXEh+7tIQtkEZ87npq5gH +reH31Pm7lHEvN90M8+DWEh3TmEPKaCkXaB4cPzXOYPQpZczlhvPoPPCJ+qKY +eUkZ98gHTB78/tuna2WMMvaXH3AeHBjh2t43QRmzucu7Og9YXk73bVTGXdzl +8MsDw7M+4rkZysjKhZoHtzearu5ZpIwS7nAj8uBT2s/yhFpl3CkfgHkw1t3w +8PpPymguP4F5MP1rxHqrX8r4MYP7yYNB5vGXPvVVwe0kLQ0uz4PUGxfNkrRV +UCQXbB5IfS79OMhXwQ+R8oIAVx8r2ywcoIK+8gGdDyMXOFYOHamCAvkFyYeH +CU//atir4Dsufg3Oh0O966+0u6jgFk7XkA99PZ3flS1UQTO5cPPBp1fgwQ9e +KviGK3/L8mH9rAEVaZtUcJN8guRDjFF72ZM9Kmgiv8D5MPPh+WNPjqrgK64c +hObDE359+7OzKriBm76R+bDCPlbwPlIFjeQCzocohSrD0nsq+IKbHun5ULHG +p/nLExVcJx/O+eD1yTlC/bUKGsgHTD7U+0XYDs1RwefccGnPh033t31YWKGC +8svbu4BcD9UlR1pUUH45dAvAR/VCV8p3FZSfPqYAnu1ZfedHT1WUH65NATgE +lPnZaqiifPccC+BxjtuWvSaqKN/c/AKwal92JaO/KnrLfwpg4UI/JeEIVZS3 +E9sKIOCafcrOiaooj5+HC6DnS6/04umqKI8rYQUQNP5qv4kLVVGut5sF0OKc +1n7XSxX/9TcFcMo0coRwsyrtTwogsYdNxwV/VdpfFIDZzV3jjY+p0v6A/P6U +wyaRYao03xeAUe2uC9bXVWk+L4RE3+D09FhVmq8LgTdY+driVFWajwth4+3J +Dn/fqdJ8WwhmFnEPbxao0nxaCDdfZ3TOr1Wl+bIQAlMtGbXPqjQfFoLo/AZ4 +/1uV5rtCqN7kOv+kkhrNZ+Tzh8VtXaivRvNVIVzJWBI90FyN5qNCqNo590fv +IWo03xSC5u9LW+rHqdF8UggdpW79Pzqp0XxRCJa30/gp89VoPiiEuxP1veM8 +1ajPi2B0n2dKsRvVqM+LINDKSj9hjxr1eRHM+dMa9jxIjfq8CI4ZX4nID1Oj +Pi8CrbTuQV+uq1GfF0GkeuEi3Tg16vMiuOCqNQzS1KjPiyBu+e3UTRlq1OdF +EH8vUeVusRr1eRGw770tZQ1q1OdF8OdCt7lthxr1eREsvVaiGNRDnfq8CGa5 ++tbXqqtTnxfBmQ1L3jiaqlOfF8HBARNTHliqU58Xgc6dimzRKHXq82KonGSo +f3GSOvV5MazPqj/Hn61OfV4Mp/66ecQsVac+L4YvcdGHxq1Tpz4vhg8zdbSL +/NSpz4vhkylPZ/cRdepzwqG9z1ucU6c+L4aLybcflEepU58Xw/NTCT4RD9Wp +z4vB1u1P9vI0derzYpjevatryEd16vNi8Nvg8EWxVJ36vBjOqB151dykTn1e +DNMULh7K/a5OfV4MzNXPDq97a1CfF8P3En21dG0N6vMSeB2e9uW1UIP6vATs +rVN65FtrUJ+XwLO4P9PbxmlQn5cA7g2vVXXWoD4vgWFnXuaPXKhBfV4CFn3y +hq9eqUF9XgLL1tur3/TVoD4vgbUr7vpK92tQn5fAuaZsv/GnNKjPS+BMxTnr +sKsa1Ocl0LW/17Wu+xrU5yVwonlSw8pUDerzEli3/p5q5QcN6nOyP+MT+i0r +0aA+L4Gv77scW5s0qM9LYYqrw+59PzSoz0th0NNUqUYvTerzUujxvPTpCmVN +6vNS8BeE33+mpUl9Xgo3ogfmmBlpUp+XwtLKQutAoSb1eSl4z/r1sbW/JvV5 +Kcz4wHviNliT+rwUUu8c+Jk5UpP6vBTeDtS4MHWCJvV5KZy57Rb5xlGT+rwU +hnYNMZ3uokl9Xgp3723WKZirSX1eCnt9DEK93DWpz0th4mDrK12emtTnpRD/ +pHzK2TWa1OdlMOH1irM2WzSpz8vgwXrjU6V+mtTnZRDiv37q4UBN6vMyyH3f +nG4bpEl9XgY6x6xVP4dqUp+XgUt8p/W9C5rU52UwYB5/5MZITerzMmhqD7Ya +HaNJfV4GxVJXM8WHmtTnZXCq6IpOeZIm9XkZvKmLNk5CTerzMmi0zxh/4a0m +9XkZ7DkadGRflib1eRksNtvZd32RJvV5GdyLmP5ieZUm9XkZFDkWvl/UpEl9 +Xg4eG5Qs3T9rUp+Xwyvpl2aPTk3q83KYHvTMaKOCFvV5OXT7przcr6hFfV4O +MWmuPy5palGfl4MgQ/jsmaEW9Xk5hGalsw1CLerzcnCaoT5W11KL+rwcrp3r +UHYaqkV9Xg7e9kevHRitRX1eDufSemq8sdOiPi+H+zlPF2tO06I+L4f1wsEX +l83Woj4vB8cnPYoS3bSoz8vB7eZPvr6nFvV5Bczb22vvrjVa1OcV8Kl9aN+m +LVrU5xXguvZZ+qLdWtTnFXD6ktKLggNa1OcV8KLfRb2FJ7Sozyvg7JD+72rO +alGfVwBqHGzbclmL+rwCPrQ8Oal6U4v6vAI0PTue3X2gRX1eARZ2J4/PTdKi +Pq+ADEaN1/u5FvV5Bdjamk9IfqdFfV4BExsf2PnlaFGfk+2Fo8iuVIv6vAKe +bwmVadRpUZ9XQKXuyaSGNi3q80poWT475NU3LerzSuhyuXPo7h8t6vNKOBcT +djeCp019XglN36bqnNbUpj6vhMXjmIxTRtrU55WQ25LeFC7Wpj6vhG3x7X53 +BmpTn1fChu3JZ16O0KY+rwTf/vOnNozXpj6vhJ2po25pTtGmPidspfbGYaY2 +9XklPFiUmrh3oTb1eSWM6rf6xEsPberzSpi7bNMi7bXa1Ofk72vW2azy1aY+ +r4Jp4baD3/lr0/leBdGRze7DjmhTv1cBz70453qoNp3/VWAfHnlDGKFNfV8F +spf8lhvXtWk9qCJ+2ZQw4r429X8VVEV3m35M1Kb1oQoUem8cueG5Ns0DVbBG +kGxg8kGb1osqmPDOuDArT5vmgyrY1K17LKRCm9aPKjC23zXNrUmb5gXy+Wpr +ray/atN6UgWuo+ZOVf2tTfNDFUxe+zmho48OrS9VoPLovxONGjo0T1TBkQ5J +Q52RDq03VdAUbFnaZq5D80UVjO/03v93kA6tP1Uwyb2109RWh+aNKrjiumWe +o70OrUdVUBKv/2jHdB2aP6ogZOA0q8T5OrQ+VUGvCdWlCst1aB6pgv06muXz +1ujQelUFil7rnB/76tB8UgWzfTvsxft0aP0i+6/Pq7oQpEPzShXA0w1gdlaH +1rMqCD/n6X/3ig7NL1XwWXLg6ZTbOrS+VYFXUp3Wp3gdmmeqIdOkb9S1NB1a +76ohLqLyuMd7HZpvqsHPpEJmna9D6181LBjf2MSr0qF5pxp8Pi+KkLbo0HpY +DYmaJv2rvunQ/FMNN40O3KtU0KX1sRpWte6c2aaiS/NQNVhd9xrW10CX1stq +uHti9LZBYl2aj6rhvWAreAzSpfWzGnoamcddt9Wleakalt9r+NrhoEvraTVU +ZHT0mzNDl+anaigJMN2a5qZL6yvZvs87hTHeujRPVYOBHa8jfZMurbfVcC78 +oK/bHl2ar6oh4GLEPYWjurT+VkOfVudXCWd0ad6qhu6YqQ07r+rSelwNGZd/ +jHG5q0vzFzlfm7fJBifp0vpcDe66V6zEL3VpHquGOXaqYiZLl9branC04v+2 +KdOl+awaylz+Ni1o0qX1uxos3WIMgjp0aV6rhrAlLYkZCnq0nldDpNLqLoGa +Hs1v1dBk9kDzgJEere81sEybHfST1aN5rgaWV4v27x2mR+t9DWxXbJtsAHo0 +39VA41O8h856tP7XQA+nEukuVz2a92pg7rNtE5y89KgPasD0SUWhxWY9mv9q +wHbP2nbjvXrUDzXAOp7+IDiuR/NgDdzKbYgYdUGP+qIGsqaaR3pE69F8WAMT +x9sYRT7So/6ogaf97B3aUY/mxRoQKD1cujBTj/qEfN724bG5pXo0P9bAm4bM +7R7NetQvNXB+UUNXnx96NE/WwJp1k/Y+661PfVMDuXfZ6ce19Wm+rIGlaxxu +bxDqU//UQFBrRa2PtT7NmzXg0J0xacc4feqjGjBWDTS+PE2f5k9yfq/z40tc +9amfaqB6624P65X6NI/WgE7u2B0XfPWpr2pA+DbbyfSAPs2nNTDp3rlBiaf0 +qb9qIHpJ/q7Vkfo0r9aATKX05MhYfeozcnz3eS9M0vRpfq0FK+vKbcaZ+tRv +tWA31kRleLk+zbO1ENySWuPVpk99VwtdRqzno1/6NN/WgtJtfoeJigH1Xy10 +nOv+e8XYgObdWjDsftAOAwyoD2vBXa9gwu/RBjT/1kLdyJI5+U4G1I+1MKVN +6daHhQY0D9eC98DYR5WrDKgva6E7bchXLT8Dmo9rQauLqfEIMqD+rIUTcTv7 +5IYb0LxcC4efnKz2uGNAfVoLOi8W/NVOMaD5uRZeNvm31mYYUL/WwrvCIyML +yg1onq6Fby+7trbIDKhvyfHbBYJIwZDma8KaguG7tAypf2vhjt6ML51iQ5q3 +yf4cHCeMGGFIfVwLlrtkqz0mG9L8XQu/tHcHzHA1pH6uBb+x9kaeqw1pHq+F +zhWm0ku7Damva2G23dVUhWBDms9rweWN+6zjkYbU37Ww4KGpr128Ic3rtcCL +t/otfGNIfV4H0+7dwIElhtTndXBHOznKQ2pIfV4H91rUTr5WMKI+r4O4qN4b +XXWNqM/r4LrIwt7Iwoj6vA6meh7qozzOiPq8DlZYmLwcONOI+rwOTFUgdO8K +I+rzOqg8YhbUd6cR9XkdbA8cVvD8hBH1eR30eCO5GXfNiPq8DnSd74wrSTSi +Pq+D1SnbKkZ/NKI+r4OaYQG1OTVG1Od1MDDH80F0pxH1Odl/h6ePHqsbU5/X +wXJe08berDH1eR2kxrVuCx1rTH1eB/tm23kvnW1MfV4HvezzP29YZUx9Xgf/ +fVIsfbnPmPqc7N+AD7+XnjemPq+DcW9f2TvEGlOf18GBi9cebHxrTH1eB7yO +VYtbqoypz+sA1bv9437+f/7n9zr48t+D5R+0Tajf62ClVY8No6xMqN/roGru +PuufjibU73Ww40PWFJ1lJtTvdXBmZu2BI34m1O91oFa3OG/RWRPq93rYJxk7 +PSTWhPq9HgoX3rGyzDChfq+HHjpDai2bTKjf62HTGS31c71Nqd/rYccNwb5N +IlPq93rI+Zw/CSeYUr/Xw7GWluuH3E2p3+shasSCge/8TKnf6wHf1t0+fsGU ++r0egnYvmFSQZEr9Xg8fBjmsu1NkSv1eD48Mb/7Q+mlK/V4PJ26uMtY0NqN+ +rweHkDy/u2PNqN/rwXLnyFGyxWbU7/VgUpD/tWyfGfV7PUzf7lO777oZ9Tv5 +/bvTq2remlG/14NwWsYWVZkZ9Xs9FBSnyXi6fOr3eljg9NO/agyf+r0eGiK+ +Tr3mwad+r4c94xYcdDvGp36vh/SJgiiDBD71ez3UtJluaK7kU7/XQ95VTftq +FQH1ez1sX3hhpPZoAfV7PVy5dNcndKWA+r0efIfen7gtTED9Xg+30jMzSt4K +qN/rQZyha1X2S0D93gDjdj4+7GIopH5vgDkHRg28PFxI/d4AamnRjR0zhNTv +DdAjoi101Toh9XsD+H/bvs/guJD6vQHgqJ0j/66Q+r0BMlrvhV/7KKR+b4C/ +YZ1nJJ+F1O8N4KO/dmiRnoj6vQHy1070+TlORP3eAEO01Dp3e4uo3xtAGpI4 +IfWUiPq9AXLn3I8akC6ifm+AvOghq+Z8E1G/N8A3vtP0T1Zi6vcGcBo2es2X +VWLq9wZg86b2vHFPTP1Otsf79KP8p5j6vQHezF8xaeYsc+r3BjhoOfTVmCRz +6vcGCCnaaVRmw1C/N8DGUQO/DCxiqN8bYPVhbVLtWer3BnhpHZgU4dmf+r0B +Gm58WDpt/gDq9wYw9LPb/7a4H/V7A3TddkcmhaV+J/sXk7RnnjZL/d4A92fH +H7gawVC/N8ANxbN7y+0Y6vcG+PorYNz7LnPq90aoN1gbLUFz6vdGOBufc/x4 +iDn1eyOsmlRwOXOlOfV7I4y8Xemp62hO/d4IMfeHR07rb0793gj7g+w3R6uZ +U783QnD4jTUnf4ip3xvhA/94/+N1Yur3RkgR3n9jnyemfm+EcRrOB31ei6nf +GyHr/Rib9Kdi6neyfxIHfeFDMfV7I1yX1e5dFCOmfm8k9SZy0LwoMfV7Iyxe +O7Kr/bKY+r0Rlhw80WZ+UUz93ggv3hsF5oeLqd8boavXcotuwv/83ggqV3+W +niK//8/vjbAzelZD6BUx9XsjCPpXJ3SSz/vn90bY89Im8y3Zn39+b4RtW99k +9iX7+8/vjVBu1Bry9ImY+r0RDnyNWvLphZj6vRGyfz1wjJeIqd8boWdf5rhp +uZj6vRHmZez7b2KbmPq9EawbEmaP7xZTvzeC6+0zQltNc+r3Rtgkubp9MWtO +/d4EITHLHOvGmVO/N0Hu/QczNReYU783wY4/M1tstppTvzfBx5QoYdoZc+r3 +JthyV2A//Ik59XsTqLqa9ravMad+b4JZ2Q5ReZoM9XsT/DrrM9VyMkP93gQ1 +A9/p9jjAUL83QdgG/t6vGQz1exNIV91vUGRZ6vcmuLVu4gPT0yz1exPwX/u5 +D2f6Ub83Qaja1BD77/2o35vAUVbL81xvQf3eBNlqTwwbc6yo35vg9yMn45rf +/anfmyAqfc+633f6Ub+T/VPf8kKzkqV+bwL9Bx/dIqax1O9NcOqSr9LTfIb6 +vQm6T1tH6fky1O9NkD936tDjYob6vQlix379uKbEnPq9CeL6Ggr/CzenPm+C +Qan3vE4sM6c+bwLF6KRNvwaaU583waawxdOSfompz5tgyK3fDkZkfPzzeRMk +1A51vnBDTH3eBPG5ojOZ/mLq8yYo9xsQ7ukmpj5vhsHmgeO0bcXU582wJFA2 +4aqBmPq8GTZP90su6xRRnzeDdr7d2MulIurzZtibcd7xeZqI+rwZ/L5fmtT/ +hoj6vBm8Ow/fSjgmoj5vhmef4kfP2yKiPm+GlFTtk18WiajPm+Fr2dkLOxxF +1OfNkHsxak7JYBH1eTO8X1u9VtFERH3eDKdCO7W+9xVRnzdDUd/7SWHtQurz +ZlC0nvqtpkpIfd4Mtz/en5+ZKaQ+b4a8EMWps1KF1OfNkDW29Lsn8dM/nzfD +k6CGcX8jhNTnzbCyj9EJU+Kzfz5vhoNZprUJu4XU581gn6Cd8Ir475/Pm6Hi +NdM4eamQ+rwZPrrF4IhZQurzZsieFVET5CCkPm+GhosjwXGkkPq8GYI7g7WX +WQqpz8nfr+tTVmgqpD5vhqaTq11jNIXU5+T8lxTuzu4tpD5vholpmnXhX//n +8xY4cXWl0KZMQH3eAr397zxe+1pAfd4CycUxf8c9FFCft8D8x38uR14UUJ+3 +gGHytT3njgioz1tg2ao/Lw18BdTnLfDg9pYSkYeA+rwF+lXPXPRwhoD6vAXs +7cVGL8YLqM9boD16gf5cKwH1eQt4WY/cv9xEQH3eAsON1JOblAXU5y3Q0+K+ +XWMXn/q8BQKD1Z+7tfKpz1tAzc6aZ1fGpz5vgfMVZr3Pf+RTn7dA44gRbxen +8anPW2D0MZ/AkId86vMWSN0j8be+zqc+bwF28yh2ZBif+rwFhH1qftwI4lOf +t0AffddTu/351Oct8N/c+beSNvOpz1uAvzqr98KVfOrzFrioqXTU3Z1Pfd4C +XYdSB6bP4lOft0CeqmfnkSl86nNyfsx91WPH86nPW+DCntyrI0fwqc9bwOGM +9TeTgXzq8xZQVAv0XCrmU5+3gqPOxXkKxnzq81ZQ/BE7+Y8Wn/q8FaxWd6W7 +KvOpz1th8vvlKzV68anPW4H3fUS16LcZ9XkraI2rlwZ/M6M+bwW/vxK3hZ/M +qM9bYXWyZh//ZjPq81ZIMHEv7q41oz5vhR5ttblFFWbU561gdNtBQaPEjPq8 +FW6d8t15Nd+M+rwV7ihlrTqRbUZ93govnFRUCj6aUZ+Tv58xI9rvvRn1eSvU +/XI6s+uNGfV5K7zd4zK++KUZ9XkrPOi93ehMuhn1eSs8qSuPvYtm1OetsHby +hwjzNDPqc/L7oV2BP1PNqM9boeZdjWAw4X8+b4XdJkrjX6WYUZ+3wrd698cp +hP/5vBUw7NJOffL7/3zeCrtS3x/KJfzP561w4+Hlzx3PzKjPW0HqrVu+i+zP +P5+3QkNe58FVZH//+bwV/C8dGZ1Gjuefz1thVqjxIn9yvP983gZZ7wo9b5Hz +8c/nbbBDHFg7IdOM+rwNDGROAQ45ZtTnbXCp5NHvxAIz6vM2WKoWYn251Iz6 +vA1EEb2UO6vMqM/bYGLEjUtvGsyoz9ugavhDXQ2pGfV5GwTy/0vKajejPm+D +XU5fPqv/MqM+bwMnz8IpGT351OdtUOYU4aOiwqc+bwPXoy1iiQ6f+rwNNFzc +1hqb8anP28DeY6nH53586vM20ByydvrcoXzqc3K8uaMuO43jU5+3waSaoV25 +ZD7983kbPE7oN+LHHD71eRu8O2Q4N3EZn/q8DdabzL5guJ5Pfd4Gi6cm7RHs +5lOft4G7YNmTLDL///m8DSY4bZw8NJxPfd4G3m/mek2+zac+bwN1a5dIveT/ +z6H+3BvqbRCw4Tn/6DM+zhsyIEYnqw0mrzCY3zud9Ic1rgaJ2W1weXjBnMOv ++Fhx+vBBt9w2WPDhFqP9jk/zQRtYui3Jv57BR2/5C9FtMCCjZTNk8ZHb2qSi +NvBwWqlck0v6Szf7CU3FbRD2WDvmeCEfH6lyb5C3wRTb2e5Qyqf5og2+Jj4c +2lVB6iv3+nxFGzxYUzcytYaPf0Xd332r2oC/7NXOIw2kP83lXmhuA0lvV0X3 +Fj4eIXuXUkuuR/Lbz6NkfJpP2sB55To706+kPnOvpzeS7fd+raj0nY/c2+I3 +m9pAcfyeeX9+kv52ut7xaS3k/P71Gfm7m4+L/zh0SVvbYC//2NOePQU037TB +bpnWF62+AqznXv/+1AZ+Da7NlsQP8sP93AZjHZvjpqkLcN2rP3G7vrbB2SS/ +xVu1BThs+yCRoKMN/vA6OqP1BTQftQGbvuZsjbEAn3KvV/9ogzdSC4f+AgH6 +y18oJsefGqXta076a3L27na1ge7UQ7oZ/QXI+6w/debvNphld2+hFfHXv3zV +BnpPLdrPDhFgMPf68t82MKse91XRRoBz+kSdGtNDCgFvPXwOcv15YrZCRU8p +pFk7rVSZIMAyH+6FYCkccM5XiLAX0Hwmhf+umk8ZMUWAK7jXg3lSUPx4ZHqh +swAt5DsohZs+p8wPzCT9/eDk/hoqUmgs51WOmSfAh9XNZ+NUpTB1emvor4UC +mu/I9tb5zXi9RICj5S/0SmGY/+CBEZ4C5K7uRS0pmFin2/r5CPD5rev/TdSR +QkOIxXHPdQI8xF1eXSkk6p8fvWCzgOZDKcw7cmjevO0CVH825MIAQynsUrzT +sXi3AHM2LOVlGklhnPHfgZsCBHhOdNJ3k4kUTtxN0ww+JMBF3ObMpGA+cH1S +4jEBzZdSwDPZtq0hAqwdaYyLhFLoHfbjpuU5AXKrAf6KpPDrgY3plggBrgnf +fvGauRQ2zxtw981VAQ6ZHq08mZXCDPGz9f2jBTSfkt9XYneduiPAJDL6jltI +QfdUZZMiyTe7lw8jU45cD+0USdBjAU7UWU6GtBTMfhdOMUwRYB/5AgYp8PtF +rnr4XEDzrRRmiwtd5r8R4AkLqdqzIVLYd/64Gu+jAGeVmJBNSqHjlkX6ixwB +cqO59wgp7J/vc/B4kQCLx+90vWUjBUHn0k3LKwQ0H0thYtzuq/Z1AvSQL0CQ +gkPnwUFDWgTYTz5gpNCtd2X4gM8CJBvTGjlOCsnPp2YO+S7A+4899haPl0Lu +Yw+jSb8FNF9L4fjdc4bDeghxFHf67KRwP5a9MJXkwV8fZO9e2Evhwrvqi148 +IXKrJVZOksLACQHDjioLcf9g7gRKoYov83mkJqT5XArrBk+ZUUfyperpmMBZ +TuR486s6THSFyM22jmlSeKM6eZWbgRDPfO+79Px0KaxdNjrlirEQycF+HPuf +FEQtCd2tZkKa76VQP//zeBAJsVqF26AU4r8sOHGBEeKN1OcG/eZIYUh82O+u +/kJcteHzwXdzpXBmcdhFz4FC5Gbv2vnk/Abv9s+1FtL+QAp6HXceTRsmxMcH +dmc9WiiF357vXd7ZCNFP/gK/FLxNny2ZMVqI8unrLgX3bw86y8YJsWe4osnl +JVIwvqZqvXmikPYXUtjUeVBLY5IQj5FqULeczCfQffxoihBnPDjjdcSTHO+R +H9bLnIUoHy5eUlio8iRYd4YQ5atJvKVwJNiqLWu2kPYn5HqXb3I9O1+Iy7Zx +K36k8H3E96blbkJk5BNYCna5vnEjlwhRvnvrpOC4q/udjocQ5ct7NkghXbVt +0k8vIe1vpOBq3mHbuEqINvIX6KVggWYp5aTf+Hl15aOWLWR8/3lTV7ZJiKmz +uRknhZKs2+/qfIUoXz6zXQorJUUB33b+rz8i9UfpOaPhL0RlH/HaHX7k81qG +vB8aKMRMI25ES2Fsv/lBiw8JkVsNk7ZHCmcf4Z5TQUKUL0/ZK4XT+StSs07+ +r7+SwvbBlzwMTwuxsoq7wFJQarI6vCpMiFz1czkghdISO8eXpJ9aOYm7wlKY +W7Qt0eKqEOXLPw5LobYjv/Pc9f/1Z1JoaixhNGKEGL+QWwEjhed+vgtC7wlx +hwp3BqUQrKh6zyxOiOPkByyFURr+9vGPhShfXhEsBaucfjbzkv/X30nhlEbV +NQUU4tGcCrKH5Hy87X378Ushcqt5Hpwhf2+6ddX2d0Ikk41sUQpGo88oOJB+ +Ub58IYx83rJ5wUa5/+sPpaDS02bkr0IhLpEv+JHC+9aJ+k1lQhTLC6oU3p0y +nlVVLcSG+2zd/stSuLS5S7GuQYjcaqH+V6XQ49CjZe2t/+svyfguerxL/YsQ +h798aL0+iowHGwN/m+9C/MGV5xtS8G85ftznlxC5ap8QLQVh3M6Mmwoi3Csv +MGT+PGzzbO8jov0pGX/no3c6q4hQcTy3IkQK/WrMbGI1RZjBlYN75Pdt9j8T +6YtQvpztvhT21L6acJX019zeHY0lnx9yvnKgSET7WymEdvp+eNlPhOUJ3IST +wr3qG4PWWIkwkht+CVI4aG0/TDBMhPLlYolS2GE2rm/1KBFaftB88zSJnK/Y +5YWx40W0P5aCeIJn3kkHEcaRo+2RIoVFI4utdjmJcJt8wYwUJqtN09o2Q4Ty +5VhpUnBaPOTR3nkilMcDlILG6B6O5xeJaH8tha1xw7rSlovw8M0F74e9lELP +8/a8HytF6LzwkG3BK3L8a1Ijx68XoXy50xspzA91VDizVYRyHb2Twt70cLcu +PxHtz0k9Toxs3hggQneh3RfPDFL/jNY2/jgsQqFccFL4/GRicPBJEf5bTiSF +c2rXzWzPilBe/rLJfPy+LOtLhIj291KoKAxtSLkmwqHElmfzyPnrcfvqhRgR +fpvG7SCp3z57Jx6JFaF8uU4h8XHKXKWjiSKUT7diKbwuqIWLz0T0/gAZLyd8 +BqS/EmFfbV14XSaFCQ13NH9liPA9ubqrKqRgGasxbkqeCDn7qlWR+Rca/iO6 +VITyy1sthdTA3iHGtSJ6f4Hki2cv5lxrEWEpF4fqpHBxqPHpCV9FeGUct8BP +Chnrza9++ilCT3mglMIPcW1mQg8xyjfXLAV7/lzfUCUxvT8hhb+y7a2BWmKM +leuX5BXDlceCjMS4lVu+KZOCRLr84S2RGG3lBYH4X7cmudxSjL8NuQV6Uqh5 +dldlwDAxvb9B6k9Efa+TY8R4kCv338h8DBYrKzuI0YlbHvldCj5j9h267CxG +tapBZEhLYdvcxFrnuWLMDnXnhgw8/zQsWHuxmN4fkYLfzf4/v3qJ0U2+wE4K +P9/dOSNdL0a+PHAQfwVlFfbcIUZ5HFeQwWXHlLHDA8TIpQ3fnjLYKns1bm+Q +mN5fkYHOzVC3xtNiHMwN5z4yMI+0Nlx7SYzt3PI+ngwcZo78rXZTjPL4pySD +bz2lIR9jxbhLLmAZjPrmueLOUzG9PyODFV8uKt56Kcbe3OlTI5939oHOy0wx +vuWWz2nI4PuczwKFYjHK44aWDDKdjDLca8U4U34CZbCol29yiVRM7+/IYLmL +yjm/TjEWL+WEJAPRkCzF8b3M8RK3PM1ABu0j8l+J1c1RrjcjGRgb7TlgZWSO +5GCJMWWQox3XYxFjTu8PyWBCFl/hwWBzvF/EbVAGiW6rp1qONcfN8gVqMvil +sj3m/WRzlJdTkQwUX1/vPD3bHLnZO9pcBirX9LUPLTGn95dkEPnf76LI1ea4 +fxa3olAGdQsrRzVtM8cpvbiKL4PptzqEbvvNUT59Lcn5WbL5ZGewOUq8uQot +g1dHWgJfXjSn96dkEJJ7WyktxhxdSTV4aC2DcTGiUc2PzdFUHgBl4GbgZDnp +pTnKh8tQ8veVBorZ2eZ4vfKZ+sXh5PwyP/uEVprT+1sy8LF0XnZQao6DuOXA +I2WgfL1+6YNf5vilg5vAMogZeWuhpjKD8t0bI4NNV7QexBgyuFMeiGSQ61yV +ubs/Q++PySCjR6rNsZH/n0Wnj188SDimect+l1EM9ja1PxtOuH+reaeGLYNN +13+ceEDYub34dA7hD4PuHXpJeOO3a/PPjmZoPiT/33psousYBk+B4Q4Z4ea8 +yFkmYxn0fftxY68JMnia9OVoBeGFs/avMiR8OupIc+Q4BseV2HoMIhx7a8tG +r/EMCuUTnvx+dRrfcgKDvdqi5iwgnLHer6ONcMPWhdPXEt62LfZLLDD4vlvd +MYAwshv0fScyyO3dOcLDY194jLZj6HpcGeTPSC7oJrz1/ODBSHiko+fmdHsG +F4jq++cT3lj41uawA4Njb4cLWwgPnPtTOH0Sg/zhM43+Eo7U6DlCy5HBntxy +ZZDBjRWf1xcQrp+UrGxJODo+OztiMoPywyX8cOUj9+VTGLw7v9/v2YR3tF3T +6D+VweDK0o6VhF2T7rW0Ef6Xp2WwYEq1NM6JwflfJjeEEh5q6my0cxqDY/x+ +V0QTnoeda8GZQbNecYXJhKUvfjT1mc6gwvGVWVmEq1PnncggXMctpyRc6Sx0 +O+3C4JtLOc+7CC/U9prh9h+Dd/odeaoxkYzXlKHrRDMYPPlg/COG8Nnfpx81 +Ed5k235nNGGXYxfEsTMZnCdv0GSw02Lm0+2zGLSdtuSSJ+GE8Fd+MJtB01yd +czsI73/AW8Gbw+DfRe9OniDsPJbZJiFcU+d/+Brh5UaWD8PmMvh6HbcCVAZK +VozesnkMxnxv3pFB2N/d/JrFfAZP7L2yqZrw1/ARrl8Ib1Sct/o74QsVXqOe +LmBwTqiyp4qdDG6xr2C/K0P7DzK/fJdtmr6QQeMo37k2hH8VO2bouTH4Z+BA +l2mE67z3z6wkXB1f5biU8OGR/f/cWsTgKzJathJevxryN7v/73mRDBwHluSP +W8zgsRk9hlwmXHKrjwJvCYMbih5bPCLMGGfNySY8e/la0VvC01KnZEcsZXBk +i8i4nPD1Qr8d3ssYuv6bjM+E7U5DlzP4+9dxFZ69DNbemT7pN+GqA/Zkysng +kY7iqjceDL5Q6/w9hHDTzKTEU54M3jx375sj4ZiMNUOWrGAwSMBNEHI8P4bk +WnoxuO6WYeMGwqXT9K9+IzxraGblAcIWjgPCnnszOOLp/qILhN+u25V0YiWD +hg6js+8TNphj2NvNh6H9mgzKgzT8+q1isGLu9fQiwi5xG4zbCaeXL0yWElZf +P6U+bTWDN7w14ns6kHq8+kbJ8TUMHv308q4B4Z1u57sWrmWQhPsbVoTLflo7 +9l/H4Ezu9BFWVNnxtIPw8KD6c/MJD3ENdEtfz6C+TkTwGsI6j9zZkA0MdkXM +PLKPMO+bnsmSjQy9vyuDHn9TxlptYvD5veSdtwmHPll2uIvw9ZGbNqcR/qqr ++eftZgYPp/Vbk0e4r1LJlbAtDK6ZWubZTHj52Y9rvbcy+J9c0OT4nv9cYePL +4DC3KfN0JhGfRaw70nsbg3q1v10sCN8ca1uYS7hzTdzk8YSXp/nMitrOYBmZ +vbMJz3JR/7F5B0P7WxmE69i+td/JYFTf3CG7CdtM/fZS24/BQ8FHLEMJf7eY +J60hvNpwgjiacPAvb7tHuxh0iWw3TiZ8UW3M8/27GZTfniN8Ob587dw9DOo+ +WqJaT1j5v+WTWH8Gf4zV7dNF2BnypnwnXPryHamAMnj9zXH7m70MPnPZ+92c +cFXDq5zz+xj6fQMy6BPi6bY6gMGDS1saXQjf32atPi6QQZ+mK1UehD0tRsrU +9jM4fdO84u2EX40J6qokPLhLOec44RDnMcPjDjD0+QoZ3wrzzx84yOB3lW0v +HnPbV5RaLjjEYPGZgSkfCDcbaDRZHmYw1YybcaReyD7k/CZ8NZob0OR45gxv +kRxh6P0A8nk686yjjjK4MqnHFQFhRUW7K9uCGJxmlxg2gjBo8MZPO8ag9fu1 +IU6EWa1EHv84g1pzxEeXEP7QvezvF8LfSgsDthC+/FGXeX2CwaIVJ/yOEF4T +UOMbfpJBMpi3XCLsJS7pWB/M4JVt3BWWwaB0lUiHEAYD/95b8YZwod9hP8NQ +Br25r+sg/Gn94gApYSctI1KiSb16dykh/RSDVuGZ//WdQsbvM1eD86cZ1DQ/ +MMWE8Nsjl6PXnWGQq6ZDCDuu3+vpcJbBQu5yEP6QrPKf0TkGn6ZeH+pGeMSb +Od6fCF+a7DZgA2GFTyvvvgpjMECiQbYog7nhrsKL5xlc4frK5AJh3uiR6Zsv +MPT+iQyuOWidcgpncODqIWovCK8a2xUsjGBQvb2+TxFhj9s9Un4Q/ror4k8b +YTdzW33JRQbze8/60WOqDFrs7l+OvsTgkxN9P+sT3nd76zz/ywxe1E9pGkjY +Q/nimPlXGNzHlWfCiQY2/1lfZdDTon/JPMLHQ2eG9I1kcPLDspzVhEvhr0Il +4X/fb0HGT/usq4nXGCQ79/IM4VOHFq4PiWLwi3N3SgzhF6X91qy6zmBeXlzC +M8Jale/P2d9gMHGxz/1cwpsPzv9iEs1gBHc7l/DnjBK/b4T9N+Re6SZ8P8Vn +uOQmgx6dR85rO5H/X6FlEnOLQRIOQvsT5lfWD90fw6CFcsfRcYQzXX5sX3yb +ofebZPCubL501B0GP5ks3eVNWPu53intuwzmXtfduotwm62jt5Tw40HcACTz +69j3VW/vMRj+eK/XDcJvlcdeirr/v/cLyPY1GIW9Dxhc9rZlvoTwzj/pp9xi +GZw06+qMOsJxDpbzRj5kkDt7Pwm/n+czRTuOQRViN/VpMgiID10lIyzj4ith +1ebHye8fMZi9ddswW8KCQ83jb8aT/NfNCY6Mz/5jP+9PYPD8oWpzD8Ljt6bm +LHvM4G6NMNPthN2MA+vHJzK4VH4DnOSL3HALkyQG7UU91SMJOy03uthJuN/t +xL6PCeeEaEwseMKg0vB1f98Tjh4VrBv/lEFpsrizkvACm9tGp5IZen+OzJ8t +m2dsTGHw0ccTzUrOJF/V/Uz4L5XBsPkONXzCUw7Odhn0jEG/ys6S4YT7LD6p +p5rG4BLu8hKW7UpTbyVsJ/+CChm4//5h+x4ZZP2MXm0mHNJz2pmY5wwq9pKk +HiaclPJGeDSdwdZjBx5fJPzNY3+FzwsGJbpjHjwk7Dkq+OPUlwx9HigD8aFu +qcUrBs/1u3G1lPDCG1Wg9Jrk5QduFz4TnvRt2otmwu62mqf6TCfzseq/He/f +MDjx+asgY8LhJX+W3HnLIPdtJIMJa3mu2378HYM8rtwTXtrz3vN17xlsWcQl +VJKHnLPGz/jA4Me6iHXrCSeWNrcOyWDw4bpZ3vsJ73FTzdD+yND7mTK4unNa +RQfhHXtTFtwjbPftsbgwk8FFiptnphMuP73iwhMJg0BGcyHhuf287S9mMSgm +aaON8JfDmWZ7sxmU3/53If3A5diBHjkMNg+cOlyfsKqbYK1jLoMZ8dyAkcGu +hEGVFnkMxnLxj3DPx7IDqvkMnn7tYzaPsO8a38WfCctvJxJ2+Jznk1fAoFtR +rvpewrtXCW8mFZJ+YvlR3hnCRX3XGF4qIv1EywSFGMLPe75NCSgm/cSWjs5U +wq7Xpp3xLmHo83gyvm36XnEuJf3EAU5IMmjUVy8fUkb6CTXOwKS+3fX9T7+c +9BPn3pdq/Uf6Va+Z7b8I+wr25fUjfDf14YfqCobe/yV5buy9wjeVpJ8Y2vpq +JuGCDfMN7lcxKHh69ZkX4evfMehMNeknHOYn+hH+tU1h2K4a0k98UIkNJvzp +moWaRy3pJ+ZygZfky1Eupk51pJ8o3xb5hLBXd8DSIfUMhnhzFV8GQW9KCgwa +SD/xqfpULeGorWsC/xJesCPsWCfhzbIpHo2NDP3+HtLvWh7YJmki/URQzz1i +wl79R6QlNjPYQyfJdxTh+V/XjLvaQvoJbrgQjo4E2ZFW0k8w5iuXEz6z4EXe +pjbST3Bfp0P4tZ3KZzcp6SdGnnQ9RtjlwsCJk2QMkjBEKowM4q/Zvhr0ifQT +pLokEO5zf/Jeg88McunvPeFc/dXre3xh6P1yMt4mJZ5qJaxQazSig7BKjH1b +/lcGa9dIrJRmkn7thXAXtpN+ouMAyydca+YNdzoYvL1nDGmRZJDs0n/0uW+k +n+D0QTisY4dPwHfSTwTf0FjM/X/aho9rf5B+wpAb0TKw9RH6uHaSfiJSs8dh +wp1xV2wn/WTQZMDrnxGEX27sCUO6SD8Rt+trLOE5/ot3mf4i/cRY7gKTvP3y +lVTxN+knXjbUlhB2GTXz3DfCMS4Xyz4R7luk5VvTzeDxgllEcTKweiQIkvwh +/QT3uIjwieqT+Sl/ST/RlPLamrDhka0LbiuwOIqLk4SbCus1zvdg0birf5Ir +4WnqnX8O9mSxO7A8dh3hN1uR2dqLpc8XSJ5dPjfAozeLRG7Xwghft3mnO6sP +i7fM/oTfJXxx2YhK6MvisehHp58T/up+p8qax+KGwavILpL699DBiK/I0ufX +XL+tfVRNiUUbu7w9CrNlMPm59ZBuwkbvj27TIxz19q66VJlF0lxuGEA4Kf0a +U67CYhXXXhLe4dtv80dVln5fFJmvj1x+pKqxGC1dunAVYRV36/j76iwGka35 +E7ZYVHHrigaLXLU/TfhczJb8EE0WZ5E0fotw0/TeYwK1WBwhv2Etg0MLogu2 +aLNoEN46IodwctOGO146LP4SRw5qJPzUanvSAl0WK+5wDTDxs3tOt5Mei+kj +VAVac2SQ9ixs1zh9lj6PkYEwunzoYAMWj0zerjmWsN6Wp0KxIYtrueFHuMfp +SVP1jFic4VrTw4vwxn2nbikaszi8OqxrJ2HTF0/tfhPmvu3sJOG/n3J1Ppuw +2PW1Z1sUYdeYDn6dKYvlu5LqkggnXh7uWWTGIvZeX/6RsNKJm1UZfBavnzAv +qCF8acLKsOcClr4/QurPsaOHHwtZXHPl5BvVuSTvubIP74hY/M9iEooIt4fN +0o0Uszj04c+kkYQtndmH58xZ1ON0RDhqb+Lh4wyLnencBSHXf6bgfCDLYpkz +17HKYFHTlpod/VhMy5NEBBEu3p3hvaE/i9cWHzxzhfDQORNZbwsWDzWMORFP ++Htqg9liS5Y+vyL9sVnhzLkDWHTpvOFfQXhDlcUz54EsDglYtL2dMAa2ezlY +saijrEVaahlknfxv6thBLP44xRV8kv8uzvIabs2i/PEi4fVzdFMHDmbxGRdP +CRd535/BDGGRu7ruhD9eGGVmNpTFg8S+mwj3S33D6g9j0Yd0R4cI/3mwbZXG +cJZ+P5kMnix1b1IcweLgWak2sYS13oZc62nDonbJZutXhM3bB57/Tfi7Bxco +SV766fju+0gWi1vLBZ8I6/X8YfNlFEvf35LBXvsFFa22LF7tnkoSuQwy/vin +N4xmcf+hP0rWhGOPHqqpHsPiSq5dJXxg0qEJ5WNZnHZ+1a8FhOdeOVdQNI6l +z/tIvjPMup03nkWt23ltAYRrJzgnZ01gsWMY17CS+XxPqPgRWCxKBjKkSf7X +XBX6biKLyZO+FSD3eaLxC17bsXiF+/o/whtvJyx8Yc9i4HwuAcqgO7D1PDqw +6FWp9/wv4Zunfug+m8Sik8+HJ7oLZFAp+fox2ZFFqy/74iwJw8TvL55MZlFT +/oCRXN9O4x+JU1hs54Yz4Z5mfisfT2Wx4FjkRR/CVVVWeglOLD7VXXB2D+H2 +Q9MV4qexeOmS6slThL2Xf7V45MxiAPf1ZISLs0cFx01nccWD7SRxyGCd08jh +cS4sTrEdtCObcPZUnl7cfywOfF6zsYHwrGUvR8TNYFFdfgJlUDH48Km4mSx9 +Pkrm78pV1o9msZi/qNcilvC+VwcU42ezyM22MYSHGbcLE+aweJHTG+FpkzK3 +P57LItetriCMw4aoJc1j0VP+gInkp7ph1U/mszhZkTMcuX4+n9uTF7A4IHTS +4CjC6eWBjs9cWVSTF2iSb7f0LsCFLH6+9kD4kXCoT/CNF24s/T48GdSpToh/ +vYjFxHhj7R+EdXBAz/fuLEaMz1JWXUj27+uG4I+LWfR/fbCXiHCsuYV79hIW +l88Y+9uG8Nr6dT75S1l05OIu4bSiRfHFy1i0WM5VVBkcfKA6sWI5i6ryAEjy +r1WESq0Hi582a1UeJdwoEhs0ebKY8+t14WXCHltTPKQrWPo8mfjJ8KDsqxeL +F9SGvXtLOFw77GmnN4t7zjU+LydcuFPtzZ+VLC4TXHr6lfCvrb10+6xicdKt +2Y94bmQ+jTsTqbL6f+8vy2CAYekG7TUsKssbTBn4eLb7G61lUca1v4Q1jnZm +CtexmP3B4twiwqf79F1msZ7FhLkVJzcSjvIeNWzIBhbPl3MvBMlgrPMtR9uN +LJLmaF844UeeW8MnbmJx6ac/Ox4QfrspcYjTZhbtd8Rvekk4duoJxdlbWOzX +Y/XqYsKWH7XEi7ayqCS/gUWu77dle1b4stimzY0YGTx8d854/TYWs0j1MyTs +5in5uX07i1w6HUS4XtrPJHAHi2Hc7TDCR6Of+B/fydLn76RfKItiwvxYXJy2 +bNRawss/91C7totFu6n6pASS8znv86h7u1lk5AdMrn/osRtJe1hUdAsQ3SH8 +bfTneS/9WWyt4V4IIefj5xinrL0sStZwe0jy8rEje8v2sRjXEanSQnh/6rfO +pgAWyWTr/Zdw6YYrCd8CWdzZV61bx5346Oy1hJ4HWPq+Icl3w0x/ahxkcSJ3 +e5ywaKnlPrNDLJrLCyrxo2PjtIGHWew7oLZyJeFc8ZaFo4+w2BJ3vmg3YcHk +ljtTjrLIPe0LJdystdp+fhCLD1/2eh9N2P2XhpnXMRbPuDxJTyZ8Mujn2K3H +WdxesD45i3CQ26Qr+0+wuGgpE19P2NNIfcrpkyx9X0EGjke2Do8KZlEsD2zk ++HadXfEohMU+XZMuM4RjSk6WvAhlsSmw69xowieu+p/NO8ViBhefCbuXBp6t +P82i/PUFwnF3n5R8P8PiaTOTgB2EI53svBTPsbgtOmvnCcIfukbZGIex6Db4 +0OZrhNeYpkyzOs/i+KSxaxI55rfdmHCBRfnjUMJbDzRMnhXOYm9ytNWE/9a/ +G7QigkXORt8Je8ji3bZfZPED6RZUlhCfub/+GHSJxfsr3kwWEq7tbXb48mWW +vu8rgwcfCw/FXWHRl2unCRfE6X18fZVF17+NQ5YSNnj5bWFpJIvjjlyy3Ep4 +Bxs26PM1FgXyG04yWKxhNLXPdZa+30HGS2Z4jPENFhvEz3QeEV75cuzsIdEs +vruzRfUt4QRvE/vJN1m8N8KyTznhn7Bwp/stFkNSK7q/EPb+pNu5OYbFrdzX +qS4l+cBi/bOjt1lcIHH6ZEJ4XcKBjKt3WBwj30EZBG/eIU66yyJffkObzOc5 +q1Byj0X5dCP8cMn26Mb7LP2+TzKf4tLz/j5g8e2u/PcHCLP71jgbPmTxTu9j +Ly4Q3qJxSnloHIvBJyam3Cf8O2W+9rRHLG7W/x7/gnBDV7GHZzyL8+Vf6Enq +0cxxv3cnsDhaXgBl0Mv9csW5xyyaPtS/0nOZDKZKhMoPE1lUGJMRZkB41JY6 +/w9JLNamB4RYER7ST3l8wxOWvg9D8kpZ3KQeySzK9UvY/Orfs6YpLJ5YfM1v +DeGAUK2htqksbmpYsGUf4fwGJf25z1icu0Ft7VnCF9qUHDemsWgrD1ikH+wz +/NlxZJEbfWmE796ODoh5zuJfkg7yCO9ecyj4dTqLNaR7aybcIm1vrH3BInd3 +7Q/h7TLNYz1esRjDvZ62nOTviX93CF6zeHxQb3LIpL5/rHo4/g2LGx8/GTqe +8OezhTbub1mcw8Vxwt0P+/TZ9Y7FkfIGjvh9xglB+HvST8hf4JKBWULIwScf +SD9RHKwbSthjwrBRxRmkn/BwVIsmfHTpmRE/P5J+orWrTzLhhKj/q+nMw2r6 +ujiOVJIhQ0KDDJ3hDuneSynDSSESyZxZMkTGylz5JUSGqEyliIRkSCmVWqYy +pEIoioQkdZIGCnnX3s99//w8ce695+y99hq+a51i3z75ZhDnfa01H3lFwqAe +NgVmav0QxoeWxxvmPDODNbsNvzeTf683a8D25xhPdH1W2cVNFMoHBUaeeoHx +BE0Q4XnoZbckq9AMevcnFTg8j3slb/zw8v/9LqIQMOLfK43XZvBeGffEDbna +fEwgU4TxRPq8+5uQxUlxfhOKMZ4Y0/32fuQu3Z2yPd9gPPE0J/kMsmanmXND +3pqp58vi/vpUOTKpxAym0Avi95nPrSkqxXiCJqBx/bgMq/7zDuOJulPHG5Ej +r41I7V+G8cQWUvERhZt7XJ+P+4DxRDt6gghdWxJtPMvN4E5wZsAQZOsxq+sO +f8R4gqTrkC+mX25K+YTxBNm+yM/zwh3ffcZ4gnnv6YU8QnNSTfsvZmq9Fa6f +f03vpJVmoKQFLlEo2ZTab9pXjCfQW0tEnj765tWtVWZAoukc5Kzx+odivmE8 +8Xzl+BLkxWEttx9XYzwxl1gstO9jgkfU12A88emltZY7nj+Hqzsa1WI8sTpY +aYhsVWDPj/1uBiubbKUWyBNW3zy2pg7jCeoQ4f//7jHz+A8ztT4d92vLzqV3 +6zGeOLxYfy1y1TjJg+oGjCf6GHQJRHa8HOBj0GQGb2NytU4g7+x7Y6PdT4wn +pCSjjfY98sPD1b8wnkiywh2C+2fmEM8TzRhPjKz5/hrZeN+zRQ9aMJ7Ijvla +jfw05P35ut9m4EQDLvR/ygJHmfzFeKKo89teyJt0KtmJrWZqfZoobEwZvGTz +P4wnSDkPuc/1gO+xbRig7j2yc5F2wYu2DNz+/fH2SuSFkoq27TQYOBN44qY/ +sgaM2W3RngEqj0Le+dRx/kJNBlYcbX/hIvKTmH57DmoxMJEmdHB/x1dpZGoz +MPjC2hMvkG3XvnhR04GB7gqzI5XI6w+3/WnckVHPM0Z/5lnk6sm6DBTbhezs +vkwUgnWfWvl3YiDjydjtLLKpVdqMa50ZOD39t/cI5JQV+3M+dGFgJwn3yd+f +rTrQQ49R94eIQsbtHXFjuzHgSBPGonD6eL3+5u4MEO85BPl8ZXXRpR4MdGu7 +Z0os8hGX8O+lPRkg2ec0ZC5Mf363Xoxaz4f25eBRk7EGDKRHxNl8QtYaPnro +lt4M4OGrakZ+mTjqTEIfBgISusu6LBeFEGmqW3lfBpZSAyMK0b9ebTEwYoCO +p0bWD75f4WTMgIyk/5Bz1l+6GGDCADUHyGtdE++m9mOg3jVKexMydNJS1poy +gMFvm/3Iwd75P80GMJBGxh0jFx0b1nP+QAZONWTWJSNrRK7aEzaIgR2+3lWP +kYvvH3HJNWPAnToweP+8iza0ZxlwoAVvvB+y5d9GcAxIe4cX6qzA+G6/R7oP +z0CXM45PTZCrpnb8eEXCQB3fJluFfGu+t1ullIGXpByA/Ojic+sBcgaoe4A8 +atoUj3nmjFr/KApR4b3rjg5mwH/Sqwt7yPWezHz2zIIBNxogicK9dZYdOysZ +GLdw9MnryAEmHyPGqxjgK5swAsbz/FDQ7sAhDHSmA8/x76emPoahDKAxDfyO +PMx+9fK/lgwUBhj4anrg+Xiy/QKbYQyk6D716Ysc+37MlU3WDJwMC1gzGNnP +c87sZBtGPT9bFDxur59XP5wBGi4gt1mbkqYYycBYjGbWIF8bNdtr3SgGuNTZ +LjuRyzr+t/uqwACpBhxH7vxiQYNoy0AtkdMhV6aY3Da3Y+D51C3D75LP+11T +tsaegZtvzYe8Rp7etmXh1TEMnHD/JKtG3jN5nc33sQxsrzlh1nalKNTt9Vun +cGDUelFcf9untvMaz4D9v/YGUmRrvb7fkyYwwBK5AHLkVA2bn44M0PQBcsCG +EWXWTgzQyyG73akv3z7p//2RojD0xiw7mMxAUnzIj1Bk9A5aNaYwcHzIuG8X +kHX+vjcY78LANmoQRCGvavHB/VMZWEgLSPj94ya6PZvGgB0V3IjCkBm5ob1m +MGA22yjvD/I8mx4D581kQOfDs+xuq/Dv4KwXM4uBag9SARaF217X51bOZqDg +xwhcMrieNFdoDp7DwA2STkTOczzbeeNcBqh5WUXqvaHet+cxsJU6HGgPG5fb +aC5gYD4tUKP/nuqycNJCBkZHPww9hyxW7fgYvohR62vR3hkwOe8WM6B9XbUr +D/nRt/UduSUMfLP+6vsR+fmI8IT17gzk3Y3a+Au5m8ada+lLGUicSDwYjFfn +MT21ljNAdscA5IT9Ta+nrGBgCw1oMP764/YnwoOBeVQAg+vF+tR/X1YyQN0N +5LHNr5arPBkY+Ou940ZkE327q/6rGXU/E9rDA3qzc9cwUKUzccRp5Pggb7c+ +6xh4eqTN0GTkyGmn85atZ+CaIalwicKxxdmRSRsYCDu3Ck9MUTjl1OdpO28G +aHsD8p6XTxa6+DAw9+YrA53VaM8+6c04vZGBUcJ+PRPkzXsME2o3MdD/4Wgd +FXJWo8kKYQsDmqTciHxz/+Tdh7Yyaj0y2otnpdpl2xjIdXOrX4/8YX2bGgtf +Bq5+M6jejTzarmpogB8DR7xJRQX3j21++Qt/BjbShCzG3yEf683+Y4DKL5Fj +/5vrsTmAgZFdxbw3yA/3rrJ/spMB0+Nnc2qRe40fH2Cyi4H2/V2h/Rq034EM +s2E3A18udrnVBznYYvjg7D0MUDkX8rBl6af67mXgCpEfIG/wKFm/dh8DNB2B +fDLuRfz9YAZ8aMEHz8+UVxP7HmBg9kxiETDenGs4dd1BRt1PiNff/joz+xAD +/VZo7r6MfEwx+ojxYQba1aX53UHWTDuY632EgYot6zCEw/NtR9Pq3FAGHrVj +1n1D3tTn6sZB4Yxavy0KBWNrK7YfZSCkJ3EQcD19r8l8eYwBL1pQFoXJFuWt +5icYmEXkSMi9HDTigk4yQNOTyDExQSnlEQxQc4W8s+SixchTDLS9YzTSD9k5 +Lar78SgGPk14PjSUfJ48en59NAMPn+8xv4CsmvGxm/MZBuLnjmRvI1ttOzs4 +PoZRvx+A6N96p2ifY2ADFaygPcvbcsE9lgEM3rr9QS5d17b93fMMWPuTDCWu +z9Yvj/pdYMCoA/nFolB4dlmL70UG2tCGJvR/026Gl1xigJYrkGc97hY5/DID +1H1Bjtl7vXNEAgOXpNGftyAfLMmvarnCwIEkkuDA+PrledXca4xa7y4Kz26u +q0y/zsD07Kz8VOSs2uU6xjcYsHImCjb0B/vlHPVLYsCwSHKnHPmC/qOQsmQG +WheV3fqJ3Dn/wk+7FAbKSTvMelGI2HLmSWwqAw82TIzvj5yyQeykk8bAxd9t +zlki5zk8SfdMZ2A/KV8i773pVVSQwQANZ5A1EwYuGZrJwDTa0CIKbR0N5p3M +YsCy3+vd+5B7le679w8Y6Hthv380sliRdmLpXQb+WpAMjCj49Pn07sk9Bsi3 +e4TcbbZ9qPIBA/eJXBf5VYp+yolsBoh3X4982/+4Y9uHDARPJwUafB6czmSP +RwyQ7LgxcuSC2DvPHjNAqpdK5K+tl2Jtchl1fwB+Xt/ZLWefMkDTG8gVDVVZ +nfMZ+EN+LnJPzZimTQUMvN/rihE/2rPG9KjyZwzc7d6Vi0C2PrspddKL//fT +43lt2nPMrUIG9g4iBWBROHOjYLTZKwZWJwzu/gb588vq64dfMzDF8nPHWuQB +lhfCWosYGJJ1UqO9F8b3KXZVq94w6vdRoP+04U9i8VsGWgo0G+XI+sn6tQ6l +DLwj8iZk17b5UTffMUDTncjD9DzumpUxEEvMH7KkYNCc8A8MBDUQgQna96X2 +yzQ/MrDK93DBUeSOMq0vPp8YcNZywJBfFLYlXSmp+MyA8tCfO4C8I8fPYfYX +Bnr1Tkx7iXzIPZV9XMmo+ynQv757yndEFQMlvPHlf8irnddPuPqNAUh8fq6n +N/6e6z7BA2oYODucRDTor+TU2B8VGdhD5I7IX88beXX8zgAtfyBPjh7bx7+O +AeoOER522bLhBwOKhQvQZROFRGXYE48GBnpW9thyBPlg0Oii940M/MLVEoe8 +dfWveTN/Mur+V/TvJv91ffqLgSyMtp4h9y4Myx/TwkCMLkl4oj/xTiMz4zcD +pFrxG/lS8CGzoX8Z8DCeMUnPRxTmKny1rrQyQNunkM3dui9m27BgMRhG2SCf +/RY29ExbFmg5FLnwlWOgoQYLNDxCTl2xddLR9iy8eVTGbUFeMWDl4W5arLr/ +BOOvO4umHNBm4cxbUlDB/SXE7tPRYSHQnVRY8XkV+tnu7sjC8pqb6LGhvW8Y +skGjEwsTN3q2L0fu79LV9L/OLFB5N/Kb4hmO/7qw0D3odaPuRlFwz5hS56vH +QqPeAdEU+aL7eP2/3VgoPmH3ZSgy77vr5vYeLGQQeQTy+NopL//0ZNXvP0H/ +/2P9et9eLOwktw9Z627K/lYDFpbd7v14L/JcZYXZjj4sOI4jHoIoTDN6NLKd +IQvy/J3pN5Bb4i4VBhqx6v5z5JEvq7RNWGgoEy+XIr/fE7ojuB8Lrz3Oxf5A +Xr7O4mjX/iyk/yAOPp5HA39KwwawELWNCELwPNJT2fUZxKr7dUTB5fPg4igz +FpYSuRRyu6PWdYNYFmj6FHnKrMh98RwLMmJOkd3PnItVSlggu3cX8o3B0XZp +Uhbo61OQJ7ctXmwnZ+EVRr9XkZWu0RpPzFkg2cn7yOGZAjfdgoVTE4ngDPf/ +X+2CUgULtLqPnGE27vdyFQvu80vGaWxGf/fA+Es/hrDgUHGYKIwFnWfzS30t +WZCsJRUL/P8FhWE6w1joQuSTyDcT/xSEW7NAyynIlhWDjgwYzsJL4l4h2/Q7 +VXR1BAupR0iCEuNR1+RzI0exEGFIBK243l7fbnoisOB/LqhTPLKJmXb+nNEs +uMlHaQLy3p7l8io7Vt3fJApL70T32DqGBV4gilZR+HLo8I6O41jo9HBBbSvy +CrmOT4QDC9+nkB2H1w+ZXSebwMKLYrKgMR5qedaY6chCCpFTI29qzAlwcWKB +lleR28w6cPzTJBb8SLiFbCv4WG12ZmHx3+h725FP9HmxsJMLi1H0jIzDyK2R +Ld3OTGXV79sRham5wmTL6SzoHoeEdOSMpqaeuTNYqDUlGVlR0E31XuY2i4Xn +F8mBKQpdmzvbN89mIVlJCqyi8LPWID5kDgsnSDvAVrRHppVR3DwWto9xQhON +/tn8QpM781lY9LRtgDWyebZSOmchC1Rugfzysev9+kUs0PQLcj5/uuqAG6vu +B0P/om5eFOfOAslGHEAO8v/y/t5SFp5tIQ65KHTYeezqwuUskGpeCvLA3/c7 +/1nBAlFb5G4l9u1hy/GVrLpfG59PSfNGS08WiFq5CRneJgYUrmbBjuljrbtN +FCy2O5l4rWXB7GqewhQ5f6apY/f1LOgMC5QMRb4yK0grcQML1UR+hbws8920 +qd4s0HQs8mYTP2W9Dws3iHlGzlh4JyZsEwtH587pvBf5fqZGrOUWFrZ+6qoV +hTz+6Wnr4q0szKcNw+ifbWhYtH07C/jlfuYgf/g2x9DUj4VB/hbfS5DLcy08 +7vuzoN2horIOWbfNC0eP/1j4FhLxQWs7nl85UdBlJ6vunxOFJel1j5MCWbge +QwSoGN/n8Svn7mYhnMgxkUc5xka1C2KBlmeQHz27u+LSXhbmEXcNecPiDw+n +BrMgZJckByKvc16U9Xs/CwOcj1w5gTzWKdop9iALWkUOcVeQ5Uta1zmHsPB1 +EVmAeJ61lMpaDrPw9CspWIjCxsKoHbGhrHpeAvovXWM8XcJZCPttvK+dryi8 +HjSh7u9RFjYFvsCIGs/3msYu8cdZIHdPhrwtqmP27JMsjCLybOR1j7/20o5k +gZZrkWvcmtrePMWCJgnfkEemhu5ZGs1CpQU54ERBY6vZBf0zLJDqargv8Qe6 +umfHsHDV7rHzJeTWspvpm86x6n5DUfDK9r/Kn2dh43SiuMDz50S+UBLHgmtp +FUZwGB+KuqsPXWRhxLLTylZyvbSTVvbxLJjWzpD28MP7PbM8+udlFmj7CPIZ +3wnnL19h4Usb4kCjP+lsNdHtGgtUvoH835Qeh3onsnCFpHOQ564YuyH/BguH +yeNF1vo8tHF3MgukuzsEuc3WSb2FFBZmJzj9ikXuPrrx7c9UFoZbkogB/dm0 +o7bX01jol5XyNR9ZkR8xblUGC+3Gry7/hNz0dI1olsmq55Xgflu7ddiHLBYe +uRJBlyjMLuTNTt1h4XL5gdyByOf2VCa53mMhZJX9g2HI930HVPZ6wAKVcyEX +64/JKsxm1f2ZomDYN80m9CELNsTcIy+HujlTH7NgfKgPeqi4vy7NNu2ey0Lb +3vmnzyBzlfOCnz9l4dNpkgBE+9XgGRmazwJ9HRWyPO3ntBnPWIhPrN1Xhux2 +2DPR4AULB4fH7mxEvjd6eOqbQhY24GruuAPj23aFS6NesUC8jX7IsDUu3a2I +Vb9PTBQe32XS2DcsGBF5J7Km+73FNW9ZoOUe5LeutYk3Sln4SNw/ZNvuxle2 +vmeBqJGCkKeuyZpm94GFSwGkYUgUqu9OONfxIwsHdLXtEpHHFw8+/eITC+vC +MmxykMNrPzucqmBhuvEGVQly5K2yiOWVLFidZ2V1yLMWh0cqq1h1P6soHN+z +xbH1GwutKeRAwvs/RuP84xoWPtiSExj3C3fx6rFaFh4QuTeyw8jy5UvrWKDl +X2SP9ky+qp6F/SQcRE7aWv25XSMLa91Nmncijze4cvl5EwtTa17UHUcOz/04 +8OwvFiw37q1KQNbQ0XbybmGhz79RH+8iR6UcNhv3h4W/pD0QOcO29VrvVhbK +9EiFBu3jvhzx2z8W7p9YiBYfP79lxfusthzEDdDP7oU81HvBzjANDvbFP86U +Ii+YN+ithyYHa+gLwESh7JjVF0GbAyoHQTY9oH+hlw4HQ0l6CPnYoBEmYkcO +6HJB3veId8zuxMHvWSSgxue7cr4sugun7v/F833bzAeb9Ti460EqAni/foTp +T+vOwfkfG9HCoD/vfMLYvCcHxLpUIk8K+1Sq04sD4v39RZZO+jWzwoBTz/fA +eGj/zL33+nBAsqcs8l7xP58zhhwYRLdbNALZ89hfwx3GHFB5GLKr5STfhf04 +eEfSxcj9NRtPCP059fvr8Dx8tGWD6UAOSHdFCLJz4SLtdmYcBE0kKxrPf00L +108MB6sK7YekIYc+Wr0ih+PAeX6zLB/Z2ujM0HgJB0ra4CsKwUEudw7JONCn +Dxj3N6/dycecg+afpCCA/luCY6+5FhyU7CACcry/U2LLRis5AJ1dHYYhb/50 +ehU/hFP3S+P9zMtJ6WbJAS0fBRI9f+yDFisOVhJ3EjnY5H74J2sOJsnnfgtG +PmZ5ncsfzoHiph5uYfw9us1+aSM56EkPEDwParkT5wUO8HB7+Rj5bl7eptDR +HLydQhpU0B7Ktxj+Z89BZnFFdgPyJWlK4NqxHMS4RWbp7MLzKaB30gIHTj1f +B/f3XvmlyRM48PAmGTaMT5V7lgsTOXAi8nHk3nop1RaTOKDlZOSyKc42A505 +6EEdVFE4cL98Si8XDmj7N3LLm/aKjtM4eGNKGuDQfsdOeNs6nYPbeLXryPtf +j53SMJMDYu2zkS0fHgypms1BIHrjb5H3bEiL/DCHU/eX4+/buNCneB4HE5+a +rNDcLQqCob7h8wUckGpjX+Slk7wOPlnEQTcaAIvCqZjuBQ/cOGgk7STIE133 +l4E7B1RegvzQdStkLOMgg6SbkOdbbVp3awUHdPkh2ypM6m6u5CAgWH/oceQj +Kl37ZE8OlvUkHXr4eUVvliWt4dTvSyT1DMn8pHUcyBmSQRAFv9JjTPIGDvRo +gk0U4svu3LvpzUGDFRFk4vmR5zD01kYOXsNMnV7IPZMfbcvYzKnnW+HzmF15 +DLZyEEXay5APW4zd92A7B1Ruhty4KmDaEz8OlpL0M7LHd7uGZzs4oMcRsuE8 +yeriAE7djy8K17VKMz8EctCVJvDRXrRrU1W1m4Mf2kTwLQr/jKxrG4I4eBWS +mvMcefgH8/x/+zi41WcNfEGOOBa0T/cAB/T1fshXHcT+vQ9xsENafL1bEP6e +Wx2Omh3mYEnSwUsMssTnvy+qUA4cRo7BkFoUDHLaGdiHc0Dlp8i9Mq3Mph3j +oAstEOJ5a57b3f0EB3XEPUX+ds2zzCeCA/J0DyFfjcs+GHSKg1Q8fc8h5wwP +MomM5oBER7eQzRctCrl2hgN/+sJYUYh93vrpwVkO3AK/r/iIXG/U07gklgNS +/f2FbHjUxbo+jgOeOpS4XpZ42Ohe4qATFSCIwq2tXfoPusyp5xfg8zvTUDPy +CgdUjo7csq7gzOxrHNDyNHLq9FkjvRM5OEnCVeQXB4Q7IUkc+D6JNA9GXqiy +Nb9yk4PFtCFfFCpU3XblpnIwplTbNJl83sxV2d/SOGBpwCoKjiM71Ove5kCX +CpxEYbt7jK48iwNxE+mwQP+FadRzvsOp38+J6/lWhsaGexwk7w1FDxDvh9XN +T+EPODjRfUKDEjn0aHRSWg4H20l7CvL5RCvvskccULkKcv6EUYO0czmwJ+kr +5Mh/Xg/M8zigyxl58IKTs2cVcNCRCihFIeG117sdzzmocdj38Bry9Zx7s+IL +OSgoEO48QL7rPfnBq1ecet4DxsfdilmNYg6OlV9Cj0MUYrL4HRZvOdi2alF8 ++2C0Dwe18xeUcrCgQf9cH+Sx/jb6B99zMJreQHw+u4KnZX7g1POgMB4Pur+v +9iMHVL6GXLP0Wnr/Cg6qSTob+cb3vl+mV3JAjzfklMaCznurOLjBEwU5fv/h +py0yqzk4mkgGKIlCt12zpjSIHJBqzx1kOJy9WlrHwfz7m9xeIR83zdy7pJ4D +W2qgRaFPXdfYyEYOBtGCnih0fHAQXv3kQJs2gOD55NaltFsLB6QbTIJ8PXTJ +70l/OMgj7avIs2TuRsGtHFA5K/Jl7S+2j9rwEE7KW8htOt336KDBq+djiEJn +WeGx8Zo8zA0rRosqCmkr6p7s1eZBoA6gKLx53qSVq8PDACoYEIUf+2FC1048 +aNEGM1H4mysNn9aFBzq+CXnku15Vx/V4yLVd+uc3+f4DF094352Ha4/6khSM +cLbXtxuMPg+hUwtqzJC1nY9K1hrwsOntrgob5Od+Y6+k9uHV89hEoe+mEkHD +iIdRNMAUhWm8bdlkEx76U0ES+isXZ4ZEmPLQnjawisJFc22XrwN4qNzT7e5Z +5FQDm4HDzHig4yWQ/aqKtINYHq6e2H7jKXL/WXn/ingejgxQoguN68laq5NU +xoNP/JdzP5GTIlfL/cx5cB1CFJmi0CPth/tzC149TwTP99bAG6yKB1MqeET/ +TEe/r99QHmi7C/LDxLATL614oPIX5JQOP5XmNjw8Jukw5PjpFl/2jOCBbg9k +TyPLlPJRPBz+EboyGtlt0L+zo0bzQNQRScgP1u+8EmHPwyz6g3E9OWW8bh7L +w3CaIMfr2Z0zdR3PA319NLINZ7XvliMP7aL74TdEf9Bwg77hJB5I97Qx8rw9 +0+75OvPw6No+KyXy4oFvwz648HCZtL8hb/ulEzxuOq+eh4jniVv5+cszefAi +6XHkSL0VX3u48kCPS+Rq3dPTts/lwYYW4NC+J4dUfJ7PgzFt2MDz5Mnos1MW +8er5K7hfPTL2Zbjx8Omn5d9i5AOddaP4pTzk7KhuFJE/H7N+d2w5D/E6MaJG +CPrPzjOctFfycPDIrC+9kTPKPb9u8uSBTK+QI1t6htz8uoaHGdRhw/3k9Sxp +3noeqDwWuUC0+1zgxYMRbQjD+G1C8/hxG3mg7jPy98UdP2Rs5tXvH0Z/6dCB +K0O28ZA9ZXJSPPLzsxGJCb48XCzWSABkp5rJIruDhwNut2JfIgfZ3HM7G8DD +um9roqqQI0v0u5nu4mE6DQhFofbi0pZTe3iwogIijGfbvOhnvI+HvrThVBT4 +J/4Bp/bz0Era45ED/Q8N7HeIByqXR75qxraPOcyr59WgPZq92owJ44GG08ji +hH1B8Ud5IGqVI8hN9+LMlSd4WJteMC8OOUxe1zstgoepNOGE+31/6Hj7KB4s +qUAR49u9tzOfnuahD21oFwWr9dHbXc/yQLrZfyOvsF61syKWh7LlpOMKrx8w +vdD7Ag/3vndTmCFbngpZ1z6ehzgy3gC5596ZruEJPND2GeQRf94dYq/xsIZ+ +QVFwcXfXT0/kwYUmtEWBqRrwzTmZB7rdkFu9Z3evSOGhNx14JQpFCWP2+6bx +8PtKBwy50B9tx8/pdZuH91aZTbnIZpfG+17L4uEueNV+QLY/X9k08S4PsROI +YkAUAh46P6y8z6vn+6B9T8r+sTuHB09qAHH/fD62iXnMwxRaMBMF/ytdZ+fk +8kDb6ZBn2m4P98jngcrrkF9elis6P+fV8wNF4ddXH1liIQ/0+EVOenkhaPZr +Hu6EvEyIQo61NnJo84YHPIzO30C+l8d6XCzhYU+MbfRD5AGjzb5Pe8+r33ct +CsmqdaX/PvAwmRbk8f6MWaZM+MSDkjZw4fftsvz73C88kOjNCPmborB3pyoe +mifnblUgV0/tlnC7mocS0l6LrNU/8Mq6Wh6o3Jb8e9cwE7MfPJwl5TfkuKfx +rW8aeKDuOHKmm+WUIz95WEkDOFGw6xvTc2ILr56HhPFKz4WTNP/yYEEbRNHe +Hnn/G/7x0PPoZtti5PFtjvf3ayeBnybm1iKy9o/WrBGaEngb91GhES4KXeQH +iv5oS4COh0M+fum2Z2ZHCZy5NXmgHHlZZGe//zpLYBdpt0e2XP+n8zg9CVD5 +PbJtsa5Jpx4ScKKCQlwPj7OuvtCXqOd34v7ZHXU/srcEeix70xqOHBFpsWC5 +oQSaxEM/LyHXf2/jpzKRQPGmsd+zkHtDpFnb/hK43eZ3ZSFy09nQmQUDJUDH +1yBfOr6p+xlGAoHdyQXRv29bOseLl8BymoAWBXnCHIWDTAKOVLCM67Fk7zGj +wRIwpwMu0P8do3WoXiFRz49Ce9trTZ/cIRJoJPIc5DMabsPOW0mApuuQx9rt +qvnPRgJ0+yJ3DfW1WThSAtGu3U+fR942rt50lK0EAsqJwcLzye5wjIm9BJbR +ApcoPNHUvNNmnAQm0IYIUfC63ur7abwESDTdgjy4o+Lto4kS0NMiFQZRaLvD +5c21yRL1+9VFwV3V2/eEiwReG+istkauaxEe7JwuAdqeh9zLY3fS2lkSiCJy +PeQ3z+Kd5s+RwH8kfY+cNMXrkNN8iXp+Lp5veTF+IxdJYDxtuMLfo61hZLFE +AmTaQwpyw6GFHoOWSaDrq3/WuchPS3ev6OshgR8LSEYb2dHRsLunRD1vCz/P +yG+n7loJ3FpnOkj3uCg8C26O0doggcjml0amyO9zjm7T8JHADhpw4XnyT9m1 +3WYJ0HZdZHH5lYXttkmAyneRbwvVa9r7SUBCynnI7sXPR3X4TwLUvUeuj574 +rHOgBOrMieIR16OJPae/RwKFKblfE48T/erFsSb7JJBqS54I2uch66T8AQlE +PLJ6W4Jcl3nw7dAQCfjRhI4oVP34O2tMqATcqABQFIoXp8VMPyqBsbRhHM+3 +inu3l52QAE/GCSIfSzCO3xopgU6kfR853ybPMyRaAlTOj3xiw/v2F2Ik8IKU +95E3n17gcydWAjTcJ9f3mwclFyTq+WR4/VE1X5rjJeBLE8ai4HOIE3tflcAi +KjAWhX3n+ZfWiRIYQwdSiMJJh67R85IlQLLPNchuub8n/ZcqAV0y7ugkxvcy +/U9x6RIg6g0D5NXau5YUZEqAqOtkyLKLm/Na7kggmYzzQB7fqz/LPpAAbe9B +9ut+Y+2MhxLYTgtSGE/wyy/teiIBmv5DXpy6pTglTwL2dOCNKLxukPz79kwC +zIFnNpeQj/3MNB7wUgIY/KqykHff97WaUySBmigyoArP92k3Joe9lUAB+2PQ +V+TrEVeXFryTQNK1OONW5E3Hs/26lEvgmDVxYIh/OCVy8mcJbKUFb9y/rRcg +pFKinudG8g0WYuE3CdB2P+Q8VyfWsFYCZkT+h7xN6b5myQ8J0HIAcqLz5wcJ +jRKg7gHy2Cpbi5ZfEvW8WVF4e6j66vg/EkjcoVOShjxU7uZw4p8EjuqQAEkU +DvY2bf7WTgpbqKBGFEyMLubYaklhHm3ARPu7IzD5mI4UbOmAIlEI7j3qwfdO +UkBjmjIQuZ3jsuaJelLQvul4bRjy/b5Hpl/sIQXa/otcZDO3uIOBFPKIHBj5 +U9dF+1b2lcJ1Uh5EPgH2K/OMpUDDBeShtle2Dekvhc1UsIfx+hynO5GDpDCX +Nnjj/s7MH6nNSUHwJhGXKEzSra33kkrV8+8w/ixdWlFuLgWipmlE9i9qMJiu +lMLXLm7LO57CeDZpcXDOUCkQNXo/ZH+nTfYjraVwzfTp7CHI/85r2iePkAId +B4D8Rqt8/2BbKdD2AGTbpoqBl+2lMIcOkED/cXpDR6mDFGj6ANnSrXbMZUcp +9CeXQ2737G7h4MlS9bxntA95C24nu0ih8t09sxzk+fq32o6aIYUny7eYlCA/ +aso993C2FK58JwZBFL56RlycMU8KR7aQApIodGClPT4vlAIdj4Xsdzzo08Yl +UnClA2rwfNPONtVdLoURZDwI8qaI34/PrJQCbRdC7rraocJmjRQ0iHyI/D3s +qc+r9VL1vECM561Tt3v7SOExMS/IfWKsWntukQI6p+hwoP8/JuBvynYphEwg +BWq0jy8KfefvkII3bWgSBZ3pGwI0A6Uwa+71rGpkf/Zcl2t7pDD807LUttFo +n0zTzOYHS8FkNekQQPsc2vFJp0NSaNv07KIU2XHZj7ZZR6TwmYwLQv5q+vKp +11Ep0PZB5DNtjZXSk1K4TOSEyLmdhis/n5ICLS8gT+oX9+zMGSl40YZJUbjT +pqzH4lgpzJT28LuI/M1n1Z8BF6XqeeuisHzaq4NfLkvBeCSZICEKoSFJeVeu +SYGoTyuR0+ecydqcJIVPk0mFC/c/JywdmyqFnNdReGKi//XU6l7PDKl6viL6 +NwdHlFZkSeHgVyKwE4ULQ7VS0u9JgbYTI5eYzZgemiOFGURejGy9vi519RMp +0HIjcoeaS5WO+VKg4QfyeAfXL5IXUvgXHs7EIjsYlaR2fi2FchOigEZ/pJPh +4vo3UsiOa9M7H7n4ueant++kcNGCWGhRaJ4TNjanXAp0vDCyqih7b3KFFNbR +gQ+iEFISm3S+SgrTnrxqGYBsuc7u8UlRClbT99dbIQ/kb+Yd/iEFOl4AWbAf +mB3cJIVW0m6AnJKalBTUIoUPRH6AnDknKiqoVQo0HYF8vMooKLidDC6Qr4fc +TrXR57CWDIL3kgYBUfjTv3nlyY4y9TxKtA/lfz3Pd5HB1Ihht94jN/367J/c +XQZDB4nXG5DN5hhdyOklgz4JZy9hCCcs2qdfVdJXBn+Gup41QZamODs2msig +jIxTQz7/YupTvYEyoONGkH8mXds0mJUBbT9CNhqsOdlFKoN9RI6EzCibpm8c +LAOankQu/aI6HKWSgQsdACwKr55t1n5sJQOiNruO3PBlb8av4TLo7avpkY3s +mmKZLLGVwW/N9MVvkfP/c21aOEYGpJvuO/Kp+a8Dj4+XwV0DEoCIwocZp5cW +OsmATKPoi+wcfPVUDxcZ7OWJolAUdszQVc6cIQNPMn4IOcH9ARvpKgPajojc +9LFl5+f5MvX8TlEwjSycqHSTAS1XIH/stScwYJkMWoj7gnz17lTVq5UyKF3w +vHcCcieDwPnytTKALyTBIQret7w6BHnJ1PPJReFBzG7rik0y2NNMGqhFYfRY +8yaH7TJYFXDhd5tzeJ7dqLRP2CGDybokI4bx3wNbrtcuGSjCetRIkEeNzbsQ +sFcG+mQcGXLfbpZPfhyQAW1PRhbHS8KXHZFBCZErIw/cuLLTu6MyoOVLZPnN +e5auETI4S8IZ5LWf9foWRctg9yMyoEEUch7bZMw5JwOPqaTDEe2B/bCBHy7I +YNLbrMTnyKnru8xYlSADC3eSgcH99aVgVvN1GfTEb/cHueDlGUXwTZl63iny +qMRK03QZEO+eQRb62+1My5JB5h5SoBGFefGntWfflwEdV4D8YJVse/NDGewi +7QvIh3dO+xL1VAZUzoBsVxzqPP65DGh6A3mr07b0xlcyGEx+LnJIVZwi7q0M +SPfMLeRfAx+mziuTQdNYu7l5yPvbRczq9Vmmfj8A+heLvvcs/CoDMh3kF/Ly +/hd/hosyOF1GGoZEYYxHita8ehmQ6XoDkGcUmUxgfslg+Y+nllbI/+43PGj4 +IwM6vgT5+aZFgTlt5WBO2pmQZ66ICI7SkkM3Im9CLt9aV75FVw403Ym8Wbhz +2FVPDkXE/CFfUmyPHqkvV8+HRX+p+XwPpq8coq+RhkRR+J1Q3Ni9nxwCrMkA +O/S3DGBS+0FyWHp3cGM98saGPgNbODlMmPi5pkOcKOwS0n0b5HKQ0YHtuB58 +9i+tV8pBbz7pGMLzq2RfeZOVHOg4I+TpeQ//tI6QA21vRI7VWJOhayeHNCJ3 +RNYqTeGNHeRAyx/Ij683O6mc5PAfcYeQ3xufMp/sIgf3I4dvXEP2cxnwevVM +OYw3dLj8ANnWzdT5yFw5SHG1vEE+fWpsdPoiufr9HKLwo2RWwdelcviB0Vb7 +C3g/3wz5ZrRKDiQb1gfZbN/zX9PXyeFWDhHI4v1ZI7Q74iOHSDLeDDmtKUav +cKtcPU8Xz6vXI+WGO+SwhMifkctXrly8fJccaDkUeWmnUzdT98lBQsIj5C3b +3S27hsiBdJteRk4fvbJqZbgcyDSAO8hRnb1KnpyUQ2EXUlBB+zNgYS/laTmQ +aVrfkGdkSGJOxcohwpR4bLjetoh7u8TLAQ9LK31ki4fvXwdekwMdX47sOs3l +aJubchhLxh0iz/e6kf9fuhzo+APkn9FhBzvckUMn0g6BHP3pTGlYthyoPAK5 +y6y4HCZXDi9IugRZJyRkVuYzOdwktw95A+NxfO5rOZz4TgZCYfwkmx/eWiIH +3y3EQ8D9fu2Wa1y5HBa1SxErkJlRNd9nVMrV84dFof2SoGUdRTmwPQeU6V0S +hSCrUdn36+XQ8dTrIjPkyi+fDHc1y0E0Iw6+KChHb/Sa+E8OdBwKcr6tXrGB +pjkkk/Yo5OrzjbOrOpoDlUshZwQf/HdXzxxo+hT51s8VxWd6mcNCYk6R6/ys +63cbmQPZvanInu/fum4YYA4MHdhL+pem9XXnzIFEv+XI/e7ljp5nbg41jSSB +IAr8tMjSOUPMocCPCM5w//Cjfy+0MQdS3e+PzBxxv7DK1hyOhZCBlnj+CEUb +Dj+zADoeCXllktul3YUWsIC0SyK7esSO9H9tAaOJfBK53vqpatsbC6DlFOQP +nUzCtpZaqOc1k3lJ9Ut9yyyATM9JQvYvz7q286MF5E8mglZRmLah6/YDFRZA +pk++Qw6t3ZV/8qsFhC8iEyNFYYpXWWJ8tYX6fRZ4voQ34sO3gHkbiKIVf9/b +5PFFPyzA9vfhAUrkJ3KZbkOjBdBxacg2Tn6+PZotgLZPIz8dUxNn+ccCqoic +Gnlb7csj8/9ZAC2vIpusuj4uqJ0CrpNwC9n/lH7uTU0FhFm8aLqKPOZmsvRr +BwVsvhVUex95aZLbmn6dFDCXvmAB93ut7inXrgoY9aS+TCT//mwWHOuugAHT +SUZWFB76xZcX6ytAkx6YonDE0riTaR8FfF1KCqyiMLvvznErjRTq+dZob654 +RqT2UwAdp4A8YVxwT92BCggl7RXIORcS0xczCqByC+Q214pPZvAKmEPSL8jd +6/tmGMoVQB8H8pL1yby/hQJINgKQZ9h1FitUCmhPHXI8Hydc7jHNSgGkmleF +bFVjF3vPRgFPMknDnyjMenUhYdgohfp9MnheXym1ShytAKJW5pGPftZxthir +AB8yThU5yd+/NXG8Auh4FeTqsjQXGycFjCDtVsgpZ5dMz3ZWAJVfIS/aMKn7 +rGkK0KABvyiYV2w+Vj1TARXEPCMznj2/756jADINNAPZZ7AvxyxQQIIBGUCJ +8Y6O7aTHixXqeeB4vm3pu8prqQK8+SuS38h20b8P9vdQwKzEJQP1ruL1Bc3s +Qk8F2AzvY2SGvDF3v/GBdQowuZ/X0wa5dbPRWUdvBdBxS8hmk7zdO29WwGfS +fol8ZVzw2pfbFEDlmMj9/UOex/grgJZnkKtdqyN8dirgEHHXkIPSRr+ZtEcB +G5q7fk1BfmK3NlIarICZAQ8+5F4l809C6rocUoC17rY3H5D3p/+r/HlEAcZ0 +AYpCpMvMIxVHFdCGFixEYYT+2r9vTirU73MSBb3hy21eRikgx5woYDBerox2 +fRmjADp+DXljctaqt+cVcJC0YyOfUiX99+WSAtYTeTby7j03LjdfUajnp4uC +dodRrd1uKGAYCd+QPb71C7JIUYAhPeAw3mvjNW9GugL+VZOCqCjoe58+sCNL +AUT9UopcedbNPPGeAujrTJA3tGa7VOUo4OKeFd7a1/F+1Q3W5XMVQLp7jJBz +P81cs6ZAAetOvFiqQB4wYfKhtEIF0HGMyAev7gzoXKwAOp4BWdJ0Z97yUgX0 +pQ60KIzcqVI9/KAAKt9Abj7sbmhRoYAPJJ2DrHl4rDS6SgH08SL7hcq36Ncq +4AIZt47MvCwxDK1XQHCZv7QYecVUf0nvXwpY40EiBvR3vK9mnPujgKk/qow0 +EkXh+8vNVcPaKgGDLf3eyKA/N7dQU6meN4/n+xOnbZs7KuEPGc+KfHB/pt6g +rkqg41qQh+tGnX/dQwn3SPsWcqaL66zQ3kqgci7krr3KR84yVsI+kt5FdpZc +9xk4QAnU3CPrdHzc9xejhCl3ndBDRX9wV+aEl1IlDJnYrrAQmdmf2C/dQgkG +NAGI/r3BorSLQ5Xwex4RjOL6tzEcdsZGCe8+kwEDuL4mvko5IyjhzhoykBbt +42jGNX6MEmLJuGZkf85hROYEJdDxTcjXckwD3kxWgidp50RO85zo1Ga6Eqi8 +E3nZx563B7sqQUUXDO6fk7t+rlighF60wID2vnFpv8tLlEDUSOnItYX2rs0r +lOr5/KKQPGHz4ylrlEDU/J+RjwXKTyR6KeFcTu3qFmTTFvdqky1KIN2wXZPw +/t75+y3cTwlkWsEgZA+LdDAIVKrffyQKN5Inhp3bqwQ6zg155E/nwyMOKUGf +FjDxeQ5x+/g+TAlU7o1s1GCccvCkEmj5F3nYT9ZmwmklZJFwELnTLsuIrueV +EHPMRZaCLNfo27E8Xgm7TbXNcpGffnmQAteV4HExw/gD8m+tOa/iU5QwSUkm +IJB+AtmJs7eVYEEdXvy8g8+HXLinhB5UIIHfd5f8/a1HSviZSxrqRMFphFd+ +Ub4S6HhH5NtxNqM0Xykhk4x7QJ68cc9SoUSpfp8B+rPLX+3YU64EKgch15NI +npZWKmEFSQ8hW+f13mtXqwS6XJJJv2NxQ3KjEgbTgFoUDNdOnzrsjxLoeH7k +swcHf37UTgWNkaRhVxQMdD/8WKmjgmIzYmFEwSUp866hngqIdTFEvnWpa1RJ +LxWcRu/PAlnrmElBgrFK/f4xUbiY4XT+0CAV0PEvyHXVZusCpCpwJO1gyOM8 +ffx3K1VA5WHIy17O5SKtVdCNCjxxPegsu3PPVgX0+EDeODL27F8HFRQ1kgGy ++P1VDyWOzipI9yMrWhRW3xiafHGmCkh3cg0yuHLnjBaoICBERlxAwSH7ydyz +S1Xq9z+IgmrSXPtRq1UwgT5gPE9t7B7UeKtARgsCJN840jlxuwroOCjk1uQd +U/cHqqCetIcifxxcbL99vwqoXBRZyhVFBISpII2Uj5BnO/x+FR2pAupOkv/f +XORTeE4FOxYdNMlCXtc0+a9pggrcv9rjFhaFQ4tvNO1KVoEDPUDQXt7q8F0j +UwVSWnBEe5I5ZHtEtgq60AYVXG+BNqpJ+Sr40YkMNEJ70LIpsU+RCl6G5/8Y +gezT4Utk2w8q9fv/0F7HuUVoVqngf1DGkag= + "]]}, "Charting`Private`Tag#1"]}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0.22500000000000073`, + 0.96}, {-21.244032180462987`, -2.9200562620360704`}}, + "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {0.22500000000000073`, -21.244032180462987`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), + "DefaultStyle" -> { + Directive[ + PointSize[0.004583333333333334], + RGBColor[0.368417, 0.506779, 0.709798], + AbsoluteThickness[2]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, {Log, Exp}}|>, + "Primitives" -> {}, "GCFlag" -> False|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + ListLogPlot, "GroupHighlight" -> False|>|>]]& )[<| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0.22500000000000073`, + 0.96}, {-21.244032180462987`, -2.9200562620360704`}}, + "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {0.22500000000000073`, -21.244032180462987`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), + "DefaultStyle" -> { + Directive[ + PointSize[0.004583333333333334], + RGBColor[0.368417, 0.506779, 0.709798], + AbsoluteThickness[2]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, {Log, Exp}}|>, + "Primitives" -> {}, "GCFlag" -> False|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + ListLogPlot, "GroupHighlight" -> False|>|>], + ImageSizeCache->{{4.503599627370496*^15, -4.503599627370496*^15}, { + 4.503599627370496*^15, -4.503599627370496*^15}}]}, + Annotation[{{{}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[0.004583333333333334], + RGBColor[0.368417, 0.506779, 0.709798], + AbsoluteThickness[2]], + Line[CompressedData[" +1:eJxknHdcje//xzNPe+9xVvdNkczIfKuEpI9NklVK9swIUXZGZUVZichMSlHp +LZt02nvvdY5RSMTvuo/r+9evfzyeD3Wfe1zX+/l63/d9HZHHhtlePRUUFGKV +FBS4f5P4B1tnJWWCnbfAd7I7D+0Ca96cT86EOXtOP521mIfv6uF65bNMuFtk +r7R4CQ9nO13a1y89E34sMFnus5SHJXe73Ne9yoQRNqrpW5bx0EPTdXT820wo +r+xjtXc5D1u3JOj9+pAJ08t/Xg7y4OGWQu2vdpJM8L9XYnzOk4e/xmzMPJKT +CaseRVyJXMHDA5c/3pbkZ8Is4dBB97x4qNpz4GH94kx4WB38Ismbh2e9jngu +LsuE8aH3PF6u5KGZfAcz4aX3PuUsHx7esHIwba3JhCsD/z4tXcVD65CrnUMb +MmHbYNHWxtU8fNzenbejORPGTKiwaV/DQ1iw6GFaWyaYhVkr/F3LwzdPk070 +/ZwJm+qUc5XX83AGX3+1S3smOI9Ye19/Aw+LArZMPvM9Eyq+OJ8Sb+Thsvos +cenPTFhRGeVvvYmHzVOt/4q6MyFCc/2WMZt5uOnusVIfBQmk1l/fOHkLD39q +NCc+6CWB0r4O22Zv5WEgt7m+Eri+1OHAEl8eKhdGbRyvLIHdJpHhq7fx8PSY +Hi4H1CTQELngybbtPDS5vMTyg6YEbE57VAXu4GFUj5Q+2roS2P4qVSN4Jw+t +vIxqXA3I9uy8pkT48TD+7bZnV4wl0DFx3uGbu3g43iovvMFMAlsHBEke7ebh +q+Ch2weJJPA0REGIe3jo0n5yzlZGAm8GJ+zI8Odhwfy2wcn9JaDaer24aC8P +lzx1IpdEAiEfMuzr9/Gw0exm01RrCQz7Yxn/JYCHGwJ6k01KQDPnyaA/gTz8 +Ubc8smCEBH483vlA+QAP901N22NmK4Ge41aONjjIQ8W7pm4rxpLjUd/3wfwQ +D0M1/EbemSABhx/PvYYc5qHRvwEDC8oGKI4/wkOysU+2jmR7KUlxTkd5OGDM +qQ97p0rg+8NVXvODeBh36dPN184S2Ng2Vuh5jIdjudM3QwLF8YNqNxzn4YsV +t5fNnS0Bw9Xj7+8+wUPnt7zxEfMkcH/fqoCjJ3mYN5A7geR8B8S6nwvmoXtw ++jcLdwloq2hNjArhYf1XQc6GpRLIyzg6MDaUh+vm77n/2EMCq4OMBKmnePjt +SUlQt5cE+hqlGb8/zUN/M9uVk1ZJYPjSnfzCMzzsG3DW4dhaCdjOnTKg7iwP +g+u4DUrgyN/+E76c46HB1Jm/DbdIYNwOY7c/YTy8cude0dJtEjhaZ+avcoGH +FhoqCdE7JTB559DbhuE8jN3sEyrdLYEtO+dWsBE8HF3wat2IfRJ4a3PIePhF +Hj4fbT5t134J2Ku+XjLxEg/l0/eQBHClzh2XyzzMUajoqRQkgQtp6/66XeEh +uRiVM05IAMLyFvlc5WEtqQbnQiRQu2cK+kbycM3A72HlpyVgoP7aav81HsqH +S5gEAqUzIkOieLj7a+zMNeESOJhRZ3b5Og97z1cfFHeJjD+vA9fu3ODh8Sdr +lH5elcBrf+vBT6J5qCefwBKQ1Ne8eH2Th/LduymBHVsil+Xd4mG/uv1cRYB9 +Sqv71MTw8P6Uaj/d+2S8nhoX9+k2D8lgITNYAh96Gq3svsPDNPWLw6/Fk8+b +99dc5R4Pp2zmZpwECkI+NRne52FWPjegJTAGmxP6PeAhV622PZNAeac0aEQs +D6suat1IfS6B0Qt+r7R/yMNVCtyIlsDCv7ouM+N4+MUzY7HzWwlEmdqOWfKI +h35vLMkIJOOn2mvw2nge/itXZLwcuWzll8DDoJPcBZbAhJk1Q4885qEOGcze ++RKYGTAUziXyMGIed4Ul0ORzfO71JB4yT34f7iiVQJ9F7ZvinvBQPj0qJVDx +0DsMn/JwxL7EiYE1Ekj+2PAyM5mHqbW65AyS49Pd2lWWwkNH+QFLYES7um1r +Kg8zSTWd3yaBQyWJe34+46H8cnySgKL9hg885GHFJm4PJbAi2kak/5yHK/Mb +Vw/8Tub3UZW9TDoPyWQjW5RA3OYvdcNe8HDHxWvmT35LgCdrnG33kocK8p8s +SDv+6e2MV/+fb3/JcfHQzAKvMVtFNRIezq29EfFSmAVxXhejtpfw8A+pxv2G +ZsF+lZjZmvU8vPXaedRRuywwGuQ27O4n4hu5oLJAtLVksnMXD3/HcBvMgvqB +D85IeytiNNla7OYs6N5yR3haQxFnnghbr70/CxLr6j+NNVbELv/VKVtPZ8E2 +vVheE6OI10l1LYzKglsRwevCBivif3JhZcGL4bb9p41RxM453A5mQUnRoTEK +jop4zZEzRhaMuLTn3pMZijid7B2p+RAgnHhom5sifrfgKkQWNB/W/DDSSxGv +Gg8uZ/pmQ3X19MCuDYo4TS6wbLCtWxv33E8ROzj99MuGXt//Lj9+UBEvc+Vq +FPn9nXfC3EIUcSo3vKdkg9EMSzerCEX8yp0+12y4FzA5tke0Il7kDtcnGyrF +NVdLYhVxslxo2fBqUtuwxGRF/Mxt7mg2jNX1Whf2WhHDL3A/2bBJzX3BrmxF +nHRsbeOvmGxInvLph0eZIsp2g82Sp9mQmz9t/n+NinienD18nw2jbG/tHP9V +Ee3lgssG/0qXVUO6FbFtFndBsuFTykmr/opKeM6B22A2xFe+ey/SUUJua06q +ObDFbLWzkK+E5OKSEp8DPV7VJ4gtlfC0IVcRcmCE2zMtyxFKOF4uvBz4uXCf +93BQQrJz5ALnwJs+L5LtpikhKW5kkzlQamNhNHeeEnLVKWx9DgQMdT+0epkS +yvXrnwOfj+upHFyjhPJyHZwDY1X1HkRtU0L59L6SA3lBrN/rACWUD7/YHFjU +aLJVelwJ5cMFcwDaC64bnlfCf5c3B3p5g+7UKCWUX47qHEjJnfJ+130llJ++ +LzkQGd2W+eiJEsoPt2cubDIZMeDzSyWU7552LiyfaF03JEsJ5ZsT58Lh7x29 +t5Uq4XD5Ty7s+HbnTFqDEpLBQoyQC32y90WofVVCrnr8mJMLMoU7omXdSjhU +LshcWNqyZnCiojKSgyUDOhdsWtU/ausqI5fe+AdzIcu7uecWgTIOlm8wF7bm +uRUXDlBGbmu1N3JBV5LhajdSGcnFjXR8TD6/36OjD+yUcZBcmLkQ8+XYVrGL +MsrjR2EunL1WKQp3VUa5rhpzocLYLlx/hTLKy9uPXEh+7tIQtkEZ87npq5gH +reH31Pm7lHEvN90M8+DWEh3TmEPKaCkXaB4cPzXOYPQpZczlhvPoPPCJ+qKY +eUkZ98gHTB78/tuna2WMMvaXH3AeHBjh2t43QRmzucu7Og9YXk73bVTGXdzl +8MsDw7M+4rkZysjKhZoHtzearu5ZpIwS7nAj8uBT2s/yhFpl3CkfgHkw1t3w +8PpPymguP4F5MP1rxHqrX8r4MYP7yYNB5vGXPvVVwe0kLQ0uz4PUGxfNkrRV +UCQXbB5IfS79OMhXwQ+R8oIAVx8r2ywcoIK+8gGdDyMXOFYOHamCAvkFyYeH +CU//atir4Dsufg3Oh0O966+0u6jgFk7XkA99PZ3flS1UQTO5cPPBp1fgwQ9e +KviGK3/L8mH9rAEVaZtUcJN8guRDjFF72ZM9Kmgiv8D5MPPh+WNPjqrgK64c +hObDE359+7OzKriBm76R+bDCPlbwPlIFjeQCzocohSrD0nsq+IKbHun5ULHG +p/nLExVcJx/O+eD1yTlC/bUKGsgHTD7U+0XYDs1RwefccGnPh033t31YWKGC +8svbu4BcD9UlR1pUUH45dAvAR/VCV8p3FZSfPqYAnu1ZfedHT1WUH65NATgE +lPnZaqiifPccC+BxjtuWvSaqKN/c/AKwal92JaO/KnrLfwpg4UI/JeEIVZS3 +E9sKIOCafcrOiaooj5+HC6DnS6/04umqKI8rYQUQNP5qv4kLVVGut5sF0OKc +1n7XSxX/9TcFcMo0coRwsyrtTwogsYdNxwV/VdpfFIDZzV3jjY+p0v6A/P6U +wyaRYao03xeAUe2uC9bXVWk+L4RE3+D09FhVmq8LgTdY+driVFWajwth4+3J +Dn/fqdJ8WwhmFnEPbxao0nxaCDdfZ3TOr1Wl+bIQAlMtGbXPqjQfFoLo/AZ4 +/1uV5rtCqN7kOv+kkhrNZ+Tzh8VtXaivRvNVIVzJWBI90FyN5qNCqNo590fv +IWo03xSC5u9LW+rHqdF8UggdpW79Pzqp0XxRCJa30/gp89VoPiiEuxP1veM8 +1ajPi2B0n2dKsRvVqM+LINDKSj9hjxr1eRHM+dMa9jxIjfq8CI4ZX4nID1Oj +Pi8CrbTuQV+uq1GfF0GkeuEi3Tg16vMiuOCqNQzS1KjPiyBu+e3UTRlq1OdF +EH8vUeVusRr1eRGw770tZQ1q1OdF8OdCt7lthxr1eREsvVaiGNRDnfq8CGa5 ++tbXqqtTnxfBmQ1L3jiaqlOfF8HBARNTHliqU58Xgc6dimzRKHXq82KonGSo +f3GSOvV5MazPqj/Hn61OfV4Mp/66ecQsVac+L4YvcdGHxq1Tpz4vhg8zdbSL +/NSpz4vhkylPZ/cRdepzwqG9z1ucU6c+L4aLybcflEepU58Xw/NTCT4RD9Wp +z4vB1u1P9vI0derzYpjevatryEd16vNi8Nvg8EWxVJ36vBjOqB151dykTn1e +DNMULh7K/a5OfV4MzNXPDq97a1CfF8P3En21dG0N6vMSeB2e9uW1UIP6vATs +rVN65FtrUJ+XwLO4P9PbxmlQn5cA7g2vVXXWoD4vgWFnXuaPXKhBfV4CFn3y +hq9eqUF9XgLL1tur3/TVoD4vgbUr7vpK92tQn5fAuaZsv/GnNKjPS+BMxTnr +sKsa1Ocl0LW/17Wu+xrU5yVwonlSw8pUDerzEli3/p5q5QcN6nOyP+MT+i0r +0aA+L4Gv77scW5s0qM9LYYqrw+59PzSoz0th0NNUqUYvTerzUujxvPTpCmVN +6vNS8BeE33+mpUl9Xgo3ogfmmBlpUp+XwtLKQutAoSb1eSl4z/r1sbW/JvV5 +Kcz4wHviNliT+rwUUu8c+Jk5UpP6vBTeDtS4MHWCJvV5KZy57Rb5xlGT+rwU +hnYNMZ3uokl9Xgp3723WKZirSX1eCnt9DEK93DWpz0th4mDrK12emtTnpRD/ +pHzK2TWa1OdlMOH1irM2WzSpz8vgwXrjU6V+mtTnZRDiv37q4UBN6vMyyH3f +nG4bpEl9XgY6x6xVP4dqUp+XgUt8p/W9C5rU52UwYB5/5MZITerzMmhqD7Ya +HaNJfV4GxVJXM8WHmtTnZXCq6IpOeZIm9XkZvKmLNk5CTerzMmi0zxh/4a0m +9XkZ7DkadGRflib1eRksNtvZd32RJvV5GdyLmP5ieZUm9XkZFDkWvl/UpEl9 +Xg4eG5Qs3T9rUp+Xwyvpl2aPTk3q83KYHvTMaKOCFvV5OXT7przcr6hFfV4O +MWmuPy5palGfl4MgQ/jsmaEW9Xk5hGalsw1CLerzcnCaoT5W11KL+rwcrp3r +UHYaqkV9Xg7e9kevHRitRX1eDufSemq8sdOiPi+H+zlPF2tO06I+L4f1wsEX +l83Woj4vB8cnPYoS3bSoz8vB7eZPvr6nFvV5Bczb22vvrjVa1OcV8Kl9aN+m +LVrU5xXguvZZ+qLdWtTnFXD6ktKLggNa1OcV8KLfRb2FJ7Sozyvg7JD+72rO +alGfVwBqHGzbclmL+rwCPrQ8Oal6U4v6vAI0PTue3X2gRX1eARZ2J4/PTdKi +Pq+ADEaN1/u5FvV5Bdjamk9IfqdFfV4BExsf2PnlaFGfk+2Fo8iuVIv6vAKe +bwmVadRpUZ9XQKXuyaSGNi3q80poWT475NU3LerzSuhyuXPo7h8t6vNKOBcT +djeCp019XglN36bqnNbUpj6vhMXjmIxTRtrU55WQ25LeFC7Wpj6vhG3x7X53 +BmpTn1fChu3JZ16O0KY+rwTf/vOnNozXpj6vhJ2po25pTtGmPidspfbGYaY2 +9XklPFiUmrh3oTb1eSWM6rf6xEsPberzSpi7bNMi7bXa1Ofk72vW2azy1aY+ +r4Jp4baD3/lr0/leBdGRze7DjmhTv1cBz70453qoNp3/VWAfHnlDGKFNfV8F +spf8lhvXtWk9qCJ+2ZQw4r429X8VVEV3m35M1Kb1oQoUem8cueG5Ns0DVbBG +kGxg8kGb1osqmPDOuDArT5vmgyrY1K17LKRCm9aPKjC23zXNrUmb5gXy+Wpr +ray/atN6UgWuo+ZOVf2tTfNDFUxe+zmho48OrS9VoPLovxONGjo0T1TBkQ5J +Q52RDq03VdAUbFnaZq5D80UVjO/03v93kA6tP1Uwyb2109RWh+aNKrjiumWe +o70OrUdVUBKv/2jHdB2aP6ogZOA0q8T5OrQ+VUGvCdWlCst1aB6pgv06muXz +1ujQelUFil7rnB/76tB8UgWzfTvsxft0aP0i+6/Pq7oQpEPzShXA0w1gdlaH +1rMqCD/n6X/3ig7NL1XwWXLg6ZTbOrS+VYFXUp3Wp3gdmmeqIdOkb9S1NB1a +76ohLqLyuMd7HZpvqsHPpEJmna9D6181LBjf2MSr0qF5pxp8Pi+KkLbo0HpY +DYmaJv2rvunQ/FMNN40O3KtU0KX1sRpWte6c2aaiS/NQNVhd9xrW10CX1stq +uHti9LZBYl2aj6rhvWAreAzSpfWzGnoamcddt9Wleakalt9r+NrhoEvraTVU +ZHT0mzNDl+anaigJMN2a5qZL6yvZvs87hTHeujRPVYOBHa8jfZMurbfVcC78 +oK/bHl2ar6oh4GLEPYWjurT+VkOfVudXCWd0ad6qhu6YqQ07r+rSelwNGZd/ +jHG5q0vzFzlfm7fJBifp0vpcDe66V6zEL3VpHquGOXaqYiZLl9branC04v+2 +KdOl+awaylz+Ni1o0qX1uxos3WIMgjp0aV6rhrAlLYkZCnq0nldDpNLqLoGa +Hs1v1dBk9kDzgJEere81sEybHfST1aN5rgaWV4v27x2mR+t9DWxXbJtsAHo0 +39VA41O8h856tP7XQA+nEukuVz2a92pg7rNtE5y89KgPasD0SUWhxWY9mv9q +wHbP2nbjvXrUDzXAOp7+IDiuR/NgDdzKbYgYdUGP+qIGsqaaR3pE69F8WAMT +x9sYRT7So/6ogaf97B3aUY/mxRoQKD1cujBTj/qEfN724bG5pXo0P9bAm4bM +7R7NetQvNXB+UUNXnx96NE/WwJp1k/Y+661PfVMDuXfZ6ce19Wm+rIGlaxxu +bxDqU//UQFBrRa2PtT7NmzXg0J0xacc4feqjGjBWDTS+PE2f5k9yfq/z40tc +9amfaqB6624P65X6NI/WgE7u2B0XfPWpr2pA+DbbyfSAPs2nNTDp3rlBiaf0 +qb9qIHpJ/q7Vkfo0r9aATKX05MhYfeozcnz3eS9M0vRpfq0FK+vKbcaZ+tRv +tWA31kRleLk+zbO1ENySWuPVpk99VwtdRqzno1/6NN/WgtJtfoeJigH1Xy10 +nOv+e8XYgObdWjDsftAOAwyoD2vBXa9gwu/RBjT/1kLdyJI5+U4G1I+1MKVN +6daHhQY0D9eC98DYR5WrDKgva6E7bchXLT8Dmo9rQauLqfEIMqD+rIUTcTv7 +5IYb0LxcC4efnKz2uGNAfVoLOi8W/NVOMaD5uRZeNvm31mYYUL/WwrvCIyML +yg1onq6Fby+7trbIDKhvyfHbBYJIwZDma8KaguG7tAypf2vhjt6ML51iQ5q3 +yf4cHCeMGGFIfVwLlrtkqz0mG9L8XQu/tHcHzHA1pH6uBb+x9kaeqw1pHq+F +zhWm0ku7Damva2G23dVUhWBDms9rweWN+6zjkYbU37Ww4KGpr128Ic3rtcCL +t/otfGNIfV4H0+7dwIElhtTndXBHOznKQ2pIfV4H91rUTr5WMKI+r4O4qN4b +XXWNqM/r4LrIwt7Iwoj6vA6meh7qozzOiPq8DlZYmLwcONOI+rwOTFUgdO8K +I+rzOqg8YhbUd6cR9XkdbA8cVvD8hBH1eR30eCO5GXfNiPq8DnSd74wrSTSi +Pq+D1SnbKkZ/NKI+r4OaYQG1OTVG1Od1MDDH80F0pxH1Odl/h6ePHqsbU5/X +wXJe08berDH1eR2kxrVuCx1rTH1eB/tm23kvnW1MfV4HvezzP29YZUx9Xgf/ +fVIsfbnPmPqc7N+AD7+XnjemPq+DcW9f2TvEGlOf18GBi9cebHxrTH1eB7yO +VYtbqoypz+sA1bv9437+f/7n9zr48t+D5R+0Tajf62ClVY8No6xMqN/roGru +PuufjibU73Ww40PWFJ1lJtTvdXBmZu2BI34m1O91oFa3OG/RWRPq93rYJxk7 +PSTWhPq9HgoX3rGyzDChfq+HHjpDai2bTKjf62HTGS31c71Nqd/rYccNwb5N +IlPq93rI+Zw/CSeYUr/Xw7GWluuH3E2p3+shasSCge/8TKnf6wHf1t0+fsGU ++r0egnYvmFSQZEr9Xg8fBjmsu1NkSv1eD48Mb/7Q+mlK/V4PJ26uMtY0NqN+ +rweHkDy/u2PNqN/rwXLnyFGyxWbU7/VgUpD/tWyfGfV7PUzf7lO777oZ9Tv5 +/bvTq2remlG/14NwWsYWVZkZ9Xs9FBSnyXi6fOr3eljg9NO/agyf+r0eGiK+ +Tr3mwad+r4c94xYcdDvGp36vh/SJgiiDBD71ez3UtJluaK7kU7/XQ95VTftq +FQH1ez1sX3hhpPZoAfV7PVy5dNcndKWA+r0efIfen7gtTED9Xg+30jMzSt4K +qN/rQZyha1X2S0D93gDjdj4+7GIopH5vgDkHRg28PFxI/d4AamnRjR0zhNTv +DdAjoi101Toh9XsD+H/bvs/guJD6vQHgqJ0j/66Q+r0BMlrvhV/7KKR+b4C/ +YZ1nJJ+F1O8N4KO/dmiRnoj6vQHy1070+TlORP3eAEO01Dp3e4uo3xtAGpI4 +IfWUiPq9AXLn3I8akC6ifm+AvOghq+Z8E1G/N8A3vtP0T1Zi6vcGcBo2es2X +VWLq9wZg86b2vHFPTP1Otsf79KP8p5j6vQHezF8xaeYsc+r3BjhoOfTVmCRz +6vcGCCnaaVRmw1C/N8DGUQO/DCxiqN8bYPVhbVLtWer3BnhpHZgU4dmf+r0B +Gm58WDpt/gDq9wYw9LPb/7a4H/V7A3TddkcmhaV+J/sXk7RnnjZL/d4A92fH +H7gawVC/N8ANxbN7y+0Y6vcG+PorYNz7LnPq90aoN1gbLUFz6vdGOBufc/x4 +iDn1eyOsmlRwOXOlOfV7I4y8Xemp62hO/d4IMfeHR07rb0793gj7g+w3R6uZ +U783QnD4jTUnf4ip3xvhA/94/+N1Yur3RkgR3n9jnyemfm+EcRrOB31ei6nf +GyHr/Rib9Kdi6neyfxIHfeFDMfV7I1yX1e5dFCOmfm8k9SZy0LwoMfV7Iyxe +O7Kr/bKY+r0Rlhw80WZ+UUz93ggv3hsF5oeLqd8boavXcotuwv/83ggqV3+W +niK//8/vjbAzelZD6BUx9XsjCPpXJ3SSz/vn90bY89Im8y3Zn39+b4RtW99k +9iX7+8/vjVBu1Bry9ImY+r0RDnyNWvLphZj6vRGyfz1wjJeIqd8boWdf5rhp +uZj6vRHmZez7b2KbmPq9EawbEmaP7xZTvzeC6+0zQltNc+r3Rtgkubp9MWtO +/d4EITHLHOvGmVO/N0Hu/QczNReYU783wY4/M1tstppTvzfBx5QoYdoZc+r3 +JthyV2A//Ik59XsTqLqa9ravMad+b4JZ2Q5ReZoM9XsT/DrrM9VyMkP93gQ1 +A9/p9jjAUL83QdgG/t6vGQz1exNIV91vUGRZ6vcmuLVu4gPT0yz1exPwX/u5 +D2f6Ub83Qaja1BD77/2o35vAUVbL81xvQf3eBNlqTwwbc6yo35vg9yMn45rf +/anfmyAqfc+633f6Ub+T/VPf8kKzkqV+bwL9Bx/dIqax1O9NcOqSr9LTfIb6 +vQm6T1tH6fky1O9NkD936tDjYob6vQlix379uKbEnPq9CeL6Ggr/CzenPm+C +Qan3vE4sM6c+bwLF6KRNvwaaU583waawxdOSfompz5tgyK3fDkZkfPzzeRMk +1A51vnBDTH3eBPG5ojOZ/mLq8yYo9xsQ7ukmpj5vhsHmgeO0bcXU582wJFA2 +4aqBmPq8GTZP90su6xRRnzeDdr7d2MulIurzZtibcd7xeZqI+rwZ/L5fmtT/ +hoj6vBm8Ow/fSjgmoj5vhmef4kfP2yKiPm+GlFTtk18WiajPm+Fr2dkLOxxF +1OfNkHsxak7JYBH1eTO8X1u9VtFERH3eDKdCO7W+9xVRnzdDUd/7SWHtQurz +ZlC0nvqtpkpIfd4Mtz/en5+ZKaQ+b4a8EMWps1KF1OfNkDW29Lsn8dM/nzfD +k6CGcX8jhNTnzbCyj9EJU+Kzfz5vhoNZprUJu4XU581gn6Cd8Ir475/Pm6Hi +NdM4eamQ+rwZPrrF4IhZQurzZsieFVET5CCkPm+GhosjwXGkkPq8GYI7g7WX +WQqpz8nfr+tTVmgqpD5vhqaTq11jNIXU5+T8lxTuzu4tpD5vholpmnXhX//n +8xY4cXWl0KZMQH3eAr397zxe+1pAfd4CycUxf8c9FFCft8D8x38uR14UUJ+3 +gGHytT3njgioz1tg2ao/Lw18BdTnLfDg9pYSkYeA+rwF+lXPXPRwhoD6vAXs +7cVGL8YLqM9boD16gf5cKwH1eQt4WY/cv9xEQH3eAsON1JOblAXU5y3Q0+K+ +XWMXn/q8BQKD1Z+7tfKpz1tAzc6aZ1fGpz5vgfMVZr3Pf+RTn7dA44gRbxen +8anPW2D0MZ/AkId86vMWSN0j8be+zqc+bwF28yh2ZBif+rwFhH1qftwI4lOf +t0AffddTu/351Oct8N/c+beSNvOpz1uAvzqr98KVfOrzFrioqXTU3Z1Pfd4C +XYdSB6bP4lOft0CeqmfnkSl86nNyfsx91WPH86nPW+DCntyrI0fwqc9bwOGM +9TeTgXzq8xZQVAv0XCrmU5+3gqPOxXkKxnzq81ZQ/BE7+Y8Wn/q8FaxWd6W7 +KvOpz1th8vvlKzV68anPW4H3fUS16LcZ9XkraI2rlwZ/M6M+bwW/vxK3hZ/M +qM9bYXWyZh//ZjPq81ZIMHEv7q41oz5vhR5ttblFFWbU561gdNtBQaPEjPq8 +FW6d8t15Nd+M+rwV7ihlrTqRbUZ93govnFRUCj6aUZ+Tv58xI9rvvRn1eSvU +/XI6s+uNGfV5K7zd4zK++KUZ9XkrPOi93ehMuhn1eSs8qSuPvYtm1OetsHby +hwjzNDPqc/L7oV2BP1PNqM9boeZdjWAw4X8+b4XdJkrjX6WYUZ+3wrd698cp +hP/5vBUw7NJOffL7/3zeCrtS3x/KJfzP561w4+Hlzx3PzKjPW0HqrVu+i+zP +P5+3QkNe58FVZH//+bwV/C8dGZ1Gjuefz1thVqjxIn9yvP983gZZ7wo9b5Hz +8c/nbbBDHFg7IdOM+rwNDGROAQ45ZtTnbXCp5NHvxAIz6vM2WKoWYn251Iz6 +vA1EEb2UO6vMqM/bYGLEjUtvGsyoz9ugavhDXQ2pGfV5GwTy/0vKajejPm+D +XU5fPqv/MqM+bwMnz8IpGT351OdtUOYU4aOiwqc+bwPXoy1iiQ6f+rwNNFzc +1hqb8anP28DeY6nH53586vM20ByydvrcoXzqc3K8uaMuO43jU5+3waSaoV25 +ZD7983kbPE7oN+LHHD71eRu8O2Q4N3EZn/q8DdabzL5guJ5Pfd4Gi6cm7RHs +5lOft4G7YNmTLDL///m8DSY4bZw8NJxPfd4G3m/mek2+zac+bwN1a5dIveT/ +z6H+3BvqbRCw4Tn/6DM+zhsyIEYnqw0mrzCY3zud9Ic1rgaJ2W1weXjBnMOv ++Fhx+vBBt9w2WPDhFqP9jk/zQRtYui3Jv57BR2/5C9FtMCCjZTNk8ZHb2qSi +NvBwWqlck0v6Szf7CU3FbRD2WDvmeCEfH6lyb5C3wRTb2e5Qyqf5og2+Jj4c +2lVB6iv3+nxFGzxYUzcytYaPf0Xd332r2oC/7NXOIw2kP83lXmhuA0lvV0X3 +Fj4eIXuXUkuuR/Lbz6NkfJpP2sB55To706+kPnOvpzeS7fd+raj0nY/c2+I3 +m9pAcfyeeX9+kv52ut7xaS3k/P71Gfm7m4+L/zh0SVvbYC//2NOePQU037TB +bpnWF62+AqznXv/+1AZ+Da7NlsQP8sP93AZjHZvjpqkLcN2rP3G7vrbB2SS/ +xVu1BThs+yCRoKMN/vA6OqP1BTQftQGbvuZsjbEAn3KvV/9ogzdSC4f+AgH6 +y18oJsefGqXta076a3L27na1ge7UQ7oZ/QXI+6w/debvNphld2+hFfHXv3zV +BnpPLdrPDhFgMPf68t82MKse91XRRoBz+kSdGtNDCgFvPXwOcv15YrZCRU8p +pFk7rVSZIMAyH+6FYCkccM5XiLAX0Hwmhf+umk8ZMUWAK7jXg3lSUPx4ZHqh +swAt5DsohZs+p8wPzCT9/eDk/hoqUmgs51WOmSfAh9XNZ+NUpTB1emvor4UC +mu/I9tb5zXi9RICj5S/0SmGY/+CBEZ4C5K7uRS0pmFin2/r5CPD5rev/TdSR +QkOIxXHPdQI8xF1eXSkk6p8fvWCzgOZDKcw7cmjevO0CVH825MIAQynsUrzT +sXi3AHM2LOVlGklhnPHfgZsCBHhOdNJ3k4kUTtxN0ww+JMBF3ObMpGA+cH1S +4jEBzZdSwDPZtq0hAqwdaYyLhFLoHfbjpuU5AXKrAf6KpPDrgY3plggBrgnf +fvGauRQ2zxtw981VAQ6ZHq08mZXCDPGz9f2jBTSfkt9XYneduiPAJDL6jltI +QfdUZZMiyTe7lw8jU45cD+0USdBjAU7UWU6GtBTMfhdOMUwRYB/5AgYp8PtF +rnr4XEDzrRRmiwtd5r8R4AkLqdqzIVLYd/64Gu+jAGeVmJBNSqHjlkX6ixwB +cqO59wgp7J/vc/B4kQCLx+90vWUjBUHn0k3LKwQ0H0thYtzuq/Z1AvSQL0CQ +gkPnwUFDWgTYTz5gpNCtd2X4gM8CJBvTGjlOCsnPp2YO+S7A+4899haPl0Lu +Yw+jSb8FNF9L4fjdc4bDeghxFHf67KRwP5a9MJXkwV8fZO9e2Evhwrvqi148 +IXKrJVZOksLACQHDjioLcf9g7gRKoYov83mkJqT5XArrBk+ZUUfyperpmMBZ +TuR486s6THSFyM22jmlSeKM6eZWbgRDPfO+79Px0KaxdNjrlirEQycF+HPuf +FEQtCd2tZkKa76VQP//zeBAJsVqF26AU4r8sOHGBEeKN1OcG/eZIYUh82O+u +/kJcteHzwXdzpXBmcdhFz4FC5Gbv2vnk/Abv9s+1FtL+QAp6HXceTRsmxMcH +dmc9WiiF357vXd7ZCNFP/gK/FLxNny2ZMVqI8unrLgX3bw86y8YJsWe4osnl +JVIwvqZqvXmikPYXUtjUeVBLY5IQj5FqULeczCfQffxoihBnPDjjdcSTHO+R +H9bLnIUoHy5eUlio8iRYd4YQ5atJvKVwJNiqLWu2kPYn5HqXb3I9O1+Iy7Zx +K36k8H3E96blbkJk5BNYCna5vnEjlwhRvnvrpOC4q/udjocQ5ct7NkghXbVt +0k8vIe1vpOBq3mHbuEqINvIX6KVggWYp5aTf+Hl15aOWLWR8/3lTV7ZJiKmz +uRknhZKs2+/qfIUoXz6zXQorJUUB33b+rz8i9UfpOaPhL0RlH/HaHX7k81qG +vB8aKMRMI25ES2Fsv/lBiw8JkVsNk7ZHCmcf4Z5TQUKUL0/ZK4XT+StSs07+ +r7+SwvbBlzwMTwuxsoq7wFJQarI6vCpMiFz1czkghdISO8eXpJ9aOYm7wlKY +W7Qt0eKqEOXLPw5LobYjv/Pc9f/1Z1JoaixhNGKEGL+QWwEjhed+vgtC7wlx +hwp3BqUQrKh6zyxOiOPkByyFURr+9vGPhShfXhEsBaucfjbzkv/X30nhlEbV +NQUU4tGcCrKH5Hy87X378Ushcqt5Hpwhf2+6ddX2d0Ikk41sUQpGo88oOJB+ +Ub58IYx83rJ5wUa5/+sPpaDS02bkr0IhLpEv+JHC+9aJ+k1lQhTLC6oU3p0y +nlVVLcSG+2zd/stSuLS5S7GuQYjcaqH+V6XQ49CjZe2t/+svyfguerxL/YsQ +h798aL0+iowHGwN/m+9C/MGV5xtS8G85ftznlxC5ap8QLQVh3M6Mmwoi3Csv +MGT+PGzzbO8jov0pGX/no3c6q4hQcTy3IkQK/WrMbGI1RZjBlYN75Pdt9j8T +6YtQvpztvhT21L6acJX019zeHY0lnx9yvnKgSET7WymEdvp+eNlPhOUJ3IST +wr3qG4PWWIkwkht+CVI4aG0/TDBMhPLlYolS2GE2rm/1KBFaftB88zSJnK/Y +5YWx40W0P5aCeIJn3kkHEcaRo+2RIoVFI4utdjmJcJt8wYwUJqtN09o2Q4Ty +5VhpUnBaPOTR3nkilMcDlILG6B6O5xeJaH8tha1xw7rSlovw8M0F74e9lELP +8/a8HytF6LzwkG3BK3L8a1Ijx68XoXy50xspzA91VDizVYRyHb2Twt70cLcu +PxHtz0k9Toxs3hggQneh3RfPDFL/jNY2/jgsQqFccFL4/GRicPBJEf5bTiSF +c2rXzWzPilBe/rLJfPy+LOtLhIj291KoKAxtSLkmwqHElmfzyPnrcfvqhRgR +fpvG7SCp3z57Jx6JFaF8uU4h8XHKXKWjiSKUT7diKbwuqIWLz0T0/gAZLyd8 +BqS/EmFfbV14XSaFCQ13NH9liPA9ubqrKqRgGasxbkqeCDn7qlWR+Rca/iO6 +VITyy1sthdTA3iHGtSJ6f4Hki2cv5lxrEWEpF4fqpHBxqPHpCV9FeGUct8BP +Chnrza9++ilCT3mglMIPcW1mQg8xyjfXLAV7/lzfUCUxvT8hhb+y7a2BWmKM +leuX5BXDlceCjMS4lVu+KZOCRLr84S2RGG3lBYH4X7cmudxSjL8NuQV6Uqh5 +dldlwDAxvb9B6k9Efa+TY8R4kCv338h8DBYrKzuI0YlbHvldCj5j9h267CxG +tapBZEhLYdvcxFrnuWLMDnXnhgw8/zQsWHuxmN4fkYLfzf4/v3qJ0U2+wE4K +P9/dOSNdL0a+PHAQfwVlFfbcIUZ5HFeQwWXHlLHDA8TIpQ3fnjLYKns1bm+Q +mN5fkYHOzVC3xtNiHMwN5z4yMI+0Nlx7SYzt3PI+ngwcZo78rXZTjPL4pySD +bz2lIR9jxbhLLmAZjPrmueLOUzG9PyODFV8uKt56Kcbe3OlTI5939oHOy0wx +vuWWz2nI4PuczwKFYjHK44aWDDKdjDLca8U4U34CZbCol29yiVRM7+/IYLmL +yjm/TjEWL+WEJAPRkCzF8b3M8RK3PM1ABu0j8l+J1c1RrjcjGRgb7TlgZWSO +5GCJMWWQox3XYxFjTu8PyWBCFl/hwWBzvF/EbVAGiW6rp1qONcfN8gVqMvil +sj3m/WRzlJdTkQwUX1/vPD3bHLnZO9pcBirX9LUPLTGn95dkEPnf76LI1ea4 +fxa3olAGdQsrRzVtM8cpvbiKL4PptzqEbvvNUT59Lcn5WbL5ZGewOUq8uQot +g1dHWgJfXjSn96dkEJJ7WyktxhxdSTV4aC2DcTGiUc2PzdFUHgBl4GbgZDnp +pTnKh8tQ8veVBorZ2eZ4vfKZ+sXh5PwyP/uEVprT+1sy8LF0XnZQao6DuOXA +I2WgfL1+6YNf5vilg5vAMogZeWuhpjKD8t0bI4NNV7QexBgyuFMeiGSQ61yV +ubs/Q++PySCjR6rNsZH/n0Wnj188SDimect+l1EM9ja1PxtOuH+reaeGLYNN +13+ceEDYub34dA7hD4PuHXpJeOO3a/PPjmZoPiT/33psousYBk+B4Q4Z4ea8 +yFkmYxn0fftxY68JMnia9OVoBeGFs/avMiR8OupIc+Q4BseV2HoMIhx7a8tG +r/EMCuUTnvx+dRrfcgKDvdqi5iwgnLHer6ONcMPWhdPXEt62LfZLLDD4vlvd +MYAwshv0fScyyO3dOcLDY194jLZj6HpcGeTPSC7oJrz1/ODBSHiko+fmdHsG +F4jq++cT3lj41uawA4Njb4cLWwgPnPtTOH0Sg/zhM43+Eo7U6DlCy5HBntxy +ZZDBjRWf1xcQrp+UrGxJODo+OztiMoPywyX8cOUj9+VTGLw7v9/v2YR3tF3T +6D+VweDK0o6VhF2T7rW0Ef6Xp2WwYEq1NM6JwflfJjeEEh5q6my0cxqDY/x+ +V0QTnoeda8GZQbNecYXJhKUvfjT1mc6gwvGVWVmEq1PnncggXMctpyRc6Sx0 +O+3C4JtLOc+7CC/U9prh9h+Dd/odeaoxkYzXlKHrRDMYPPlg/COG8Nnfpx81 +Ed5k235nNGGXYxfEsTMZnCdv0GSw02Lm0+2zGLSdtuSSJ+GE8Fd+MJtB01yd +czsI73/AW8Gbw+DfRe9OniDsPJbZJiFcU+d/+Brh5UaWD8PmMvh6HbcCVAZK +VozesnkMxnxv3pFB2N/d/JrFfAZP7L2yqZrw1/ARrl8Ib1Sct/o74QsVXqOe +LmBwTqiyp4qdDG6xr2C/K0P7DzK/fJdtmr6QQeMo37k2hH8VO2bouTH4Z+BA +l2mE67z3z6wkXB1f5biU8OGR/f/cWsTgKzJathJevxryN7v/73mRDBwHluSP +W8zgsRk9hlwmXHKrjwJvCYMbih5bPCLMGGfNySY8e/la0VvC01KnZEcsZXBk +i8i4nPD1Qr8d3ssYuv6bjM+E7U5DlzP4+9dxFZ69DNbemT7pN+GqA/Zkysng +kY7iqjceDL5Q6/w9hHDTzKTEU54M3jx375sj4ZiMNUOWrGAwSMBNEHI8P4bk +WnoxuO6WYeMGwqXT9K9+IzxraGblAcIWjgPCnnszOOLp/qILhN+u25V0YiWD +hg6js+8TNphj2NvNh6H9mgzKgzT8+q1isGLu9fQiwi5xG4zbCaeXL0yWElZf +P6U+bTWDN7w14ns6kHq8+kbJ8TUMHv308q4B4Z1u57sWrmWQhPsbVoTLflo7 +9l/H4Ezu9BFWVNnxtIPw8KD6c/MJD3ENdEtfz6C+TkTwGsI6j9zZkA0MdkXM +PLKPMO+bnsmSjQy9vyuDHn9TxlptYvD5veSdtwmHPll2uIvw9ZGbNqcR/qqr ++eftZgYPp/Vbk0e4r1LJlbAtDK6ZWubZTHj52Y9rvbcy+J9c0OT4nv9cYePL +4DC3KfN0JhGfRaw70nsbg3q1v10sCN8ca1uYS7hzTdzk8YSXp/nMitrOYBmZ +vbMJz3JR/7F5B0P7WxmE69i+td/JYFTf3CG7CdtM/fZS24/BQ8FHLEMJf7eY +J60hvNpwgjiacPAvb7tHuxh0iWw3TiZ8UW3M8/27GZTfniN8Ob587dw9DOo+ +WqJaT1j5v+WTWH8Gf4zV7dNF2BnypnwnXPryHamAMnj9zXH7m70MPnPZ+92c +cFXDq5zz+xj6fQMy6BPi6bY6gMGDS1saXQjf32atPi6QQZ+mK1UehD0tRsrU +9jM4fdO84u2EX40J6qokPLhLOec44RDnMcPjDjD0+QoZ3wrzzx84yOB3lW0v +HnPbV5RaLjjEYPGZgSkfCDcbaDRZHmYw1YybcaReyD7k/CZ8NZob0OR45gxv +kRxh6P0A8nk686yjjjK4MqnHFQFhRUW7K9uCGJxmlxg2gjBo8MZPO8ag9fu1 +IU6EWa1EHv84g1pzxEeXEP7QvezvF8LfSgsDthC+/FGXeX2CwaIVJ/yOEF4T +UOMbfpJBMpi3XCLsJS7pWB/M4JVt3BWWwaB0lUiHEAYD/95b8YZwod9hP8NQ +Br25r+sg/Gn94gApYSctI1KiSb16dykh/RSDVuGZ//WdQsbvM1eD86cZ1DQ/ +MMWE8Nsjl6PXnWGQq6ZDCDuu3+vpcJbBQu5yEP6QrPKf0TkGn6ZeH+pGeMSb +Od6fCF+a7DZgA2GFTyvvvgpjMECiQbYog7nhrsKL5xlc4frK5AJh3uiR6Zsv +MPT+iQyuOWidcgpncODqIWovCK8a2xUsjGBQvb2+TxFhj9s9Un4Q/ror4k8b +YTdzW33JRQbze8/60WOqDFrs7l+OvsTgkxN9P+sT3nd76zz/ywxe1E9pGkjY +Q/nimPlXGNzHlWfCiQY2/1lfZdDTon/JPMLHQ2eG9I1kcPLDspzVhEvhr0Il +4X/fb0HGT/usq4nXGCQ79/IM4VOHFq4PiWLwi3N3SgzhF6X91qy6zmBeXlzC +M8Jale/P2d9gMHGxz/1cwpsPzv9iEs1gBHc7l/DnjBK/b4T9N+Re6SZ8P8Vn +uOQmgx6dR85rO5H/X6FlEnOLQRIOQvsT5lfWD90fw6CFcsfRcYQzXX5sX3yb +ofebZPCubL501B0GP5ks3eVNWPu53intuwzmXtfduotwm62jt5Tw40HcACTz +69j3VW/vMRj+eK/XDcJvlcdeirr/v/cLyPY1GIW9Dxhc9rZlvoTwzj/pp9xi +GZw06+qMOsJxDpbzRj5kkDt7Pwm/n+czRTuOQRViN/VpMgiID10lIyzj4ith +1ebHye8fMZi9ddswW8KCQ83jb8aT/NfNCY6Mz/5jP+9PYPD8oWpzD8Ljt6bm +LHvM4G6NMNPthN2MA+vHJzK4VH4DnOSL3HALkyQG7UU91SMJOy03uthJuN/t +xL6PCeeEaEwseMKg0vB1f98Tjh4VrBv/lEFpsrizkvACm9tGp5IZen+OzJ8t +m2dsTGHw0ccTzUrOJF/V/Uz4L5XBsPkONXzCUw7Odhn0jEG/ys6S4YT7LD6p +p5rG4BLu8hKW7UpTbyVsJ/+CChm4//5h+x4ZZP2MXm0mHNJz2pmY5wwq9pKk +HiaclPJGeDSdwdZjBx5fJPzNY3+FzwsGJbpjHjwk7Dkq+OPUlwx9HigD8aFu +qcUrBs/1u3G1lPDCG1Wg9Jrk5QduFz4TnvRt2otmwu62mqf6TCfzseq/He/f +MDjx+asgY8LhJX+W3HnLIPdtJIMJa3mu2378HYM8rtwTXtrz3vN17xlsWcQl +VJKHnLPGz/jA4Me6iHXrCSeWNrcOyWDw4bpZ3vsJ73FTzdD+yND7mTK4unNa +RQfhHXtTFtwjbPftsbgwk8FFiptnphMuP73iwhMJg0BGcyHhuf287S9mMSgm +aaON8JfDmWZ7sxmU3/53If3A5diBHjkMNg+cOlyfsKqbYK1jLoMZ8dyAkcGu +hEGVFnkMxnLxj3DPx7IDqvkMnn7tYzaPsO8a38WfCctvJxJ2+Jznk1fAoFtR +rvpewrtXCW8mFZJ+YvlR3hnCRX3XGF4qIv1EywSFGMLPe75NCSgm/cSWjs5U +wq7Xpp3xLmHo83gyvm36XnEuJf3EAU5IMmjUVy8fUkb6CTXOwKS+3fX9T7+c +9BPn3pdq/Uf6Va+Z7b8I+wr25fUjfDf14YfqCobe/yV5buy9wjeVpJ8Y2vpq +JuGCDfMN7lcxKHh69ZkX4evfMehMNeknHOYn+hH+tU1h2K4a0k98UIkNJvzp +moWaRy3pJ+ZygZfky1Eupk51pJ8o3xb5hLBXd8DSIfUMhnhzFV8GQW9KCgwa +SD/xqfpULeGorWsC/xJesCPsWCfhzbIpHo2NDP3+HtLvWh7YJmki/URQzz1i +wl79R6QlNjPYQyfJdxTh+V/XjLvaQvoJbrgQjo4E2ZFW0k8w5iuXEz6z4EXe +pjbST3Bfp0P4tZ3KZzcp6SdGnnQ9RtjlwsCJk2QMkjBEKowM4q/Zvhr0ifQT +pLokEO5zf/Jeg88McunvPeFc/dXre3xh6P1yMt4mJZ5qJaxQazSig7BKjH1b +/lcGa9dIrJRmkn7thXAXtpN+ouMAyydca+YNdzoYvL1nDGmRZJDs0n/0uW+k +n+D0QTisY4dPwHfSTwTf0FjM/X/aho9rf5B+wpAb0TKw9RH6uHaSfiJSs8dh +wp1xV2wn/WTQZMDrnxGEX27sCUO6SD8Rt+trLOE5/ot3mf4i/cRY7gKTvP3y +lVTxN+knXjbUlhB2GTXz3DfCMS4Xyz4R7luk5VvTzeDxgllEcTKweiQIkvwh +/QT3uIjwieqT+Sl/ST/RlPLamrDhka0LbiuwOIqLk4SbCus1zvdg0birf5Ir +4WnqnX8O9mSxO7A8dh3hN1uR2dqLpc8XSJ5dPjfAozeLRG7Xwghft3mnO6sP +i7fM/oTfJXxx2YhK6MvisehHp58T/up+p8qax+KGwavILpL699DBiK/I0ufX +XL+tfVRNiUUbu7w9CrNlMPm59ZBuwkbvj27TIxz19q66VJlF0lxuGEA4Kf0a +U67CYhXXXhLe4dtv80dVln5fFJmvj1x+pKqxGC1dunAVYRV36/j76iwGka35 +E7ZYVHHrigaLXLU/TfhczJb8EE0WZ5E0fotw0/TeYwK1WBwhv2Etg0MLogu2 +aLNoEN46IodwctOGO146LP4SRw5qJPzUanvSAl0WK+5wDTDxs3tOt5Mei+kj +VAVac2SQ9ixs1zh9lj6PkYEwunzoYAMWj0zerjmWsN6Wp0KxIYtrueFHuMfp +SVP1jFic4VrTw4vwxn2nbikaszi8OqxrJ2HTF0/tfhPmvu3sJOG/n3J1Ppuw +2PW1Z1sUYdeYDn6dKYvlu5LqkggnXh7uWWTGIvZeX/6RsNKJm1UZfBavnzAv +qCF8acLKsOcClr4/QurPsaOHHwtZXHPl5BvVuSTvubIP74hY/M9iEooIt4fN +0o0Uszj04c+kkYQtndmH58xZ1ON0RDhqb+Lh4wyLnencBSHXf6bgfCDLYpkz +17HKYFHTlpod/VhMy5NEBBEu3p3hvaE/i9cWHzxzhfDQORNZbwsWDzWMORFP ++Htqg9liS5Y+vyL9sVnhzLkDWHTpvOFfQXhDlcUz54EsDglYtL2dMAa2ezlY +saijrEVaahlknfxv6thBLP44xRV8kv8uzvIabs2i/PEi4fVzdFMHDmbxGRdP +CRd535/BDGGRu7ruhD9eGGVmNpTFg8S+mwj3S33D6g9j0Yd0R4cI/3mwbZXG +cJZ+P5kMnix1b1IcweLgWak2sYS13oZc62nDonbJZutXhM3bB57/Tfi7Bxco +SV766fju+0gWi1vLBZ8I6/X8YfNlFEvf35LBXvsFFa22LF7tnkoSuQwy/vin +N4xmcf+hP0rWhGOPHqqpHsPiSq5dJXxg0qEJ5WNZnHZ+1a8FhOdeOVdQNI6l +z/tIvjPMup03nkWt23ltAYRrJzgnZ01gsWMY17CS+XxPqPgRWCxKBjKkSf7X +XBX6biKLyZO+FSD3eaLxC17bsXiF+/o/whtvJyx8Yc9i4HwuAcqgO7D1PDqw +6FWp9/wv4Zunfug+m8Sik8+HJ7oLZFAp+fox2ZFFqy/74iwJw8TvL55MZlFT +/oCRXN9O4x+JU1hs54Yz4Z5mfisfT2Wx4FjkRR/CVVVWeglOLD7VXXB2D+H2 +Q9MV4qexeOmS6slThL2Xf7V45MxiAPf1ZISLs0cFx01nccWD7SRxyGCd08jh +cS4sTrEdtCObcPZUnl7cfywOfF6zsYHwrGUvR8TNYFFdfgJlUDH48Km4mSx9 +Pkrm78pV1o9msZi/qNcilvC+VwcU42ezyM22MYSHGbcLE+aweJHTG+FpkzK3 +P57LItetriCMw4aoJc1j0VP+gInkp7ph1U/mszhZkTMcuX4+n9uTF7A4IHTS +4CjC6eWBjs9cWVSTF2iSb7f0LsCFLH6+9kD4kXCoT/CNF24s/T48GdSpToh/ +vYjFxHhj7R+EdXBAz/fuLEaMz1JWXUj27+uG4I+LWfR/fbCXiHCsuYV79hIW +l88Y+9uG8Nr6dT75S1l05OIu4bSiRfHFy1i0WM5VVBkcfKA6sWI5i6ryAEjy +r1WESq0Hi582a1UeJdwoEhs0ebKY8+t14WXCHltTPKQrWPo8mfjJ8KDsqxeL +F9SGvXtLOFw77GmnN4t7zjU+LydcuFPtzZ+VLC4TXHr6lfCvrb10+6xicdKt +2Y94bmQ+jTsTqbL6f+8vy2CAYekG7TUsKssbTBn4eLb7G61lUca1v4Q1jnZm +CtexmP3B4twiwqf79F1msZ7FhLkVJzcSjvIeNWzIBhbPl3MvBMlgrPMtR9uN +LJLmaF844UeeW8MnbmJx6ac/Ox4QfrspcYjTZhbtd8Rvekk4duoJxdlbWOzX +Y/XqYsKWH7XEi7ayqCS/gUWu77dle1b4stimzY0YGTx8d854/TYWs0j1MyTs +5in5uX07i1w6HUS4XtrPJHAHi2Hc7TDCR6Of+B/fydLn76RfKItiwvxYXJy2 +bNRawss/91C7totFu6n6pASS8znv86h7u1lk5AdMrn/osRtJe1hUdAsQ3SH8 +bfTneS/9WWyt4V4IIefj5xinrL0sStZwe0jy8rEje8v2sRjXEanSQnh/6rfO +pgAWyWTr/Zdw6YYrCd8CWdzZV61bx5346Oy1hJ4HWPq+Icl3w0x/ahxkcSJ3 +e5ywaKnlPrNDLJrLCyrxo2PjtIGHWew7oLZyJeFc8ZaFo4+w2BJ3vmg3YcHk +ljtTjrLIPe0LJdystdp+fhCLD1/2eh9N2P2XhpnXMRbPuDxJTyZ8Mujn2K3H +WdxesD45i3CQ26Qr+0+wuGgpE19P2NNIfcrpkyx9X0EGjke2Do8KZlEsD2zk ++HadXfEohMU+XZMuM4RjSk6WvAhlsSmw69xowieu+p/NO8ViBhefCbuXBp6t +P82i/PUFwnF3n5R8P8PiaTOTgB2EI53svBTPsbgtOmvnCcIfukbZGIex6Db4 +0OZrhNeYpkyzOs/i+KSxaxI55rfdmHCBRfnjUMJbDzRMnhXOYm9ytNWE/9a/ +G7QigkXORt8Je8ji3bZfZPED6RZUlhCfub/+GHSJxfsr3kwWEq7tbXb48mWW +vu8rgwcfCw/FXWHRl2unCRfE6X18fZVF17+NQ5YSNnj5bWFpJIvjjlyy3Ep4 +Bxs26PM1FgXyG04yWKxhNLXPdZa+30HGS2Z4jPENFhvEz3QeEV75cuzsIdEs +vruzRfUt4QRvE/vJN1m8N8KyTznhn7Bwp/stFkNSK7q/EPb+pNu5OYbFrdzX +qS4l+cBi/bOjt1lcIHH6ZEJ4XcKBjKt3WBwj30EZBG/eIU66yyJffkObzOc5 +q1Byj0X5dCP8cMn26Mb7LP2+TzKf4tLz/j5g8e2u/PcHCLP71jgbPmTxTu9j +Ly4Q3qJxSnloHIvBJyam3Cf8O2W+9rRHLG7W/x7/gnBDV7GHZzyL8+Vf6Enq +0cxxv3cnsDhaXgBl0Mv9csW5xyyaPtS/0nOZDKZKhMoPE1lUGJMRZkB41JY6 +/w9JLNamB4RYER7ST3l8wxOWvg9D8kpZ3KQeySzK9UvY/Orfs6YpLJ5YfM1v +DeGAUK2htqksbmpYsGUf4fwGJf25z1icu0Ft7VnCF9qUHDemsWgrD1ikH+wz +/NlxZJEbfWmE796ODoh5zuJfkg7yCO9ecyj4dTqLNaR7aybcIm1vrH3BInd3 +7Q/h7TLNYz1esRjDvZ62nOTviX93CF6zeHxQb3LIpL5/rHo4/g2LGx8/GTqe +8OezhTbub1mcw8Vxwt0P+/TZ9Y7FkfIGjvh9xglB+HvST8hf4JKBWULIwScf +SD9RHKwbSthjwrBRxRmkn/BwVIsmfHTpmRE/P5J+orWrTzLhhKj/q+nMw2r6 +ujiOVJIhQ0KDDJ3hDuneSynDSSESyZxZMkTGylz5JUSGqEyliIRkSCmVWqYy +pEIoioQkdZIGCnnX3s99//w8ce695+y99hq+a51i3z75ZhDnfa01H3lFwqAe +NgVmav0QxoeWxxvmPDODNbsNvzeTf683a8D25xhPdH1W2cVNFMoHBUaeeoHx +BE0Q4XnoZbckq9AMevcnFTg8j3slb/zw8v/9LqIQMOLfK43XZvBeGffEDbna +fEwgU4TxRPq8+5uQxUlxfhOKMZ4Y0/32fuQu3Z2yPd9gPPE0J/kMsmanmXND +3pqp58vi/vpUOTKpxAym0Avi95nPrSkqxXiCJqBx/bgMq/7zDuOJulPHG5Ej +r41I7V+G8cQWUvERhZt7XJ+P+4DxRDt6gghdWxJtPMvN4E5wZsAQZOsxq+sO +f8R4gqTrkC+mX25K+YTxBNm+yM/zwh3ffcZ4gnnv6YU8QnNSTfsvZmq9Fa6f +f03vpJVmoKQFLlEo2ZTab9pXjCfQW0tEnj765tWtVWZAoukc5Kzx+odivmE8 +8Xzl+BLkxWEttx9XYzwxl1gstO9jgkfU12A88emltZY7nj+Hqzsa1WI8sTpY +aYhsVWDPj/1uBiubbKUWyBNW3zy2pg7jCeoQ4f//7jHz+A8ztT4d92vLzqV3 +6zGeOLxYfy1y1TjJg+oGjCf6GHQJRHa8HOBj0GQGb2NytU4g7+x7Y6PdT4wn +pCSjjfY98sPD1b8wnkiywh2C+2fmEM8TzRhPjKz5/hrZeN+zRQ9aMJ7Ijvla +jfw05P35ut9m4EQDLvR/ygJHmfzFeKKo89teyJt0KtmJrWZqfZoobEwZvGTz +P4wnSDkPuc/1gO+xbRig7j2yc5F2wYu2DNz+/fH2SuSFkoq27TQYOBN44qY/ +sgaM2W3RngEqj0Le+dRx/kJNBlYcbX/hIvKTmH57DmoxMJEmdHB/x1dpZGoz +MPjC2hMvkG3XvnhR04GB7gqzI5XI6w+3/WnckVHPM0Z/5lnk6sm6DBTbhezs +vkwUgnWfWvl3YiDjydjtLLKpVdqMa50ZOD39t/cI5JQV+3M+dGFgJwn3yd+f +rTrQQ49R94eIQsbtHXFjuzHgSBPGonD6eL3+5u4MEO85BPl8ZXXRpR4MdGu7 +Z0os8hGX8O+lPRkg2ec0ZC5Mf363Xoxaz4f25eBRk7EGDKRHxNl8QtYaPnro +lt4M4OGrakZ+mTjqTEIfBgISusu6LBeFEGmqW3lfBpZSAyMK0b9ebTEwYoCO +p0bWD75f4WTMgIyk/5Bz1l+6GGDCADUHyGtdE++m9mOg3jVKexMydNJS1poy +gMFvm/3Iwd75P80GMJBGxh0jFx0b1nP+QAZONWTWJSNrRK7aEzaIgR2+3lWP +kYvvH3HJNWPAnToweP+8iza0ZxlwoAVvvB+y5d9GcAxIe4cX6qzA+G6/R7oP +z0CXM45PTZCrpnb8eEXCQB3fJluFfGu+t1ullIGXpByA/Ojic+sBcgaoe4A8 +atoUj3nmjFr/KApR4b3rjg5mwH/Sqwt7yPWezHz2zIIBNxogicK9dZYdOysZ +GLdw9MnryAEmHyPGqxjgK5swAsbz/FDQ7sAhDHSmA8/x76emPoahDKAxDfyO +PMx+9fK/lgwUBhj4anrg+Xiy/QKbYQyk6D716Ysc+37MlU3WDJwMC1gzGNnP +c87sZBtGPT9bFDxur59XP5wBGi4gt1mbkqYYycBYjGbWIF8bNdtr3SgGuNTZ +LjuRyzr+t/uqwACpBhxH7vxiQYNoy0AtkdMhV6aY3Da3Y+D51C3D75LP+11T +tsaegZtvzYe8Rp7etmXh1TEMnHD/JKtG3jN5nc33sQxsrzlh1nalKNTt9Vun +cGDUelFcf9untvMaz4D9v/YGUmRrvb7fkyYwwBK5AHLkVA2bn44M0PQBcsCG +EWXWTgzQyyG73akv3z7p//2RojD0xiw7mMxAUnzIj1Bk9A5aNaYwcHzIuG8X +kHX+vjcY78LANmoQRCGvavHB/VMZWEgLSPj94ya6PZvGgB0V3IjCkBm5ob1m +MGA22yjvD/I8mx4D581kQOfDs+xuq/Dv4KwXM4uBag9SARaF217X51bOZqDg +xwhcMrieNFdoDp7DwA2STkTOczzbeeNcBqh5WUXqvaHet+cxsJU6HGgPG5fb +aC5gYD4tUKP/nuqycNJCBkZHPww9hyxW7fgYvohR62vR3hkwOe8WM6B9XbUr +D/nRt/UduSUMfLP+6vsR+fmI8IT17gzk3Y3a+Au5m8ada+lLGUicSDwYjFfn +MT21ljNAdscA5IT9Ta+nrGBgCw1oMP764/YnwoOBeVQAg+vF+tR/X1YyQN0N +5LHNr5arPBkY+Ou940ZkE327q/6rGXU/E9rDA3qzc9cwUKUzccRp5Pggb7c+ +6xh4eqTN0GTkyGmn85atZ+CaIalwicKxxdmRSRsYCDu3Ck9MUTjl1OdpO28G +aHsD8p6XTxa6+DAw9+YrA53VaM8+6c04vZGBUcJ+PRPkzXsME2o3MdD/4Wgd +FXJWo8kKYQsDmqTciHxz/+Tdh7Yyaj0y2otnpdpl2xjIdXOrX4/8YX2bGgtf +Bq5+M6jejTzarmpogB8DR7xJRQX3j21++Qt/BjbShCzG3yEf683+Y4DKL5Fj +/5vrsTmAgZFdxbw3yA/3rrJ/spMB0+Nnc2qRe40fH2Cyi4H2/V2h/Rq034EM +s2E3A18udrnVBznYYvjg7D0MUDkX8rBl6af67mXgCpEfIG/wKFm/dh8DNB2B +fDLuRfz9YAZ8aMEHz8+UVxP7HmBg9kxiETDenGs4dd1BRt1PiNff/joz+xAD +/VZo7r6MfEwx+ojxYQba1aX53UHWTDuY632EgYot6zCEw/NtR9Pq3FAGHrVj +1n1D3tTn6sZB4Yxavy0KBWNrK7YfZSCkJ3EQcD19r8l8eYwBL1pQFoXJFuWt +5icYmEXkSMi9HDTigk4yQNOTyDExQSnlEQxQc4W8s+SixchTDLS9YzTSD9k5 +Lar78SgGPk14PjSUfJ48en59NAMPn+8xv4CsmvGxm/MZBuLnjmRvI1ttOzs4 +PoZRvx+A6N96p2ifY2ADFaygPcvbcsE9lgEM3rr9QS5d17b93fMMWPuTDCWu +z9Yvj/pdYMCoA/nFolB4dlmL70UG2tCGJvR/026Gl1xigJYrkGc97hY5/DID +1H1Bjtl7vXNEAgOXpNGftyAfLMmvarnCwIEkkuDA+PrledXca4xa7y4Kz26u +q0y/zsD07Kz8VOSs2uU6xjcYsHImCjb0B/vlHPVLYsCwSHKnHPmC/qOQsmQG +WheV3fqJ3Dn/wk+7FAbKSTvMelGI2HLmSWwqAw82TIzvj5yyQeykk8bAxd9t +zlki5zk8SfdMZ2A/KV8i773pVVSQwQANZ5A1EwYuGZrJwDTa0CIKbR0N5p3M +YsCy3+vd+5B7le679w8Y6Hthv380sliRdmLpXQb+WpAMjCj49Pn07sk9Bsi3 +e4TcbbZ9qPIBA/eJXBf5VYp+yolsBoh3X4982/+4Y9uHDARPJwUafB6czmSP +RwyQ7LgxcuSC2DvPHjNAqpdK5K+tl2Jtchl1fwB+Xt/ZLWefMkDTG8gVDVVZ +nfMZ+EN+LnJPzZimTQUMvN/rihE/2rPG9KjyZwzc7d6Vi0C2PrspddKL//fT +43lt2nPMrUIG9g4iBWBROHOjYLTZKwZWJwzu/gb588vq64dfMzDF8nPHWuQB +lhfCWosYGJJ1UqO9F8b3KXZVq94w6vdRoP+04U9i8VsGWgo0G+XI+sn6tQ6l +DLwj8iZk17b5UTffMUDTncjD9DzumpUxEEvMH7KkYNCc8A8MBDUQgQna96X2 +yzQ/MrDK93DBUeSOMq0vPp8YcNZywJBfFLYlXSmp+MyA8tCfO4C8I8fPYfYX +Bnr1Tkx7iXzIPZV9XMmo+ynQv757yndEFQMlvPHlf8irnddPuPqNAUh8fq6n +N/6e6z7BA2oYODucRDTor+TU2B8VGdhD5I7IX88beXX8zgAtfyBPjh7bx7+O +AeoOER522bLhBwOKhQvQZROFRGXYE48GBnpW9thyBPlg0Oii940M/MLVEoe8 +dfWveTN/Mur+V/TvJv91ffqLgSyMtp4h9y4Myx/TwkCMLkl4oj/xTiMz4zcD +pFrxG/lS8CGzoX8Z8DCeMUnPRxTmKny1rrQyQNunkM3dui9m27BgMRhG2SCf +/RY29ExbFmg5FLnwlWOgoQYLNDxCTl2xddLR9iy8eVTGbUFeMWDl4W5arLr/ +BOOvO4umHNBm4cxbUlDB/SXE7tPRYSHQnVRY8XkV+tnu7sjC8pqb6LGhvW8Y +skGjEwsTN3q2L0fu79LV9L/OLFB5N/Kb4hmO/7qw0D3odaPuRlFwz5hS56vH +QqPeAdEU+aL7eP2/3VgoPmH3ZSgy77vr5vYeLGQQeQTy+NopL//0ZNXvP0H/ +/2P9et9eLOwktw9Z627K/lYDFpbd7v14L/JcZYXZjj4sOI4jHoIoTDN6NLKd +IQvy/J3pN5Bb4i4VBhqx6v5z5JEvq7RNWGgoEy+XIr/fE7ojuB8Lrz3Oxf5A +Xr7O4mjX/iyk/yAOPp5HA39KwwawELWNCELwPNJT2fUZxKr7dUTB5fPg4igz +FpYSuRRyu6PWdYNYFmj6FHnKrMh98RwLMmJOkd3PnItVSlggu3cX8o3B0XZp +Uhbo61OQJ7ctXmwnZ+EVRr9XkZWu0RpPzFkg2cn7yOGZAjfdgoVTE4ngDPf/ +X+2CUgULtLqPnGE27vdyFQvu80vGaWxGf/fA+Es/hrDgUHGYKIwFnWfzS30t +WZCsJRUL/P8FhWE6w1joQuSTyDcT/xSEW7NAyynIlhWDjgwYzsJL4l4h2/Q7 +VXR1BAupR0iCEuNR1+RzI0exEGFIBK243l7fbnoisOB/LqhTPLKJmXb+nNEs +uMlHaQLy3p7l8io7Vt3fJApL70T32DqGBV4gilZR+HLo8I6O41jo9HBBbSvy +CrmOT4QDC9+nkB2H1w+ZXSebwMKLYrKgMR5qedaY6chCCpFTI29qzAlwcWKB +lleR28w6cPzTJBb8SLiFbCv4WG12ZmHx3+h725FP9HmxsJMLi1H0jIzDyK2R +Ld3OTGXV79sRham5wmTL6SzoHoeEdOSMpqaeuTNYqDUlGVlR0E31XuY2i4Xn +F8mBKQpdmzvbN89mIVlJCqyi8LPWID5kDgsnSDvAVrRHppVR3DwWto9xQhON +/tn8QpM781lY9LRtgDWyebZSOmchC1Rugfzysev9+kUs0PQLcj5/uuqAG6vu +B0P/om5eFOfOAslGHEAO8v/y/t5SFp5tIQ65KHTYeezqwuUskGpeCvLA3/c7 +/1nBAlFb5G4l9u1hy/GVrLpfG59PSfNGS08WiFq5CRneJgYUrmbBjuljrbtN +FCy2O5l4rWXB7GqewhQ5f6apY/f1LOgMC5QMRb4yK0grcQML1UR+hbws8920 +qd4s0HQs8mYTP2W9Dws3iHlGzlh4JyZsEwtH587pvBf5fqZGrOUWFrZ+6qoV +hTz+6Wnr4q0szKcNw+ifbWhYtH07C/jlfuYgf/g2x9DUj4VB/hbfS5DLcy08 +7vuzoN2horIOWbfNC0eP/1j4FhLxQWs7nl85UdBlJ6vunxOFJel1j5MCWbge +QwSoGN/n8Svn7mYhnMgxkUc5xka1C2KBlmeQHz27u+LSXhbmEXcNecPiDw+n +BrMgZJckByKvc16U9Xs/CwOcj1w5gTzWKdop9iALWkUOcVeQ5Uta1zmHsPB1 +EVmAeJ61lMpaDrPw9CspWIjCxsKoHbGhrHpeAvovXWM8XcJZCPttvK+dryi8 +HjSh7u9RFjYFvsCIGs/3msYu8cdZIHdPhrwtqmP27JMsjCLybOR1j7/20o5k +gZZrkWvcmtrePMWCJgnfkEemhu5ZGs1CpQU54ERBY6vZBf0zLJDqargv8Qe6 +umfHsHDV7rHzJeTWspvpm86x6n5DUfDK9r/Kn2dh43SiuMDz50S+UBLHgmtp +FUZwGB+KuqsPXWRhxLLTylZyvbSTVvbxLJjWzpD28MP7PbM8+udlFmj7CPIZ +3wnnL19h4Usb4kCjP+lsNdHtGgtUvoH835Qeh3onsnCFpHOQ564YuyH/BguH +yeNF1vo8tHF3MgukuzsEuc3WSb2FFBZmJzj9ikXuPrrx7c9UFoZbkogB/dm0 +o7bX01jol5XyNR9ZkR8xblUGC+3Gry7/hNz0dI1olsmq55Xgflu7ddiHLBYe +uRJBlyjMLuTNTt1h4XL5gdyByOf2VCa53mMhZJX9g2HI930HVPZ6wAKVcyEX +64/JKsxm1f2ZomDYN80m9CELNsTcIy+HujlTH7NgfKgPeqi4vy7NNu2ey0Lb +3vmnzyBzlfOCnz9l4dNpkgBE+9XgGRmazwJ9HRWyPO3ntBnPWIhPrN1Xhux2 +2DPR4AULB4fH7mxEvjd6eOqbQhY24GruuAPj23aFS6NesUC8jX7IsDUu3a2I +Vb9PTBQe32XS2DcsGBF5J7Km+73FNW9ZoOUe5LeutYk3Sln4SNw/ZNvuxle2 +vmeBqJGCkKeuyZpm94GFSwGkYUgUqu9OONfxIwsHdLXtEpHHFw8+/eITC+vC +MmxykMNrPzucqmBhuvEGVQly5K2yiOWVLFidZ2V1yLMWh0cqq1h1P6soHN+z +xbH1GwutKeRAwvs/RuP84xoWPtiSExj3C3fx6rFaFh4QuTeyw8jy5UvrWKDl +X2SP9ky+qp6F/SQcRE7aWv25XSMLa91Nmncijze4cvl5EwtTa17UHUcOz/04 +8OwvFiw37q1KQNbQ0XbybmGhz79RH+8iR6UcNhv3h4W/pD0QOcO29VrvVhbK +9EiFBu3jvhzx2z8W7p9YiBYfP79lxfusthzEDdDP7oU81HvBzjANDvbFP86U +Ii+YN+ithyYHa+gLwESh7JjVF0GbAyoHQTY9oH+hlw4HQ0l6CPnYoBEmYkcO +6HJB3veId8zuxMHvWSSgxue7cr4sugun7v/F833bzAeb9Ti460EqAni/foTp +T+vOwfkfG9HCoD/vfMLYvCcHxLpUIk8K+1Sq04sD4v39RZZO+jWzwoBTz/fA +eGj/zL33+nBAsqcs8l7xP58zhhwYRLdbNALZ89hfwx3GHFB5GLKr5STfhf04 +eEfSxcj9NRtPCP059fvr8Dx8tGWD6UAOSHdFCLJz4SLtdmYcBE0kKxrPf00L +108MB6sK7YekIYc+Wr0ih+PAeX6zLB/Z2ujM0HgJB0ra4CsKwUEudw7JONCn +Dxj3N6/dycecg+afpCCA/luCY6+5FhyU7CACcry/U2LLRis5AJ1dHYYhb/50 +ehU/hFP3S+P9zMtJ6WbJAS0fBRI9f+yDFisOVhJ3EjnY5H74J2sOJsnnfgtG +PmZ5ncsfzoHiph5uYfw9us1+aSM56EkPEDwParkT5wUO8HB7+Rj5bl7eptDR +HLydQhpU0B7Ktxj+Z89BZnFFdgPyJWlK4NqxHMS4RWbp7MLzKaB30gIHTj1f +B/f3XvmlyRM48PAmGTaMT5V7lgsTOXAi8nHk3nop1RaTOKDlZOSyKc42A505 +6EEdVFE4cL98Si8XDmj7N3LLm/aKjtM4eGNKGuDQfsdOeNs6nYPbeLXryPtf +j53SMJMDYu2zkS0fHgypms1BIHrjb5H3bEiL/DCHU/eX4+/buNCneB4HE5+a +rNDcLQqCob7h8wUckGpjX+Slk7wOPlnEQTcaAIvCqZjuBQ/cOGgk7STIE133 +l4E7B1RegvzQdStkLOMgg6SbkOdbbVp3awUHdPkh2ypM6m6u5CAgWH/oceQj +Kl37ZE8OlvUkHXr4eUVvliWt4dTvSyT1DMn8pHUcyBmSQRAFv9JjTPIGDvRo +gk0U4svu3LvpzUGDFRFk4vmR5zD01kYOXsNMnV7IPZMfbcvYzKnnW+HzmF15 +DLZyEEXay5APW4zd92A7B1Ruhty4KmDaEz8OlpL0M7LHd7uGZzs4oMcRsuE8 +yeriAE7djy8K17VKMz8EctCVJvDRXrRrU1W1m4Mf2kTwLQr/jKxrG4I4eBWS +mvMcefgH8/x/+zi41WcNfEGOOBa0T/cAB/T1fshXHcT+vQ9xsENafL1bEP6e +Wx2Omh3mYEnSwUsMssTnvy+qUA4cRo7BkFoUDHLaGdiHc0Dlp8i9Mq3Mph3j +oAstEOJ5a57b3f0EB3XEPUX+ds2zzCeCA/J0DyFfjcs+GHSKg1Q8fc8h5wwP +MomM5oBER7eQzRctCrl2hgN/+sJYUYh93vrpwVkO3AK/r/iIXG/U07gklgNS +/f2FbHjUxbo+jgOeOpS4XpZ42Ohe4qATFSCIwq2tXfoPusyp5xfg8zvTUDPy +CgdUjo7csq7gzOxrHNDyNHLq9FkjvRM5OEnCVeQXB4Q7IUkc+D6JNA9GXqiy +Nb9yk4PFtCFfFCpU3XblpnIwplTbNJl83sxV2d/SOGBpwCoKjiM71Ove5kCX +CpxEYbt7jK48iwNxE+mwQP+FadRzvsOp38+J6/lWhsaGexwk7w1FDxDvh9XN +T+EPODjRfUKDEjn0aHRSWg4H20l7CvL5RCvvskccULkKcv6EUYO0czmwJ+kr +5Mh/Xg/M8zigyxl58IKTs2cVcNCRCihFIeG117sdzzmocdj38Bry9Zx7s+IL +OSgoEO48QL7rPfnBq1ecet4DxsfdilmNYg6OlV9Cj0MUYrL4HRZvOdi2alF8 ++2C0Dwe18xeUcrCgQf9cH+Sx/jb6B99zMJreQHw+u4KnZX7g1POgMB4Pur+v +9iMHVL6GXLP0Wnr/Cg6qSTob+cb3vl+mV3JAjzfklMaCznurOLjBEwU5fv/h +py0yqzk4mkgGKIlCt12zpjSIHJBqzx1kOJy9WlrHwfz7m9xeIR83zdy7pJ4D +W2qgRaFPXdfYyEYOBtGCnih0fHAQXv3kQJs2gOD55NaltFsLB6QbTIJ8PXTJ +70l/OMgj7avIs2TuRsGtHFA5K/Jl7S+2j9rwEE7KW8htOt336KDBq+djiEJn +WeGx8Zo8zA0rRosqCmkr6p7s1eZBoA6gKLx53qSVq8PDACoYEIUf+2FC1048 +aNEGM1H4mysNn9aFBzq+CXnku15Vx/V4yLVd+uc3+f4DF094352Ha4/6khSM +cLbXtxuMPg+hUwtqzJC1nY9K1hrwsOntrgob5Od+Y6+k9uHV89hEoe+mEkHD +iIdRNMAUhWm8bdlkEx76U0ES+isXZ4ZEmPLQnjawisJFc22XrwN4qNzT7e5Z +5FQDm4HDzHig4yWQ/aqKtINYHq6e2H7jKXL/WXn/ingejgxQoguN68laq5NU +xoNP/JdzP5GTIlfL/cx5cB1CFJmi0CPth/tzC149TwTP99bAG6yKB1MqeET/ +TEe/r99QHmi7C/LDxLATL614oPIX5JQOP5XmNjw8Jukw5PjpFl/2jOCBbg9k +TyPLlPJRPBz+EboyGtlt0L+zo0bzQNQRScgP1u+8EmHPwyz6g3E9OWW8bh7L +w3CaIMfr2Z0zdR3PA319NLINZ7XvliMP7aL74TdEf9Bwg77hJB5I97Qx8rw9 +0+75OvPw6No+KyXy4oFvwz648HCZtL8hb/ulEzxuOq+eh4jniVv5+cszefAi +6XHkSL0VX3u48kCPS+Rq3dPTts/lwYYW4NC+J4dUfJ7PgzFt2MDz5Mnos1MW +8er5K7hfPTL2Zbjx8Omn5d9i5AOddaP4pTzk7KhuFJE/H7N+d2w5D/E6MaJG +CPrPzjOctFfycPDIrC+9kTPKPb9u8uSBTK+QI1t6htz8uoaHGdRhw/3k9Sxp +3noeqDwWuUC0+1zgxYMRbQjD+G1C8/hxG3mg7jPy98UdP2Rs5tXvH0Z/6dCB +K0O28ZA9ZXJSPPLzsxGJCb48XCzWSABkp5rJIruDhwNut2JfIgfZ3HM7G8DD +um9roqqQI0v0u5nu4mE6DQhFofbi0pZTe3iwogIijGfbvOhnvI+HvrThVBT4 +J/4Bp/bz0Era45ED/Q8N7HeIByqXR75qxraPOcyr59WgPZq92owJ44GG08ji +hH1B8Ud5IGqVI8hN9+LMlSd4WJteMC8OOUxe1zstgoepNOGE+31/6Hj7KB4s +qUAR49u9tzOfnuahD21oFwWr9dHbXc/yQLrZfyOvsF61syKWh7LlpOMKrx8w +vdD7Ag/3vndTmCFbngpZ1z6ehzgy3gC5596ZruEJPND2GeQRf94dYq/xsIZ+ +QVFwcXfXT0/kwYUmtEWBqRrwzTmZB7rdkFu9Z3evSOGhNx14JQpFCWP2+6bx +8PtKBwy50B9tx8/pdZuH91aZTbnIZpfG+17L4uEueNV+QLY/X9k08S4PsROI +YkAUAh46P6y8z6vn+6B9T8r+sTuHB09qAHH/fD62iXnMwxRaMBMF/ytdZ+fk +8kDb6ZBn2m4P98jngcrrkF9elis6P+fV8wNF4ddXH1liIQ/0+EVOenkhaPZr +Hu6EvEyIQo61NnJo84YHPIzO30C+l8d6XCzhYU+MbfRD5AGjzb5Pe8+r33ct +CsmqdaX/PvAwmRbk8f6MWaZM+MSDkjZw4fftsvz73C88kOjNCPmborB3pyoe +mifnblUgV0/tlnC7mocS0l6LrNU/8Mq6Wh6o3Jb8e9cwE7MfPJwl5TfkuKfx +rW8aeKDuOHKmm+WUIz95WEkDOFGw6xvTc2ILr56HhPFKz4WTNP/yYEEbRNHe +Hnn/G/7x0PPoZtti5PFtjvf3ayeBnybm1iKy9o/WrBGaEngb91GhES4KXeQH +iv5oS4COh0M+fum2Z2ZHCZy5NXmgHHlZZGe//zpLYBdpt0e2XP+n8zg9CVD5 +PbJtsa5Jpx4ScKKCQlwPj7OuvtCXqOd34v7ZHXU/srcEeix70xqOHBFpsWC5 +oQSaxEM/LyHXf2/jpzKRQPGmsd+zkHtDpFnb/hK43eZ3ZSFy09nQmQUDJUDH +1yBfOr6p+xlGAoHdyQXRv29bOseLl8BymoAWBXnCHIWDTAKOVLCM67Fk7zGj +wRIwpwMu0P8do3WoXiFRz49Ce9trTZ/cIRJoJPIc5DMabsPOW0mApuuQx9rt +qvnPRgJ0+yJ3DfW1WThSAtGu3U+fR942rt50lK0EAsqJwcLzye5wjIm9BJbR +ApcoPNHUvNNmnAQm0IYIUfC63ur7abwESDTdgjy4o+Lto4kS0NMiFQZRaLvD +5c21yRL1+9VFwV3V2/eEiwReG+istkauaxEe7JwuAdqeh9zLY3fS2lkSiCJy +PeQ3z+Kd5s+RwH8kfY+cNMXrkNN8iXp+Lp5veTF+IxdJYDxtuMLfo61hZLFE +AmTaQwpyw6GFHoOWSaDrq3/WuchPS3ev6OshgR8LSEYb2dHRsLunRD1vCz/P +yG+n7loJ3FpnOkj3uCg8C26O0doggcjml0amyO9zjm7T8JHADhpw4XnyT9m1 +3WYJ0HZdZHH5lYXttkmAyneRbwvVa9r7SUBCynnI7sXPR3X4TwLUvUeuj574 +rHOgBOrMieIR16OJPae/RwKFKblfE48T/erFsSb7JJBqS54I2uch66T8AQlE +PLJ6W4Jcl3nw7dAQCfjRhI4oVP34O2tMqATcqABQFIoXp8VMPyqBsbRhHM+3 +inu3l52QAE/GCSIfSzCO3xopgU6kfR853ybPMyRaAlTOj3xiw/v2F2Ik8IKU +95E3n17gcydWAjTcJ9f3mwclFyTq+WR4/VE1X5rjJeBLE8ai4HOIE3tflcAi +KjAWhX3n+ZfWiRIYQwdSiMJJh67R85IlQLLPNchuub8n/ZcqAV0y7ugkxvcy +/U9x6RIg6g0D5NXau5YUZEqAqOtkyLKLm/Na7kggmYzzQB7fqz/LPpAAbe9B +9ut+Y+2MhxLYTgtSGE/wyy/teiIBmv5DXpy6pTglTwL2dOCNKLxukPz79kwC +zIFnNpeQj/3MNB7wUgIY/KqykHff97WaUySBmigyoArP92k3Joe9lUAB+2PQ +V+TrEVeXFryTQNK1OONW5E3Hs/26lEvgmDVxYIh/OCVy8mcJbKUFb9y/rRcg +pFKinudG8g0WYuE3CdB2P+Q8VyfWsFYCZkT+h7xN6b5myQ8J0HIAcqLz5wcJ +jRKg7gHy2Cpbi5ZfEvW8WVF4e6j66vg/EkjcoVOShjxU7uZw4p8EjuqQAEkU +DvY2bf7WTgpbqKBGFEyMLubYaklhHm3ARPu7IzD5mI4UbOmAIlEI7j3qwfdO +UkBjmjIQuZ3jsuaJelLQvul4bRjy/b5Hpl/sIQXa/otcZDO3uIOBFPKIHBj5 +U9dF+1b2lcJ1Uh5EPgH2K/OMpUDDBeShtle2Dekvhc1UsIfx+hynO5GDpDCX +Nnjj/s7MH6nNSUHwJhGXKEzSra33kkrV8+8w/ixdWlFuLgWipmlE9i9qMJiu +lMLXLm7LO57CeDZpcXDOUCkQNXo/ZH+nTfYjraVwzfTp7CHI/85r2iePkAId +B4D8Rqt8/2BbKdD2AGTbpoqBl+2lMIcOkED/cXpDR6mDFGj6ANnSrXbMZUcp +9CeXQ2737G7h4MlS9bxntA95C24nu0ih8t09sxzk+fq32o6aIYUny7eYlCA/ +aso993C2FK58JwZBFL56RlycMU8KR7aQApIodGClPT4vlAIdj4Xsdzzo08Yl +UnClA2rwfNPONtVdLoURZDwI8qaI34/PrJQCbRdC7rraocJmjRQ0iHyI/D3s +qc+r9VL1vECM561Tt3v7SOExMS/IfWKsWntukQI6p+hwoP8/JuBvynYphEwg +BWq0jy8KfefvkII3bWgSBZ3pGwI0A6Uwa+71rGpkf/Zcl2t7pDD807LUttFo +n0zTzOYHS8FkNekQQPsc2vFJp0NSaNv07KIU2XHZj7ZZR6TwmYwLQv5q+vKp +11Ep0PZB5DNtjZXSk1K4TOSEyLmdhis/n5ICLS8gT+oX9+zMGSl40YZJUbjT +pqzH4lgpzJT28LuI/M1n1Z8BF6XqeeuisHzaq4NfLkvBeCSZICEKoSFJeVeu +SYGoTyuR0+ecydqcJIVPk0mFC/c/JywdmyqFnNdReGKi//XU6l7PDKl6viL6 +NwdHlFZkSeHgVyKwE4ULQ7VS0u9JgbYTI5eYzZgemiOFGURejGy9vi519RMp +0HIjcoeaS5WO+VKg4QfyeAfXL5IXUvgXHs7EIjsYlaR2fi2FchOigEZ/pJPh +4vo3UsiOa9M7H7n4ueant++kcNGCWGhRaJ4TNjanXAp0vDCyqih7b3KFFNbR +gQ+iEFISm3S+SgrTnrxqGYBsuc7u8UlRClbT99dbIQ/kb+Yd/iEFOl4AWbAf +mB3cJIVW0m6AnJKalBTUIoUPRH6AnDknKiqoVQo0HYF8vMooKLidDC6Qr4fc +TrXR57CWDIL3kgYBUfjTv3nlyY4y9TxKtA/lfz3Pd5HB1Ihht94jN/367J/c +XQZDB4nXG5DN5hhdyOklgz4JZy9hCCcs2qdfVdJXBn+Gup41QZamODs2msig +jIxTQz7/YupTvYEyoONGkH8mXds0mJUBbT9CNhqsOdlFKoN9RI6EzCibpm8c +LAOankQu/aI6HKWSgQsdACwKr55t1n5sJQOiNruO3PBlb8av4TLo7avpkY3s +mmKZLLGVwW/N9MVvkfP/c21aOEYGpJvuO/Kp+a8Dj4+XwV0DEoCIwocZp5cW +OsmATKPoi+wcfPVUDxcZ7OWJolAUdszQVc6cIQNPMn4IOcH9ARvpKgPajojc +9LFl5+f5MvX8TlEwjSycqHSTAS1XIH/stScwYJkMWoj7gnz17lTVq5UyKF3w +vHcCcieDwPnytTKALyTBIQret7w6BHnJ1PPJReFBzG7rik0y2NNMGqhFYfRY +8yaH7TJYFXDhd5tzeJ7dqLRP2CGDybokI4bx3wNbrtcuGSjCetRIkEeNzbsQ +sFcG+mQcGXLfbpZPfhyQAW1PRhbHS8KXHZFBCZErIw/cuLLTu6MyoOVLZPnN +e5auETI4S8IZ5LWf9foWRctg9yMyoEEUch7bZMw5JwOPqaTDEe2B/bCBHy7I +YNLbrMTnyKnru8xYlSADC3eSgcH99aVgVvN1GfTEb/cHueDlGUXwTZl63iny +qMRK03QZEO+eQRb62+1My5JB5h5SoBGFefGntWfflwEdV4D8YJVse/NDGewi +7QvIh3dO+xL1VAZUzoBsVxzqPP65DGh6A3mr07b0xlcyGEx+LnJIVZwi7q0M +SPfMLeRfAx+mziuTQdNYu7l5yPvbRczq9Vmmfj8A+heLvvcs/CoDMh3kF/Ly +/hd/hosyOF1GGoZEYYxHita8ehmQ6XoDkGcUmUxgfslg+Y+nllbI/+43PGj4 +IwM6vgT5+aZFgTlt5WBO2pmQZ66ICI7SkkM3Im9CLt9aV75FVw403Ym8Wbhz +2FVPDkXE/CFfUmyPHqkvV8+HRX+p+XwPpq8coq+RhkRR+J1Q3Ni9nxwCrMkA +O/S3DGBS+0FyWHp3cGM98saGPgNbODlMmPi5pkOcKOwS0n0b5HKQ0YHtuB58 +9i+tV8pBbz7pGMLzq2RfeZOVHOg4I+TpeQ//tI6QA21vRI7VWJOhayeHNCJ3 +RNYqTeGNHeRAyx/Ij683O6mc5PAfcYeQ3xufMp/sIgf3I4dvXEP2cxnwevVM +OYw3dLj8ANnWzdT5yFw5SHG1vEE+fWpsdPoiufr9HKLwo2RWwdelcviB0Vb7 +C3g/3wz5ZrRKDiQb1gfZbN/zX9PXyeFWDhHI4v1ZI7Q74iOHSDLeDDmtKUav +cKtcPU8Xz6vXI+WGO+SwhMifkctXrly8fJccaDkUeWmnUzdT98lBQsIj5C3b +3S27hsiBdJteRk4fvbJqZbgcyDSAO8hRnb1KnpyUQ2EXUlBB+zNgYS/laTmQ +aVrfkGdkSGJOxcohwpR4bLjetoh7u8TLAQ9LK31ki4fvXwdekwMdX47sOs3l +aJubchhLxh0iz/e6kf9fuhzo+APkn9FhBzvckUMn0g6BHP3pTGlYthyoPAK5 +y6y4HCZXDi9IugRZJyRkVuYzOdwktw95A+NxfO5rOZz4TgZCYfwkmx/eWiIH +3y3EQ8D9fu2Wa1y5HBa1SxErkJlRNd9nVMrV84dFof2SoGUdRTmwPQeU6V0S +hSCrUdn36+XQ8dTrIjPkyi+fDHc1y0E0Iw6+KChHb/Sa+E8OdBwKcr6tXrGB +pjkkk/Yo5OrzjbOrOpoDlUshZwQf/HdXzxxo+hT51s8VxWd6mcNCYk6R6/ys +63cbmQPZvanInu/fum4YYA4MHdhL+pem9XXnzIFEv+XI/e7ljp5nbg41jSSB +IAr8tMjSOUPMocCPCM5w//Cjfy+0MQdS3e+PzBxxv7DK1hyOhZCBlnj+CEUb +Dj+zADoeCXllktul3YUWsIC0SyK7esSO9H9tAaOJfBK53vqpatsbC6DlFOQP +nUzCtpZaqOc1k3lJ9Ut9yyyATM9JQvYvz7q286MF5E8mglZRmLah6/YDFRZA +pk++Qw6t3ZV/8qsFhC8iEyNFYYpXWWJ8tYX6fRZ4voQ34sO3gHkbiKIVf9/b +5PFFPyzA9vfhAUrkJ3KZbkOjBdBxacg2Tn6+PZotgLZPIz8dUxNn+ccCqoic +Gnlb7csj8/9ZAC2vIpusuj4uqJ0CrpNwC9n/lH7uTU0FhFm8aLqKPOZmsvRr +BwVsvhVUex95aZLbmn6dFDCXvmAB93ut7inXrgoY9aS+TCT//mwWHOuugAHT +SUZWFB76xZcX6ytAkx6YonDE0riTaR8FfF1KCqyiMLvvznErjRTq+dZob654 +RqT2UwAdp4A8YVxwT92BCggl7RXIORcS0xczCqByC+Q214pPZvAKmEPSL8jd +6/tmGMoVQB8H8pL1yby/hQJINgKQZ9h1FitUCmhPHXI8Hydc7jHNSgGkmleF +bFVjF3vPRgFPMknDnyjMenUhYdgohfp9MnheXym1ShytAKJW5pGPftZxthir +AB8yThU5yd+/NXG8Auh4FeTqsjQXGycFjCDtVsgpZ5dMz3ZWAJVfIS/aMKn7 +rGkK0KABvyiYV2w+Vj1TARXEPCMznj2/756jADINNAPZZ7AvxyxQQIIBGUCJ +8Y6O7aTHixXqeeB4vm3pu8prqQK8+SuS38h20b8P9vdQwKzEJQP1ruL1Bc3s +Qk8F2AzvY2SGvDF3v/GBdQowuZ/X0wa5dbPRWUdvBdBxS8hmk7zdO29WwGfS +fol8ZVzw2pfbFEDlmMj9/UOex/grgJZnkKtdqyN8dirgEHHXkIPSRr+ZtEcB +G5q7fk1BfmK3NlIarICZAQ8+5F4l809C6rocUoC17rY3H5D3p/+r/HlEAcZ0 +AYpCpMvMIxVHFdCGFixEYYT+2r9vTirU73MSBb3hy21eRikgx5woYDBerox2 +fRmjADp+DXljctaqt+cVcJC0YyOfUiX99+WSAtYTeTby7j03LjdfUajnp4uC +dodRrd1uKGAYCd+QPb71C7JIUYAhPeAw3mvjNW9GugL+VZOCqCjoe58+sCNL +AUT9UopcedbNPPGeAujrTJA3tGa7VOUo4OKeFd7a1/F+1Q3W5XMVQLp7jJBz +P81cs6ZAAetOvFiqQB4wYfKhtEIF0HGMyAev7gzoXKwAOp4BWdJ0Z97yUgX0 +pQ60KIzcqVI9/KAAKt9Abj7sbmhRoYAPJJ2DrHl4rDS6SgH08SL7hcq36Ncq +4AIZt47MvCwxDK1XQHCZv7QYecVUf0nvXwpY40EiBvR3vK9mnPujgKk/qow0 +EkXh+8vNVcPaKgGDLf3eyKA/N7dQU6meN4/n+xOnbZs7KuEPGc+KfHB/pt6g +rkqg41qQh+tGnX/dQwn3SPsWcqaL66zQ3kqgci7krr3KR84yVsI+kt5FdpZc +9xk4QAnU3CPrdHzc9xejhCl3ndBDRX9wV+aEl1IlDJnYrrAQmdmf2C/dQgkG +NAGI/r3BorSLQ5Xwex4RjOL6tzEcdsZGCe8+kwEDuL4mvko5IyjhzhoykBbt +42jGNX6MEmLJuGZkf85hROYEJdDxTcjXckwD3kxWgidp50RO85zo1Ga6Eqi8 +E3nZx563B7sqQUUXDO6fk7t+rlighF60wID2vnFpv8tLlEDUSOnItYX2rs0r +lOr5/KKQPGHz4ylrlEDU/J+RjwXKTyR6KeFcTu3qFmTTFvdqky1KIN2wXZPw +/t75+y3cTwlkWsEgZA+LdDAIVKrffyQKN5Inhp3bqwQ6zg155E/nwyMOKUGf +FjDxeQ5x+/g+TAlU7o1s1GCccvCkEmj5F3nYT9ZmwmklZJFwELnTLsuIrueV +EHPMRZaCLNfo27E8Xgm7TbXNcpGffnmQAteV4HExw/gD8m+tOa/iU5QwSUkm +IJB+AtmJs7eVYEEdXvy8g8+HXLinhB5UIIHfd5f8/a1HSviZSxrqRMFphFd+ +Ub4S6HhH5NtxNqM0Xykhk4x7QJ68cc9SoUSpfp8B+rPLX+3YU64EKgch15NI +npZWKmEFSQ8hW+f13mtXqwS6XJJJv2NxQ3KjEgbTgFoUDNdOnzrsjxLoeH7k +swcHf37UTgWNkaRhVxQMdD/8WKmjgmIzYmFEwSUp866hngqIdTFEvnWpa1RJ +LxWcRu/PAlnrmElBgrFK/f4xUbiY4XT+0CAV0PEvyHXVZusCpCpwJO1gyOM8 +ffx3K1VA5WHIy17O5SKtVdCNCjxxPegsu3PPVgX0+EDeODL27F8HFRQ1kgGy ++P1VDyWOzipI9yMrWhRW3xiafHGmCkh3cg0yuHLnjBaoICBERlxAwSH7ydyz +S1Xq9z+IgmrSXPtRq1UwgT5gPE9t7B7UeKtARgsCJN840jlxuwroOCjk1uQd +U/cHqqCetIcifxxcbL99vwqoXBRZyhVFBISpII2Uj5BnO/x+FR2pAupOkv/f +XORTeE4FOxYdNMlCXtc0+a9pggrcv9rjFhaFQ4tvNO1KVoEDPUDQXt7q8F0j +UwVSWnBEe5I5ZHtEtgq60AYVXG+BNqpJ+Sr40YkMNEJ70LIpsU+RCl6G5/8Y +gezT4Utk2w8q9fv/0F7HuUVoVqngf1DGkag= + "]]}, "Charting`Private`Tag#1"]}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0.22500000000000073`, + 0.96}, {-21.244032180462987`, -2.9200562620360704`}}, + "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {0.22500000000000073`, -21.244032180462987`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + PointSize[0.004583333333333334], + RGBColor[0.368417, 0.506779, 0.709798], + AbsoluteThickness[2]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, {Log, Exp}}|>, + "Primitives" -> {}, "GCFlag" -> False|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + ListLogPlot, "GroupHighlight" -> False|>|>, + "DynamicHighlight"]], {{}, {}}}, AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], Axes->{True, True}, AxesLabel->{None, None}, - AxesOrigin->{0.22500000000000073`, 0}, + AxesOrigin->{0.22500000000000073`, -21.244032180462987`}, DisplayFunction->Identity, Frame->{{False, False}, {False, False}}, FrameLabel->{{None, None}, {None, None}}, - FrameTicks->{{Automatic, Automatic}, {Automatic, Automatic}}, + FrameTicks->{{ + Charting`ScaledTicks[{Log, Exp}, {Log, Exp}, "Nice", WorkingPrecision -> + 15.954589770191003`, RotateLabel -> 0], + Charting`ScaledFrameTicks[{Log, Exp}]}, {Automatic, Automatic}}, GridLines->{None, None}, GridLinesStyle->Directive[ GrayLevel[0.5, 0.4]], @@ -239966,69 +281438,105 @@ NML4mXfJZXVXCfMlvrjLCrmx/Hrc00MlzOjzHfF+al8g7yhfwvwP8rMJFA== AbsolutePointSize[6], "DefaultPlotStyle" -> { Directive[ RGBColor[0.368417, 0.506779, 0.709798], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.880722, 0.611041, 0.142051], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.560181, 0.691569, 0.194885], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.922526, 0.385626, 0.209179], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.528488, 0.470624, 0.701351], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.772079, 0.431554, 0.102387], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.363898, 0.618501, 0.782349], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[1, 0.75, 0], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.647624, 0.37816, 0.614037], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.571589, 0.586483, 0.], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.915, 0.3325, 0.2125], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.40082222609352647`, 0.5220066643438841, 0.85], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.9728288904374106, 0.621644452187053, 0.07336199581899142], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.736782672705901, 0.358, 0.5030266573755369], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.28026441037696703`, 0.715, 0.4292089322474965], - AbsoluteThickness[1.6]]}, "DomainPadding" -> Scaled[0.02], + AbsoluteThickness[2]]}, "DomainPadding" -> Scaled[0.02], "PointSizeFunction" -> "SmallPointSize", "RangePadding" -> Scaled[0.05], - "OptimizePlotMarkers" -> True, "OptimizePlotMarkers" -> True, + "OptimizePlotMarkers" -> True, "IncludeHighlighting" -> "CurrentSet", + "HighlightStyle" -> Automatic, "OptimizePlotMarkers" -> True, "CoordinatesToolOptions" -> {"DisplayFunction" -> ({ Identity[ Part[#, 1]], - Identity[ + Exp[ Part[#, 2]]}& ), "CopiedValueFunction" -> ({ Identity[ Part[#, 1]], - Identity[ + Exp[ Part[#, 2]]}& )}}, - PlotRange->{{0.22500000000000073`, 0.96}, {-1.1356494245290467`, - 0.041144354484202006`}}, + PlotRange->{{0.22500000000000073`, + 0.96}, {-21.244032180462987`, -2.9200562620360704`}}, PlotRangeClipping->True, PlotRangePadding->{{ Scaled[0.02], Scaled[0.02]}, { - Scaled[0.05], + Scaled[0.02], Scaled[0.05]}}, - Ticks->{Automatic, Automatic}]], "Output", + Ticks->FrontEndValueCache[{Automatic, + Charting`ScaledTicks[{Log, Exp}, {Log, Exp}, "Nice", WorkingPrecision -> + 15.954589770191003`, RotateLabel -> 0]}, { + Automatic, {{-16.11809565095832, + FormBox[ + TemplateBox[{"10", + RowBox[{"-", "7"}]}, "Superscript", SyntaxForm -> SuperscriptBox], + TraditionalForm], {0.01, 0.}}, {-9.210340371976182, + FormBox[ + TemplateBox[{"10", + RowBox[{"-", "4"}]}, "Superscript", SyntaxForm -> SuperscriptBox], + TraditionalForm], {0.01, 0.}}, {-23.025850929940457`, + FormBox[ + TemplateBox[{0, 0}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-20.72326583694641, + FormBox[ + TemplateBox[{0, 0}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-18.420680743952367`, + FormBox[ + TemplateBox[{0, 0}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-13.815510557964274`, + FormBox[ + TemplateBox[{0, 0}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-11.512925464970229`, + FormBox[ + TemplateBox[{0, 0}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-6.907755278982137, + FormBox[ + TemplateBox[{0, 0}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-4.605170185988091, + FormBox[ + TemplateBox[{0, 0}, "Spacer2"], TraditionalForm], {0.005, + 0.}}, {-2.3025850929940455`, + FormBox[ + TemplateBox[{0, 0}, "Spacer2"], TraditionalForm], {0.005, + 0.}}}}]]], "Output", CellChangeTimes->{{3.895568530938045*^9, 3.895568537431563*^9}, { 3.895568631026696*^9, 3.895568722230484*^9}, {3.895569003170183*^9, 3.895569026818574*^9}, 3.895569072602941*^9, 3.8955696152870693`*^9, { @@ -240037,9 +281545,10 @@ NML4mXfJZXVXCfMlvrjLCrmx/Hrc00MlzOjzHfF+al8g7yhfwvwP8rMJFA== 3.895572009655611*^9, 3.8955720924119377`*^9}, 3.89557215065018*^9, 3.8955722084052687`*^9, {3.895572617364996*^9, 3.8955726198312187`*^9}, { 3.8955726688078413`*^9, 3.8955727078467083`*^9}, 3.895572824991383*^9, - 3.895629278162117*^9}, + 3.895629278162117*^9, 3.901787261*^9, 3.901852407106827*^9, { + 3.901852821674165*^9, 3.901852868945196*^9}}, CellLabel-> - "Out[242]=",ExpressionUUID->"eada1ebd-d2c9-4443-bde1-a65ef2d81619"] + "Out[320]=",ExpressionUUID->"86546cd2-9bf8-421a-9e3b-615897a01f36"] }, Open ]], Cell[CellGroupData[{ @@ -240073,146 +281582,752 @@ Cell[BoxData[ RowBox[{"PlotPoints", "->", "50"}]}], "]"}]], "Input", CellChangeTimes->{{3.8960110316793137`*^9, 3.8960110337071533`*^9}, { 3.896011240455381*^9, 3.896011419636589*^9}}, - CellLabel->"In[80]:=",ExpressionUUID->"6081b974-8b5c-434c-9d60-784b38cf0f72"], + CellLabel-> + "In[312]:=",ExpressionUUID->"6081b974-8b5c-434c-9d60-784b38cf0f72"], Cell[BoxData[ - GraphicsBox[{{{}, {}, - TagBox[ - {RGBColor[0.368417, 0.506779, 0.709798], AbsoluteThickness[1.6], Opacity[ - 1.], LineBox[CompressedData[" -1:eJwV13c81d8bAHBSmdlkFqX0zag0RPEIEbJXFGU2/EKSLSOkzEIRIiKUvTKP -EDLuvXZlZIQUIe6HIv3O/eu+3q/7eb2eM55zzvOI27oYOWyho6M7TU9HR/vV -dvjW3TBrqryf7nogRxwVbVUTcv0ieAxCqp9m3EuloqNpiSmlguqQnMxj8CCT -ir6KSAR57teDg4r6vIE5VKTTNViSKmgCGtQM9tE3VCQRKZr1b7cFAJ9Xfl8R -FW1o2z212W8NxBGe7LtlVGRuv1r3WNAe7PQNzv9XQ0XNakKVTSmO8CgmmFGp -nooO71UqWtl9HQjR/ESZd1TENBGcYbbfGUKvyEVUtFDRyKH7alGC7uBULsT0 -lkJF8WkCDfsu3oFMj53KrL1UpM2Rd7o+xQPWzy4p6fdTUcXPzuMLu73BIqh9 -PP8TFUXncx8w3H8Xro0E6o6MU1E6n37YQ8EQ8I7r3ghdpCLfrqfNZtUh8EBa -akHhFxWZhY5t2XsxFNzLDofNLFMRG/VWQE1KGKj9LEk8vEpFXn3xXnO7H4Co -QK5C4F8qMoz7fENvfzSQPItMTzETSFpnb65QazQckSugT2chEBPD/2amr8bA -hWdfp+jYCHS5arMYmcQCY/yZmkp2AvH9Fyt74ckjSD53TniDh0BBTKWSDwTj -4WoLuWdRlEAHNR+Fl7jGQ3DDVZfTuwnUE+oyO9QaDycTEzZCxAgkwSD9WtYj -AWb59aR27CVQy9+Xsn09TyDmxL6IdUkCsS0/Ob47MgneCM/IXTpCoMQRH7Xy -zefwN4vE4aVKoDMiFi9HTdOggf9GmLcagb5bym9jyk8DQZ5nSt7qBNo1522X -L5MOZnnFYbc1CORy/cQ+uuF0CIYTB020CcRtX5iTdTIDuLNfeLcbEuiCxYvC -xaWXoEf1Dn99mUALQyYsGUJZcP1e6bjrFQKFWTM5GKtlgc/WKLnjNgQqtXMR -qojPAsciq/fVtgRid1YK9ZXPBrvl6pUqBwK9D/5kud3/FWgqLQY/dCKQ3Buu -7SJMebAY5kYK9iDQB9n3V7oO50Hy2dBLUp4EulLsVXPXIg/8jk/v6cWOqvji -Op6XBzrvPliLeRPoW0P+ULbua/BtuVpX7Eug5/1axUfi3sCwRZF5cSCBWP8F -XdLcVQgutTq7ZB7i9bpubi2tUQiRETaBtdgSfdJXuJwL4ZViv5ZOBIGUcwft -huoKIWz/W/6rkQSqyn1SXilbBMcZNkqeRhNo3niuVodUBLknj74beUwg05yn -Hbd3lMAmOZKbIZlAKVbB5x3FS2Dr6hOuIOwJ7ptdF46XwK9/No83sV38VclK -ViWg7LsuvJZCoAdG8z2M+SWwGCRmO/WcQHUbqp+Tz5dCyr0ZndwMAu0z/Pmt -KaIM/CN3937OJZBQgKbi1RdlsJ4aXmCcRyDO/PQIlsoyqH70nbUT+w+Tsazh -RBnEJ8np17wmEKWh8vboyXJ4sZHPHJ9PIJ/DQZtrU+UgGKH8UbaYQCQOXl5Z -lUq4ZpgwseMtgZqUbjp0m1bC7wsg4YX91qmlwt2pEpI7t/8Zx85s9bKoeVIJ -94TG7cqqCOQVMPL83HwliP2SVTapIdCehez/7J69hfNGqncC6wnkSVKAxJUq -SNgc2IhqxvkgWz71gakahOqb7aewX0YdjlwXqQYe1Vqf0+8J1H1e8pP12WrQ -Lt1SMost1cF7e9+TahDb7WCm0kqgL60L2SXyNdAZf/ft6AcCab7LYu/yqYVA -+pjBcRKBRsXEyzeja4FPhTPmEJlA7oEpFw9n1oJ93J2/ftgvIC4nrqMWpg/P -/uOnEGi9LlDVUqQOohM0MjS7CVRYfdFjpq4OGLeLP07uJdDOMq5RenoEYmGL -ZRwfCXQpct3kKz+Cgr1PAy2xM+ynOlqkEYS792++xJbmq6qKuIDgsY0Yr8In -Aql6XEngK0LQL8CSa/WZQCdSzLPjDzUAOTq3NHGYQDbW2VY5ow0gmxC3PDhG -oBBt4aSk2QZIeyj2UHCcQNknYvserjRAo2bDZ0vsOXYfnZss7+DJK47Xw9ge -SFdB7sQ7SFhr2DEyQaBIMSpvbdQ7kGChY+7+SqCKCbVO8ulG2P50+ej9bwQa -OBe0FKXZCGxxk/YImyio5z9v1AjVA9YXVrHlfRVs2q42wqt36fcdZ/H+8xwi -Gh43QrjoN1bV7/g8qAuJlXxrhNc8XuT5HwSqzV68HR/fBAH1y2aCCwQaZpNN -MkprgsofmpLa2BtuTvWceU1wtIsF+WArwTRTFGoCaHE6O4RdPziUGvq9Caw4 -fYqTFgmEmFpbPVSaQTlEdh/bLwI13kgVtphrhi3rJxzaVvD9MuvkZE00g1L2 -tfgF7JfXFGvs6N4D98B6Aj+VQKGOg5bOvO+B9XMupz32OVuuZ/dOv4eaz73T -G9hki1CBgsj3MPk8QGr/Kh7vuZt8DDItUMtRXmX+m0BdraccmORbwHkvt503 -dr0GS/mOMy1geaRi/Bl2mvorEwHTFug0+O/yCLatynicjH8L0HNyWV35g+8j -eVPuC10t0BCn4Wm9TiDqfiWO/JutEMpVU3rqL75fJlRbH3q1wqiOZJwldljq -uYBr91qhSMBQzRubn9dkYW9SKzhYKPFXYJ+gdyI/a26FDiHuBzKb+LwNJcaE -C7fBSumeSp5/eH1jVjjsP7Th/TPsraNbReo6f1rP9LVBZtgGMYAduZ0ucPeX -Nsh3PPpzAVvUn3Xx80obkE4lmYvTryLlG3sohmIfYGYxXCAYO0DdIBY8P4Bw -V5f9qS2raMvv15zC+9rh0H354iiGVSTcpboMh9qB/ubYrnTsYy8+9dsrtEPU -ufmrJdgOWozJBbrt4OrkHDmA3ZZku0/Vox3OM74RE926imIUBRWvt7bDUffe -4EzsHPYikejudjidlJhfit0wofGvZKgdSH65ZU3Yvx66N28stIP/o2nzSWyz -IbJerEAHcIYbr4htW0UifmF2ldc7gCHwT2wC9nEDUY3h2x3wLOhXWCa2nkTZ -Afq7HXA6g9OyGDugc3xe+3EHSImsPO3EnhBR8hqt7gABtdbb9NtXUW7dcuQ2 -tk7IFV+qsMdufPTQ5SB/JzzKtAt1xf7sIG6kL9YJaQrtR/yw2dj1dyYd64Tj -97Ik4rBdrfNeSFt1gvPRhdsI+8S/KxXGBZ2wbhHQxMW4is7rNgSffdsJZuZO -GsLYdsm79eUbOyEo0SJXAjtWfnRGaKAT5rSuc8hjz7pcFJz42wkT/x5YWWLT -1VdP9TJ2AazWjtti87MJlbzn6oLoDS0NJ2zVnI/aufu6YNM6tcgXO2XM1M9V -twsOlJiyJmOXyJafszXvAueI0LgM7DY/Xj4Tmy4I/WS/kYtNFejNl7/TBeED -F13fYusZGnzZTOkCwl5/ohebvlFbNWquC4SR/upWplUkwJnHHkB0wSNXgxgW -bFlr5iFXOhK8iHzJxIlt+af1tgkvCRhJzmlC2GVyZ7OET5OgVzDdWha7PfDl -rR0aJPCiM9xyFHuMxKD8T58E0Ywv78tj73BqHJiwI4GInLm8CrZjJjDlRZAg -WPOflAG239LzvuQEEsxXk0aMseNgMz0qjQTsC5G3zLEbhmoVbpWSYFlvXdka -e+A/ke12dSS4fabeywZ7ztO3x6SVBAeCE+LtsQV5FZ1ODpHgoES22w3sw7ZJ -8genSFDG9uvYTWyNojUGkQUSbDPy/OSC7Xa+MuXfFjI4vzb64I4d/oz/+hIb -GfSYang9sdO+3Tk+yU+Gl8ZBqt7YnSHHSC0HyZDwS+esP7YiR8eWR8fJoMs0 -rRKAnZNkI39RhQw1U22CQdj8EmtO+3TI8Hj1HzkY+15BdPqCKRkOpT+xC8Fe -PLmvv+oKGXzkE/tCsa2baphDnMjAy8q59z4tnq6Rsp4HGfStmfXDafE+fnMT -CCKD3I2YCw9o8WwDXk1EkCHLKh8e0uLN8w2/eUKGS1bOWyOwQzzfcHq+IMP8 -vZFsmpfo1c6eeUOGifFtkpG0eJGfvFkryVDl/yuE5k5+14L+d2T4Y1zQQLPi -i+2TaZ1kOGau/pnmHKnUnTcGySDgirpp5q84ev7YBBn8/A++ojlEpT1wc44M -ZiaxljT/ar9S3rZKhhm0/oMWvyhv3V2LngLFQZ4XaZ5Z0Igp2E6BB4bsubTx -7zr+OJeHjQLRI+19tPmZ+Iw0eXFRQLu9cpQ2/wh0YHSEnwItJV9aaOvTuNV9 -TVWEArcO6EaFYa9pIe4ccQqolrLI0dbzUAyLzA5JClR37ym/R8u/PlNNN2kK -rK+l8dP2I1Xwhc3gEQrUxUeaBWL3Wc/5npangCvjsvtdbNXZ4KLtqhTo6zTT -9cH2liW1O2lSgLniGqMXbT63Baco5ymgkSmafoc2/s1CwWRzCuwySrrpim2q -tn6UzooCe23cM2j5FxmuoedgSwEuDuEKWn7+5h4JPnSTAu4By960/D184UBq -vBsFrDQVDtLy2zH1duVvTwoYVblVWdHGJ8ky1xRMgRr1b+5mtPin5U0vJFKA -vnjpigZtPYOCXepSKRBS/41ZlTae1q4HezIpwD4t/liJFt/Qvn4unwJaO4NU -jmFfc3wkGdREgRy5f3xitHx/PXxmuo0CAv481bTzPrAoeUmHRIFBmQolPmx1 -3/pYvk8UCNbqnGTG3h3743fuT/y9gcqbJXy/1NsE5JYsU2CH+LeaH9jWR3ks -atYo+MmJLZyi3WcDim87t3Tj/d6i9glbQPShxwJ/NxglHRNG2Nx5B5aPQTfI -pRx/Gopd7FuboaTeDZINF777YxvoGhhpaHXD7+pFcQ/smEXPYnPjblC9lqzs -iM0m3+ric7UbuNrSZM9ib2t2mEMx3ZBqdHvhL77PXyb8Tm6L7wZZ2f1RK9jq -V6N0upO6oVSsluMHdjBLed5EZjfYC75pGsTeNNh6fdvbbmDvM5spxF4byZjS -HuuG5bakvRexf6x+Ge0/3ANNvQMpqfg9qrga06h9ogcuM/dSYrADB5Wz0ake -4K/+OhWEzV+ZejNXowdMnK6WOmCrelzc8LvUA+1vGoalsJ+tDAhKhPdAFq+t -Swl+L88tdZm4fekBqWHmthz8HvPY+J+c+doDzVqyMk+xRynSIpe+94DBiJF7 -KLZ7UcTkWWoPMEu/zbHBTnPRchNk7YUjPGV5AtjEfHNMwwlsATvBIFwfvPxe -084e3QsblnSUU7h+cH6qv/dGXC+4auyb3o8trz7p+z6xF7KeSo1xYbenssj4 -ZfbCKLEcMIPrkSUDi5jZt71gYLfT5RG2ciVh3DzZC9tKKjjHcH3z6d6REW+F -PuiKbgu/husfdtFXC1+/9kE9fYKXJK6vVMzujUzO9gGiuj/ege0Wc7lj4mcf -RMQyJizjemyAXuDV2FofVEmbmCDs59Ph1sNs/VB1i6gxxZYtutHVe6wfDlcX -yd3F9ZyumuzrxpB+4G7+PliH68PI6+WO6RIDcML5YuvaMu6nVfLDWQ8OwNaJ -cr8BbE2BrDyPQwOwwlC4swx7S2v8z/OKA8BkF7XpjO0t4e7xWx//f/JG7CSu -Zx2/yIUZ+Q7AZD9fW+sS7tdNi7K29gxAARdThh+uhwmV11+vBQzC6LaqjkRc -b7vLNZfxN30ErY8Mctdwf/CloDmiW/wzrIwtEQO4P1m/0Zf8n88QzAitPv5L -65fOMG461gxDL+rYW1+Jx5chfrV45yh4pi1ShrNxPyZ6ec/4pS9QuraR15SA -+5U5U9ZB3TEY1rZB6B6BeDgWmZr/jMFDlnShTmcCbUXJhXtTxiGzZObdrAWB -nI7VfGLWmQCZmu5G0hkCvdayTa8nJoAit1abfgDXuz+EOKefTML8XZNHjRwE -KjfWZIhX/wo8so3+ystUFHAuNK1s+iuENljeEhukIvER7Y+ZoVNQt9+XTqSa -ihTlQ+IF5aYhZ9FseD6Rii7tIv+m750Gjzty3vpeVERtj5pwDZoBhsggC1Zj -Kkrcau1uJPEN7BL1GixlqYhntDxjS/M3GLXmyg5goqJt/kEmCrdmYaeCZ4PM -yAqiy7UunOD+DsxnCpk9S1aQ27PCKO7G78DvM8nhFbSC6qTCmbicf4Djg/Yx -S70VxKXtJh3MPgcDFeqXi4RX0LAMpde7dg50Jc9E7RxfRkXPQeKr9TxYt2x3 -9s5eRlaFJ7hEN+chZJFZsenaMgoMfRE0nPcTlp14zkuLLSO6ax8Ur0gtQGtK -BGPS1C/0f5TAfqA= - "]], - LineBox[{{0.9746826644055704, -1.1804496885293507`}, { - 0.9783775220102351, -1.1769092451858016`}, { - 0.9787207356226415, -1.1765769726625475`}, { - 0.9794071628474543, -1.1759106561885693`}, { - 0.9807800172970798, -1.1745708607994991`}, { - 0.9827337978676478, -1.1726473588541104`}}], - LineBox[{{0.9833715529696887, -1.172015144624694}, { - 0.9890171439948328, -1.1663216700299395`}, { - 0.9893603576072392, -1.1659697447970334`}, { - 0.9897035712196455, -1.1656171335676342`}, { - 0.9903899984444582, -1.1649098386830237`}, { - 0.9917628528940837, -1.1634868561392955`}, { - 0.9945085617933347, -1.1606064636647928`}, { - 0.9948517754057411, -1.1602431095987453`}, { - 0.9951949890181475, -1.1598790073644398`}, { - 0.9958814162429602, -1.1591485414006855`}, { - 0.9972542706925858, -1.1576784419922843`}, { - 0.9975974843049922, -1.1573089813853628`}, { - 0.9979406979173985, -1.1569387374150137`}, { - 0.9986271251422112, -1.156195880859956}, { - 0.9989703387546176, -1.1558232588841617`}, { - 0.999313552367024, -1.1554498347610611`}, { - 0.9996567659794304, -1.1550756036621845`}, { - 0.9999999795918367, -1.1547005607042875`}}]}, - Annotation[#, "Charting`Private`Tag$63308#1"]& ], - TagBox[ - {RGBColor[0.880722, 0.611041, 0.142051], AbsoluteThickness[1.6], Opacity[ - 1.], LineBox[CompressedData[" + GraphicsBox[ + InterpretationBox[{ + TagBox[{{{}, {}, + TagBox[ + {RGBColor[0.368417, 0.506779, 0.709798], AbsoluteThickness[2], + Opacity[1.], LineBox[CompressedData[" +1:eJwV13c8V98fB3CjMsuWVVE0UUmU4i2J7Owoyq78QshIZUtGFIqQUYSSTeYR +QvgMo4/KyMioFOJzKb78zuev+3j+9T733Pc95/WWsnc3cWJhYmI6yczExHjq +Ok13N343VwtjuhrEk0BHG06LeXwVVYSImifZoel0dCQjOa1MVBPyUgXO3X9O +R98kpIN9dxuCgoqRYFAeHemR+kvTRc0A6Nlbhl/TkXTMtpz1HVagIuRX2FdM +R6u6Dk/sdtsCs4JA7t1yOrJ0XKp/JOoI143O6e+rpaOW02JVzWnOEBcXwqba +QEeHdqkWL+64CszbC5Pl3tER+1hItsVuN4i6rBBd2UpHQwfvnY4V9Qa3CjH2 +t1Q6SswQaZS5cBPyfLaqcfXSkS5PwcmGNB9g1ZpXNfpIR5W/u47O7vAHy+CO +0cLPdPSgkH+v8e67cGMoyGBolI4yhYwiokTDwC2hezV8jo4CSE9aLGrCIEr2 +wOzxP3RkET7CsutCOHiVH4qYWqAjbvqNwNq0CFD9XZp8aImO/PoS/WZ23AcJ +kfzjQf/RkXHCl2uGux8AzbfY/AQHgWT1duWLtT0AWYU3zJmcBGJn/d/UpEsc +WDz9NsHETaBL1WslyCweWBJP1VZtIZDQvnj5848fQubZs+KrAgQKZi/bc180 +EVxbKT1z2wi0X/thZKlHItxtdHE/uYNAPeHu3wfaEuFoctJqmCSBpFllX8n7 +JMGksOGBzbsI1PrfC/m+nscQrSQTvbKHQNwLj4/uiEmBPPEphYuHCZQ8dOt0 +xdozYM0l8/hpEOiUhNWLYfMMaBK+FuF/mkA/rJU3shdmgIDAU1V/TQJtn/F3 +KJTLBMOCkggvLQK5X1WSYRrMhHugtN9Ml0D8jkV5OceygT83y7/DmEDnrbKK +5uZfgC7dP/LVJQLNDphxZovlgHNo2ajHZQJF2LI7mZ7OAd8NsQpH7QhU5uAu +VpmYAy7FNu9r7Am0xU01PEA5F+wXaharnQj0PuSz9aY7L0FfdS4kypVACq/5 +NkmwF8BshCc5xIdAH+TfXyYdKoCUM+EXD/gS6HKJX+1dqwK4fXRyZy92bOVX +j9GCAtB+98FW0p9A042FA7kGr8Cn1aW+JIBAzz7qlBxOeA39VsWWJUEE4loP +vqi9vQhc6/S2y0Xh/bpqaSurVQRx0XZBddjSfbKX+dyKIEvlo45eNIHU8vsd +BuqLIGT3W2GXGAJV5z+uqJIvBiXW1dInDwj0y3SmTo9cDDnHjrwbekQg87wn +nV6bS2GVEsPPmkqgNJsQfWepUmBaeswXjD3Gf510/mgpLK3bPVrDdr+jQVG1 +KYWTASviy2kEum/yq4etsBTmgyXtJ54RqH5V40uqfhmkhU7p5WcTSMb493Rz +dDkExOzo/ZJPILFAbRWXrHJYT498Y1pAIN7CzGjOqnKofPiDqwv7H7upvPFY +OTxKUTCqfUUgamOV1/CxCni+WsiRWEigW4eC15YnKkA4Wu2TfAmByDyCgvLq +VeBinDS2+S2BmlWvO3WbV8HSeZD2w37r2lrp7VoF6V2b/o1iP2/zs6p9XAWh +YqMO5dUE8gscenb2VxVI/JFXM6sl0M7Z3H0OT9/CWRONm0ENBPIlH4fkxWqI +W6OtxrbgfpCvmPjAXgNCDS2OE9gvYg/FrEjUAI9G3a2T7wnUrb/ns+2ZGtAv +Yyn9jn2gU9BL5nENbN/hZKHeRqCvbbO5pcq10JV49+3wBwJpv8vZQrpVB8HM +cf2jZAINS0pVrD2oAyF13riDFAJ5B6VdOPS8DlwSbv53GzsLEvISOutg8tD3 +dWEqgVbqgzSsJeohJkkrW7ubQEU1F3ym6uth0yapR6m9BNpazjfMzIxAMmKu +nOcTgS7GrJh9E0ZQsutJkDV2tuNEZ6ssgijvj2svsGWFqqujzyOIt5MUPP6Z +QBo+l5OEihF8FOHMt/lCIKU0y9zEg41AeZBfljxIIDvbXJu84UaQT0pY6B8h +UJiueErK90bIipKMEh0lUK5SfF/UYiO0ajd+scae2XJL7zrnO0h4yfNqENsH +GRxXUHoHj5cbNw+NEShGki5YF/sO9nIycXR/I1Dl2OkuyskmYH2ycOTeNIFo +Z4PnY7WbYEvCuCPCJt40COubNEENzfb8ErZywHG7dpcmyHmXec/5O/7+AgeJ +xkdNELZtmkvjB/4fNMUkS6eb4LWAH+XXTwLV5c55JSY2Q0DDgoXoLIEGueVT +TDKaofKn9h5d7FVP1wbegmY4SuJEt7BVYZI9FjWDWqvrmQHshv6B9PAfzWDN +e6skZY5AiL2tzUe9BSBMXob7D4GarqWLW820AMuKklP7Ij5fvru62hItoJZ7 +JXEW+8UVlVoHpvfAT1tJEqYTKNy539pN8D1wfcnndcQ+a8/3NPTke6j50ju5 +ik2xChd5E/MeRp8FHti9hNd79roQq1wr1PBUVFv+JRCp7YQTu3IreOzid/DH +btDirNh8qhWsDleOPsXO0HxpJmLeCpRz+y4NYdurjybI3WkFVl4+m8v/8Hmk +bM5/ntQKdQlavrYrBKLvVuUpvN4GoXy1ZSf+w+fLmEZblF8bDOvtSbDGjkg/ +G3gltA2KRYxP+2MLC5rN7kppA0crVeFKbCVmV8rTljboEuO/L7eG/7eB5LhI +8XaYK9tZJbCO9zdukcfxQztU04x765mWkKbev7ZTfe2QEbFK0LBjNjEF7fja +DgXOR37PYm+7wzX3ZbEdyCdSLKWYl5DatZ1UY8kPMDUXKRKCHah5Lh58P4Ao +ieR4gmUJsfx9xSsu0wGH7ymXxLIuIXGSxgIc7ID1/41sz8RWzPr80fF4B8Sc +/eVSiu2kw5b6xqADPF3dYmjY7Sn2Mho+HXCW7bXktg1LKE5FVOVqWwcoeveG +PMfO21Is8aC7A1RTkgvLsBvHtNZLBzqAdDu/vBn7T5R3y+psBwQ+nLQcx7YY +oBjGi3QCb6TpouTGJSRxO8Kh6monsAb9i0/CPnpum9agVyc8Df4T8RzbULp8 +L/PdTjiZzWtdgh3YNfpL91En7JNYfNKFPSah6jdc0wlbT7d5MW9aQvn1CzEb +ubvgpdR8pSN208Mo9/3CXRD73CHcA/uLk5SJkWQXPDvecfg2NvcWo60pil1w +JDRHOgHbw7YgS9amC1yPzHohbKX1y5Wmb7pgwSqwmY9tCekbNIacedsF1pau +WuLYDqk7jJSbuiAg2SpfGjteeXhKjNYFUzpXeZSxv7tfEB37rwsm1u/bWGMz +NdRM9LKR4ORS3ag9tjC3WOl7PhLErepouWJr5H3SzZchwbptenEAdtqI+W0P +AxLIlJpzpWKXylectbckwfXo8IRs7PbbgkJmdiQI/ey4mo9NF+ktVL5Jgkja +BY+32IbG576upZGAcDQa68VmbtLViJ0hgSgyWtrAvoREeAu2BBIkiPc4F8eJ +LW/LMeDBRIZnMS/YebGt/7V5mQmSYRPZLUMMu1zhTI74STJ0i2baymN3BL24 +sVmLDH5MxixHsEfIrGrrRmSIYXtxTxl7s2sTbcyBDGIKlsrq2M7Pgb0gmgyB +2usHzmHfnn/Wl5pEhpka8pApdgKsZcZmkIF7NuaGJXbjQN3xG2VkWDBcUbPF +pu2T2ORQT4Ybpxr87LBnfAN6zNrIsDckKdERW1RQxfXYABn2Sed6XsM+ZJ+i +vH+CDBXcfxSvY2sVL7NKzJJho4nvZ3dsT/2qtHUWClx7ZfLBGzvyqfDVeW4K +6LPXCvpiZ0zfPDouTIFs02ANf+yuMEVy634KJP3RO3MHW4Wnk+XhUQoYsk+q +B2LnpdgpX1CnQPVEu2gwtrD0squMHgUeLq1TQrBD3zzInDWngFzmY4cw7Llj +Mh+rL1PgtnJyXzi2bXMtR5grBYS4eHfdY9QzMFEz9KGAgS2HUSSj3qdpT5Fg +Cihcizt/n1HPPvDlWDQFcm0KIYpR75fQ4OvHFLC2cdsQjR3m+5rXN4sCM6FD +uQzPM58+c+o1BUZGN+6JYdSL+ezPVUWBqjt/whjuEvZ48/EdBZZM3zQyrJK1 +aTyjiwKKlppfGM47kL71Wj8FRDxQN8PClUf0Fcco4Htn/0uGw9Q7gtZmKGBm +Fm/N8J+OyxXtSxSYRis/GfWLC1a8dZipUB7se4HhqVmtuDebqBBuvCWfsf7t +Rx/lC3BTIWqoo4/xfma3hpr9+Khg0FE1zHj/aLR3eEiYCm2lX1sZ+9O0wXtZ +Q4IKXnsNYiOwl3UQf54UFdTLOBUY+3kwjlNu8x4q1HbvrAhl9F+fubanLBVW +ljOEGd8jXTTLrv8wFVBijEUQdp/tTMBJZSp4sC1438XW+B5SvEmDCj1dFga3 +sP3lyR2u2lRgq7zC5sd4Hy/RCao+Fc4835Z5k7H+tSLRVEsqbDdJue6BbX56 +5QiTDRUk7byzGf0XE6ll6GRPBV4e8UpGf/7lHwo5eB3XC1zwZ/TvofN70xM9 +qWCrfXw/o7+d072q/vpSwajas9qGsb49nDPNIVSo0Zz2tmDUP6lsfj6ZCuvF +85e1GPsZHOJen06FoIZpDg3GetpI93c+pwLPpNQjVUZ9Y8eGmUIq6GwNVlfE +vuL8cE9wMxVeKqwLSTL6/dXgqcl2KojfEahh/O+0uT0X9chU+CJXqSqErRnQ +EC/0mQrBOl3jHNg74n/+zf9NBaFz6q/n8fnSYBeYX7pABU6p6dqf2LZHBKxq +l6n4yokvmmCcZzSVt10s3RBmzHL6M7bItiifWeFuMExRFEfY/AV7FxShGw6l +HX0Sjl0SUJetqtkNuxvP/7iDfc7gnImWTjcQNXNSPthxc74llqbdoH4lVc0Z +m1u5zf2WSzfwtGfIn8He2OI0g+K6Id3Ea/Y/fJ6/SPqb2p7YDQfld8cuYmu6 +xOp1p3RDqWQdz0/sEM6KgrHn3eAg+rq5H3vt3IarG992A1efxVQR9vJQ9oTu +SDf8aU/ZdQH759LX4Y+HeuB9Ly0tHd9HlS5xTbpKPXCJo5cahx3Ur5aLTvSA +aM23iWBs4ar06/laPWDk6lLmhK3hc2H19sUeIL1uHDyA/XSRJiod2QM5gvbu +pfi+PDtPMvP82gPygxztefg+FrC7c2zqWw+805GXe4I9TJWVuPijBwyHTLzD +sb2Lo8fP0HuAU/Ztnh12hruOpyhXL8gLlBeIYBO/WuIalXpBUcRBNBjngxc/ +aju2POiFNWsm6gmcH9yeGO26ltALbloyk7uxlTXHA94n98KLJwdG+LA70jnl +bj/vhWFiIXAK55H5c1Zx39/2gr7DVveH2GpVhGnLeC+sl1TyjuB88zn08JD/ +8T4gPWiPvILzz5ZtL2e/feuDBuYkvz04X6lbhA6Nf++DRrr3o83YnnGXOsd+ +90FsPFvSAs5jNGaRlyPLfVApa2aGsJ9NRtoOcn+EuhtErTm2fPE1Uq/iR5Cr +KVa4i/OcwWn5V01hH0Go5Ud/Pc6HMVcrnDOlaXDE7ULb8gKep9ULI7n204B5 +rOI2DVtbJKfA5yAN5liLtpZjs7Ql/tZXoQGbQ+yaG7a/tLfPXyMa/Dl2LX4c +51nnrwoRJgE0GP8o1N42j+d18+KcDT00KOZjz76N8zCh/urblcB+GN9Y3ZmM +87a3Qku5cPMn0P3EqnAFzwdf37REd0t9gYWReYKG55OVa32p+24NwITY0qP/ +GPPSKbY159pBoKHOXQ1VeH3ZUi4lW4fBJ2OOOpiL57Ftl3aOXvwKlcurBc1J +eF6ZMefqNxiBL7p2CIUSSIBnjr3l3wjEcGaKdbkRaANKLdqVNgpZpVPvvlsR +yFWx9jOH3hjI1nY3kU8R6JWOfWYDMQYUheW6zL047/4U4518PA4/75o9bOIh +UIWpNmui5jfgl2+6o7ZAR4FnwzPKJ79BeKP1Dcl+OpIa0v30PHwC6nYHMEnU +0JGKcliiqMIkvJyzGPyVTEcXt1P+MvdOws2bCv5GfnRE74gd8wieAtaYYCsu +UzpK3mDrbSI9DfbJho3W8nQkMFyRzdIyDV9t+XID2elo451gs+M3voPwcd9G +uaFFxJRvWzTG/wM4ThVx+JYuIs+nRbH8TT9A6NY4j1/wIqo/EMnO5/YTrtzv +GLE2XER8up6yIVtmgFapealYfBENylF7/etmwGjPqditowuo+BlIf7P9Bbat +m9z8cxeQTZES37a1XxA0x6HSfGUBBYVnBQ8W/IY/rgL6spILiOnKB5XLB2ah +Iy2aLWXiD/o/lcV9Pg== + "]], + LineBox[{{0.9746826644055704, -1.1804496885293512`}, { + 0.9783775220102351, -1.176909245185802}, { + 0.9787207356226415, -1.176576972662548}, { + 0.9794071628474543, -1.1759106561885702`}, { + 0.9807800172970798, -1.1745708607994998`}, { + 0.9827337978676478, -1.1726473588541113`}}], + LineBox[{{0.9833715529696887, -1.172015144624694}, { + 0.9890171439948328, -1.1663216700299401`}, { + 0.9893603576072392, -1.1659697447970336`}, { + 0.9897035712196455, -1.1656171335676342`}, { + 0.9903899984444582, -1.1649098386830241`}, { + 0.9917628528940837, -1.1634868561392961`}, { + 0.9945085617933347, -1.160606463664794}, { + 0.9948517754057411, -1.1602431095987462`}, { + 0.9951949890181475, -1.15987900736444}, { + 0.9958814162429602, -1.159148541400686}, { + 0.9972542706925858, -1.1576784419922852`}, { + 0.9975974843049922, -1.157308981385364}, { + 0.9979406979173985, -1.1569387374150144`}, { + 0.9986271251422112, -1.1561958808599566`}, { + 0.9989703387546176, -1.155823258884163}, { + 0.999313552367024, -1.1554498347610618`}, { + 0.9996567659794304, -1.1550756036621865`}, { + 0.9999999795918367, -1.1547005606907672`}}]}, + Annotation[#, "Charting`Private`Tag#1"]& ], + TagBox[ + {RGBColor[0.880722, 0.611041, 0.142051], AbsoluteThickness[2], + Opacity[1.], LineBox[CompressedData[" +1:eJwV13k4lN8XAHBrSaK0IaSSNqSylNRRKSX5tVgjskQoZCupZE3Wwteu7EUx +WuzlWiPrGDNjzZaEmEnvzNuu352/5vk8zzz3ue895557zgY797OXBPj4+G7y +8/Hxfg0uTXXXTpscHFoiPps0+Q0JHZHxGJFWhxN1adpxCgTa8zg5/ZW0Hqzz +Lg09sZdAE7KKgdeVjCAqZKH2hAGBTnb0vsyQNoaBXKOXxhYEUoySy/u33gJK +2sQr/R0J9MfAPslWyRoE3HeJnPQk0EwIvdE20RbuPuSfWn2bQGYO39/GSTuA +uM21NMl7BGo8IlPekO4IyZMTNMcHBFLbdKCEs94ZnoSv/KeSQiCR8aBsUyU3 +WHNawdO+gEBVo7SOBmMPWDTTls4qIZDHaQcDq8Rr8OrGqvKtFQT6sPPekWhp +b9hrUB/W2kSghMdStZstfWDKkXYF2glkIFGoU5PuC1GB9O13aAQqY7VrsNf7 +gWuouLvLMIFiiiS3nlG6AyGqlV6WHAIdlcvNnXYKgMW2hq6BPwl0513q8jrj +u6CuWb70/gKB1DWVoy0SA6HjuJeEnggHZa7+X1iEdAiocn6XPZDhIP+OpEbT +qhAw3CUwOCvPQaahowKbLEOBXtf6T30TB4lxrwVUp4eBm7XO26gdHHSDnnBj +dv19GG5Q+OG2n4POxA+4GCnFQGXXHpsgcw5SPrmpQKY5BhxHfpjOWXKQiOCV +z5NOsTAcnHn4lA0H2VQuvEDGD8CBbXBk7hIHrd72QNU88SEo0j/tKvPkoECR +V1vuSyfAgT3UkpwIDtqu/zD8pUcCtMnUqVdHcxAt1H16sDkB8kzCDrQ+4CBF +QeVnqr7/Qc9iktKVyEHv/uaq0mmJ4Dwmc/l2Nt4/kaixPioF7g/SRO9XcFDy +h5tHShceAflFt+voKAcdkrXIHTZ5DDZPRpySxjlo5ryWsEjRYzizekn4xAQH +yc/62RepZEL67h9Prk1zkLuz5ma+oUzwFewzsPjGQZIOlKd5e7NhZXzvqKsg +F5lbZFG+zucCuyIs7NdGLmIPGotmy+SB/Xjig0pFLgqzFrl07kgeFPqEr/NV +4qJX9u4yZQl5QON3pX7exkXibgdC/bXy4fYLH59iNS5qCuo/v+j2EyiekTnS +eYCLdj9fsUhWpBAMHybrBphy0XvVposdaoUgHGe69JcZF118caP6jkUhuDux +FnlZcFF02YjHWGEhLFPcl2VjxUVTtUWD+aeeQWx7MW2THRc9Ypx4sSv+OShd +Kb1leZWLlv4LtNKXp8CU7szY4yAuSnY2s1Y+RgHRmXtWY8FcpEhXvrjCjQLl +HjsCN4Ry0cGCXvvBtxSY/xtOy7jHRZUFiaXlqiWw74voQFgUF82dm31zshP7 ++fF7solcZPI0qc1r2UvYfTfN+NJTLtp8hjXVEPkaglQ2XKa95yKZAH1tp6zX +oK96/8bHVi5aXpQZKVr+GtxCG24TbVz0S+Sc6pnx1+BruPLq8k4uotaWew3v +LYW4ZVzRgzQuuqkWuPDjUyn0RAb+5zzARZ0Sq1ap6paDzPvk0qUzXHS9cx8k +cyrhxVEyoHYRicRVSz+9F6mCetKjPmIxiXKj1aJ+y1ZBSpSZjbEIiboNt/Rb +H60Cj+9Gzz4tIdGOtlVemxOrYIAy+ZhvGYlGmtn5L7WqIS7GMElqJYn06/LE +O26+gXZtGQuGPInWvl4xzM+PQOdxnmGOOomson4bT6xBIB9x7KepBomyHT61 +vVNGILzBRUJUk0TKqysrI80RPBNLvOmmRaLDvhf/W12CQEaYaqWmTSLNdLP8 +hJ210LfwqysZSGRrnX/h6XAtbHD9vJfPgERl40fau3TqAVZ+jtC7QCLm8cD5 +aP16cKrVF6Rjk8U1awzP1oPBN38Re2sSafnvs21xqgeZzYNVATYkqli5k6yN +qwdrsvr9K1sSVerJKLycqoepq4GjixxJ9Cb/q1dCQgNYXz5haelGonqXjHUW +s41wVGDzya7bJHo17epqTTZCqoR8uc4dfJ6Xtavt+ZqgSNiUWoAd6th73m1V +EzQMWC0NDiDRcbsVqcE6TXBVglKwK5BEXRahUsVRTeCe0l8aEEKioeNXVwuq +vINkfk2v0QgScZUOSBRdbQYDpaC/NYkkOjh+uDniRjPEeK4cVEgiUVjG8YDL +wc3wPtfwUhD2mlXG7E0pzaB34N5dvWR8fvyuXamNzZDl0tDbmEKiG4PJseHr +WmBsf6NGWTqJ/sRyJBzet0CSp/fiC9kkEvj5bPm6za1Q9l5OvOk5idZ1HCZg +ZysMz8+YiReRSD2rn+GwrxV+s37bmWFfOrE4rfhUK9hHOb+dwm5Jsdt82LcV +flrPhYlQSBSrLa3t3NwKysr84TovSCR7K8y+3LkNjLI9114vJZHGabljQ15t +8EjjXlMFtpHi6638d9rAjsjf+ws7oH1sziCuDcZN/NxulZFoXPbAjeGqNviX +d3vdnXISFbwlooTF2mF6yDDetxJ/77+LZeeK26Fomr9c5y2JDE/VBh2taAcp +57OrfbHt09b/T6u+HWYO7j5IwX6gNfxZhtkOD8gFtkINiabdLaXH/7aDooot +kx+RKH3U5JbHqQ5ITntaX1lLIv56g8PRsx1w4uGqCoFGEkktLxQPIDvg/Lvu +BA1sVeslgx58nfCJwj54Gfv8r2Yv41WdUFSa/bsd+/Xuo3nrdDohRU5DOqGJ +RI45IFIY2QkUH8PvUs0kag9R73y3vQumo0o6/r4nkbZEm8BDjS648LT8uGor +iZ6m2GpZ6nZBqObGSGvs4OKYTLZJF2SdDHaswdbum/KUCuwCzc/rbvq34f/v +yFjr0tsFKR/jt31px/drOqhk0WEqPJigGGZ1kchPtbPVVZ8KOW/6x1qxS7yk +P1ENqeCSe/IQB1t+gSKdZkYFDZa1zTEqiX5KfgjaeZUK9iezZaawS3S0TMyT +qZB6xSRzA41E6x98+VnAogIz3efmVTqJamwDCl4SVMgSXbcjBtt6z0qL6h9U +kO/aTynGTmdqV7QLdAO5aOduNraUXIQve003WMomKboxSCRZuJVQh24wspN9 +b88kkXDjpVkU2w1mVt/8VfvwffvvZ1pLQjeIXpivPIGt5xR9sjulG9ijZzoc +sINESwvHc7pBZsc171TshdNCzsIV3cBxqmoS7CfRjw/ZnwxGu+GX7+jdTuwv +30eGGWo0KEuJrdIbxPXFKbbeQJMG9A8Xk6yw7/YezEf7aXDbWknPG3tNecbV +gmM02DpKXZYzyKtfln9uWdHgq6+3/QJ2KocprRhOA7s7RkrPh/B9cQj7mxxN +A1ePdaJ12Gp0jbFl8TRwqRyrYWC/e5Xw9HsGDaA9JW0Be97zrFbbKxr8irm2 +yegDrh/zHcaeIzSY5be/OYG90vb23s8TNBisX+dDYg9TlWWtZmggKP3ukMgw +ibxLIj8e5dKg/9OOMzuwH7uf8JRe2gM5i0dPuGOTc42xtZo9oFn/kcLCrrvg +7aWh0wNZSSXUv9hRHZvMCg/1ALHdslFshEQbi4LkEwx74CRfsOJ27FNXdIuc +7HqgX6HovR127kx1q3hMDxgENL5qw3ZL+t8ml/ge+B5T3dWLraX30b8puQey +fZbWfcRuzRBVuZXTA62R05t+Y8+ftoidruiBS8sWz24ZJVHV39nPejU9MGqo +8no3dkjhXd3Mhh6w+iJ59gC2lNDTedPOHrCjGkmcxT5YTp5r/NgDfKypB37Y +Ig4Rz9dP98CCrItIMDZtubywP6sHAlrETaOwL7kcLdv1swd+sQmvR9hRcglS +j8XpsIwIqa7FNm3dcu3XSjqcr/L71IK9/nr1exNpOoTXE5+o2C+p4zfFFOkw +1fLEZRS7P3jXB799dEiRtgn5i52t1qTBOEiH7/Ed9kJjJLrywTxGTY8Ou9sU +ZJZi82ndhc9GdNgTkrtUCltppjPL2IEOvTWXBdWwvyba/aI40+HtH700Deyq +I+TZpe50UNtvL7of+1SGnFC9Hx2OlcW7HcX2Pn3FcWcsHXKfC502xz74919N +RAIdRPbsyrHCXlwYv3YyhQ4E/VfHRexUweqW9Fw6TJjFFV7Gdigx2vCjgA7m +qb5WV7BVL4z7naPQQbVacdIdu65syQ7RKjp4/BMN9MWOsM8IvoTweocs4vyw +jZfvGqptpINcVob/LWz5t43qsq10INd+1AnAnnI2j77eRQeHFHVmIPbLNbOf +aHQ67FyeoR+C7d8QcFB1gA7CNlsehmGLyz1hT0zQcT1rfx6BrWsa/OHjNB2C +XGkXo7A9Y23axll0iBA4/S0aO7dlf+UYQYfRi0a2sdhMfqknoz/oIKTBLHqA +LbKfkzDylw4xW772PsTW9qYGDQswYOVg7kgcLz5Fzz0+LGaA1uyfxnjsR5Ph +1kNiDCic5wtPwKauv2Q4uIIBB29Vbv0PW8DikPbAGgYw1ux8wrN6nNzW/nUM +uHXMRTAR27Ht5+o+BQYYR3gd4DlZiCnYu5kBVZbnTHhuPfBynrGdAdtUJU/y +/Mc3ZoS+kwGm7hXyPKuWuHT0qDNA+Z4Rlbf+xelj1bR9DOjqHbLhOW7jpoLu +gwzIeuvawdtfo+W/ROoRBpyLFZTmmUwYDOk6zoD2jOeHed+ztbPcs/MU3o+6 +uwHve88vTrjYcZYBfsnn1HjnEaXrYdRuxgBZUTsO7/xq/Ax12qwYENyQnRjD +y8eXW7e32jLA8KfcWt55b5wVknrvyADvgUGfSF78N48Jt7gy4NqDiZf3scOs +3xLvPBggAbqd97ArklLGmnwYkCT0vTkUe4bq09V4kwF1P5dnBvPy+Yjqs/oQ +BpyqivlyB/vOLdGUuvsMiEkTsOHlU0npZFhtDAN0+n6W8PJt1dbHdjXJDHA/ +F/fVC/uYrf/ptxkM2HjryLAH9o1Us4NvshmQT08qvIo9JLZcpuo5A34WnRhx +5OXXsdnFlS8Y0DpXpm/Py6+AFm55GQM8BydjbHj5NH+3u7SWgeef4kozXn4w +58Nf0BkwuudV4DFefkh0+pb0M8CsVGLsEC8/jhc6UIYZ8GTsyvoDvHyottMt +mmLAO4b+vj28+D7u+f70DwP2HzMZl+PFt5/y6Qk/E9wuRGnz7v9Fyaie/EVM +kO7i85TkxTNEj5K7nAnmFejOIl58nEsdMxWZUKXnuHwO15cZ3aLwpduZ8L3y +cPcnbH2pvELfnUw4u3jIdRhboDmBZajNhCsJLqpd2H6K3r4//8cEsdHf08XY +zN+uyQ4mTNAqbvDLx1bvsa/qOs+EqOtxHzOwvwaeW8i7xISpKOejvHrpOLI7 +7Kw/E/Yd/XPYCbuxbPvTt3eZUPLoV84F7I0xG1u3hjHhy+XjI+ewP+hIiv97 +wATdZeQEYBunzv/3LJ8JcuZxKmuwD5mU5AnRmLDtc0B1Na7/j5SfNrv3MuGX +YnITBfuPYOb0wBATmkYiC3OwK17Gqrz4zATa/BbhSGzV5e6lF/4y4fIehQUz +bNk2laayrb1gbeey6AvvfdN9NnE5oBd6738r4OL38U3ul7TrIb1wb3On9iR2 +kIjyubD7vcAfues5E1uc+rwuJ74XwiUK1MqxlWyKHw0/6YWtCUvrfLFNb78w +N6b2gvfh1cJf8ftcWlneDhv6wNFo9dE2/N7flPsRbKTUBxJbPn4rx9YN3Lv/ +wo4+0Cj5HZiL3X68suCmRh/wKyjq3cae6K0KKz3RBypFHHMV7FXkW90dnn1A +2qe8vzeA6/nuxtdrGvrAZ78RazPuTxzVFTIftvSBQG7QqBi2ueatSLHOPlgW +508hcH+zX1vdTqC/Dx6qMz/WYgsczpVgsfoggvMl3wI79kyIS5NMPziv8U4P +6SVRoYeegrdnPyxNaPOsxf1WumfmUvb1fjDIthXNwY72/kM63+4H89iogFBs +jxuvO2zC+4HSXSlggK11V9H/5KN+CL8nf7Ub92+NsULMja39kLjTsbe/B8+L +xY2R3RsG4KxO0Y7ubnw/5oRmd28ZgIMt9fIl2LXKRw0TlAdgwzfb+RjszMIm +MTOtAeD4n9UwxLbLfxc9ZDgAseIP9zbhfnMyoyX28/UB0Lkuu+sF7k/notrj +/3YMQGe6nfi1DhL9dqGnbbs5CHH5P6IetuB807zwUDVgEMKUg0+6Yj/nnwzb +EzIImV5DLD1s6+Tv1w7EDIJ72pKJ77j/rmuSOX4mexDmZV6GW2HfU7Dl3Ggd +hPGMMzUK73C/xZwzbJEZgtuS374lNOD5+NDiBcfqIbA9UaGtgucH/ewNTi/W +DsMr75diYnjeuS5ns3HMagTOCuxBq3PwvDtrsrT31CgI389KYsTj9SS+ijT+ +GoXt4lEVD/E8KITSKJvSx+DQ8On6OTxvuqpX9y85OQ7/mJ4//pmT6NkJu8wa +chxOfBXqrDqE58MvMssnEz8CnVvmfX07zsdz+oIJehNwre7sUJgEnp+Ohz5+ +PTkB9+Plgms5XLThg0FfTugnEPpSs53Tx0XaWiEJ0rsnIRiZJwtWcpGVfNdP +/p5JCL1sL3o3hYu4rdHjHoGfoUjMbomTDxe/X9beZxWnIGlTo1/HGS5aOVya +LdA4BfURArtEVbhI+Hag8b5r08A/Ze9ECnARX4E1ZVxyBixrtEdv93OQZyol +WrJ+Bt5kxVz8UcBBb3eEi6xw+wKT25sX37zBQSsMPJWDxGchblOoU5g+Bw2p +UHv83syCUbpc2UoJDip5BIoT1nMwtnv4CpVJoAsUzRVyC3Pw83RvZG0agcrO +/xCn8rHgTZzpubJUAokvqhILFmTBBMPyzbMUAtVaHRCZFmHBGktVj/+SCLRR +VO/f65UsOBt+2MAhnkCTDmfmDLexQMs5RpwdQSA3mSvvbxmzwLb7gs0LPwK9 +a1Jp3mnGguS3U14pNwi0/hq7cdyCBf6RKYKB1wlEbfasPW7DApeMhUgjHwLt +9vErX+XCgorCh3wfPQj0vSss73kAC/TGRtaynQh0NzQrcKiQBdL3/pO7YUKg +ZdcXG9gWsWAlGll2wZhAqZevSk5SWHCHT0Lw0DkCvTq5L4f9mgVTd0/3CZ/B ++13R3SiAWGAkU78iyJBAJx/xiWzrYQEFeWnuO0Lg+cQmxuc3C/42Fpp8UyVQ +ztMm019/WXBc5/eSaBUCqaXuWB/AxwYDKKRsUCbQidvfKeHCbEhTslHT3EYg +ptuFG8tE2BAmleH1eguB7C426MaJsiHZ28FlmxKBbh2J7U6TYIOp999T3zYS +aIkGN0VBkg2iczqswxsIlKhkaZe3ig37BtZdD16Pz1uqbvv2tWyo8S8WfCFH +IMqSLUSxNBu2sg91Nq8jkM7vqOo9smwIvDXeXC9NoJbZb8EV8mwoOfp5KnUt +gUyGzQ0PbGADh+nBPbqaQONdNavqN7Eh2Obw8teSBHKvU/xwTIkN+qMZRq0S +BPrzMiKvbSsbJBrOCxuLESg89+vV0zvYYJVfdaN1MYFWJ5pqMlTYkKvAzMpZ ++IaUxmO8uNh88yNSM3++of8DC5If+g== + "]]}, + Annotation[#, "Charting`Private`Tag#2"]& ], {}}, {}}, + {"WolframDynamicHighlight", <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>}], + DynamicBox[(Charting`HighlightActionBox["DynamicHighlight", {}, + Slot["HighlightElements"], + Slot["LayoutOptions"], + Slot["Meta"], + Charting`HighlightActionFunction["DynamicHighlight", {{{{}, {}, + Annotation[{ + Directive[ + Opacity[1.], + RGBColor[0.368417, 0.506779, 0.709798], + AbsoluteThickness[2]], + Line[CompressedData[" +1:eJwV13c8V98fB3CjMsuWVVE0UUmU4i2J7Owoyq78QshIZUtGFIqQUYSSTeYR +QvgMo4/KyMioFOJzKb78zuev+3j+9T733Pc95/WWsnc3cWJhYmI6yczExHjq +Ok13N343VwtjuhrEk0BHG06LeXwVVYSImifZoel0dCQjOa1MVBPyUgXO3X9O +R98kpIN9dxuCgoqRYFAeHemR+kvTRc0A6Nlbhl/TkXTMtpz1HVagIuRX2FdM +R6u6Dk/sdtsCs4JA7t1yOrJ0XKp/JOoI143O6e+rpaOW02JVzWnOEBcXwqba +QEeHdqkWL+64CszbC5Pl3tER+1hItsVuN4i6rBBd2UpHQwfvnY4V9Qa3CjH2 +t1Q6SswQaZS5cBPyfLaqcfXSkS5PwcmGNB9g1ZpXNfpIR5W/u47O7vAHy+CO +0cLPdPSgkH+v8e67cGMoyGBolI4yhYwiokTDwC2hezV8jo4CSE9aLGrCIEr2 +wOzxP3RkET7CsutCOHiVH4qYWqAjbvqNwNq0CFD9XZp8aImO/PoS/WZ23AcJ +kfzjQf/RkXHCl2uGux8AzbfY/AQHgWT1duWLtT0AWYU3zJmcBGJn/d/UpEsc +WDz9NsHETaBL1WslyCweWBJP1VZtIZDQvnj5848fQubZs+KrAgQKZi/bc180 +EVxbKT1z2wi0X/thZKlHItxtdHE/uYNAPeHu3wfaEuFoctJqmCSBpFllX8n7 +JMGksOGBzbsI1PrfC/m+nscQrSQTvbKHQNwLj4/uiEmBPPEphYuHCZQ8dOt0 +xdozYM0l8/hpEOiUhNWLYfMMaBK+FuF/mkA/rJU3shdmgIDAU1V/TQJtn/F3 +KJTLBMOCkggvLQK5X1WSYRrMhHugtN9Ml0D8jkV5OceygT83y7/DmEDnrbKK +5uZfgC7dP/LVJQLNDphxZovlgHNo2ajHZQJF2LI7mZ7OAd8NsQpH7QhU5uAu +VpmYAy7FNu9r7Am0xU01PEA5F+wXaharnQj0PuSz9aY7L0FfdS4kypVACq/5 +NkmwF8BshCc5xIdAH+TfXyYdKoCUM+EXD/gS6HKJX+1dqwK4fXRyZy92bOVX +j9GCAtB+98FW0p9A042FA7kGr8Cn1aW+JIBAzz7qlBxOeA39VsWWJUEE4loP +vqi9vQhc6/S2y0Xh/bpqaSurVQRx0XZBddjSfbKX+dyKIEvlo45eNIHU8vsd +BuqLIGT3W2GXGAJV5z+uqJIvBiXW1dInDwj0y3SmTo9cDDnHjrwbekQg87wn +nV6bS2GVEsPPmkqgNJsQfWepUmBaeswXjD3Gf510/mgpLK3bPVrDdr+jQVG1 +KYWTASviy2kEum/yq4etsBTmgyXtJ54RqH5V40uqfhmkhU7p5WcTSMb493Rz +dDkExOzo/ZJPILFAbRWXrHJYT498Y1pAIN7CzGjOqnKofPiDqwv7H7upvPFY +OTxKUTCqfUUgamOV1/CxCni+WsiRWEigW4eC15YnKkA4Wu2TfAmByDyCgvLq +VeBinDS2+S2BmlWvO3WbV8HSeZD2w37r2lrp7VoF6V2b/o1iP2/zs6p9XAWh +YqMO5dUE8gscenb2VxVI/JFXM6sl0M7Z3H0OT9/CWRONm0ENBPIlH4fkxWqI +W6OtxrbgfpCvmPjAXgNCDS2OE9gvYg/FrEjUAI9G3a2T7wnUrb/ns+2ZGtAv +Yyn9jn2gU9BL5nENbN/hZKHeRqCvbbO5pcq10JV49+3wBwJpv8vZQrpVB8HM +cf2jZAINS0pVrD2oAyF13riDFAJ5B6VdOPS8DlwSbv53GzsLEvISOutg8tD3 +dWEqgVbqgzSsJeohJkkrW7ubQEU1F3ym6uth0yapR6m9BNpazjfMzIxAMmKu +nOcTgS7GrJh9E0ZQsutJkDV2tuNEZ6ssgijvj2svsGWFqqujzyOIt5MUPP6Z +QBo+l5OEihF8FOHMt/lCIKU0y9zEg41AeZBfljxIIDvbXJu84UaQT0pY6B8h +UJiueErK90bIipKMEh0lUK5SfF/UYiO0ajd+scae2XJL7zrnO0h4yfNqENsH +GRxXUHoHj5cbNw+NEShGki5YF/sO9nIycXR/I1Dl2OkuyskmYH2ycOTeNIFo +Z4PnY7WbYEvCuCPCJt40COubNEENzfb8ErZywHG7dpcmyHmXec/5O/7+AgeJ +xkdNELZtmkvjB/4fNMUkS6eb4LWAH+XXTwLV5c55JSY2Q0DDgoXoLIEGueVT +TDKaofKn9h5d7FVP1wbegmY4SuJEt7BVYZI9FjWDWqvrmQHshv6B9PAfzWDN +e6skZY5AiL2tzUe9BSBMXob7D4GarqWLW820AMuKklP7Ij5fvru62hItoJZ7 +JXEW+8UVlVoHpvfAT1tJEqYTKNy539pN8D1wfcnndcQ+a8/3NPTke6j50ju5 +ik2xChd5E/MeRp8FHti9hNd79roQq1wr1PBUVFv+JRCp7YQTu3IreOzid/DH +btDirNh8qhWsDleOPsXO0HxpJmLeCpRz+y4NYdurjybI3WkFVl4+m8v/8Hmk +bM5/ntQKdQlavrYrBKLvVuUpvN4GoXy1ZSf+w+fLmEZblF8bDOvtSbDGjkg/ +G3gltA2KRYxP+2MLC5rN7kppA0crVeFKbCVmV8rTljboEuO/L7eG/7eB5LhI +8XaYK9tZJbCO9zdukcfxQztU04x765mWkKbev7ZTfe2QEbFK0LBjNjEF7fja +DgXOR37PYm+7wzX3ZbEdyCdSLKWYl5DatZ1UY8kPMDUXKRKCHah5Lh58P4Ao +ieR4gmUJsfx9xSsu0wGH7ymXxLIuIXGSxgIc7ID1/41sz8RWzPr80fF4B8Sc +/eVSiu2kw5b6xqADPF3dYmjY7Sn2Mho+HXCW7bXktg1LKE5FVOVqWwcoeveG +PMfO21Is8aC7A1RTkgvLsBvHtNZLBzqAdDu/vBn7T5R3y+psBwQ+nLQcx7YY +oBjGi3QCb6TpouTGJSRxO8Kh6monsAb9i0/CPnpum9agVyc8Df4T8RzbULp8 +L/PdTjiZzWtdgh3YNfpL91En7JNYfNKFPSah6jdc0wlbT7d5MW9aQvn1CzEb +ubvgpdR8pSN208Mo9/3CXRD73CHcA/uLk5SJkWQXPDvecfg2NvcWo60pil1w +JDRHOgHbw7YgS9amC1yPzHohbKX1y5Wmb7pgwSqwmY9tCekbNIacedsF1pau +WuLYDqk7jJSbuiAg2SpfGjteeXhKjNYFUzpXeZSxv7tfEB37rwsm1u/bWGMz +NdRM9LKR4ORS3ag9tjC3WOl7PhLErepouWJr5H3SzZchwbptenEAdtqI+W0P +AxLIlJpzpWKXylectbckwfXo8IRs7PbbgkJmdiQI/ey4mo9NF+ktVL5Jgkja +BY+32IbG576upZGAcDQa68VmbtLViJ0hgSgyWtrAvoREeAu2BBIkiPc4F8eJ +LW/LMeDBRIZnMS/YebGt/7V5mQmSYRPZLUMMu1zhTI74STJ0i2baymN3BL24 +sVmLDH5MxixHsEfIrGrrRmSIYXtxTxl7s2sTbcyBDGIKlsrq2M7Pgb0gmgyB +2usHzmHfnn/Wl5pEhpka8pApdgKsZcZmkIF7NuaGJXbjQN3xG2VkWDBcUbPF +pu2T2ORQT4Ybpxr87LBnfAN6zNrIsDckKdERW1RQxfXYABn2Sed6XsM+ZJ+i +vH+CDBXcfxSvY2sVL7NKzJJho4nvZ3dsT/2qtHUWClx7ZfLBGzvyqfDVeW4K +6LPXCvpiZ0zfPDouTIFs02ANf+yuMEVy634KJP3RO3MHW4Wnk+XhUQoYsk+q +B2LnpdgpX1CnQPVEu2gwtrD0squMHgUeLq1TQrBD3zzInDWngFzmY4cw7Llj +Mh+rL1PgtnJyXzi2bXMtR5grBYS4eHfdY9QzMFEz9KGAgS2HUSSj3qdpT5Fg +Cihcizt/n1HPPvDlWDQFcm0KIYpR75fQ4OvHFLC2cdsQjR3m+5rXN4sCM6FD +uQzPM58+c+o1BUZGN+6JYdSL+ezPVUWBqjt/whjuEvZ48/EdBZZM3zQyrJK1 +aTyjiwKKlppfGM47kL71Wj8FRDxQN8PClUf0Fcco4Htn/0uGw9Q7gtZmKGBm +Fm/N8J+OyxXtSxSYRis/GfWLC1a8dZipUB7se4HhqVmtuDebqBBuvCWfsf7t +Rx/lC3BTIWqoo4/xfma3hpr9+Khg0FE1zHj/aLR3eEiYCm2lX1sZ+9O0wXtZ +Q4IKXnsNYiOwl3UQf54UFdTLOBUY+3kwjlNu8x4q1HbvrAhl9F+fubanLBVW +ljOEGd8jXTTLrv8wFVBijEUQdp/tTMBJZSp4sC1438XW+B5SvEmDCj1dFga3 +sP3lyR2u2lRgq7zC5sd4Hy/RCao+Fc4835Z5k7H+tSLRVEsqbDdJue6BbX56 +5QiTDRUk7byzGf0XE6ll6GRPBV4e8UpGf/7lHwo5eB3XC1zwZ/TvofN70xM9 +qWCrfXw/o7+d072q/vpSwajas9qGsb49nDPNIVSo0Zz2tmDUP6lsfj6ZCuvF +85e1GPsZHOJen06FoIZpDg3GetpI93c+pwLPpNQjVUZ9Y8eGmUIq6GwNVlfE +vuL8cE9wMxVeKqwLSTL6/dXgqcl2KojfEahh/O+0uT0X9chU+CJXqSqErRnQ +EC/0mQrBOl3jHNg74n/+zf9NBaFz6q/n8fnSYBeYX7pABU6p6dqf2LZHBKxq +l6n4yokvmmCcZzSVt10s3RBmzHL6M7bItiifWeFuMExRFEfY/AV7FxShGw6l +HX0Sjl0SUJetqtkNuxvP/7iDfc7gnImWTjcQNXNSPthxc74llqbdoH4lVc0Z +m1u5zf2WSzfwtGfIn8He2OI0g+K6Id3Ea/Y/fJ6/SPqb2p7YDQfld8cuYmu6 +xOp1p3RDqWQdz0/sEM6KgrHn3eAg+rq5H3vt3IarG992A1efxVQR9vJQ9oTu +SDf8aU/ZdQH759LX4Y+HeuB9Ly0tHd9HlS5xTbpKPXCJo5cahx3Ur5aLTvSA +aM23iWBs4ar06/laPWDk6lLmhK3hc2H19sUeIL1uHDyA/XSRJiod2QM5gvbu +pfi+PDtPMvP82gPygxztefg+FrC7c2zqWw+805GXe4I9TJWVuPijBwyHTLzD +sb2Lo8fP0HuAU/Ztnh12hruOpyhXL8gLlBeIYBO/WuIalXpBUcRBNBjngxc/ +aju2POiFNWsm6gmcH9yeGO26ltALbloyk7uxlTXHA94n98KLJwdG+LA70jnl +bj/vhWFiIXAK55H5c1Zx39/2gr7DVveH2GpVhGnLeC+sl1TyjuB88zn08JD/ +8T4gPWiPvILzz5ZtL2e/feuDBuYkvz04X6lbhA6Nf++DRrr3o83YnnGXOsd+ +90FsPFvSAs5jNGaRlyPLfVApa2aGsJ9NRtoOcn+EuhtErTm2fPE1Uq/iR5Cr +KVa4i/OcwWn5V01hH0Go5Ud/Pc6HMVcrnDOlaXDE7ULb8gKep9ULI7n204B5 +rOI2DVtbJKfA5yAN5liLtpZjs7Ql/tZXoQGbQ+yaG7a/tLfPXyMa/Dl2LX4c +51nnrwoRJgE0GP8o1N42j+d18+KcDT00KOZjz76N8zCh/urblcB+GN9Y3ZmM +87a3Qku5cPMn0P3EqnAFzwdf37REd0t9gYWReYKG55OVa32p+24NwITY0qP/ +GPPSKbY159pBoKHOXQ1VeH3ZUi4lW4fBJ2OOOpiL57Ftl3aOXvwKlcurBc1J +eF6ZMefqNxiBL7p2CIUSSIBnjr3l3wjEcGaKdbkRaANKLdqVNgpZpVPvvlsR +yFWx9jOH3hjI1nY3kU8R6JWOfWYDMQYUheW6zL047/4U4518PA4/75o9bOIh +UIWpNmui5jfgl2+6o7ZAR4FnwzPKJ79BeKP1Dcl+OpIa0v30PHwC6nYHMEnU +0JGKcliiqMIkvJyzGPyVTEcXt1P+MvdOws2bCv5GfnRE74gd8wieAtaYYCsu +UzpK3mDrbSI9DfbJho3W8nQkMFyRzdIyDV9t+XID2elo451gs+M3voPwcd9G +uaFFxJRvWzTG/wM4ThVx+JYuIs+nRbH8TT9A6NY4j1/wIqo/EMnO5/YTrtzv +GLE2XER8up6yIVtmgFapealYfBENylF7/etmwGjPqditowuo+BlIf7P9Bbat +m9z8cxeQTZES37a1XxA0x6HSfGUBBYVnBQ8W/IY/rgL6spILiOnKB5XLB2ah +Iy2aLWXiD/o/lcV9Pg== + "]], + + Line[{{0.9746826644055704, -1.1804496885293512`}, { + 0.9783775220102351, -1.176909245185802}, { + 0.9787207356226415, -1.176576972662548}, { + 0.9794071628474543, -1.1759106561885702`}, { + 0.9807800172970798, -1.1745708607994998`}, { + 0.9827337978676478, -1.1726473588541113`}}], + + Line[{{0.9833715529696887, -1.172015144624694}, { + 0.9890171439948328, -1.1663216700299401`}, { + 0.9893603576072392, -1.1659697447970336`}, { + 0.9897035712196455, -1.1656171335676342`}, { + 0.9903899984444582, -1.1649098386830241`}, { + 0.9917628528940837, -1.1634868561392961`}, { + 0.9945085617933347, -1.160606463664794}, { + 0.9948517754057411, -1.1602431095987462`}, { + 0.9951949890181475, -1.15987900736444}, { + 0.9958814162429602, -1.159148541400686}, { + 0.9972542706925858, -1.1576784419922852`}, { + 0.9975974843049922, -1.157308981385364}, { + 0.9979406979173985, -1.1569387374150144`}, { + 0.9986271251422112, -1.1561958808599566`}, { + 0.9989703387546176, -1.155823258884163}, { + 0.999313552367024, -1.1554498347610618`}, { + 0.9996567659794304, -1.1550756036621865`}, { + 0.9999999795918367, -1.1547005606907672`}}]}, + "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + RGBColor[0.880722, 0.611041, 0.142051], + AbsoluteThickness[2]], + Line[CompressedData[" +1:eJwV13k4lN8XAHBrSaK0IaSSNqSylNRRKSX5tVgjskQoZCupZE3Wwteu7EUx +WuzlWiPrGDNjzZaEmEnvzNuu352/5vk8zzz3ue895557zgY797OXBPj4+G7y +8/Hxfg0uTXXXTpscHFoiPps0+Q0JHZHxGJFWhxN1adpxCgTa8zg5/ZW0Hqzz +Lg09sZdAE7KKgdeVjCAqZKH2hAGBTnb0vsyQNoaBXKOXxhYEUoySy/u33gJK +2sQr/R0J9MfAPslWyRoE3HeJnPQk0EwIvdE20RbuPuSfWn2bQGYO39/GSTuA +uM21NMl7BGo8IlPekO4IyZMTNMcHBFLbdKCEs94ZnoSv/KeSQiCR8aBsUyU3 +WHNawdO+gEBVo7SOBmMPWDTTls4qIZDHaQcDq8Rr8OrGqvKtFQT6sPPekWhp +b9hrUB/W2kSghMdStZstfWDKkXYF2glkIFGoU5PuC1GB9O13aAQqY7VrsNf7 +gWuouLvLMIFiiiS3nlG6AyGqlV6WHAIdlcvNnXYKgMW2hq6BPwl0513q8jrj +u6CuWb70/gKB1DWVoy0SA6HjuJeEnggHZa7+X1iEdAiocn6XPZDhIP+OpEbT +qhAw3CUwOCvPQaahowKbLEOBXtf6T30TB4lxrwVUp4eBm7XO26gdHHSDnnBj +dv19GG5Q+OG2n4POxA+4GCnFQGXXHpsgcw5SPrmpQKY5BhxHfpjOWXKQiOCV +z5NOsTAcnHn4lA0H2VQuvEDGD8CBbXBk7hIHrd72QNU88SEo0j/tKvPkoECR +V1vuSyfAgT3UkpwIDtqu/zD8pUcCtMnUqVdHcxAt1H16sDkB8kzCDrQ+4CBF +QeVnqr7/Qc9iktKVyEHv/uaq0mmJ4Dwmc/l2Nt4/kaixPioF7g/SRO9XcFDy +h5tHShceAflFt+voKAcdkrXIHTZ5DDZPRpySxjlo5ryWsEjRYzizekn4xAQH +yc/62RepZEL67h9Prk1zkLuz5ma+oUzwFewzsPjGQZIOlKd5e7NhZXzvqKsg +F5lbZFG+zucCuyIs7NdGLmIPGotmy+SB/Xjig0pFLgqzFrl07kgeFPqEr/NV +4qJX9u4yZQl5QON3pX7exkXibgdC/bXy4fYLH59iNS5qCuo/v+j2EyiekTnS +eYCLdj9fsUhWpBAMHybrBphy0XvVposdaoUgHGe69JcZF118caP6jkUhuDux +FnlZcFF02YjHWGEhLFPcl2VjxUVTtUWD+aeeQWx7MW2THRc9Ypx4sSv+OShd +Kb1leZWLlv4LtNKXp8CU7szY4yAuSnY2s1Y+RgHRmXtWY8FcpEhXvrjCjQLl +HjsCN4Ry0cGCXvvBtxSY/xtOy7jHRZUFiaXlqiWw74voQFgUF82dm31zshP7 ++fF7solcZPI0qc1r2UvYfTfN+NJTLtp8hjXVEPkaglQ2XKa95yKZAH1tp6zX +oK96/8bHVi5aXpQZKVr+GtxCG24TbVz0S+Sc6pnx1+BruPLq8k4uotaWew3v +LYW4ZVzRgzQuuqkWuPDjUyn0RAb+5zzARZ0Sq1ap6paDzPvk0qUzXHS9cx8k +cyrhxVEyoHYRicRVSz+9F6mCetKjPmIxiXKj1aJ+y1ZBSpSZjbEIiboNt/Rb +H60Cj+9Gzz4tIdGOtlVemxOrYIAy+ZhvGYlGmtn5L7WqIS7GMElqJYn06/LE +O26+gXZtGQuGPInWvl4xzM+PQOdxnmGOOomson4bT6xBIB9x7KepBomyHT61 +vVNGILzBRUJUk0TKqysrI80RPBNLvOmmRaLDvhf/W12CQEaYaqWmTSLNdLP8 +hJ210LfwqysZSGRrnX/h6XAtbHD9vJfPgERl40fau3TqAVZ+jtC7QCLm8cD5 +aP16cKrVF6Rjk8U1awzP1oPBN38Re2sSafnvs21xqgeZzYNVATYkqli5k6yN +qwdrsvr9K1sSVerJKLycqoepq4GjixxJ9Cb/q1dCQgNYXz5haelGonqXjHUW +s41wVGDzya7bJHo17epqTTZCqoR8uc4dfJ6Xtavt+ZqgSNiUWoAd6th73m1V +EzQMWC0NDiDRcbsVqcE6TXBVglKwK5BEXRahUsVRTeCe0l8aEEKioeNXVwuq +vINkfk2v0QgScZUOSBRdbQYDpaC/NYkkOjh+uDniRjPEeK4cVEgiUVjG8YDL +wc3wPtfwUhD2mlXG7E0pzaB34N5dvWR8fvyuXamNzZDl0tDbmEKiG4PJseHr +WmBsf6NGWTqJ/sRyJBzet0CSp/fiC9kkEvj5bPm6za1Q9l5OvOk5idZ1HCZg +ZysMz8+YiReRSD2rn+GwrxV+s37bmWFfOrE4rfhUK9hHOb+dwm5Jsdt82LcV +flrPhYlQSBSrLa3t3NwKysr84TovSCR7K8y+3LkNjLI9114vJZHGabljQ15t +8EjjXlMFtpHi6638d9rAjsjf+ws7oH1sziCuDcZN/NxulZFoXPbAjeGqNviX +d3vdnXISFbwlooTF2mF6yDDetxJ/77+LZeeK26Fomr9c5y2JDE/VBh2taAcp +57OrfbHt09b/T6u+HWYO7j5IwX6gNfxZhtkOD8gFtkINiabdLaXH/7aDooot +kx+RKH3U5JbHqQ5ITntaX1lLIv56g8PRsx1w4uGqCoFGEkktLxQPIDvg/Lvu +BA1sVeslgx58nfCJwj54Gfv8r2Yv41WdUFSa/bsd+/Xuo3nrdDohRU5DOqGJ +RI45IFIY2QkUH8PvUs0kag9R73y3vQumo0o6/r4nkbZEm8BDjS648LT8uGor +iZ6m2GpZ6nZBqObGSGvs4OKYTLZJF2SdDHaswdbum/KUCuwCzc/rbvq34f/v +yFjr0tsFKR/jt31px/drOqhk0WEqPJigGGZ1kchPtbPVVZ8KOW/6x1qxS7yk +P1ENqeCSe/IQB1t+gSKdZkYFDZa1zTEqiX5KfgjaeZUK9iezZaawS3S0TMyT +qZB6xSRzA41E6x98+VnAogIz3efmVTqJamwDCl4SVMgSXbcjBtt6z0qL6h9U +kO/aTynGTmdqV7QLdAO5aOduNraUXIQve003WMomKboxSCRZuJVQh24wspN9 +b88kkXDjpVkU2w1mVt/8VfvwffvvZ1pLQjeIXpivPIGt5xR9sjulG9ijZzoc +sINESwvHc7pBZsc171TshdNCzsIV3cBxqmoS7CfRjw/ZnwxGu+GX7+jdTuwv +30eGGWo0KEuJrdIbxPXFKbbeQJMG9A8Xk6yw7/YezEf7aXDbWknPG3tNecbV +gmM02DpKXZYzyKtfln9uWdHgq6+3/QJ2KocprRhOA7s7RkrPh/B9cQj7mxxN +A1ePdaJ12Gp0jbFl8TRwqRyrYWC/e5Xw9HsGDaA9JW0Be97zrFbbKxr8irm2 +yegDrh/zHcaeIzSY5be/OYG90vb23s8TNBisX+dDYg9TlWWtZmggKP3ukMgw +ibxLIj8e5dKg/9OOMzuwH7uf8JRe2gM5i0dPuGOTc42xtZo9oFn/kcLCrrvg +7aWh0wNZSSXUv9hRHZvMCg/1ALHdslFshEQbi4LkEwx74CRfsOJ27FNXdIuc +7HqgX6HovR127kx1q3hMDxgENL5qw3ZL+t8ml/ge+B5T3dWLraX30b8puQey +fZbWfcRuzRBVuZXTA62R05t+Y8+ftoidruiBS8sWz24ZJVHV39nPejU9MGqo +8no3dkjhXd3Mhh6w+iJ59gC2lNDTedPOHrCjGkmcxT5YTp5r/NgDfKypB37Y +Ig4Rz9dP98CCrItIMDZtubywP6sHAlrETaOwL7kcLdv1swd+sQmvR9hRcglS +j8XpsIwIqa7FNm3dcu3XSjqcr/L71IK9/nr1exNpOoTXE5+o2C+p4zfFFOkw +1fLEZRS7P3jXB799dEiRtgn5i52t1qTBOEiH7/Ed9kJjJLrywTxGTY8Ou9sU +ZJZi82ndhc9GdNgTkrtUCltppjPL2IEOvTWXBdWwvyba/aI40+HtH700Deyq +I+TZpe50UNtvL7of+1SGnFC9Hx2OlcW7HcX2Pn3FcWcsHXKfC502xz74919N +RAIdRPbsyrHCXlwYv3YyhQ4E/VfHRexUweqW9Fw6TJjFFV7Gdigx2vCjgA7m +qb5WV7BVL4z7naPQQbVacdIdu65syQ7RKjp4/BMN9MWOsM8IvoTweocs4vyw +jZfvGqptpINcVob/LWz5t43qsq10INd+1AnAnnI2j77eRQeHFHVmIPbLNbOf +aHQ67FyeoR+C7d8QcFB1gA7CNlsehmGLyz1hT0zQcT1rfx6BrWsa/OHjNB2C +XGkXo7A9Y23axll0iBA4/S0aO7dlf+UYQYfRi0a2sdhMfqknoz/oIKTBLHqA +LbKfkzDylw4xW772PsTW9qYGDQswYOVg7kgcLz5Fzz0+LGaA1uyfxnjsR5Ph +1kNiDCic5wtPwKauv2Q4uIIBB29Vbv0PW8DikPbAGgYw1ux8wrN6nNzW/nUM +uHXMRTAR27Ht5+o+BQYYR3gd4DlZiCnYu5kBVZbnTHhuPfBynrGdAdtUJU/y +/Mc3ZoS+kwGm7hXyPKuWuHT0qDNA+Z4Rlbf+xelj1bR9DOjqHbLhOW7jpoLu +gwzIeuvawdtfo+W/ROoRBpyLFZTmmUwYDOk6zoD2jOeHed+ztbPcs/MU3o+6 +uwHve88vTrjYcZYBfsnn1HjnEaXrYdRuxgBZUTsO7/xq/Ax12qwYENyQnRjD +y8eXW7e32jLA8KfcWt55b5wVknrvyADvgUGfSF78N48Jt7gy4NqDiZf3scOs +3xLvPBggAbqd97ArklLGmnwYkCT0vTkUe4bq09V4kwF1P5dnBvPy+Yjqs/oQ +BpyqivlyB/vOLdGUuvsMiEkTsOHlU0npZFhtDAN0+n6W8PJt1dbHdjXJDHA/ +F/fVC/uYrf/ptxkM2HjryLAH9o1Us4NvshmQT08qvIo9JLZcpuo5A34WnRhx +5OXXsdnFlS8Y0DpXpm/Py6+AFm55GQM8BydjbHj5NH+3u7SWgeef4kozXn4w +58Nf0BkwuudV4DFefkh0+pb0M8CsVGLsEC8/jhc6UIYZ8GTsyvoDvHyottMt +mmLAO4b+vj28+D7u+f70DwP2HzMZl+PFt5/y6Qk/E9wuRGnz7v9Fyaie/EVM +kO7i85TkxTNEj5K7nAnmFejOIl58nEsdMxWZUKXnuHwO15cZ3aLwpduZ8L3y +cPcnbH2pvELfnUw4u3jIdRhboDmBZajNhCsJLqpd2H6K3r4//8cEsdHf08XY +zN+uyQ4mTNAqbvDLx1bvsa/qOs+EqOtxHzOwvwaeW8i7xISpKOejvHrpOLI7 +7Kw/E/Yd/XPYCbuxbPvTt3eZUPLoV84F7I0xG1u3hjHhy+XjI+ewP+hIiv97 +wATdZeQEYBunzv/3LJ8JcuZxKmuwD5mU5AnRmLDtc0B1Na7/j5SfNrv3MuGX +YnITBfuPYOb0wBATmkYiC3OwK17Gqrz4zATa/BbhSGzV5e6lF/4y4fIehQUz +bNk2laayrb1gbeey6AvvfdN9NnE5oBd6738r4OL38U3ul7TrIb1wb3On9iR2 +kIjyubD7vcAfues5E1uc+rwuJ74XwiUK1MqxlWyKHw0/6YWtCUvrfLFNb78w +N6b2gvfh1cJf8ftcWlneDhv6wNFo9dE2/N7flPsRbKTUBxJbPn4rx9YN3Lv/ +wo4+0Cj5HZiL3X68suCmRh/wKyjq3cae6K0KKz3RBypFHHMV7FXkW90dnn1A +2qe8vzeA6/nuxtdrGvrAZ78RazPuTxzVFTIftvSBQG7QqBi2ueatSLHOPlgW +508hcH+zX1vdTqC/Dx6qMz/WYgsczpVgsfoggvMl3wI79kyIS5NMPziv8U4P +6SVRoYeegrdnPyxNaPOsxf1WumfmUvb1fjDIthXNwY72/kM63+4H89iogFBs +jxuvO2zC+4HSXSlggK11V9H/5KN+CL8nf7Ub92+NsULMja39kLjTsbe/B8+L +xY2R3RsG4KxO0Y7ubnw/5oRmd28ZgIMt9fIl2LXKRw0TlAdgwzfb+RjszMIm +MTOtAeD4n9UwxLbLfxc9ZDgAseIP9zbhfnMyoyX28/UB0Lkuu+sF7k/notrj +/3YMQGe6nfi1DhL9dqGnbbs5CHH5P6IetuB807zwUDVgEMKUg0+6Yj/nnwzb +EzIImV5DLD1s6+Tv1w7EDIJ72pKJ77j/rmuSOX4mexDmZV6GW2HfU7Dl3Ggd +hPGMMzUK73C/xZwzbJEZgtuS374lNOD5+NDiBcfqIbA9UaGtgucH/ewNTi/W +DsMr75diYnjeuS5ns3HMagTOCuxBq3PwvDtrsrT31CgI389KYsTj9SS+ijT+ +GoXt4lEVD/E8KITSKJvSx+DQ8On6OTxvuqpX9y85OQ7/mJ4//pmT6NkJu8wa +chxOfBXqrDqE58MvMssnEz8CnVvmfX07zsdz+oIJehNwre7sUJgEnp+Ohz5+ +PTkB9+Plgms5XLThg0FfTugnEPpSs53Tx0XaWiEJ0rsnIRiZJwtWcpGVfNdP +/p5JCL1sL3o3hYu4rdHjHoGfoUjMbomTDxe/X9beZxWnIGlTo1/HGS5aOVya +LdA4BfURArtEVbhI+Hag8b5r08A/Ze9ECnARX4E1ZVxyBixrtEdv93OQZyol +WrJ+Bt5kxVz8UcBBb3eEi6xw+wKT25sX37zBQSsMPJWDxGchblOoU5g+Bw2p +UHv83syCUbpc2UoJDip5BIoT1nMwtnv4CpVJoAsUzRVyC3Pw83RvZG0agcrO +/xCn8rHgTZzpubJUAokvqhILFmTBBMPyzbMUAtVaHRCZFmHBGktVj/+SCLRR +VO/f65UsOBt+2MAhnkCTDmfmDLexQMs5RpwdQSA3mSvvbxmzwLb7gs0LPwK9 +a1Jp3mnGguS3U14pNwi0/hq7cdyCBf6RKYKB1wlEbfasPW7DApeMhUgjHwLt +9vErX+XCgorCh3wfPQj0vSss73kAC/TGRtaynQh0NzQrcKiQBdL3/pO7YUKg +ZdcXG9gWsWAlGll2wZhAqZevSk5SWHCHT0Lw0DkCvTq5L4f9mgVTd0/3CZ/B ++13R3SiAWGAkU78iyJBAJx/xiWzrYQEFeWnuO0Lg+cQmxuc3C/42Fpp8UyVQ +ztMm019/WXBc5/eSaBUCqaXuWB/AxwYDKKRsUCbQidvfKeHCbEhTslHT3EYg +ptuFG8tE2BAmleH1eguB7C426MaJsiHZ28FlmxKBbh2J7U6TYIOp999T3zYS +aIkGN0VBkg2iczqswxsIlKhkaZe3ig37BtZdD16Pz1uqbvv2tWyo8S8WfCFH +IMqSLUSxNBu2sg91Nq8jkM7vqOo9smwIvDXeXC9NoJbZb8EV8mwoOfp5KnUt +gUyGzQ0PbGADh+nBPbqaQONdNavqN7Eh2Obw8teSBHKvU/xwTIkN+qMZRq0S +BPrzMiKvbSsbJBrOCxuLESg89+vV0zvYYJVfdaN1MYFWJ5pqMlTYkKvAzMpZ ++IaUxmO8uNh88yNSM3++of8DC5If+g== + "]]}, "Charting`Private`Tag#2"], {}}}, {}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0, 1}, {-1.439587452512069, -1.1547005606907672`}}, + "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {0, -1.1388735111451418`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), + "DefaultStyle" -> { + Directive[ + Opacity[1.], + RGBColor[0.880722, 0.611041, 0.142051], + AbsoluteThickness[2]], + Directive[ + Opacity[1.], + RGBColor[0.368417, 0.506779, 0.709798], + AbsoluteThickness[2]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, "Primitives" -> {}, "GCFlag" -> False|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>]]& )[<| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0, 1}, {-1.439587452512069, -1.1547005606907672`}}, + "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {0, -1.1388735111451418`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), + "DefaultStyle" -> { + Directive[ + Opacity[1.], + RGBColor[0.880722, 0.611041, 0.142051], + AbsoluteThickness[2]], + Directive[ + Opacity[1.], + RGBColor[0.368417, 0.506779, 0.709798], + AbsoluteThickness[2]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, "Primitives" -> {}, "GCFlag" -> False|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>], + ImageSizeCache->{{4.503599627370496*^15, -4.503599627370496*^15}, { + 4.503599627370496*^15, -4.503599627370496*^15}}]}, + Annotation[{{{{}, {}, + Annotation[{ + Directive[ + Opacity[1.], + RGBColor[0.368417, 0.506779, 0.709798], + AbsoluteThickness[2]], + Line[CompressedData[" +1:eJwV13c8V98fB3CjMsuWVVE0UUmU4i2J7Owoyq78QshIZUtGFIqQUYSSTeYR +QvgMo4/KyMioFOJzKb78zuev+3j+9T733Pc95/WWsnc3cWJhYmI6yczExHjq +Ok13N343VwtjuhrEk0BHG06LeXwVVYSImifZoel0dCQjOa1MVBPyUgXO3X9O +R98kpIN9dxuCgoqRYFAeHemR+kvTRc0A6Nlbhl/TkXTMtpz1HVagIuRX2FdM +R6u6Dk/sdtsCs4JA7t1yOrJ0XKp/JOoI143O6e+rpaOW02JVzWnOEBcXwqba +QEeHdqkWL+64CszbC5Pl3tER+1hItsVuN4i6rBBd2UpHQwfvnY4V9Qa3CjH2 +t1Q6SswQaZS5cBPyfLaqcfXSkS5PwcmGNB9g1ZpXNfpIR5W/u47O7vAHy+CO +0cLPdPSgkH+v8e67cGMoyGBolI4yhYwiokTDwC2hezV8jo4CSE9aLGrCIEr2 +wOzxP3RkET7CsutCOHiVH4qYWqAjbvqNwNq0CFD9XZp8aImO/PoS/WZ23AcJ +kfzjQf/RkXHCl2uGux8AzbfY/AQHgWT1duWLtT0AWYU3zJmcBGJn/d/UpEsc +WDz9NsHETaBL1WslyCweWBJP1VZtIZDQvnj5848fQubZs+KrAgQKZi/bc180 +EVxbKT1z2wi0X/thZKlHItxtdHE/uYNAPeHu3wfaEuFoctJqmCSBpFllX8n7 +JMGksOGBzbsI1PrfC/m+nscQrSQTvbKHQNwLj4/uiEmBPPEphYuHCZQ8dOt0 +xdozYM0l8/hpEOiUhNWLYfMMaBK+FuF/mkA/rJU3shdmgIDAU1V/TQJtn/F3 +KJTLBMOCkggvLQK5X1WSYRrMhHugtN9Ml0D8jkV5OceygT83y7/DmEDnrbKK +5uZfgC7dP/LVJQLNDphxZovlgHNo2ajHZQJF2LI7mZ7OAd8NsQpH7QhU5uAu +VpmYAy7FNu9r7Am0xU01PEA5F+wXaharnQj0PuSz9aY7L0FfdS4kypVACq/5 +NkmwF8BshCc5xIdAH+TfXyYdKoCUM+EXD/gS6HKJX+1dqwK4fXRyZy92bOVX +j9GCAtB+98FW0p9A042FA7kGr8Cn1aW+JIBAzz7qlBxOeA39VsWWJUEE4loP +vqi9vQhc6/S2y0Xh/bpqaSurVQRx0XZBddjSfbKX+dyKIEvlo45eNIHU8vsd +BuqLIGT3W2GXGAJV5z+uqJIvBiXW1dInDwj0y3SmTo9cDDnHjrwbekQg87wn +nV6bS2GVEsPPmkqgNJsQfWepUmBaeswXjD3Gf510/mgpLK3bPVrDdr+jQVG1 +KYWTASviy2kEum/yq4etsBTmgyXtJ54RqH5V40uqfhmkhU7p5WcTSMb493Rz +dDkExOzo/ZJPILFAbRWXrHJYT498Y1pAIN7CzGjOqnKofPiDqwv7H7upvPFY +OTxKUTCqfUUgamOV1/CxCni+WsiRWEigW4eC15YnKkA4Wu2TfAmByDyCgvLq +VeBinDS2+S2BmlWvO3WbV8HSeZD2w37r2lrp7VoF6V2b/o1iP2/zs6p9XAWh +YqMO5dUE8gscenb2VxVI/JFXM6sl0M7Z3H0OT9/CWRONm0ENBPIlH4fkxWqI +W6OtxrbgfpCvmPjAXgNCDS2OE9gvYg/FrEjUAI9G3a2T7wnUrb/ns+2ZGtAv +Yyn9jn2gU9BL5nENbN/hZKHeRqCvbbO5pcq10JV49+3wBwJpv8vZQrpVB8HM +cf2jZAINS0pVrD2oAyF13riDFAJ5B6VdOPS8DlwSbv53GzsLEvISOutg8tD3 +dWEqgVbqgzSsJeohJkkrW7ubQEU1F3ym6uth0yapR6m9BNpazjfMzIxAMmKu +nOcTgS7GrJh9E0ZQsutJkDV2tuNEZ6ssgijvj2svsGWFqqujzyOIt5MUPP6Z +QBo+l5OEihF8FOHMt/lCIKU0y9zEg41AeZBfljxIIDvbXJu84UaQT0pY6B8h +UJiueErK90bIipKMEh0lUK5SfF/UYiO0ajd+scae2XJL7zrnO0h4yfNqENsH +GRxXUHoHj5cbNw+NEShGki5YF/sO9nIycXR/I1Dl2OkuyskmYH2ycOTeNIFo +Z4PnY7WbYEvCuCPCJt40COubNEENzfb8ErZywHG7dpcmyHmXec/5O/7+AgeJ +xkdNELZtmkvjB/4fNMUkS6eb4LWAH+XXTwLV5c55JSY2Q0DDgoXoLIEGueVT +TDKaofKn9h5d7FVP1wbegmY4SuJEt7BVYZI9FjWDWqvrmQHshv6B9PAfzWDN +e6skZY5AiL2tzUe9BSBMXob7D4GarqWLW820AMuKklP7Ij5fvru62hItoJZ7 +JXEW+8UVlVoHpvfAT1tJEqYTKNy539pN8D1wfcnndcQ+a8/3NPTke6j50ju5 +ik2xChd5E/MeRp8FHti9hNd79roQq1wr1PBUVFv+JRCp7YQTu3IreOzid/DH +btDirNh8qhWsDleOPsXO0HxpJmLeCpRz+y4NYdurjybI3WkFVl4+m8v/8Hmk +bM5/ntQKdQlavrYrBKLvVuUpvN4GoXy1ZSf+w+fLmEZblF8bDOvtSbDGjkg/ +G3gltA2KRYxP+2MLC5rN7kppA0crVeFKbCVmV8rTljboEuO/L7eG/7eB5LhI +8XaYK9tZJbCO9zdukcfxQztU04x765mWkKbev7ZTfe2QEbFK0LBjNjEF7fja +DgXOR37PYm+7wzX3ZbEdyCdSLKWYl5DatZ1UY8kPMDUXKRKCHah5Lh58P4Ao +ieR4gmUJsfx9xSsu0wGH7ymXxLIuIXGSxgIc7ID1/41sz8RWzPr80fF4B8Sc +/eVSiu2kw5b6xqADPF3dYmjY7Sn2Mho+HXCW7bXktg1LKE5FVOVqWwcoeveG +PMfO21Is8aC7A1RTkgvLsBvHtNZLBzqAdDu/vBn7T5R3y+psBwQ+nLQcx7YY +oBjGi3QCb6TpouTGJSRxO8Kh6monsAb9i0/CPnpum9agVyc8Df4T8RzbULp8 +L/PdTjiZzWtdgh3YNfpL91En7JNYfNKFPSah6jdc0wlbT7d5MW9aQvn1CzEb +ubvgpdR8pSN208Mo9/3CXRD73CHcA/uLk5SJkWQXPDvecfg2NvcWo60pil1w +JDRHOgHbw7YgS9amC1yPzHohbKX1y5Wmb7pgwSqwmY9tCekbNIacedsF1pau +WuLYDqk7jJSbuiAg2SpfGjteeXhKjNYFUzpXeZSxv7tfEB37rwsm1u/bWGMz +NdRM9LKR4ORS3ag9tjC3WOl7PhLErepouWJr5H3SzZchwbptenEAdtqI+W0P +AxLIlJpzpWKXylectbckwfXo8IRs7PbbgkJmdiQI/ey4mo9NF+ktVL5Jgkja +BY+32IbG576upZGAcDQa68VmbtLViJ0hgSgyWtrAvoREeAu2BBIkiPc4F8eJ +LW/LMeDBRIZnMS/YebGt/7V5mQmSYRPZLUMMu1zhTI74STJ0i2baymN3BL24 +sVmLDH5MxixHsEfIrGrrRmSIYXtxTxl7s2sTbcyBDGIKlsrq2M7Pgb0gmgyB +2usHzmHfnn/Wl5pEhpka8pApdgKsZcZmkIF7NuaGJXbjQN3xG2VkWDBcUbPF +pu2T2ORQT4Ybpxr87LBnfAN6zNrIsDckKdERW1RQxfXYABn2Sed6XsM+ZJ+i +vH+CDBXcfxSvY2sVL7NKzJJho4nvZ3dsT/2qtHUWClx7ZfLBGzvyqfDVeW4K +6LPXCvpiZ0zfPDouTIFs02ANf+yuMEVy634KJP3RO3MHW4Wnk+XhUQoYsk+q +B2LnpdgpX1CnQPVEu2gwtrD0squMHgUeLq1TQrBD3zzInDWngFzmY4cw7Llj +Mh+rL1PgtnJyXzi2bXMtR5grBYS4eHfdY9QzMFEz9KGAgS2HUSSj3qdpT5Fg +Cihcizt/n1HPPvDlWDQFcm0KIYpR75fQ4OvHFLC2cdsQjR3m+5rXN4sCM6FD +uQzPM58+c+o1BUZGN+6JYdSL+ezPVUWBqjt/whjuEvZ48/EdBZZM3zQyrJK1 +aTyjiwKKlppfGM47kL71Wj8FRDxQN8PClUf0Fcco4Htn/0uGw9Q7gtZmKGBm +Fm/N8J+OyxXtSxSYRis/GfWLC1a8dZipUB7se4HhqVmtuDebqBBuvCWfsf7t +Rx/lC3BTIWqoo4/xfma3hpr9+Khg0FE1zHj/aLR3eEiYCm2lX1sZ+9O0wXtZ +Q4IKXnsNYiOwl3UQf54UFdTLOBUY+3kwjlNu8x4q1HbvrAhl9F+fubanLBVW +ljOEGd8jXTTLrv8wFVBijEUQdp/tTMBJZSp4sC1438XW+B5SvEmDCj1dFga3 +sP3lyR2u2lRgq7zC5sd4Hy/RCao+Fc4835Z5k7H+tSLRVEsqbDdJue6BbX56 +5QiTDRUk7byzGf0XE6ll6GRPBV4e8UpGf/7lHwo5eB3XC1zwZ/TvofN70xM9 +qWCrfXw/o7+d072q/vpSwajas9qGsb49nDPNIVSo0Zz2tmDUP6lsfj6ZCuvF +85e1GPsZHOJen06FoIZpDg3GetpI93c+pwLPpNQjVUZ9Y8eGmUIq6GwNVlfE +vuL8cE9wMxVeKqwLSTL6/dXgqcl2KojfEahh/O+0uT0X9chU+CJXqSqErRnQ +EC/0mQrBOl3jHNg74n/+zf9NBaFz6q/n8fnSYBeYX7pABU6p6dqf2LZHBKxq +l6n4yokvmmCcZzSVt10s3RBmzHL6M7bItiifWeFuMExRFEfY/AV7FxShGw6l +HX0Sjl0SUJetqtkNuxvP/7iDfc7gnImWTjcQNXNSPthxc74llqbdoH4lVc0Z +m1u5zf2WSzfwtGfIn8He2OI0g+K6Id3Ea/Y/fJ6/SPqb2p7YDQfld8cuYmu6 +xOp1p3RDqWQdz0/sEM6KgrHn3eAg+rq5H3vt3IarG992A1efxVQR9vJQ9oTu +SDf8aU/ZdQH759LX4Y+HeuB9Ly0tHd9HlS5xTbpKPXCJo5cahx3Ur5aLTvSA +aM23iWBs4ar06/laPWDk6lLmhK3hc2H19sUeIL1uHDyA/XSRJiod2QM5gvbu +pfi+PDtPMvP82gPygxztefg+FrC7c2zqWw+805GXe4I9TJWVuPijBwyHTLzD +sb2Lo8fP0HuAU/Ztnh12hruOpyhXL8gLlBeIYBO/WuIalXpBUcRBNBjngxc/ +aju2POiFNWsm6gmcH9yeGO26ltALbloyk7uxlTXHA94n98KLJwdG+LA70jnl +bj/vhWFiIXAK55H5c1Zx39/2gr7DVveH2GpVhGnLeC+sl1TyjuB88zn08JD/ +8T4gPWiPvILzz5ZtL2e/feuDBuYkvz04X6lbhA6Nf++DRrr3o83YnnGXOsd+ +90FsPFvSAs5jNGaRlyPLfVApa2aGsJ9NRtoOcn+EuhtErTm2fPE1Uq/iR5Cr +KVa4i/OcwWn5V01hH0Go5Ud/Pc6HMVcrnDOlaXDE7ULb8gKep9ULI7n204B5 +rOI2DVtbJKfA5yAN5liLtpZjs7Ql/tZXoQGbQ+yaG7a/tLfPXyMa/Dl2LX4c +51nnrwoRJgE0GP8o1N42j+d18+KcDT00KOZjz76N8zCh/urblcB+GN9Y3ZmM +87a3Qku5cPMn0P3EqnAFzwdf37REd0t9gYWReYKG55OVa32p+24NwITY0qP/ +GPPSKbY159pBoKHOXQ1VeH3ZUi4lW4fBJ2OOOpiL57Ftl3aOXvwKlcurBc1J +eF6ZMefqNxiBL7p2CIUSSIBnjr3l3wjEcGaKdbkRaANKLdqVNgpZpVPvvlsR +yFWx9jOH3hjI1nY3kU8R6JWOfWYDMQYUheW6zL047/4U4518PA4/75o9bOIh +UIWpNmui5jfgl2+6o7ZAR4FnwzPKJ79BeKP1Dcl+OpIa0v30PHwC6nYHMEnU +0JGKcliiqMIkvJyzGPyVTEcXt1P+MvdOws2bCv5GfnRE74gd8wieAtaYYCsu +UzpK3mDrbSI9DfbJho3W8nQkMFyRzdIyDV9t+XID2elo451gs+M3voPwcd9G +uaFFxJRvWzTG/wM4ThVx+JYuIs+nRbH8TT9A6NY4j1/wIqo/EMnO5/YTrtzv +GLE2XER8up6yIVtmgFapealYfBENylF7/etmwGjPqditowuo+BlIf7P9Bbat +m9z8cxeQTZES37a1XxA0x6HSfGUBBYVnBQ8W/IY/rgL6spILiOnKB5XLB2ah +Iy2aLWXiD/o/lcV9Pg== + "]], + Line[{{0.9746826644055704, -1.1804496885293512`}, { + 0.9783775220102351, -1.176909245185802}, { + 0.9787207356226415, -1.176576972662548}, { + 0.9794071628474543, -1.1759106561885702`}, { + 0.9807800172970798, -1.1745708607994998`}, { + 0.9827337978676478, -1.1726473588541113`}}], + Line[{{0.9833715529696887, -1.172015144624694}, { + 0.9890171439948328, -1.1663216700299401`}, { + 0.9893603576072392, -1.1659697447970336`}, { + 0.9897035712196455, -1.1656171335676342`}, { + 0.9903899984444582, -1.1649098386830241`}, { + 0.9917628528940837, -1.1634868561392961`}, { + 0.9945085617933347, -1.160606463664794}, { + 0.9948517754057411, -1.1602431095987462`}, { + 0.9951949890181475, -1.15987900736444}, { + 0.9958814162429602, -1.159148541400686}, { + 0.9972542706925858, -1.1576784419922852`}, { + 0.9975974843049922, -1.157308981385364}, { + 0.9979406979173985, -1.1569387374150144`}, { + 0.9986271251422112, -1.1561958808599566`}, { + 0.9989703387546176, -1.155823258884163}, { + 0.999313552367024, -1.1554498347610618`}, { + 0.9996567659794304, -1.1550756036621865`}, { + 0.9999999795918367, -1.1547005606907672`}}]}, + "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + RGBColor[0.880722, 0.611041, 0.142051], + AbsoluteThickness[2]], + Line[CompressedData[" 1:eJwV13k4lN8XAHBrSaK0IaSSNqSylNRRKSX5tVgjskQoZCupZE3Wwteu7EUx WuzlWiPrGDNjzZaEmEnvzNuu352/5vk8zzz3ue895557zgY797OXBPj4+G7y 8/Hxfg0uTXXXTpscHFoiPps0+Q0JHZHxGJFWhxN1adpxCgTa8zg5/ZW0Hqzz @@ -240338,12 +282453,37 @@ IMqSLUSxNBu2sg91Nq8jkM7vqOo9smwIvDXeXC9NoJbZb8EV8mwoOfp5KnUt gUyGzQ0PbGADh+nBPbqaQONdNavqN7Eh2Obw8teSBHKvU/xwTIkN+qMZRq0S BPrzMiKvbSsbJBrOCxuLESg89+vV0zvYYJVfdaN1MYFWJ5pqMlTYkKvAzMpZ +IaUxmO8uNh88yNSM3++of8DC5If+g== - "]]}, - Annotation[#, "Charting`Private`Tag$63308#2"]& ], {}}, {}}, + "]]}, "Charting`Private`Tag#2"], {}}}, {}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0, 1}, {-1.439587452512069, -1.1547005606907672`}}, + "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {0, -1.1388735111451418`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + Opacity[1.], + RGBColor[0.880722, 0.611041, 0.142051], + AbsoluteThickness[2]], + Directive[ + Opacity[1.], + RGBColor[0.368417, 0.506779, 0.709798], + AbsoluteThickness[2]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, {Identity, Identity}}|>, + "Primitives" -> {}, "GCFlag" -> False|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>, "DynamicHighlight"]], AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], Axes->{True, True}, AxesLabel->{None, None}, - AxesOrigin->{0, -1.138873511159413}, + AxesOrigin->{0, -1.1388735111451418`}, DisplayFunction->Identity, Frame->{{False, False}, {False, False}}, FrameLabel->{{None, None}, {None, None}}, @@ -240371,7 +282511,7 @@ BPrzMiKvbSsbJBrOCxuLESg89+vV0zvYYJVfdaN1MYFWJ5pqMlTYkKvAzMpZ Part[#, 1]], (Identity[#]& )[ Part[#, 2]]}& )}}, - PlotRange->{{0, 1}, {-1.439587452512069, -1.1547005607042875`}}, + PlotRange->{{0, 1}, {-1.439587452512069, -1.1547005606907672`}}, PlotRangeClipping->True, PlotRangePadding->{{ Scaled[0.02], @@ -240381,931 +282521,7130 @@ BPrzMiKvbSsbJBrOCxuLESg89+vV0zvYYJVfdaN1MYFWJ5pqMlTYkKvAzMpZ Ticks->{Automatic, Automatic}]], "Output", CellChangeTimes->{ 3.896011033982813*^9, 3.8960111097370358`*^9, 3.89601115461281*^9, { - 3.8960112924066687`*^9, 3.896011420633047*^9}}, - CellLabel->"Out[80]=",ExpressionUUID->"cfe49abd-f068-4ff8-8741-c608c0bf8916"] + 3.8960112924066687`*^9, 3.896011420633047*^9}, 3.9017872615939627`*^9, + 3.9018527352011557`*^9}, + CellLabel-> + "Out[312]=",ExpressionUUID->"2652dafa-51b0-43ed-82da-d4051eef5bbc"] }, Open ]], -Cell[CellGroupData[{ +Cell[CellGroupData[{ + +Cell[BoxData[ + RowBox[{"rangePlots", "=", + RowBox[{ + RowBox[{"(", + RowBox[{"s", "\[Function]", + RowBox[{"Show", "[", "\[IndentingNewLine]", + RowBox[{ + RowBox[{"Plot", "[", + RowBox[{ + RowBox[{"Evaluate", "[", + RowBox[{ + RowBox[{"Append", "[", + RowBox[{ + RowBox[{"E1RSBp", "[", "f", "]"}], ",", + RowBox[{"Emax", "[", "f", "]"}]}], "]"}], "/.", + RowBox[{"f", "->", + RowBox[{"Function", "[", + RowBox[{"q", ",", + RowBox[{ + FractionBox["1", "2"], + RowBox[{"(", + RowBox[{ + RowBox[{"\[Lambda]", " ", + SuperscriptBox["q", "3"]}], "+", + RowBox[{ + RowBox[{"(", + RowBox[{"1", "-", "\[Lambda]"}], ")"}], + SuperscriptBox["q", "s"]}]}], ")"}]}]}], "]"}]}]}], "]"}], + ",", + RowBox[{"{", + RowBox[{"\[Lambda]", ",", "0", ",", "1"}], "}"}], ",", + RowBox[{"PlotStyle", "->", + RowBox[{"{", + RowBox[{"Red", ",", "Red", ",", "Black"}], "}"}]}], ",", + RowBox[{"Filling", "->", + RowBox[{"{", + RowBox[{"1", "->", + RowBox[{"{", "2", "}"}]}], "}"}]}], ",", + RowBox[{"PlotRange", "->", + RowBox[{"{", + RowBox[{ + RowBox[{"{", + RowBox[{"0", ",", "1"}], "}"}], ",", + RowBox[{"{", + RowBox[{ + RowBox[{"-", "1.75"}], ",", "1.75"}], "}"}]}], "}"}]}], ",", + RowBox[{"Frame", "->", "True"}], ",", + RowBox[{"FrameStyle", "->", "Black"}], ",", + RowBox[{"LabelStyle", "->", + RowBox[{"Directive", "[", + RowBox[{ + RowBox[{"If", "[", + RowBox[{ + RowBox[{"s", "==", "5"}], ",", "Black", ",", + RowBox[{"Opacity", "[", "0", "]"}]}], "]"}], + "\[IndentingNewLine]", ",", + RowBox[{"FontFamily", "->", "\"\\""}], ",", + RowBox[{"FontSize", "->", "10"}]}], "]"}]}], ",", + RowBox[{"FrameTicksStyle", "->", + RowBox[{"{", + RowBox[{ + RowBox[{"{", + RowBox[{ + RowBox[{"{", "}"}], ",", + RowBox[{"{", "}"}]}], "}"}], ",", + RowBox[{"{", + RowBox[{ + RowBox[{"FontOpacity", "->", "0"}], ",", + RowBox[{"{", "}"}]}], "}"}]}], "}"}]}], ",", + RowBox[{"FrameLabel", "->", + RowBox[{"{", + RowBox[{ + RowBox[{"Style", "[", + RowBox[{"\"\<\[Lambda]\>\"", ",", + RowBox[{"Opacity", "[", "0", "]"}]}], "]"}], ",", + "\"\<\!\(\*StyleBox[\"E\",FontSlant->\"Italic\"]\)\>\""}], + "}"}]}]}], "]"}], ",", "\[IndentingNewLine]", + RowBox[{"ImageSize", "->", + RowBox[{"{", + RowBox[{"Automatic", ",", "110"}], "}"}]}], ",", + RowBox[{"ImagePadding", "->", + RowBox[{"{", + RowBox[{ + RowBox[{"{", + RowBox[{"45", ",", "10"}], "}"}], ",", + RowBox[{"{", + RowBox[{"Automatic", ",", "3"}], "}"}]}], "}"}]}], ",", + RowBox[{"Epilog", "->", + RowBox[{"Text", "[", + RowBox[{ + RowBox[{"Style", "[", + RowBox[{ + RowBox[{ + "\"\<\!\(\*StyleBox[\"s\",FontSlant->\"Italic\"]\) = \>\"", "<>", + + RowBox[{"ToString", "[", "s", "]"}]}], ",", + RowBox[{"Directive", "[", + RowBox[{"Black", ",", + RowBox[{"FontFamily", "->", "\"\\""}], ",", + RowBox[{"FontSize", "->", "10"}]}], "]"}]}], "]"}], ",", + RowBox[{"{", + RowBox[{"0.8", ",", "1"}], "}"}]}], "]"}]}]}], + "\[IndentingNewLine]", "]"}]}], ")"}], "/@", + RowBox[{"{", + RowBox[{"5", ",", "8", ",", "11", ",", "14"}], "}"}]}]}]], "Input", + CellChangeTimes->{{3.89538436139305*^9, 3.895384372140889*^9}, { + 3.895386017946352*^9, 3.89538601808422*^9}, {3.895397127394725*^9, + 3.8953971343132668`*^9}, {3.895397188170529*^9, 3.895397196502513*^9}, { + 3.895397259778947*^9, 3.895397277374106*^9}, {3.8953973525146923`*^9, + 3.895397352914198*^9}, {3.895397568498332*^9, 3.895397661133872*^9}, { + 3.895397707375415*^9, 3.8953977103143053`*^9}, {3.8953981962150593`*^9, + 3.895398213247607*^9}, {3.895398322344166*^9, 3.8953983263104267`*^9}, { + 3.895398678452354*^9, 3.895398680955134*^9}, {3.8954722126194677`*^9, + 3.8954722937157173`*^9}, {3.895472335855172*^9, 3.895472335983447*^9}, { + 3.895477203079076*^9, 3.89547727627043*^9}, {3.895485848477004*^9, + 3.8954860226534653`*^9}, {3.895486068549769*^9, 3.89548609256168*^9}, + 3.89548714293391*^9, 3.895487177821829*^9, {3.895568045967146*^9, + 3.89556808332795*^9}, {3.895568159913108*^9, 3.895568161065357*^9}, { + 3.895626099419903*^9, 3.895626112707643*^9}, {3.8956261485901947`*^9, + 3.895626148912745*^9}, 3.89562828041553*^9, {3.895639099729924*^9, + 3.8956391963983393`*^9}, {3.895639773811769*^9, 3.8956398123843527`*^9}, { + 3.8956398676278276`*^9, 3.895640060689803*^9}, {3.895640256882248*^9, + 3.895640307984001*^9}, {3.895640345415985*^9, 3.8956403697907887`*^9}, { + 3.895640703633913*^9, 3.895640704710685*^9}, {3.895640951490357*^9, + 3.895640989258892*^9}, {3.895641028399885*^9, 3.895641029075808*^9}, { + 3.895641088984995*^9, 3.895641166892764*^9}, {3.895641214722554*^9, + 3.895641218256051*^9}, {3.895641318849613*^9, 3.895641318908252*^9}, { + 3.895641364520598*^9, 3.895641364526672*^9}, {3.8956446658912163`*^9, + 3.895644666031002*^9}, {3.895644732779307*^9, 3.895644732864246*^9}, { + 3.89564528549043*^9, 3.895645333692643*^9}, {3.896010919527211*^9, + 3.896010927688459*^9}, {3.896010973160123*^9, 3.89601101555897*^9}, { + 3.896011214476692*^9, 3.8960112151235943`*^9}, {3.8960114365850573`*^9, + 3.896011438119809*^9}}, + CellLabel->"In[87]:=",ExpressionUUID->"722bcadf-ab31-4089-a6d8-a100d00b9871"], + +Cell[BoxData[ + RowBox[{"{", + RowBox[{ + GraphicsBox[ + InterpretationBox[{ + TagBox[{GraphicsComplexBox[CompressedData[" +1:eJx1enk4lV/0L5pImVKihEiTJInyjSWUTCkhUhINVDKGSCiKiESSTGUeikQy +brOSIfN0jAeZjzMQFe52n9857nPvc/86z3rW+7577bX3+qzhc0TMrHWvszAx +MUmvYmJa/tW4PtJQPKqvGHn96xTT5AjyZP2825c/BDh0pwxTZKaQodG79Gly +HPwMOWFutW4asS95XlLbkQ7c1VQTYvg02nVuaqTMLwt89QgffFjJyKnuGITR +cuGKBuFh7w0y4svi7mFmRtC0ca1K+Ucy+jKgUlN/vBTqU32ZE0hkVHorcpvR +RDkUVW9+JrCLgmbEFTg/WFWBr7o0ROpQEMt8Kte2XdVgy/ls/xYnCpJdMv1y +/mMNyDZQh1NDKajGS6aucl89VJLDJsw+UZDQi/H55Kmf4OLjJiZbTUHjv3t7 +WqQa4c/Q/J/RbgqKG8uv5ghoApIzu9gQiYI4BBNJg4PNMFka99l+kYL8LbNv +xIi1QpVqSJEbGxXNKqUOWri3QXpBrBeRh4ocpMuztpS1wzp/UuoVfirq/Vju +1yDSCf2np4aYd1DR31vNb/e6dIGlx18nSWEq2n9i3eKNfAIsLtyW24Rltfci +Nz/x9cBFg+3wTJCKnASv7Oy/1AsWB8aNc7ZSkcSEPnubdh/80PGhXOamok2c +06zlf/rg2b8PBxvWUNFq9DZdNKIfLDUjSX6zFHRbJr+DTXMAjsjwuOj3UFCq +ullM0ewATGdX3g7/gv05LsA1HEqE+DW2NzuPUFDMZp0nz/i9wMdc7cf3xgkU +1u2ikr0YBd88q8u4lUhIOo177XbWFDi4WuogyWAa6Se9/mG/MRPSg6pXrWuZ +RnWcvLySSjmQ9GlGV1eejNRK4jlqXQrgH1dbmLM/GV01Sbic1FMMx6LObcv4 +SUanybV6dr2NYOLqrqv7i4I6Hh/qvn+sGfhmjmx89puCtFUkU0u9WsCET3SL +6moqOqGfEb+6sRUCyIe+PeagouzcnBoQaYdda2ODb2yhohQbVWEHuw747jpp +uLSNiib9a4IXajvBpqZd11wI+6t1UuubAAFehwm8Mcf+zrUNkfa37IZ93n1F +Iljfe6VYVT2vB6YpdXM2+P0RF+8ItX+9UBsrHXJtMxXFLOx8ph3XB+G/o78L +bqCiDb9OEuw1+2FmJkSuGd+Pr/dTy0Op/fD+neeRvHEKYkccCoMxA2DAKcH7 +uJaCfoYrGjaeIoLB5r9sEa8oqPvgU5Xn/A5gEl17fKRsHG2ghh4R8n8DUYSI +MrXyKVTxqOPiWrdEoB2+NtElNY0mz08UaNZlANtve+f+r9PIRcpzcW4oG8Zl +s9l37SSj3ipSQqZcPhTpc5kJupCRbMSFhJCDxfD7sMdRoWIyCqe18ov5NMKn +++w+uQMUpJgze76c2ARPhX551VMpSDLjVm2TTAs4rB3mtGamohu90k90XVuB +rK7WvRnv18Dtk6HezzZwa9/i9IWXigLPed2qEOiAXPYg5TIBKhqO/Bb4y6kT +HP8Zmlbg+/5U+CrNuboLBBrOvzLC/rbr0A67z9MNlo43Wj8unwczWX/BAvtb +MJk9aDsVSWnZXQlJ6wXz0RZbUT78PYcCDZ77fRApp83ejc+b6284ZZtoP/Rm +XhBxZqEikwhR+e6qfsjMrY2zIFNQ/vw19t/3BmAkaWbng1a8P7uzD77tIMLh +e23pJ5Mo6Fxw560z4gHArJcSQQudRDzX0pPij74HsdfKgRIfSCiqRf3ToeA0 +2He4Yq24yzS600r2+dTcAnrszjbUtVS0/ceBii972uCptqToNy4q4p0tVNpv +1w6hUTxHaNje8sDVrTurO0Dxj8R+Xhy/NNefrzeJdcHzmz9zuvB+o/1c4vlu +EyDoTbKSFvYH0/qumqjibsipLpS8jf2VWhR4+BV7L2wi/ujag/35U6/mzsKu +PrB0W9vkvImKLj7x/e5T3wcWUiffb8P4w9o0XfHDvh/KcsQHuv9QEM+Fwzpn +hQYgL2XLosUQBRl7fb3b8GMAZNNr+HnKKMisTEKGcJcIeX9VBarvU9CFa78L +X/Jfg1mn/GfJ82OociFOsrkxFGpvdDabuU8hjrsK3q5yCcBMMr36Zvs0yk0O +zc6RzIBI7zOLwinT6Gdxjn3P0WzgOcO39ulmMtr/g9d+V2geJD961/zWhoyU +HU1fbc5A8Kq6gOCXsywb/3twqRGe+W74ZNhHQeSzRoGjX5sgZWjEtA+fX9Sw +jwlhQwsoVQfJzS5R0H0xB8d5nVZ4MbFfqH49FYlf+RjVk9gGhDdpJg3YHyzK +cZxTU+2wef797S3YX2YJlc8JWp0QcdhGzwL7s6RC4PS5912g9N1Q/DT295nn ++zSnhwkwVz3GM4zP48M5X5MLSj1wY/spGx58Xs1ZI8V5Xr1g9d98EgWfp68U +W6qDeR+0sPSy7sLnzeNdsUDi6IfWG8/DDmA8+vSh14Epsx/S+B/arKdR0Ca+ +F3YepgPwoEHntU8nBdEuGwWUrSfC5R1fndvSKci5OcR5QsgXuLPaZjn+m0TW +lrK7mAgxYNRqM3LUmYRGij90JWingjE/warXYhoRNnAJ5KW1wExz0N0YjO+S +XNbZlxdaYUbwt2AqJxUNtuU9yVZvh4lhp4+HsL1yHmKumlEdIEi+mRiA48nG +q+4V63wnKL/ecDQO77dDSmaepEyA7lPKgpewPwiFOe6bX3WDiOHNzz+wv05y +7jlOGuiB7oDfSw3Ynzt9n0Zy8fTBVqZeWwcc7wsnWveO5/ZB7V4D83h8Husv +b2uIvtIPEYYmA8R/FHTdguRrzzYAN/SvZSaOUNDnd8SWi18GgFjJ/c3jGwXx +px851XaRCNcne6QcfSko4APPnnPiD8HytJPsRqMJpDvic/zWyQiY42buO7ma +hLZfvVYRcaoDrvYmH7uB8fjWq+ogyvtO0HL2Xc+P96PtmhgTQ+kC9VCJIjO8 +n9BdtkMsit1Alqu4Y4X19zMMtWODeiDfuIm0BvvDnHAszspvAAK37j20p5GC +nj5Ru1UrTQTnddxfE6MpaPPeF5KGoUHAKR3n7TE6iXSjB6X+zHTAqR3nRwPx +/XC2b3yzQ6cLLtin1bHh9a4PF5+DYOzPyv1zh7EsZrDIv2eiG74Wd/AwYX+u +uvl83en+ATgY6WIiWkhBaW2iO/sfEcH8xTYWWTMK0qxty4zk1wPvgtNP910f +Q2KrJFIlHV9BWMV/HxovTqHP5tYCX0LiwdoM9YnwTCPF5DbzrsJ0qF1c1Hr5 +fhr9YT0veW4gCw7PaEjzcpJRg9buDpOTeXCc85Z4xW0ykticm+tniODOZ78s +yc9ktCUn0ir5VCOYOvbyivZSUHXk+gMPYptAWuOn2uA0BbUyb03sm2sGcfX7 +ErI4/liqQqa05FvhomxI+W583hw/00pig9uA7UDiM2Mcf//Jy5ixdLSDr5yL +egGud2JSKjZckOsExchwdVm8f5Ow37YKAV0w4JplcBz75/ivsLj9DQR4PzI5 +vIDP58/9FrPVEj2wh+W2jw72r/hoLLnWphe6G2J1DHC9czHgYFe8YR9whIcZ +li3HX/XFVZ2r+2G8rSiYGcfDxS8KPDyJ/aDSIbJFZ4aCUPwu8Qr9Aaj7EPfM +kYDzbdNlvhImItSvsSHofKagDTO27vkRT+CU1O+Ir6yTaMfEffMPB2Kgp2xK +/eUlEnr+pdemPyUFUq5qaSxcmUa8e6LNisJagG/nnbtUHO9fMwMPfPrVCjcL +9Yq24virOZ2b7HKkHXRE5GRqcf1h45xVe8WnA0IR4dhpfN8++tTyPiZ2wlgm +jeyF9+u4iluUIEkAFaaYYVPsj2o+8Y6z7t0wz/to7Rz210s5B6WIuh7Qpomi +nfi+a4oOHRFg7YNmquTUJI6/uuh/RtvT+8DPUjrHnJ2K2N9ntPrq90PNNa2M +ngVcr9pq7/Ze7Id6Y04F2TEKuiJ13zc6dQAaTKdsOH5gPBKTr28+S4QLe4kH +CIEU9GWq5ghJ6D7EvIzdPcAzgdInOXPLR8LB9Z7jmbbBKWRgROP7JNUBOrYv +5zdje5LefOeyet4JjR5xV6exvWPaXtX+PV1glflH+grej5d7r6Linm4IlY+2 +CMT7rWyPO3fWrQfkRVq+aGF/cN6uv5DpMQCOB0KSjJtxPvqnSa3eQwTB+LVS +gnHY3tzFT0jvBUQtDOWdKJlEfq8dHnP0dYC5b5ryeXw/vjg1bH1zrAtUfaOm +qfj7LLmRrvvdCbAtKX7fCbw+T8Yde/PWbliz8cPM8v1Ln9mZ7986AM2cjw9J +FON4196T2uNMhMGh3TGvrCmIdeDRewPxu0AePOr12GIciVEVdlz/0gXVHnuG +z+HvmR4uIGf9I0Ba8tTXH3g9/hG9NZW8+D5RnVL3p1GQtEf9lgCOLlhgqxUr +wfrjJhfqV10ggIhI7n/6+H3FY4cKO64RQdV8ukj2EQXJyEo8Nwr1BMUdKswB +YRMoI6naMWstAew2jxy5hp9P41Lprz9OBKXX4/1t4RQkb90kEHetCxoWjc8J +Yv23TYO7zycSINkjIVEMyz+vCr0h+hOhk2L5easWBR2ODov4zK8K3dwqglfm +R1Gjt/VoV1UI7LX9sIGmNoWemLBeP68SD6L3Lt6t3zCNxJolTLnvpsPkDdvJ +oqhpxPUhxm99Thau50+8aGAno7jnUv5/t+dBW4yprJ4lGb2/NvSjUgJBTXTi +nr8ZZOTRppiA/msE3QOr557g/kJOlehaEdYEBW/8+K5jPLELvPJjYKoZDj6g +KbTg+lhta3yK48FWyHstydeE65dHrBLnn/i2QeGepVohjCeGsg/8NtS1w7tZ +GY0AjCfFEie1QiQ6oU+aaLYdn2ca8/CTw15d8Fj6YPIRvH8Z3rPn1Csxnng7 +9bJiWTjg72CnSA9cP5l10ArflzOPmZ+13eiF3oC0PU8xnqgUKtsrnu+DYtkr +27hx//Tyzq619Ut9wPldZMIS40l63kRf+Lt+sDh8yHkK48lmq2n3m2cHwMV1 +tOUm7g+LOPq60N8B6C3ZG/gni4IMvPtYRI294X4Jn6bi1AQauyi3hvVDNHAW +o913z5CQ6Sfn/IdGKSAy29/iajyNMrKHnxQHtEB8qsPGCIwn/1bFjHYSWkGS +dCy0Dte3Sp5H/7u8vx2OG9fsCcd48tzh36ylWweM/OrmE8PxU7deW6+4vRPq +Cx5ZOeD7Vn5nSa5RjACJxPhHy/mvVLXb+65DN3BSGo5sxPqvmuGlduU98H7d +BQkNHL+m05tYhFb1QeF127d7cT+TU8dJyUruA6sEHbUSjCf+qq4dD8/2g7tr +hMBFfF7m9/7NbJzH+KJ/y2se40n2bxXiiYQBYOEIrdCsoaCBKwXBTZpEyPCV +s6t7SUEanCnHiyIcoferT3TaxDgysTn/21Y+HHgCPDdlN08hO/3jJ/J3dYD7 +9qWJCVxfVG1TP5vyqBP+XmWX6MLnezTHrvdRcxf4ONxIWK5PXNNCx40Fu0FY +ks82Gu9nYqK6Sdy+B2TVFQ5aY39clw7/pOc6AHo0S+m7LRR0Vq4u57soEYoD +KYbyCTi+V935NXwzEDiThIdN0yZRUnBB2taWDujYx7VGBt+Plvmzhq2SXRB3 ++YnQCP7+2Z3+L0TuEWDvT8H5U3h9tm5RYc8f3aDRI3HzHLZvTeEk78GGAUiW +0z8bW0JBDj4p1t32RJhTl7r324GCpEQVMmhClrAlr8ZJVmYc2V2SOGyc1gVu +zWqntfH3LpjaRzWSCZB9plm2A6+3VrTtezknEZb83M1ZP+L+hEXH4MeqLqCk +RM5nYv2zqpOD81oEyLgSRjLE70s4fPZsv0KEKxJSnTPeFPSwMpyrRM8DsuXO +6fren0CL3Ll+Kf+6oJjoW7Dc34ZrVsTXyRFhXOIpr1YkBRmRdS/2G3XBg2SX +ruV5wxa3xuPqkQRY+lQeLIHlooh3lweeEmEbu4nYHiMK+qdh/vqquAnoOC3m +n/kyhrgOsl1WC+sC5XYHD2X8/J7wnRzDRwngEdlfboLltWM561v1iaDmmPe+ +2J+CIgZfZYeOdIENjSx/FeuzdNJvHPElQMN5rhb5Zft4EoZ73YggPdDkZGdJ +QTZnr2lcCrWFdTG7BTaHjaNWXx026+IukNLrW1rG0ymaRd7GqwS4FerMvIzP +Zxc/znVa4n460+f8gYc4nwDhahEXAfjOiO1bxtOOU7x3VV8QYTHJQcj9CAWt +VhGw6eWXgW/+IS8KUkfRPrUgn0ybEEg29B6/pDiFSF16698LxMNMUOpV4fXT +KMzygonEqXQgtvFJNkZMIwF3Nfmb77LgxmPzkQPryYhDMnvoO2seXBTeqSdg +QUaX/P/qDW5B8NXziUhfOhl9uRlYqiHbCGzsQa+OYny8+1pH9FZwEwRtOC67 +C+OjksHjbuJoM5hWaPrH4XgbU/rgw76vFeTztT2SMD4WxI2/dfJqgxnx8Txm +jI83ZIRjgr61w8E6GocTxsefk6snpHd3wsc5KTlOfD/1ZC8HSbp3wbpvbCLS +eP8ZKam724sJ0FTkLsSxjJdhHOKV23ugsf1StSu+/2ZnpQwIV3thl+WcQTTG +x696WujZ2T7gTtKIk8P42Fj47Kn6Qh/IrNPLiMT42Hlc9XRvVD8cq/xqozBL +QcU/W9K+ag+A/P3z23oxPspIiCjCPO6/Ldnrf2RTkGvt63KDPC9gm0vNj+ub +QCe2G8X16EdDclLLNjU1EvouWWFaK5UCZ15ITsgaTaOHD9a/KfFtgRLlCDEb +jI9REklV1m2tcMV+TiUZ46OL4NzjM+LtILLbztoD42OEXQw7yakDWFzX1HBj +POj5sYFm0tQJ4io0ym0cP3feOSrXChFg55/AHcvxoNh19NLC3W5gSRYI5MP6 +Gv0Pq0xQD0gdjUm4jPFxzGC/xTumPrh67pykGsZHiRv8isyJfXDlJFsKCeNj +zau+i/Pa/dDP5qH7Gp9XwO/zl3Vn+yFjrk/y4jgFmRrv5QqOHYAcOaF9Ixgf +jdwWZnTViRDVcvvlsRAKConeWrzL+B6wyp24Hts+jrIP3Qs9TXwDf5ykFp/W +TCGP8336SsIdUPDAe387xsdWAuv0abdOYL06Y/gTn2/f+OioW30XeHPdljTG ++5lVppSM8eF6S3XH0US8H6bf3bw8d3uAeXpUygP7gyvUvSfeeQA2WoXkz2B8 +rNLjFFAXwfVC7dF9yokUJKEpmixQFQA/b23JLXg3ib4EmZZE1neAtlfSfjF8 +PwbzuOYc9naBO+vDxX78/TmDVW+32xBAWqnog/pyvWfvPstZ2Q288vtNTbB9 +GcJNJzzqBoBmWJtrXEpB4/HjDZdscf3z6oG5jRMFlasI5JRF3AAPh3po5xtH +AkGxCgaJOL7dflZp4O81ztY5XJ0gwKqzvLm9eD03pa0SqhuJcDxl/ysyxkfT +Mo5Zy8VOyA+ISU3Feul/BVM0NQLkahx6fRG//z6IRrxwmQi1g0PKhU8p6KRg +XNzoTXeg9Pz+98ByAiWckgqN/90F0Qtz2sv3gctyLeuZI0TYUTbX8iCKgiwy +ef6463VB/nfSr+V4KfqkrqYaRoCxunEDKSwfyRtAV72JENCZffrfZQoS8xeM +XxIygrPvUhSpgWMox9zjpnJwFxTkcjwA/Hx93Zut/YcJwHPFZXi5PnZbN39H +/zwRPreKDZ4PoCAV/o3FQcQuqB32U1vuB4y9xG2lvAjws6CES3HZPqlfp6+4 +4vp4z4RDzB0KyutrrC3TswE9TZf3DR7jyK2octOt/C64I+e3Sg8//2pvXznr +JQI4/bx58Lzwcn6dvnjxJhFWF1tIHvegIDaH83dy2QnwLjlNaRkfjVR7ZK8F +EmFKcY2dixIFDW4X83QSPwN3f9j92iUzhgSP+hxo20uANU8uVCzjd9Cjv81P +O7rghIrywmUsL96y3GjiSIR5zW9LF+0o6Mfu7D2mn7pgb1h+og7WE1/WdSye +I8BdUTvxC8v5r/uEZzozAbTWdbEtr6/mbvrX7BkRhht0NO+cx/jn1Vx+NfQq +/B1pbNDpGEMe11NXjSsQwCb2p+fyerT0HalvJ3H937Nn43K9IzpzzcvUgwgR +62/eWbqO/bP++oWyzTgfuWpQls+Xzl+EsHUI1I+OAJ2/2Cssz3K1rx/o/MUB +l5rYMbwOnb/Yx+f+Mtq8Fej8Ba+sI+mBTAPQ+Ys/ZMueQVoV0PmLGuv/tJyd +C4HOX7iKP5uvL4kDOn+hTO28IPo4isFfGKl8IAt15zD4C3W2ZNFOx3IGf+FQ +LLqlSLqGwV/kB1blD39vYPAXYp1Ks+vTWxj8RXOI4Y2zRzsY/IUKR7RLAYXA +4C+atF4fvKnUx+AvbE1QQg2hn8FfLMgf7VwMIDL4i2iFHR9H+YYY/EXel+5v +wlnDDP4iPf2JNe9/Iwz+4jllj+2jt6MM/iLBKkxAd8M4g78w1Yv3tTecYPAX +9zNqincGTzL4C9Ejzj/tuqcY/EWUgpRB7uppBn9x+pnlPo/4aQZ/4SZk6pem +Tv7/8hdKddc2CYkOAp2/uNNMs3tZ2gt0/qL523/atsc6gD6fv7N204XCmWnG +fP5fSq2W1TsyYz5fkPUtz/79ENDn8+49xFe/WvuAPp+v3qlYPJaFcel/5s88 +blvPG9dOM+bPoXsrVOPtyYz5c0SXf/TjqQGgz2efvz8gt7iDzJjP8pCEBtva +yIz57BaNp+4TccNAn8++3LQmLdewH+jzWUPl2PoQpy6gzx+7rQ5zXM2dZswf +ty08ltQ1ITPmjy+LZQ+/e4Rx6H/mczvCNubL43qGPp/zsyNKT+eRGfM5f+bL +f3hbBoE+L7uuyClK9CUz5lk7eraKPPlHZsyz1lXtjfDR/wX0eZZDVM3Gkrf9 +QJ9npbqXrz3Z2QX0eU3W8cVeq/Rpxrzmw2RT1MR5MmNe02Ozs2FTA657/2ee +QR4W1qEtTTPmGcQa++7eD2TGPEPy6bO+S3JDQJ8v3NCkDb57SGb0/9bir6Ij +R8iM/t8/uPDeDP8w0Pv1blJCTMM3MqO//pg2RxPlX+mvv875hpjV/wJ6f50o +vtWuq7Af6P21jLGm4xzGWXr/qM3GbO6YMs3oH3PerDvy7QyZ0T9GRW8wiJgn +Ar2/ih86ztL2Z5rRX4nq62u8SiQz+itN8eTumNtDQO93Tuhvzdl2n8zoRyp3 +hR6h9ZIZ/UikyTDruUvDQO8fUPiW4NISMqPez9ygoN3CulLvL3zj7tSfHQZ6 +fX7L7+bJtRQyo572Fibenjy0Uk+rh4RZbBAbAXo9bSZ/6yFXfT/Q6+lYE7Wo +Udyn0uvFTYVZQn8Tpxn1osYrk3PHtMiMerHh7SyLAscg0OupL4ep+epz04x6 +av0ZQXm3ODKjnprR9dT79GQI6PVN69fRcBNHMqP+sI5ak9XaRWbUH1YHjb2/ +uA8DvV4YV/Oy0C0iM/K7EnN8uSnLSn6/rTUV+VX0F9DzcQnJvebIJJmRPye8 ++1mviq/kT4uHmx+HPP8F9PyW8DV29SEuCiMfeRezpe5SoDH49HAv4h0dJxqD +Tz/RfFp7wYfG4NOv6yskKr2kMfh0O17DvPzXNAafnuG0xWr1WxqDT6cZkzmV +ImgMPt1cqmYVG5bp+Wi2JTHGL5zGyEfmwibRh8JojHzE/obbuyCExshHXoNl +J0te0Bj5KEZY/5mcP42Rj5jjYuTin9AY+chva/fIgAeNkY9Knl94v8mFxshH +Q7lzbzvtaIx8lBR2RCLlNo2Rj2ZAOvG6OY2RjzqVf46dMKYx8tFPoaGQxXM0 +Rj7y2n3ewuk0jZGPos8lPTkMNEY+Uj9cqlMiQ2PkI6JK3OK7fTRGProZ43fR +RJjGyEebJT4pd/HSGPlIg3DhaBkbjZGPjBusso0XqIx8tN7iz5cf01Q0kT5k +M/SSCHEPRiTXD1FR9nm1VSGqg8AycrrmVBsVuZ/2js4aHoTFfWZixtVUJNKt +0R7rPQTkx8ORRoVUJC/nFcIvPQwZ7zTCOz5S0aUd9fPMTcOAQpx2UWOoaKb6 ++YCN5y84lNo87xhERWGrTRx0cdz9HpJsevOIijb1ZL9nKR8BYQupY+/tqWiN +m6feMdtRECRJqpHMcH+QbJI+wDMGRm/nlwZ0qfh+OBZZfxqDo8rzUh+Vqahw +vw8r991x+LaX7UGBJBVxa9hJPOKYAJZt029EcD9AOPCz6X7BBPwNfJdwAven +GVEgNmgyCbs+7tKvnqGgy+my3IKLk2D0g6cgqJ+CPLzfeRJSpuBQtOmtrO8U +9GFE8C2HFgkqBULzWDIo6LUQ+8zSEgmCtkWVZd5fyd+rJa2tfljQGP8/6FTm +3vnWk8b4/8Ftq40mMgE0xv8PwrycNxq+ojH+f3B0T5JTyhsa4/8HdvE77Jpw +fND/f+Ba3lyli+OhIGHaPiSkDMr0hvdZYz3htNXmVQcq4er4m+0u+P1/gTTO +a9+/gagZ6UpwKA1tf/DEPMfyB5wo9+tuxvG4JedKwL2/U6BWqCXqVEhBO714 +T69LIEG2L4umTNQKv1/O/1NJ/jKNwe/PP0xvn3xAY/D7szvXlpf50Rj8PiUl +km8omMbg91Gl2H9VOD7p/P68YPJfZ2wvnd/nXsgJ18f7yVUVEM4cKYVCO6Hs +CqyvN/Le+tG/AsiGwn/ZcLw7d4UF+mz7BktlUnWWGD8C5fnlLauqIXvmgV4d +Xk8ziol1b9MU3Jpw+fO5DPdDsm/I6++T4IRG5f24xBX+/NN/fh5kGxqDP3/+ +aLVHsDeNwZ9r7FYau4jxovCfcudbrc9gq9OpGoX9t5OUsNc8/CtwHQ6OtMD2 +pOcZO/4qLIRrukxGm7H9/sIzvAXPS8D/bHX3USwj1qoqR6VyuNuednQt3s+I +nD6PYW0lUKmjngTsj4H6It5SURLYVN65P/WFgggNgVGrmkiwhz1qb/zLFX57 +9765hVt6NAa/vdqA0nViGY/+p3468OezyJ1nNAa/7ZF+wZwf+4POb7sXcuaf +wuvR+e1+s8/cytgeOr8dYPNjVhvb+3XTwdnil6XwyIPQMIr1p824wx8fr4Ab +ERKRWni/ssy368PLq8D0jsrLeuz/b2/Mdik7VgPZ2bHzFMbbYe6GchY0BZZu +4gt5lRT0LNC40t+MBHl5vxImU1f441Drmnu7rWgM/rjE9/v+1Mc0Bn/cNLHj +5NlAGvLVnWxc9yETQPOYGxP2v7N7d9TpyRxQqRRc2ojt+VvooXxxeyF00uJM +f2N7HZH2MWnZEpgzffn+NN5PUVtXpPdYGVwzU6Ucx3ozpf7gA26VQAg8tySB +4+OBSmDDW04SSPvPuCvkUdDSPl177wISZCqulWwIW+F3WRPNAt9jPKfzuz1v +PA+auOP3X9+TCipNAjWb0IU1z2lIZPFEea/VJzh0kMs2DvtDLEbDd1PwF+AK +gjQFvF5weXUndOSD9QUD02Rsj9bCoueOp8Ww8WD17gvY3rx7azRiFXE8m9d+ +j8D6k92t230DSXBmk8v3rbEr/K54k4W/lgPOZyY2WW5quXCPuzkxD/vDPEc2 +xeFKERzOHvc+gL/3zjNi+uRQCRzht4sUXf6+c+13z1EScJ9rX016tsLf+rRy +P+zXpjH4W24RZ6VGZxqDv23kGS3I8aUx+NsdifmfPmL8oPO3zBsVXrLg+0Xn +b/tPHRQQw/bT+VudhZA6Nby+nOuxq99ulkLiqUW2P1jvfaPt4l3eClDq/R58 +B9u/hVePJPqmClx7MrmY8feuq697+1G7GhTu/WR/hP35WfNYLClrCtrc3507 +9o2CthcUZGhfIIFQYRjT4ocVfvRpRXMYB87HdH6UdkftVtIjGoMfLVO761+O +8dfaTble4XImSN4ze/8B429slbNRfmgOZFiym3Tj83oHwUnBPwpg/9jovmFs +7wSHi6bV+hLwtL13+wzejwIMsz5HZXCOTz7GAOujVRP1tupXwuBW4p/z+H11 +t9/pPmtIMJjL1UjIp6AXFz5fPvWJBApRRpOv3q7wl2nUG2/emdIY/OVs1tER +iYc0JPw8seKHUBJsp32yv4nrlXV7Xxdv3vEJEjTdTy7Hm87IkMqT41/g/KXa +j6vwesdOdSvd98kHdN32bxC2p0Rrf4iRcTGES9mJLN8v+W7O7JRNZXCdEtT2 +Cetb2Y6u0/AiwT1Tp60W8Sv85fHWM6qpuL75ncBuPT39FZh/iWe8xOfDdkyd +6yNHETRmnxHfib+3peEbi2duCbjKDYxJYlkzXjJLuYcE+8riU3kCV/hJ0hrL +VSpG+LzVWTZODOfBbFT4RRO8ftr446/1q4ohVvpswHI+O5om5pdbWAp2wRuu +tGO9/vG7BGGrQpD51LN1HdYz24js/n21BAj7WmxPYPnu4rRPeRUJ7FmiI7te +rfCTX4901enfwPv70GhNzCyGxbiRzOX8UnhETPW/jCJYsmh7IIdlCWmbnvXc +pSAwmjW/Bct7tO70FtNIUPzeLDTDe6VfulUXbb1NncbgI9d0MhlvwvFB75ca +EsM0jHF80PnIzJN8/M44Puh8pEubqFsvxks6Hzm8tsqFF++Pzkfyb727UxWv +P/uxaIuWbimEDY99ZMZynIV8vjlTBYwzBcs9wP5/Enna3eJxFayaEHHkx/Eh +866j5dqxamBy9bkSje9DuIUVz3D6FNxu2KDyG8fHNd3JXR/PkeA1070i5vQV +vo9Ps72YYklj8H1Jh1xERHF80Pk+yY031rrh+Bjgsao1PJIJBuvlpR7j+Ph6 +u/KLw+0c8L8nL1OO75uDR4SxVGwBcJQoLRHwfhJkXzQ/oxXDPgPPqrPY/n92 +t4u4UsogfeP+9eZYX3RqffbGE5Wg7cK3+yZ+Xyp8v5A7Ewksr/x4lVJAQR2T +xMaEVBKoB0vcGI1Y4eNsr1VV/DOhMfg4tnVb9wW60RDlqRKXZV0iRH36qrIF +x4dLUUmR1CzOf083c23B/rjmf/gdcH8B9ePB5UPYXxueDlM3m+ZDQOmZdG9s +zwOHE4JOJ4vBH+4FGGB7/3C9uiW5WAr7v7yayMd6oRiLBykPSaCqTHvg83/w +cSdaXkQ32OLvaRrVJFd8hVvt7WNu+HwI93XLNIYLYWHp4+Q2/L2GuR6/qFic +j36Pc8pgOeS/K3tj20lgWlXUPPpihW9L7VDa+NcA90/P2It9ivJgZt/z22fx ++rXiMo87uxD8alN3WPbnXG+ykkJSKayreAH9WL/HzSmwULUQnvkJ3FnCcsFr +uQxerRL4Ezoke3K5fwoRWRQrJYG54Du9y69X+LY+J120+joNBbWMzDFFFkOq +mXXE8v5fOB743fa0CHiY9n6UxvKw0ZObe/6WQKuDgKMglm0HIvRESCSwHpPI +e+yz0m8fKWfyvof7mZwK/8SzXggm5EQ2a+LnD/0yL9URLgHiZvWsZXntrs3s +x22LQUrogt4yHsnOwWVuuSJ4/+bRqj1YZuFcVBwgksAkpeXo1ucrfNqmd3Wa +erh/IpdZHczkL4a6wcCTevj5U6fZozqcSoC/knxeAcvbnxQMV3wrBmJjy+1l +/RhNICiqvQh0e4Mo/2G5L/u/W6Z/SKBSIEvb9Gil/3+Yhg4nnaQx+DTbIzTW +TNzP0vv//sgONwkc33Q+jdDq6XoJxzedT9P9HPypFsc3nU/7s6/ScwM+Dzqf +tvfEWnFlvH7raU/yc7VS4LO+Zr4Gy59Hb982mS0H/5PO1Mf4/igOKFc9c64C +7ycaL3fh+7qtVpkKB6vBwXHz3Ad8nzc6rdO4+mEKkjo/kvRxP+IT6W3ac4YE +so3eLx+lr/BVLFNToaY4vul8VVPj7/u9uP+g81WHBZtbDXF8R1x+pHVDJBP0 +znBkW+H4LlOwut6gnwOeiaYWOTg+e4RFshcDCsBSeUx1GY+9NLa9eTNaDMLp ++5vOYfsJGyTf6EaXwZrUbFHL5fta9d91VrlKuJSWmWSD349NqjD4szAFJ23z +WLhxf7F49MHGtiQSPNwv3R0YucInvVx3zE8IxzedT4ozX5xRxPF9ac3z7Jz4 +RMicVZCcxP2FDFubguJABkhXmKct4PrW2qRTJ+JvNlhbdAe3Yn857r6tPaiZ +D++23Tdzx/YY+dT0e8sXw1WVXOHl+92ZcuGkDbUUVFNsOouxXnWcKafTlQSJ +/q9X8f0ffFLwlufXzXB88wkyua7N+AoSour69vh8Tgm0bedvLIT+euLHrfh7 +HzfcOvshrATaFb97yi7Xz09+mze1kEAk+HFEddAKX5QZs8q1UJ+GSve94L+Q +kgchV9ZpqeP1fc5obJirQJDVtFpZB7/ffv/ONvfIUmhv8Xo5hPUvBf+N+EkV +woYKJbu/WH6TWuogeqIEIv3Jv08t76c396g+IgGLaEPP1rAVvmhp6vW4/jWc +H0ftCzlfFsNJo4nJ/41vWzLPJtgXQdb8yV1SWC634paQmy4BmrpNiDCWQw8c +CTw3QQIOERmjMt+V+dHjFBdyuQ4N2U2+l7p7F4FD66OH6vh5jr9TT0w2l8CT +oWPblvuHwaMx9Ro3imHTse5Ty/EdE1b+pXdnETSt1hLehWXxi6H3efpJoFV7 +xl4gYIUPosVe+nDuIu5/2+aoxRzFwDKg4HgePy92KdRs5E4JRPXQrADL82/U +UpsLi+FP89pty/lc71X02J3yIjjx9HvpMSyvZm6Oe/GbBLeFk46s81qZZ1mF +Jth/0cT3f+cargdLxaD2ayRu2d9Rpl8MLc4Ww0Ep5sFl//AsCf4pICNwGsh4 +vny/s0ouy1ASi2HW6ebhZb3W69UCZDIJxmuyOvc9XeF7DklaPV3SpaG93M9O +HXhQDGKhNPXl/fNmDNyKFSsGzfWX/i3jkaLdoZ05TcWQoG4Zv7y/gluxB6UW +SKD3xC05yJ2CaFu0T8mmjUHI3LfBoyr/73zj/9YX/bvK0vRxDCwE+59RsX7f +YP5c6nMiXIv4dtBm6P+d5yQzlz74EkCEavMzBGMs/y+VwnhJ + "], {{{}, {}, {}, {}, {}, {}, {}, {}, + {RGBColor[1, 0, 0], Opacity[0.2], EdgeForm[None], + GraphicsGroupBox[PolygonBox[CompressedData[" +1:eJwl1Xe8l2MYB+Bz2nvvfdp7D0TamU1NFCmEChGFSnsvpb132ntREYpISpFK +tEWkYcd1f/rjOt/7uX/V+b3Pcz9vSZ17tuyRLCEhIZGribeyoh/H5QA5RfaS +SQynk/WTMgcH1H1laWqp28gU7FQPo7s6P0fURWikvipX0ZumdNLLyF71eOK7 +VLI+IafyGLfRVi8lu9TDSaKx9TW5mldoRnIq65+U03ic2ylKE/3rcg2v0pwU +VNH/Tk6nM3dQjJRU9dkpOYMnqE1xUlHNZ9/LmXThTkqQmuo++0HOoit3UZI0 +pCUd6clARjKRmRr+3mk5O/aZOpQiCzV9dkbO4SnupjRZqeWzs3IuT1OXMtyj +f0OupQ8tyBZ7qn9OzqMb9SjLvfq/y3VxtrQke+yh/nk5n2eoTznu0/9Druc1 +WpEj9lD/glzAszSgnV4qdqtHUJ77rf+UG3idh+LM9TKxTz2BnLHv1hflQp6j +Ie31UvO+eiQVeMD6L7mRN+K7WufhkLp1zIM6M5+oJ/K8uhDfqHPFGap/lIt4 +SV2U7rHfMieD+SKeVZahkbqDTMNAPohZlCUYySjrHrIAQzlq3U+WZzQVrR+U +f8s35Sb5sizGCPrF/sm8DOFw7JMsS5uYV5mFT2OmZUneUr8gC3NMnTvmT31J +Lo7vEzNinYuD6sY8rE7LHvVoKtHU+h+5mf60jTugl5X96knkiRm1/kkuoSdN +eEQvHR+qx1CZZtb/yi0MiHkgb8yx/s9yaZxHzCxVaK5/U26NvYnzJl/Mtv5l +uSyeM2aWqjHb+v/JbQyMcyF/zLb+L3I5L8bMUi1mO152bBeDYg8oELOt96t8 +h14xo1SP2Y73IzvUg+MZKRizrXdFroiZiRnkUb30fKQeS42YbetkvKseEn+G +LtbZ+Ew9mUIx29a/yZUxC3Szzs2XMSt0VGfgY/W42HN1Qb5W14w5VyfnPXVv +WTxmL+6NzMdXcTdkOTqqu8rsDOJz6z6yVMwub8eeySIM49uYBVkh3m+Jt/7P +OBjv1XiHxr7FHYj3YjxD/Hscj/NlS9wdTsZ5sCvmNu5e3DnWxF2OvYjfEzPF +xtgv9nKE8yxnMzvjHsdzc5HVbIjzib2J5+Qcy1jKEhaziIUsYD7zmMscZjOL +mcxgOtOYypTYDyYzKe4ZE5nAeFaxPuYpzp3DnGUcK1nHtrgTHOIMY9kUZ8U+ +jnKBFexmP8e4xFoOcILLbOUUV9jDtZgRTjOG/wEht/gM + "]]]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + TagBox[ + {RGBColor[1, 0, 0], AbsoluteThickness[2], Opacity[1.], + LineBox[CompressedData[" +1:eJwl1XV41mUUBuDBBozuDhkwulsFEaUbpLuVEpVQUOkOg24MLMKglA5FAQVM +FBQMUELsABPvc/nHved5z7dd1773d74tpf/IDnenSUhImOtLZGVfPpOT5FI5 +SqYwiz7Og2UeTujjZVnq6J1lEvv0mYzQC3NSL05j/Rf5ImNpQx+zrBzWHyMt +VZzPyGX0pS5dzNKxX59FCk2cf5UvcT9tSaSq+Vm5nH7cSAmamv8mX+YB2pFE +NfPP5Qr6cxMlSUd1r30hVzKAmylFemp47Uu5ioHUI5UM1PTaV3I1g6hPaZLJ +SCYyk4WsZCM7tfzcObkm7plbKEMOanvtvFzLnTSgLDmp47Wv5RPcxa2Uo5n5 +7/IVxtGeXHGn5t/IJxlCQ8rT3Pyq3BzPlg7kjjs0vyCfYii3UYEW5tfkFh7k +DvLEHZpflE8zjNvpapaeA/psKtLS+Q+5lYfoGM/cLBtH9MfJG/fufEmuYziN +6GaWgYP6HCrRyvlPuY2H43d1LsAHeqfYBz07R/UF3KMX45SeL56hflk+w2i9 +BCPivmVepvFuvFdZjsZ6d5nMFF6PXZSpzGGuc3y4ijCDj50nyIrMo7Jza/mX +nCy3yzGyJLOZEPcnCzKdD+OeZHk6x77KHLwdOy1Ls1C/V97AaT1/7J/+rXw2 +fp/YEed8vKc3oYeekTf0eVShjfPf8lUm0iU+A2Y5eUdfRIHYUecr8jlG0pSe +Zpk4pM+nKm2d/5GvMSn2gYKxx+bfyefjecTOUo125v/KHXE38bwpFLtt/r18 +Id5n7CzVY7fNr8udTInnQuHYbfMf5Hrui52lRux2/LFjl5gad0CR2G2zH+UG +RsWOUjN2O/4+slufFu+RorHbZj/JjbEzsYP0MsvMm/oj1Irddk7LHn16fA8D +nXNxTF9Msdht55/lptgFhjjn5/3YFXrrWXhLfzTuXC/KJ3rt2HM9kb36WFkq +di8+N7IQH8VnQ1agtz5I5mYqx53HyTJp/v9/sCTuTBZnJp/GLshK/Aepw6i8 + + "]]}, + Annotation[#, "Charting`Private`Tag#1"]& ], + TagBox[ + {RGBColor[1, 0, 0], AbsoluteThickness[2], Opacity[1.], + LineBox[CompressedData[" +1:eJwNxkVWQgEAAMDvUbySR3DlSk9iC9iFivgwsLsFW7G7u4u9s5j3Jje/KK8w +JwiCAorllh3+WOSbK8b44JwtkrxywjpzJHjikBWmGaaEO3ZZYpx+uinlnj1S +TDBAD2WUU0ElVYQIE6GaGmqpo54GGmmimRZaidJGOx3E6CTOA/ukmWSQXp45 +YpUZRujikQOWmWKIN07ZYJ4+XjhmjVk+uWCbUd45Y5Mfrlngi0uyZPjlhn8G +dlEC + "]]}, + Annotation[#, "Charting`Private`Tag#2"]& ], + TagBox[ + {GrayLevel[0], AbsoluteThickness[2], Opacity[1.], + LineBox[CompressedData[" +1:eJwV0nWIFUEAwOG9MM4OLCzOwE6wC2wFu8D2wJY7xS6wFWwxMDGwC2wFWwxM +DCws7ALzzrO/+ePjN/Pe7nu7M5OYlNIxOSaKonRSDZ7HRtFmEuOiaKTm07ta +Rttogl7WlRQ2fqQ1+MVx5tKfXL67qespyyf2MYXuNCbeNed1Kcmk+f8XuoVR +tKUmvznBPAZQjs/sZyo9aMIP97/UrYymHbX4w0nmM5DyfOEA0+hJU9Ld/0q3 +MYb21OYvp1jAICrwlYNMpxfN+On+17qdsXSgDv84zUIGU5FvHGIGvWlOButx +QZeREtbU773RHYyjI1lcc0VXUZfI/IwuYgiV+M5hZtKH7K65rmtpQUbzi7qc +QsYPdTh5jG/rRoobPwtr7xne6k7Gk9/n97QTWY2vaildrUX0cdgfracxelZL +6mItqA/CedChmltvaWndoMX0adgfraypHKGE+SwtoPe1LzmMb+g6iho/0ZZk +Mr6kKxhBXvM7uimcA+/yTncxgc5k8/01XUN9Ys3P6RKGUYU0jjKbfrQKZ8Jv +vdfdTKQLDagaziHHmEMSrcMZcP0H3cMkutKQamEP+cheJtONRlQPaxieiTji +wxkJ+xfek8wkhDMR9iK8S9jrsDbkJFdY47CvYR3Cs3m3/xB1eu4= + "]], + LineBox[{532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, + 812}], + LineBox[{543, 544, 545, 546, 547, 548, 549, 750, 688, 638, 600, + 576, 563, 761, 699, 649, 611, 587, 550, 751, 689, 639, 601, 577, + 771, 709, 659, 621, 564, 762, 700, 650, 612, 783, 721, 671, + 588, 776, 714, 664, 794, 732, 626, 788, 726, 806, 676, 800, 738, + 811, 551}]}, + Annotation[#, "Charting`Private`Tag#3"]& ], + {Hue[0.67, 0.6, 0.6], Opacity[0.2], LineBox[{814, 815, 813}], + LineBox[{818, 817}]}}}], {}}, + {"WolframDynamicHighlight", <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>}], + DynamicBox[(Charting`HighlightActionBox["DynamicHighlight", {}, + Slot["HighlightElements"], + Slot["LayoutOptions"], + Slot["Meta"], + Charting`HighlightActionFunction["DynamicHighlight", { + GraphicsComplex[CompressedData[" +1:eJx1enk4lV/0L5pImVKihEiTJInyjSWUTCkhUhINVDKGSCiKiESSTGUeikQy +brOSIfN0jAeZjzMQFe52n9857nPvc/86z3rW+7577bX3+qzhc0TMrHWvszAx +MUmvYmJa/tW4PtJQPKqvGHn96xTT5AjyZP2825c/BDh0pwxTZKaQodG79Gly +HPwMOWFutW4asS95XlLbkQ7c1VQTYvg02nVuaqTMLwt89QgffFjJyKnuGITR +cuGKBuFh7w0y4svi7mFmRtC0ca1K+Ucy+jKgUlN/vBTqU32ZE0hkVHorcpvR +RDkUVW9+JrCLgmbEFTg/WFWBr7o0ROpQEMt8Kte2XdVgy/ls/xYnCpJdMv1y +/mMNyDZQh1NDKajGS6aucl89VJLDJsw+UZDQi/H55Kmf4OLjJiZbTUHjv3t7 +WqQa4c/Q/J/RbgqKG8uv5ghoApIzu9gQiYI4BBNJg4PNMFka99l+kYL8LbNv +xIi1QpVqSJEbGxXNKqUOWri3QXpBrBeRh4ocpMuztpS1wzp/UuoVfirq/Vju +1yDSCf2np4aYd1DR31vNb/e6dIGlx18nSWEq2n9i3eKNfAIsLtyW24Rltfci +Nz/x9cBFg+3wTJCKnASv7Oy/1AsWB8aNc7ZSkcSEPnubdh/80PGhXOamok2c +06zlf/rg2b8PBxvWUNFq9DZdNKIfLDUjSX6zFHRbJr+DTXMAjsjwuOj3UFCq +ullM0ewATGdX3g7/gv05LsA1HEqE+DW2NzuPUFDMZp0nz/i9wMdc7cf3xgkU +1u2ikr0YBd88q8u4lUhIOo177XbWFDi4WuogyWAa6Se9/mG/MRPSg6pXrWuZ +RnWcvLySSjmQ9GlGV1eejNRK4jlqXQrgH1dbmLM/GV01Sbic1FMMx6LObcv4 +SUanybV6dr2NYOLqrqv7i4I6Hh/qvn+sGfhmjmx89puCtFUkU0u9WsCET3SL +6moqOqGfEb+6sRUCyIe+PeagouzcnBoQaYdda2ODb2yhohQbVWEHuw747jpp +uLSNiib9a4IXajvBpqZd11wI+6t1UuubAAFehwm8Mcf+zrUNkfa37IZ93n1F +Iljfe6VYVT2vB6YpdXM2+P0RF+8ItX+9UBsrHXJtMxXFLOx8ph3XB+G/o78L +bqCiDb9OEuw1+2FmJkSuGd+Pr/dTy0Op/fD+neeRvHEKYkccCoMxA2DAKcH7 +uJaCfoYrGjaeIoLB5r9sEa8oqPvgU5Xn/A5gEl17fKRsHG2ghh4R8n8DUYSI +MrXyKVTxqOPiWrdEoB2+NtElNY0mz08UaNZlANtve+f+r9PIRcpzcW4oG8Zl +s9l37SSj3ipSQqZcPhTpc5kJupCRbMSFhJCDxfD7sMdRoWIyCqe18ov5NMKn +++w+uQMUpJgze76c2ARPhX551VMpSDLjVm2TTAs4rB3mtGamohu90k90XVuB +rK7WvRnv18Dtk6HezzZwa9/i9IWXigLPed2qEOiAXPYg5TIBKhqO/Bb4y6kT +HP8Zmlbg+/5U+CrNuboLBBrOvzLC/rbr0A67z9MNlo43Wj8unwczWX/BAvtb +MJk9aDsVSWnZXQlJ6wXz0RZbUT78PYcCDZ77fRApp83ejc+b6284ZZtoP/Rm +XhBxZqEikwhR+e6qfsjMrY2zIFNQ/vw19t/3BmAkaWbng1a8P7uzD77tIMLh +e23pJ5Mo6Fxw560z4gHArJcSQQudRDzX0pPij74HsdfKgRIfSCiqRf3ToeA0 +2He4Yq24yzS600r2+dTcAnrszjbUtVS0/ceBii972uCptqToNy4q4p0tVNpv +1w6hUTxHaNje8sDVrTurO0Dxj8R+Xhy/NNefrzeJdcHzmz9zuvB+o/1c4vlu +EyDoTbKSFvYH0/qumqjibsipLpS8jf2VWhR4+BV7L2wi/ujag/35U6/mzsKu +PrB0W9vkvImKLj7x/e5T3wcWUiffb8P4w9o0XfHDvh/KcsQHuv9QEM+Fwzpn +hQYgL2XLosUQBRl7fb3b8GMAZNNr+HnKKMisTEKGcJcIeX9VBarvU9CFa78L +X/Jfg1mn/GfJ82OociFOsrkxFGpvdDabuU8hjrsK3q5yCcBMMr36Zvs0yk0O +zc6RzIBI7zOLwinT6Gdxjn3P0WzgOcO39ulmMtr/g9d+V2geJD961/zWhoyU +HU1fbc5A8Kq6gOCXsywb/3twqRGe+W74ZNhHQeSzRoGjX5sgZWjEtA+fX9Sw +jwlhQwsoVQfJzS5R0H0xB8d5nVZ4MbFfqH49FYlf+RjVk9gGhDdpJg3YHyzK +cZxTU+2wef797S3YX2YJlc8JWp0QcdhGzwL7s6RC4PS5912g9N1Q/DT295nn ++zSnhwkwVz3GM4zP48M5X5MLSj1wY/spGx58Xs1ZI8V5Xr1g9d98EgWfp68U +W6qDeR+0sPSy7sLnzeNdsUDi6IfWG8/DDmA8+vSh14Epsx/S+B/arKdR0Ca+ +F3YepgPwoEHntU8nBdEuGwWUrSfC5R1fndvSKci5OcR5QsgXuLPaZjn+m0TW +lrK7mAgxYNRqM3LUmYRGij90JWingjE/warXYhoRNnAJ5KW1wExz0N0YjO+S +XNbZlxdaYUbwt2AqJxUNtuU9yVZvh4lhp4+HsL1yHmKumlEdIEi+mRiA48nG +q+4V63wnKL/ecDQO77dDSmaepEyA7lPKgpewPwiFOe6bX3WDiOHNzz+wv05y +7jlOGuiB7oDfSw3Ynzt9n0Zy8fTBVqZeWwcc7wsnWveO5/ZB7V4D83h8Husv +b2uIvtIPEYYmA8R/FHTdguRrzzYAN/SvZSaOUNDnd8SWi18GgFjJ/c3jGwXx +px851XaRCNcne6QcfSko4APPnnPiD8HytJPsRqMJpDvic/zWyQiY42buO7ma +hLZfvVYRcaoDrvYmH7uB8fjWq+ogyvtO0HL2Xc+P96PtmhgTQ+kC9VCJIjO8 +n9BdtkMsit1Alqu4Y4X19zMMtWODeiDfuIm0BvvDnHAszspvAAK37j20p5GC +nj5Ru1UrTQTnddxfE6MpaPPeF5KGoUHAKR3n7TE6iXSjB6X+zHTAqR3nRwPx +/XC2b3yzQ6cLLtin1bHh9a4PF5+DYOzPyv1zh7EsZrDIv2eiG74Wd/AwYX+u +uvl83en+ATgY6WIiWkhBaW2iO/sfEcH8xTYWWTMK0qxty4zk1wPvgtNP910f +Q2KrJFIlHV9BWMV/HxovTqHP5tYCX0LiwdoM9YnwTCPF5DbzrsJ0qF1c1Hr5 +fhr9YT0veW4gCw7PaEjzcpJRg9buDpOTeXCc85Z4xW0ykticm+tniODOZ78s +yc9ktCUn0ir5VCOYOvbyivZSUHXk+gMPYptAWuOn2uA0BbUyb03sm2sGcfX7 +ErI4/liqQqa05FvhomxI+W583hw/00pig9uA7UDiM2Mcf//Jy5ixdLSDr5yL +egGud2JSKjZckOsExchwdVm8f5Ow37YKAV0w4JplcBz75/ivsLj9DQR4PzI5 +vIDP58/9FrPVEj2wh+W2jw72r/hoLLnWphe6G2J1DHC9czHgYFe8YR9whIcZ +li3HX/XFVZ2r+2G8rSiYGcfDxS8KPDyJ/aDSIbJFZ4aCUPwu8Qr9Aaj7EPfM +kYDzbdNlvhImItSvsSHofKagDTO27vkRT+CU1O+Ir6yTaMfEffMPB2Kgp2xK +/eUlEnr+pdemPyUFUq5qaSxcmUa8e6LNisJagG/nnbtUHO9fMwMPfPrVCjcL +9Yq24virOZ2b7HKkHXRE5GRqcf1h45xVe8WnA0IR4dhpfN8++tTyPiZ2wlgm +jeyF9+u4iluUIEkAFaaYYVPsj2o+8Y6z7t0wz/to7Rz210s5B6WIuh7Qpomi +nfi+a4oOHRFg7YNmquTUJI6/uuh/RtvT+8DPUjrHnJ2K2N9ntPrq90PNNa2M +ngVcr9pq7/Ze7Id6Y04F2TEKuiJ13zc6dQAaTKdsOH5gPBKTr28+S4QLe4kH +CIEU9GWq5ghJ6D7EvIzdPcAzgdInOXPLR8LB9Z7jmbbBKWRgROP7JNUBOrYv +5zdje5LefOeyet4JjR5xV6exvWPaXtX+PV1glflH+grej5d7r6Linm4IlY+2 +CMT7rWyPO3fWrQfkRVq+aGF/cN6uv5DpMQCOB0KSjJtxPvqnSa3eQwTB+LVS +gnHY3tzFT0jvBUQtDOWdKJlEfq8dHnP0dYC5b5ryeXw/vjg1bH1zrAtUfaOm +qfj7LLmRrvvdCbAtKX7fCbw+T8Yde/PWbliz8cPM8v1Ln9mZ7986AM2cjw9J +FON4196T2uNMhMGh3TGvrCmIdeDRewPxu0AePOr12GIciVEVdlz/0gXVHnuG +z+HvmR4uIGf9I0Ba8tTXH3g9/hG9NZW8+D5RnVL3p1GQtEf9lgCOLlhgqxUr +wfrjJhfqV10ggIhI7n/6+H3FY4cKO64RQdV8ukj2EQXJyEo8Nwr1BMUdKswB +YRMoI6naMWstAew2jxy5hp9P41Lprz9OBKXX4/1t4RQkb90kEHetCxoWjc8J +Yv23TYO7zycSINkjIVEMyz+vCr0h+hOhk2L5easWBR2ODov4zK8K3dwqglfm +R1Gjt/VoV1UI7LX9sIGmNoWemLBeP68SD6L3Lt6t3zCNxJolTLnvpsPkDdvJ +oqhpxPUhxm99Thau50+8aGAno7jnUv5/t+dBW4yprJ4lGb2/NvSjUgJBTXTi +nr8ZZOTRppiA/msE3QOr557g/kJOlehaEdYEBW/8+K5jPLELvPJjYKoZDj6g +KbTg+lhta3yK48FWyHstydeE65dHrBLnn/i2QeGepVohjCeGsg/8NtS1w7tZ +GY0AjCfFEie1QiQ6oU+aaLYdn2ca8/CTw15d8Fj6YPIRvH8Z3rPn1Csxnng7 +9bJiWTjg72CnSA9cP5l10ArflzOPmZ+13eiF3oC0PU8xnqgUKtsrnu+DYtkr +27hx//Tyzq619Ut9wPldZMIS40l63kRf+Lt+sDh8yHkK48lmq2n3m2cHwMV1 +tOUm7g+LOPq60N8B6C3ZG/gni4IMvPtYRI294X4Jn6bi1AQauyi3hvVDNHAW +o913z5CQ6Sfn/IdGKSAy29/iajyNMrKHnxQHtEB8qsPGCIwn/1bFjHYSWkGS +dCy0Dte3Sp5H/7u8vx2OG9fsCcd48tzh36ylWweM/OrmE8PxU7deW6+4vRPq +Cx5ZOeD7Vn5nSa5RjACJxPhHy/mvVLXb+65DN3BSGo5sxPqvmuGlduU98H7d +BQkNHL+m05tYhFb1QeF127d7cT+TU8dJyUruA6sEHbUSjCf+qq4dD8/2g7tr +hMBFfF7m9/7NbJzH+KJ/y2se40n2bxXiiYQBYOEIrdCsoaCBKwXBTZpEyPCV +s6t7SUEanCnHiyIcoferT3TaxDgysTn/21Y+HHgCPDdlN08hO/3jJ/J3dYD7 +9qWJCVxfVG1TP5vyqBP+XmWX6MLnezTHrvdRcxf4ONxIWK5PXNNCx40Fu0FY +ks82Gu9nYqK6Sdy+B2TVFQ5aY39clw7/pOc6AHo0S+m7LRR0Vq4u57soEYoD +KYbyCTi+V935NXwzEDiThIdN0yZRUnBB2taWDujYx7VGBt+Plvmzhq2SXRB3 ++YnQCP7+2Z3+L0TuEWDvT8H5U3h9tm5RYc8f3aDRI3HzHLZvTeEk78GGAUiW +0z8bW0JBDj4p1t32RJhTl7r324GCpEQVMmhClrAlr8ZJVmYc2V2SOGyc1gVu +zWqntfH3LpjaRzWSCZB9plm2A6+3VrTtezknEZb83M1ZP+L+hEXH4MeqLqCk +RM5nYv2zqpOD81oEyLgSRjLE70s4fPZsv0KEKxJSnTPeFPSwMpyrRM8DsuXO +6fren0CL3Ll+Kf+6oJjoW7Dc34ZrVsTXyRFhXOIpr1YkBRmRdS/2G3XBg2SX +ruV5wxa3xuPqkQRY+lQeLIHlooh3lweeEmEbu4nYHiMK+qdh/vqquAnoOC3m +n/kyhrgOsl1WC+sC5XYHD2X8/J7wnRzDRwngEdlfboLltWM561v1iaDmmPe+ +2J+CIgZfZYeOdIENjSx/FeuzdNJvHPElQMN5rhb5Zft4EoZ73YggPdDkZGdJ +QTZnr2lcCrWFdTG7BTaHjaNWXx026+IukNLrW1rG0ymaRd7GqwS4FerMvIzP +Zxc/znVa4n460+f8gYc4nwDhahEXAfjOiO1bxtOOU7x3VV8QYTHJQcj9CAWt +VhGw6eWXgW/+IS8KUkfRPrUgn0ybEEg29B6/pDiFSF16698LxMNMUOpV4fXT +KMzygonEqXQgtvFJNkZMIwF3Nfmb77LgxmPzkQPryYhDMnvoO2seXBTeqSdg +QUaX/P/qDW5B8NXziUhfOhl9uRlYqiHbCGzsQa+OYny8+1pH9FZwEwRtOC67 +C+OjksHjbuJoM5hWaPrH4XgbU/rgw76vFeTztT2SMD4WxI2/dfJqgxnx8Txm +jI83ZIRjgr61w8E6GocTxsefk6snpHd3wsc5KTlOfD/1ZC8HSbp3wbpvbCLS +eP8ZKam724sJ0FTkLsSxjJdhHOKV23ugsf1StSu+/2ZnpQwIV3thl+WcQTTG +x696WujZ2T7gTtKIk8P42Fj47Kn6Qh/IrNPLiMT42Hlc9XRvVD8cq/xqozBL +QcU/W9K+ag+A/P3z23oxPspIiCjCPO6/Ldnrf2RTkGvt63KDPC9gm0vNj+ub +QCe2G8X16EdDclLLNjU1EvouWWFaK5UCZ15ITsgaTaOHD9a/KfFtgRLlCDEb +jI9REklV1m2tcMV+TiUZ46OL4NzjM+LtILLbztoD42OEXQw7yakDWFzX1HBj +POj5sYFm0tQJ4io0ym0cP3feOSrXChFg55/AHcvxoNh19NLC3W5gSRYI5MP6 +Gv0Pq0xQD0gdjUm4jPFxzGC/xTumPrh67pykGsZHiRv8isyJfXDlJFsKCeNj +zau+i/Pa/dDP5qH7Gp9XwO/zl3Vn+yFjrk/y4jgFmRrv5QqOHYAcOaF9Ixgf +jdwWZnTViRDVcvvlsRAKConeWrzL+B6wyp24Hts+jrIP3Qs9TXwDf5ykFp/W +TCGP8336SsIdUPDAe387xsdWAuv0abdOYL06Y/gTn2/f+OioW30XeHPdljTG ++5lVppSM8eF6S3XH0US8H6bf3bw8d3uAeXpUygP7gyvUvSfeeQA2WoXkz2B8 +rNLjFFAXwfVC7dF9yokUJKEpmixQFQA/b23JLXg3ib4EmZZE1neAtlfSfjF8 +PwbzuOYc9naBO+vDxX78/TmDVW+32xBAWqnog/pyvWfvPstZ2Q288vtNTbB9 +GcJNJzzqBoBmWJtrXEpB4/HjDZdscf3z6oG5jRMFlasI5JRF3AAPh3po5xtH +AkGxCgaJOL7dflZp4O81ztY5XJ0gwKqzvLm9eD03pa0SqhuJcDxl/ysyxkfT +Mo5Zy8VOyA+ISU3Feul/BVM0NQLkahx6fRG//z6IRrxwmQi1g0PKhU8p6KRg +XNzoTXeg9Pz+98ByAiWckgqN/90F0Qtz2sv3gctyLeuZI0TYUTbX8iCKgiwy +ef6463VB/nfSr+V4KfqkrqYaRoCxunEDKSwfyRtAV72JENCZffrfZQoS8xeM +XxIygrPvUhSpgWMox9zjpnJwFxTkcjwA/Hx93Zut/YcJwHPFZXi5PnZbN39H +/zwRPreKDZ4PoCAV/o3FQcQuqB32U1vuB4y9xG2lvAjws6CES3HZPqlfp6+4 +4vp4z4RDzB0KyutrrC3TswE9TZf3DR7jyK2octOt/C64I+e3Sg8//2pvXznr +JQI4/bx58Lzwcn6dvnjxJhFWF1tIHvegIDaH83dy2QnwLjlNaRkfjVR7ZK8F +EmFKcY2dixIFDW4X83QSPwN3f9j92iUzhgSP+hxo20uANU8uVCzjd9Cjv81P +O7rghIrywmUsL96y3GjiSIR5zW9LF+0o6Mfu7D2mn7pgb1h+og7WE1/WdSye +I8BdUTvxC8v5r/uEZzozAbTWdbEtr6/mbvrX7BkRhht0NO+cx/jn1Vx+NfQq +/B1pbNDpGEMe11NXjSsQwCb2p+fyerT0HalvJ3H937Nn43K9IzpzzcvUgwgR +62/eWbqO/bP++oWyzTgfuWpQls+Xzl+EsHUI1I+OAJ2/2Cssz3K1rx/o/MUB +l5rYMbwOnb/Yx+f+Mtq8Fej8Ba+sI+mBTAPQ+Ys/ZMueQVoV0PmLGuv/tJyd +C4HOX7iKP5uvL4kDOn+hTO28IPo4isFfGKl8IAt15zD4C3W2ZNFOx3IGf+FQ +LLqlSLqGwV/kB1blD39vYPAXYp1Ks+vTWxj8RXOI4Y2zRzsY/IUKR7RLAYXA +4C+atF4fvKnUx+AvbE1QQg2hn8FfLMgf7VwMIDL4i2iFHR9H+YYY/EXel+5v +wlnDDP4iPf2JNe9/Iwz+4jllj+2jt6MM/iLBKkxAd8M4g78w1Yv3tTecYPAX +9zNqincGTzL4C9Ejzj/tuqcY/EWUgpRB7uppBn9x+pnlPo/4aQZ/4SZk6pem +Tv7/8hdKddc2CYkOAp2/uNNMs3tZ2gt0/qL523/atsc6gD6fv7N204XCmWnG +fP5fSq2W1TsyYz5fkPUtz/79ENDn8+49xFe/WvuAPp+v3qlYPJaFcel/5s88 +blvPG9dOM+bPoXsrVOPtyYz5c0SXf/TjqQGgz2efvz8gt7iDzJjP8pCEBtva +yIz57BaNp+4TccNAn8++3LQmLdewH+jzWUPl2PoQpy6gzx+7rQ5zXM2dZswf +ty08ltQ1ITPmjy+LZQ+/e4Rx6H/mczvCNubL43qGPp/zsyNKT+eRGfM5f+bL +f3hbBoE+L7uuyClK9CUz5lk7eraKPPlHZsyz1lXtjfDR/wX0eZZDVM3Gkrf9 +QJ9npbqXrz3Z2QX0eU3W8cVeq/Rpxrzmw2RT1MR5MmNe02Ozs2FTA657/2ee +QR4W1qEtTTPmGcQa++7eD2TGPEPy6bO+S3JDQJ8v3NCkDb57SGb0/9bir6Ij +R8iM/t8/uPDeDP8w0Pv1blJCTMM3MqO//pg2RxPlX+mvv875hpjV/wJ6f50o +vtWuq7Af6P21jLGm4xzGWXr/qM3GbO6YMs3oH3PerDvy7QyZ0T9GRW8wiJgn +Ar2/ih86ztL2Z5rRX4nq62u8SiQz+itN8eTumNtDQO93Tuhvzdl2n8zoRyp3 +hR6h9ZIZ/UikyTDruUvDQO8fUPiW4NISMqPez9ygoN3CulLvL3zj7tSfHQZ6 +fX7L7+bJtRQyo572Fibenjy0Uk+rh4RZbBAbAXo9bSZ/6yFXfT/Q6+lYE7Wo +Udyn0uvFTYVZQn8Tpxn1osYrk3PHtMiMerHh7SyLAscg0OupL4ep+epz04x6 +av0ZQXm3ODKjnprR9dT79GQI6PVN69fRcBNHMqP+sI5ak9XaRWbUH1YHjb2/ +uA8DvV4YV/Oy0C0iM/K7EnN8uSnLSn6/rTUV+VX0F9DzcQnJvebIJJmRPye8 ++1mviq/kT4uHmx+HPP8F9PyW8DV29SEuCiMfeRezpe5SoDH49HAv4h0dJxqD +Tz/RfFp7wYfG4NOv6yskKr2kMfh0O17DvPzXNAafnuG0xWr1WxqDT6cZkzmV +ImgMPt1cqmYVG5bp+Wi2JTHGL5zGyEfmwibRh8JojHzE/obbuyCExshHXoNl +J0te0Bj5KEZY/5mcP42Rj5jjYuTin9AY+chva/fIgAeNkY9Knl94v8mFxshH +Q7lzbzvtaIx8lBR2RCLlNo2Rj2ZAOvG6OY2RjzqVf46dMKYx8tFPoaGQxXM0 +Rj7y2n3ewuk0jZGPos8lPTkMNEY+Uj9cqlMiQ2PkI6JK3OK7fTRGProZ43fR +RJjGyEebJT4pd/HSGPlIg3DhaBkbjZGPjBusso0XqIx8tN7iz5cf01Q0kT5k +M/SSCHEPRiTXD1FR9nm1VSGqg8AycrrmVBsVuZ/2js4aHoTFfWZixtVUJNKt +0R7rPQTkx8ORRoVUJC/nFcIvPQwZ7zTCOz5S0aUd9fPMTcOAQpx2UWOoaKb6 ++YCN5y84lNo87xhERWGrTRx0cdz9HpJsevOIijb1ZL9nKR8BYQupY+/tqWiN +m6feMdtRECRJqpHMcH+QbJI+wDMGRm/nlwZ0qfh+OBZZfxqDo8rzUh+Vqahw +vw8r991x+LaX7UGBJBVxa9hJPOKYAJZt029EcD9AOPCz6X7BBPwNfJdwAven +GVEgNmgyCbs+7tKvnqGgy+my3IKLk2D0g6cgqJ+CPLzfeRJSpuBQtOmtrO8U +9GFE8C2HFgkqBULzWDIo6LUQ+8zSEgmCtkWVZd5fyd+rJa2tfljQGP8/6FTm +3vnWk8b4/8Ftq40mMgE0xv8PwrycNxq+ojH+f3B0T5JTyhsa4/8HdvE77Jpw +fND/f+Ba3lyli+OhIGHaPiSkDMr0hvdZYz3htNXmVQcq4er4m+0u+P1/gTTO +a9+/gagZ6UpwKA1tf/DEPMfyB5wo9+tuxvG4JedKwL2/U6BWqCXqVEhBO714 +T69LIEG2L4umTNQKv1/O/1NJ/jKNwe/PP0xvn3xAY/D7szvXlpf50Rj8PiUl +km8omMbg91Gl2H9VOD7p/P68YPJfZ2wvnd/nXsgJ18f7yVUVEM4cKYVCO6Hs +CqyvN/Le+tG/AsiGwn/ZcLw7d4UF+mz7BktlUnWWGD8C5fnlLauqIXvmgV4d +Xk8ziol1b9MU3Jpw+fO5DPdDsm/I6++T4IRG5f24xBX+/NN/fh5kGxqDP3/+ +aLVHsDeNwZ9r7FYau4jxovCfcudbrc9gq9OpGoX9t5OUsNc8/CtwHQ6OtMD2 +pOcZO/4qLIRrukxGm7H9/sIzvAXPS8D/bHX3USwj1qoqR6VyuNuednQt3s+I +nD6PYW0lUKmjngTsj4H6It5SURLYVN65P/WFgggNgVGrmkiwhz1qb/zLFX57 +9765hVt6NAa/vdqA0nViGY/+p3468OezyJ1nNAa/7ZF+wZwf+4POb7sXcuaf +wuvR+e1+s8/cytgeOr8dYPNjVhvb+3XTwdnil6XwyIPQMIr1p824wx8fr4Ab +ERKRWni/ssy368PLq8D0jsrLeuz/b2/Mdik7VgPZ2bHzFMbbYe6GchY0BZZu +4gt5lRT0LNC40t+MBHl5vxImU1f441Drmnu7rWgM/rjE9/v+1Mc0Bn/cNLHj +5NlAGvLVnWxc9yETQPOYGxP2v7N7d9TpyRxQqRRc2ojt+VvooXxxeyF00uJM +f2N7HZH2MWnZEpgzffn+NN5PUVtXpPdYGVwzU6Ucx3ozpf7gA26VQAg8tySB +4+OBSmDDW04SSPvPuCvkUdDSPl177wISZCqulWwIW+F3WRPNAt9jPKfzuz1v +PA+auOP3X9+TCipNAjWb0IU1z2lIZPFEea/VJzh0kMs2DvtDLEbDd1PwF+AK +gjQFvF5weXUndOSD9QUD02Rsj9bCoueOp8Ww8WD17gvY3rx7azRiFXE8m9d+ +j8D6k92t230DSXBmk8v3rbEr/K54k4W/lgPOZyY2WW5quXCPuzkxD/vDPEc2 +xeFKERzOHvc+gL/3zjNi+uRQCRzht4sUXf6+c+13z1EScJ9rX016tsLf+rRy +P+zXpjH4W24RZ6VGZxqDv23kGS3I8aUx+NsdifmfPmL8oPO3zBsVXrLg+0Xn +b/tPHRQQw/bT+VudhZA6Nby+nOuxq99ulkLiqUW2P1jvfaPt4l3eClDq/R58 +B9u/hVePJPqmClx7MrmY8feuq697+1G7GhTu/WR/hP35WfNYLClrCtrc3507 +9o2CthcUZGhfIIFQYRjT4ocVfvRpRXMYB87HdH6UdkftVtIjGoMfLVO761+O +8dfaTble4XImSN4ze/8B429slbNRfmgOZFiym3Tj83oHwUnBPwpg/9jovmFs +7wSHi6bV+hLwtL13+wzejwIMsz5HZXCOTz7GAOujVRP1tupXwuBW4p/z+H11 +t9/pPmtIMJjL1UjIp6AXFz5fPvWJBApRRpOv3q7wl2nUG2/emdIY/OVs1tER +iYc0JPw8seKHUBJsp32yv4nrlXV7Xxdv3vEJEjTdTy7Hm87IkMqT41/g/KXa +j6vwesdOdSvd98kHdN32bxC2p0Rrf4iRcTGES9mJLN8v+W7O7JRNZXCdEtT2 +Cetb2Y6u0/AiwT1Tp60W8Sv85fHWM6qpuL75ncBuPT39FZh/iWe8xOfDdkyd +6yNHETRmnxHfib+3peEbi2duCbjKDYxJYlkzXjJLuYcE+8riU3kCV/hJ0hrL +VSpG+LzVWTZODOfBbFT4RRO8ftr446/1q4ohVvpswHI+O5om5pdbWAp2wRuu +tGO9/vG7BGGrQpD51LN1HdYz24js/n21BAj7WmxPYPnu4rRPeRUJ7FmiI7te +rfCTX4901enfwPv70GhNzCyGxbiRzOX8UnhETPW/jCJYsmh7IIdlCWmbnvXc +pSAwmjW/Bct7tO70FtNIUPzeLDTDe6VfulUXbb1NncbgI9d0MhlvwvFB75ca +EsM0jHF80PnIzJN8/M44Puh8pEubqFsvxks6Hzm8tsqFF++Pzkfyb727UxWv +P/uxaIuWbimEDY99ZMZynIV8vjlTBYwzBcs9wP5/Enna3eJxFayaEHHkx/Eh +866j5dqxamBy9bkSje9DuIUVz3D6FNxu2KDyG8fHNd3JXR/PkeA1070i5vQV +vo9Ps72YYklj8H1Jh1xERHF80Pk+yY031rrh+Bjgsao1PJIJBuvlpR7j+Ph6 +u/KLw+0c8L8nL1OO75uDR4SxVGwBcJQoLRHwfhJkXzQ/oxXDPgPPqrPY/n92 +t4u4UsogfeP+9eZYX3RqffbGE5Wg7cK3+yZ+Xyp8v5A7Ewksr/x4lVJAQR2T +xMaEVBKoB0vcGI1Y4eNsr1VV/DOhMfg4tnVb9wW60RDlqRKXZV0iRH36qrIF +x4dLUUmR1CzOf083c23B/rjmf/gdcH8B9ePB5UPYXxueDlM3m+ZDQOmZdG9s +zwOHE4JOJ4vBH+4FGGB7/3C9uiW5WAr7v7yayMd6oRiLBykPSaCqTHvg83/w +cSdaXkQ32OLvaRrVJFd8hVvt7WNu+HwI93XLNIYLYWHp4+Q2/L2GuR6/qFic +j36Pc8pgOeS/K3tj20lgWlXUPPpihW9L7VDa+NcA90/P2It9ivJgZt/z22fx ++rXiMo87uxD8alN3WPbnXG+ykkJSKayreAH9WL/HzSmwULUQnvkJ3FnCcsFr +uQxerRL4Ezoke3K5fwoRWRQrJYG54Du9y69X+LY+J120+joNBbWMzDFFFkOq +mXXE8v5fOB743fa0CHiY9n6UxvKw0ZObe/6WQKuDgKMglm0HIvRESCSwHpPI +e+yz0m8fKWfyvof7mZwK/8SzXggm5EQ2a+LnD/0yL9URLgHiZvWsZXntrs3s +x22LQUrogt4yHsnOwWVuuSJ4/+bRqj1YZuFcVBwgksAkpeXo1ucrfNqmd3Wa +erh/IpdZHczkL4a6wcCTevj5U6fZozqcSoC/knxeAcvbnxQMV3wrBmJjy+1l +/RhNICiqvQh0e4Mo/2G5L/u/W6Z/SKBSIEvb9Gil/3+Yhg4nnaQx+DTbIzTW +TNzP0vv//sgONwkc33Q+jdDq6XoJxzedT9P9HPypFsc3nU/7s6/ScwM+Dzqf +tvfEWnFlvH7raU/yc7VS4LO+Zr4Gy59Hb982mS0H/5PO1Mf4/igOKFc9c64C +7ycaL3fh+7qtVpkKB6vBwXHz3Ad8nzc6rdO4+mEKkjo/kvRxP+IT6W3ac4YE +so3eLx+lr/BVLFNToaY4vul8VVPj7/u9uP+g81WHBZtbDXF8R1x+pHVDJBP0 +znBkW+H4LlOwut6gnwOeiaYWOTg+e4RFshcDCsBSeUx1GY+9NLa9eTNaDMLp ++5vOYfsJGyTf6EaXwZrUbFHL5fta9d91VrlKuJSWmWSD349NqjD4szAFJ23z +WLhxf7F49MHGtiQSPNwv3R0YucInvVx3zE8IxzedT4ozX5xRxPF9ac3z7Jz4 +RMicVZCcxP2FDFubguJABkhXmKct4PrW2qRTJ+JvNlhbdAe3Yn857r6tPaiZ +D++23Tdzx/YY+dT0e8sXw1WVXOHl+92ZcuGkDbUUVFNsOouxXnWcKafTlQSJ +/q9X8f0ffFLwlufXzXB88wkyua7N+AoSour69vh8Tgm0bedvLIT+euLHrfh7 +HzfcOvshrATaFb97yi7Xz09+mze1kEAk+HFEddAKX5QZs8q1UJ+GSve94L+Q +kgchV9ZpqeP1fc5obJirQJDVtFpZB7/ffv/ONvfIUmhv8Xo5hPUvBf+N+EkV +woYKJbu/WH6TWuogeqIEIv3Jv08t76c396g+IgGLaEPP1rAVvmhp6vW4/jWc +H0ftCzlfFsNJo4nJ/41vWzLPJtgXQdb8yV1SWC634paQmy4BmrpNiDCWQw8c +CTw3QQIOERmjMt+V+dHjFBdyuQ4N2U2+l7p7F4FD66OH6vh5jr9TT0w2l8CT +oWPblvuHwaMx9Ro3imHTse5Ty/EdE1b+pXdnETSt1hLehWXxi6H3efpJoFV7 +xl4gYIUPosVe+nDuIu5/2+aoxRzFwDKg4HgePy92KdRs5E4JRPXQrADL82/U +UpsLi+FP89pty/lc71X02J3yIjjx9HvpMSyvZm6Oe/GbBLeFk46s81qZZ1mF +Jth/0cT3f+cargdLxaD2ayRu2d9Rpl8MLc4Ww0Ep5sFl//AsCf4pICNwGsh4 +vny/s0ouy1ASi2HW6ebhZb3W69UCZDIJxmuyOvc9XeF7DklaPV3SpaG93M9O +HXhQDGKhNPXl/fNmDNyKFSsGzfWX/i3jkaLdoZ05TcWQoG4Zv7y/gluxB6UW +SKD3xC05yJ2CaFu0T8mmjUHI3LfBoyr/73zj/9YX/bvK0vRxDCwE+59RsX7f +YP5c6nMiXIv4dtBm6P+d5yQzlz74EkCEavMzBGMs/y+VwnhJ + "], {{{}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{ + Polygon[CompressedData[" +1:eJwl1Xe8l2MYB+Bz2nvvfdp7D0TamU1NFCmEChGFSnsvpb132ntREYpISpFK +tEWkYcd1f/rjOt/7uX/V+b3Pcz9vSZ17tuyRLCEhIZGribeyoh/H5QA5RfaS +SQynk/WTMgcH1H1laWqp28gU7FQPo7s6P0fURWikvipX0ZumdNLLyF71eOK7 +VLI+IafyGLfRVi8lu9TDSaKx9TW5mldoRnIq65+U03ic2ylKE/3rcg2v0pwU +VNH/Tk6nM3dQjJRU9dkpOYMnqE1xUlHNZ9/LmXThTkqQmuo++0HOoit3UZI0 +pCUd6clARjKRmRr+3mk5O/aZOpQiCzV9dkbO4SnupjRZqeWzs3IuT1OXMtyj +f0OupQ8tyBZ7qn9OzqMb9SjLvfq/y3VxtrQke+yh/nk5n2eoTznu0/9Druc1 +WpEj9lD/glzAszSgnV4qdqtHUJ77rf+UG3idh+LM9TKxTz2BnLHv1hflQp6j +Ie31UvO+eiQVeMD6L7mRN+K7WufhkLp1zIM6M5+oJ/K8uhDfqHPFGap/lIt4 +SV2U7rHfMieD+SKeVZahkbqDTMNAPohZlCUYySjrHrIAQzlq3U+WZzQVrR+U +f8s35Sb5sizGCPrF/sm8DOFw7JMsS5uYV5mFT2OmZUneUr8gC3NMnTvmT31J +Lo7vEzNinYuD6sY8rE7LHvVoKtHU+h+5mf60jTugl5X96knkiRm1/kkuoSdN +eEQvHR+qx1CZZtb/yi0MiHkgb8yx/s9yaZxHzCxVaK5/U26NvYnzJl/Mtv5l +uSyeM2aWqjHb+v/JbQyMcyF/zLb+L3I5L8bMUi1mO152bBeDYg8oELOt96t8 +h14xo1SP2Y73IzvUg+MZKRizrXdFroiZiRnkUb30fKQeS42YbetkvKseEn+G +LtbZ+Ew9mUIx29a/yZUxC3Szzs2XMSt0VGfgY/W42HN1Qb5W14w5VyfnPXVv +WTxmL+6NzMdXcTdkOTqqu8rsDOJz6z6yVMwub8eeySIM49uYBVkh3m+Jt/7P +OBjv1XiHxr7FHYj3YjxD/Hscj/NlS9wdTsZ5sCvmNu5e3DnWxF2OvYjfEzPF +xtgv9nKE8yxnMzvjHsdzc5HVbIjzib2J5+Qcy1jKEhaziIUsYD7zmMscZjOL +mcxgOtOYypTYDyYzKe4ZE5nAeFaxPuYpzp3DnGUcK1nHtrgTHOIMY9kUZ8U+ +jnKBFexmP8e4xFoOcILLbOUUV9jDtZgRTjOG/wEht/gM + "]]}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwl1XV41mUUBuDBBozuDhkwulsFEaUbpLuVEpVQUOkOg24MLMKglA5FAQVM +FBQMUELsABPvc/nHved5z7dd1773d74tpf/IDnenSUhImOtLZGVfPpOT5FI5 +SqYwiz7Og2UeTujjZVnq6J1lEvv0mYzQC3NSL05j/Rf5ImNpQx+zrBzWHyMt +VZzPyGX0pS5dzNKxX59FCk2cf5UvcT9tSaSq+Vm5nH7cSAmamv8mX+YB2pFE +NfPP5Qr6cxMlSUd1r30hVzKAmylFemp47Uu5ioHUI5UM1PTaV3I1g6hPaZLJ +SCYyk4WsZCM7tfzcObkm7plbKEMOanvtvFzLnTSgLDmp47Wv5RPcxa2Uo5n5 +7/IVxtGeXHGn5t/IJxlCQ8rT3Pyq3BzPlg7kjjs0vyCfYii3UYEW5tfkFh7k +DvLEHZpflE8zjNvpapaeA/psKtLS+Q+5lYfoGM/cLBtH9MfJG/fufEmuYziN +6GaWgYP6HCrRyvlPuY2H43d1LsAHeqfYBz07R/UF3KMX45SeL56hflk+w2i9 +BCPivmVepvFuvFdZjsZ6d5nMFF6PXZSpzGGuc3y4ijCDj50nyIrMo7Jza/mX +nCy3yzGyJLOZEPcnCzKdD+OeZHk6x77KHLwdOy1Ls1C/V97AaT1/7J/+rXw2 +fp/YEed8vKc3oYeekTf0eVShjfPf8lUm0iU+A2Y5eUdfRIHYUecr8jlG0pSe +Zpk4pM+nKm2d/5GvMSn2gYKxx+bfyefjecTOUo125v/KHXE38bwpFLtt/r18 +Id5n7CzVY7fNr8udTInnQuHYbfMf5Hrui52lRux2/LFjl5gad0CR2G2zH+UG +RsWOUjN2O/4+slufFu+RorHbZj/JjbEzsYP0MsvMm/oj1Irddk7LHn16fA8D +nXNxTF9Msdht55/lptgFhjjn5/3YFXrrWXhLfzTuXC/KJ3rt2HM9kb36WFkq +di8+N7IQH8VnQ1agtz5I5mYqx53HyTJp/v9/sCTuTBZnJp/GLshK/Aepw6i8 + + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwNxkVWQgEAAMDvUbySR3DlSk9iC9iFivgwsLsFW7G7u4u9s5j3Jje/KK8w +JwiCAorllh3+WOSbK8b44JwtkrxywjpzJHjikBWmGaaEO3ZZYpx+uinlnj1S +TDBAD2WUU0ElVYQIE6GaGmqpo54GGmmimRZaidJGOx3E6CTOA/ukmWSQXp45 +YpUZRujikQOWmWKIN07ZYJ4+XjhmjVk+uWCbUd45Y5Mfrlngi0uyZPjlhn8G +dlEC + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJwV0nWIFUEAwOG9MM4OLCzOwE6wC2wFu8D2wJY7xS6wFWwxMDGwC2wFWwxM +DCws7ALzzrO/+ePjN/Pe7nu7M5OYlNIxOSaKonRSDZ7HRtFmEuOiaKTm07ta +Rttogl7WlRQ2fqQ1+MVx5tKfXL67qespyyf2MYXuNCbeNed1Kcmk+f8XuoVR +tKUmvznBPAZQjs/sZyo9aMIP97/UrYymHbX4w0nmM5DyfOEA0+hJU9Ld/0q3 +MYb21OYvp1jAICrwlYNMpxfN+On+17qdsXSgDv84zUIGU5FvHGIGvWlOButx +QZeREtbU773RHYyjI1lcc0VXUZfI/IwuYgiV+M5hZtKH7K65rmtpQUbzi7qc +QsYPdTh5jG/rRoobPwtr7xne6k7Gk9/n97QTWY2vaildrUX0cdgfracxelZL +6mItqA/CedChmltvaWndoMX0adgfraypHKGE+SwtoPe1LzmMb+g6iho/0ZZk +Mr6kKxhBXvM7uimcA+/yTncxgc5k8/01XUN9Ys3P6RKGUYU0jjKbfrQKZ8Jv +vdfdTKQLDagaziHHmEMSrcMZcP0H3cMkutKQamEP+cheJtONRlQPaxieiTji +wxkJ+xfek8wkhDMR9iK8S9jrsDbkJFdY47CvYR3Cs3m3/xB1eu4= + "]], + + Line[{532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, + 812}], + + Line[{543, 544, 545, 546, 547, 548, 549, 750, 688, 638, 600, + 576, 563, 761, 699, 649, 611, 587, 550, 751, 689, 639, 601, + 577, 771, 709, 659, 621, 564, 762, 700, 650, 612, 783, 721, + 671, 588, 776, 714, 664, 794, 732, 626, 788, 726, 806, 676, + 800, 738, 811, 551}]}, "Charting`Private`Tag#3"], { + Directive[{ + Opacity[0.2], + Hue[0.67, 0.6, 0.6]}], + Line[{814, 815, 813}], + Line[{818, 817}]}}}], {}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 1.}, {-1.75, 1.75}}, + "Frame" -> {{True, True}, {True, True}}, "AxesOrigin" -> {0, 0}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> Directive[ + GrayLevel[0], FontFamily -> "Times", FontSize -> 10], + "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxF2Xk4lN/7B/BoI4WklJJEUkmSpXzKLSrZsi9RlpRotYVIVBQRKSTZKku2 +qMiaQ9Ei+87MmE0i28yDoqLfcV2/me9frtdljOfc5zxne0udumx6hnfBggUT +PAsWzP/UOzPYUjVkoZF0pmRsweggWqQt7kZdpwyfI2LuV+QMoT0p8Ylv1h0C +ykptCfuZIdS/QeaGj+wxuPTV4/sW5R9Iv6HrddI6cwipOHpn+5kfSCZCIv2f +5HEwfpqtMRH1A/3Vc3rkKGsHRj5z5cfe/kA/gttrHOMc4c9ga4tRzw9kdfrX +uwfrTsNPn/K7WTM/UI22ePGHRGcI8mqCbrFhpCh9oGBS0hXWlNX7qCoPIz7G +zWeWspeA3b83+JbLMCqjtTZ8MHcDc32/Zy1Bw8jN+LTeiTh3WJq6VXx1/DCi +7LqjfW+dF9ilNOwf/DCMYlLWVm2xvQJ8agfPPO8eRnpC2fsrE72BWhKakjsy +jN6O1auMS16F1AfPtzJERlBknoiciex1cD3qo7ri+Ag6LJGWNnQ2EIi+X3+v +uY6g6x8ThKvNg6BIzcQ07OoIUlaVv3c87gZobNTmiYwfQamrjW7fXRcMoU46 +X7+0jiD/hkc1lmXBwD+dU55GG0GWITReadsQuFotpq8xNoKWT7kHlifehiOK +vxJL+EaRb3uM74hkGKws7Pop+N8oMnnYe+6YbCTwmGcnTsaNInl96SzxT5HQ +fG5NacXTUcS38ML3gbNRIPRi04BD7iiyL517hczvQ/Lst7KD1aNo9bb7CtZx +0SCklBYSNDSKbvC92Rq2LgYETcess5XH0Had6NDXbjGQZR0yfEJjDLWGXB4i +fYqBbe55yyd1xpDMQvkcBe9YiK/9L6/VZgx9nE1TaG+Ngwbn3vZTgWNo+USc +imTEY0gmJ37QqRlDRbuvxB1lPobfPopzd+rHkJ2b2S939QQQibyxqqh9DOWP +CpXWDCaA/xXvY139Y8h0MHT/ucOJML2Sh3Z40TiKp/hpF80lw+cbdR9Wao6j +gxuOp/VZpEDWi471Ojrj6IeN2mK+vBQQqkJbLx0bRxtHrjrl7UyFvg9jug9O +jKPLrqpbFpBT4Xin2+Be33Ekcjr/RfreZyDzSCtKPm8cWR9/ms9ip0FzzEGn +i0tZaJxkvuyZeDpMRec4blrGQrft+M6YaaeD9BWbS03LWeiN02XxtzHpcPkU +okmJsJDgpQMh/moZwDPu4Ph4AwvV3uyxWRKQCZN7To+QFFlIKXflkg182bBr +keKucUsW+qJQ69CgmA3H7iuMqB5nIYdXvuXXj2eD1E96h78tC917S3WjZ2dD +tqOB3qw9Cw1W5ZEyDHPAdh35ItWFhZI7dF/tfpgL2/fULpH1YyGBfzdO6GzM +h5V1E3bMBBaKd7Wykz+SD8wuMYXWRBaSaZd3WHkpH0ad3Ucrk1lII6vLifQu +Hxrm5gwePGOh0qy4omKFAkgKOTa3KZuFRs1GKvQbC4D/l6cvvYSFLF48+uq5 +4jXkR9ctXNrBQltMxgY/hBdCmDk5L5SPjcQDddTPPi0E51tOgzuXsZFwXmr4 +suJC/PmD91sE2Og3n5mCCaMQ9kzpKYkKsVFzVbFn394iEDkmtuTOajbyU7wx +N/2tCIZViwS2bGajRiFRUQXNYnjxasrUVJ2NfBr3QfxkKdjrka9TndlIUKHo +2xe+MrDZtNlc3IWN0u4pRvzZUAZdqQ6q5q5s1GKwtcfucBnsFzonW3uejXZ8 +FfXcElcGWTeftj9xYyPqp/GM12rlUGkhfErCj410qtMFG/wq4K9wV7xvBBuJ +Fa7s4+FB0LZiiXbNSzY6EfHHvH8NgpIbt6Vo+Wz07PS3rx/lEdSnZMr9KWAj ++dWlpeHWCC68CS9UeMNGWt4OsasLEMTWVZDDi9lINdEqI2ZXFfzaE7RXsoqN +HO0yTr7oq4J9ySbrC5rZ6C1Du75p/3toygnjyRhno/fnktYfH6mByrrVd8W3 +EGhK9oBQ3sVPEKarBElGBOKdyRFev6UO3IXu7ljjQyDVfw5vzV7Wg2rLxEBO +HIHqg5UbP25vgo/s+JFTrwgkeX94JmusGfxCA2RU6wg0/Iva16HYCr+/zfwe +ohDo7dmo93qqrcAvEB27t49AQV0aGei/VjDduWj6Nvaa4qSLWUdawcGbKipN +JXD7bP9eO9EKd8OWv7KmEShhsnOdTGgrvLoqEFrKINBRdoO5B7UV7PwDTU2/ +EyjtR3mdYGQbjPsKyHwbJ9ClR0bS5x62QfTy/apbWARSO8T0r41vg4rH4WJn +sOuSlu289rwNlPSadfqx2cbHo4ZK2iD726ADjU0gjeKfZjXMNrgj+T24aYJA +Pbd2U67uawexKZUVd38RSFAic7y/vx1G36e98ZwjkKblLQpzqB0cavUj0rA9 +ouy/MsbaYde1yQMd2J08azNp0+0gq3tVXvUfgZIHQu3IyztAsy5a7Se2QsG5 +hjblDvBaMiB0mWcCGWor5LwP7gA7Mek1hxZNoOvXlj2uDuuAaq1EGTfsgqKB +21WRHZCe47UiEVtULuVUZXwHiG2+cGkCm7xcWLwstwOm2qMvpS6eQBc62aGv +2jvAXMDXbWLJBIpwLXJOlemET4diKgP4J9APzbxQge2doF5uGPQCW2dterb3 +rk4oe6Qg1obN+ylmzEC9E2xUY2q2LptAV2W8vGeMOuH+yA7JJmxnqtJtU/9O +YOvqUFYvn0AHLQrSF7V2QiR79+dbghMoWf7Fp8tdnWDvOa2dhf13YepQL7kT +FMb3xTVil7yO2vnqeyecfWdeuVZoAikIXy46OdsJUxK/JHKwN3zdWftWrgvu +GCpIfxaeQD81c/pdArsgv+J5MFNkAlWkDT/xCe6CKdnhMp5VE+gmn7zZ7bAu +eCf3r0ESW7A5t/r5wy7g35l51xZb1v5lcl9mF5Af59q1YFsGvLI2b+6CgO41 +Pm9FJ1BRaXE9SHXDliXPHzqvmUB+EtO3jsl2g9RWj8tB2Jo39v53ckc37Let +l0vArj9amuWn0g1GUmrKDdj9XWW3i3S7YWTA5+VuMdw/P99p7vDohrhkEZVJ +bC+lmsI1H7phacR4jv06XD/lTanRn7thV+OkoA+2teq18OWN3fD0p7JeJPZ/ +6sqneHu6IUzNT7cCm1crTWhsrBtWzzw7v0Z8AkWZBJ+rFe+BUoForQ/YQWY0 +C81NPVBxLWRHN7aHxf6D5Vt6IHDDv5ERbMvjk2KvFHvAyP3BzOr1uL6Op2sT +j/SAIzVrnzN2ttuhTV4ePfDFf9T6H3aiR6rAuE8P8Povrl+5YQLd8/r70zWg +Bwa/U8RksN18CxvsQ3sgDpH3HcVWC5Lx10/uAQn22cxI7JqoRZ2b63pA47f8 +DlGJCfQ22qE6qakHDINf7JDBfvGwIndtRw/0bBderIwd/sjrliCtB5zCcrXM +sE1T+hV/T/XAkY1mQ1HY1Jc14S1SvUA/OvaNZ+MEah5dNKK0tRdeTiuqCWFX +yR82iJHvBZoS89QG7NTs2uVWar2gkZSgq4p9KuPjPbJBLyTucTN3wR5I+hz1 +3acXvP9aO9Rid5L5WEcDeoHPccq6GfvTel3j7Ju98MdRQJ6E/eLxF+GL93qh +NSjNkYV9LrYumnjWCwa+YcvWSU6g0Yj6h7MNveBW323qhN33dfmkXVsvyGpP +EuexG5cZmld190JTxc2LXtgvQxtEbzF74cfrSXYwtltwYyzfTC9oPVq+Nw3b +4YPgT9e5XiiPTM3JwTbhNbL8upAERHbSzGtspaCmNZGCJJjlb5Cpxp70b360 +SoYE9842F5Ow+8uEp722kSCQ7/ocHbtjxti6U4EEaSdvSw5iv/VpWft4HwkO +hSWzJrB9PVsfbzQigZVnbiP/pgnk8lrkd6A5Ccq/jH8XxD7ONrWhHyfBtSw/ +0ips9ctt4mmnSdAyZ2sigf3nXPuTbX4kcA3646OAba56MlohkARLP/NLKWHn +8gzc3hNMgltKu7JUsO3if7kfiCQBw7/Qcj92sVPQWa2HJKgoFbwG2MK7+E/q +xJNAq9srSAu7ulb8qMkzEmh+sZY9ii0e/fyAZSYJFAOaP+lhe5yQ32ObS4KA +dp2jhthftxbJObwiwbb48kwjbJmJAxvPvCVBXZDcgAl2QOXHVefKSXBBLXyh +OXZnmBH/5Sr8fea0fxbYdzY5TvrWkUC8xSz2ODZteGgooIkEIcLnFWyx9xZ7 +UG+2kyDUyznjBHb0zT/td3pIcFBba/Yk9g/D4LqIPhJcfP1byR5be92Kqmgm +CRoGwnUcsBP7Y4viBkngNslWd8SezN+Y82QU90ef3IpT2Ib+mampBAl04+Qr +551xRDEu/RcJUmanDZ2w51aWhmf/JUEVM6xi3laUgzfyechgsJTEfxq74EWd +d+ESMnisHlSZN7+X2YVSATI8zcrVnPcpIDtWCpNB7JjM9nmXLTtj9WE1GYL8 +9Yj571vVOWrwWZwMj+LFH8/7wlNvrQZJMmz+HbVx3jUX/qm1ypAhk5l+c/75 +JPaG7uzaRobFt61q59vjvXClNFmBDNoLUgfm29vU+HgtfQ8ZROz9BubrIZew +WXBgL/5/SfQaO+ygMzkLhw+Qwe158435+vUoKs+Ma5GBckRLYr6+Sn8rxiZ1 +yFCqt/uRDfbdT4f7ZwzIUGAfP26NzXzQ2DNnQoZL0h6yVtj77ayaFlqRQUqq +9L/5/ozdRqvhO0EGn+azu8ywxyZdylY4kuFcnC/P/HhICfdLFztPhujHWZoG +2NOWC59scCODkmZlni628eaI+1JXyLCtWWLmCDZvaZL/jkAyrH+Rvv0gtm2w +rLtiMBmaK6qFNbALjfKdVcLI0GIm3KGOfWagygQe4vZ83DG9B7vyla7OoXgy +/GgctlTEXhPQul83iQz/XtU8lMf+vKp/q1kmGbKCMjJlsHccXDrnXE6Gudnz +avPvX0F2ztbuKjK0VQZKzr+fyqLGJrofyfAsxIfKN9/+7/FpO1qwB0cHZvH7 +fezedn3WABmm636IDGC3/mz0chwhw0Jj0VIqtpWDZ3IrmwxFx9pVe+bnnz0V +7MK/ZMjNGiv5iu3RYxh/VYQCrt7OnS+xf2oR1T/EKBB3aOPeTGz/3LhhWwkK +bFIQc0/BDg6kamjI4d+rp7hEYcdtcf/Gq0EBtlrthYvYpe4xShGuFNgeQquU +wtYg7T0xe4kCvFniUWLY7w9RQi55UUCIaFFZgV0nJttjHEiBGdGbS6bx/Et+ +Vxy4OpYCUtZn33zFXrCMVJ9cRYHiuncK57GDPQN/Cn2kgKj6Dgc7bH6K9KYb +Xymg1yd/1gRbpOCCp1MnBRavyJuaXx9kLOfWyY1QoKSqR2QBts4zqbOvxPrA +xnID3MXrj3K8oOzHDX3Q2n2izh97U+Sf/l6pPjhzuHDXRezfVztOLZLvAzne +86FG2HkmYXZWmn3gvOGImwj2Kh62xaxLH7AksgSi8fq44BdFVORSH/CwhhSD +sEdG6tpkPftAVffArsvYH7vTTIwD+kBdquOtAfbVAmvD59F9UG7bNr4Ym2pf +dUi3DH8f0Tjthtfreou8hXaoDxT3pmacxC7RT3jvUdMHz5ZayethP1Dz0kxs +7APDSWm0GfuwkNz+cUYfUCJ//WvB+4Ocyqg9sQJUWMX8SpLD9pGw30w/QQWX +ncO2xWvxfGGsaEl2pMIW12nLFOxjt3judjlTgRqZK3cHW3boObvBjQqUludG +ltjthYNVZcFUuPjfzAsC738UDTzsY3Kp4DTU4S6NPegXkqjzlwoNz5ViTq/G +86XlDpenC2jgaGKioIPtwFrFK7mQBu/OuD/Zhq0v/U1FnI8G7RMKY6N4v7Y5 +7E6SsAgN1i6gunthN5vXX5jdQgPXgCVtvnh/Jz9iIdBlSIOvRqHEyZW4HuYG +6K4xDVa+0EtTw9Z+p+WpYUaDKlX79SuxbSJ3kdKtaSCYEG/9Ae83wxT5c7yc +aNDBS+Xbgj3gVaEncpUGSWqGAhS8f02d3XzXMI0GCb9Svkjg/a+88zoNnkwa +2B/mzx4XwOtZoxBRmEWDixlGOtXYjSl/j2/Ip0G4q1KxE/bswc5tw6U0aNhm +6ZSO99M2t8O+hDbRwEXx8LP1eP+9SojFV/ObBnf/5u1qwfv51nd37+jO0kB5 +qXlBEvaDC1uWNP2jgdAXqRFXbJE6m4W9i+gw3FX5kGfeIbWz44J06HS+F78T +nw+E/yQQ66XpQH1tJeXLO4GWfz9M9tSnw9RUjFo7Pq/Ux9JsZgzpQOcPMn2E +HXHIv+e6MR0C/RPFbbAFnhV0hlnQof60QUHfLIGWnVzfkmJPh0RrOwbzL4H4 +2li1Xz3p8KFYlkH5TaBF6Em+dCIdXPWTxsN/Eqh3/6Gj1GQ67PtY4nYAO79s +hJbwlA4ue3b7jk0RyObtARGRTDpo90itMcJ+lUf1WvCaDrnrrrstmySQXaK0 +OuUTHV6XNqS54PNaydWcmrgJOjx7ekOlbJhAkb/MTpr+pEPBNE3BBtvpyt+p +FTP4eS3OBc/8wOc3d8OtIXN0aLIVOqCKfcZlPMyTnwHOFqdfZw4SSMRqj5Gx +JAPKstfMuXwj0Hnl8h5+fQaoKIv4WeDzalVzR26JIQPUr5qtp+Lz7eqLrMCz +xgzw8x/qOIuN0rfI1lowoDEv7a43mUCrxO57BDkw4FqL0aPQXgKVz5wW+HWF +AYMvpjZf6ySQcFxgX7ovA1ZcjCmf6sDPo5TwytyfAeaTrkqXsIXON1m9DmKA +986YF7btuD3kfWkXwxkQtXbbbrlW3B9I8EB/KgMsheRFbzUQyMF2m/DD5wwo +VpPcPlhPoKJf2syDGQzgFYyr1ce2V7walpLDgBaHMTfBrwR685TZYfOWAcyP +Kz8HfSbw+lVyqeUrA1Tz69eJfCBQwaa2g0GNDJi0bii1fU+gxe9GRXe1MCBL +zcL4eTXuv6nN5RGdDGgXurVbvopAC8/eW3qUzoBdSX520u8IlKN7KrXyJwNY +RR/PJ7wlkLK8lAbM4Pa7CjR9LSJQpSCNhP4wgFq9Lep3IYGa206KVS9gQtNi +N7LRGwJNnjwe+WEZE05uLPHtyidQgOZa+UMrmLA/e0cs+yWBlkh3fakRYsK/ +8EAnPux1g+aLP4riv5/wydmRi8/zHsbXPm9kwp4rXfmHXxDok7mQuK4UE3ob +9m7XyiSQsVpj8RdpJlRFEdbqGQQ69Vd/ok6OCRLpSxQl0gh057bOuQYlJvgu +XVmSmYL7y3UJ3zEVJmz8MN1xLZlACfq16Y1qTBiWvyNqkESgXGFtetN+Jmg+ +GqZ3JeD2JGhYtx5hguXqP/yJsQQ6HjA7ZarLhOSO8w/2xRCIYV/xsE2fCQVh +ah6ND3B7ZdSb2o2ZYLWNuZMchdu7dOaChRkT3nTK9JtF4vb+KF7WacEEHe+y +Z1URuL35Kke6bJhwZrRP0TuMQM+iJ5lWJ5nQ0P9N690dAsl7vbnRbc8Ee3nF +3qkQXI99u9/1nGbCISdWpepNXI/1LBubs0xYVOWisD8I12Pu5XSvK37e16Fm +O6/jenyQVyZfYkLZn0PidVcJNJw+3HLCHf997DUnNx8CeYVmX6Z4MmFaV/HK +Ly8CzZ1zXWHnzYQZ/c//bDxw/Qzlcvp8mdD/bWtq7GVcP8XvR+39cX3lRrxS +L+D6iWQMUAOYoMRo8/FwJZD01OlghyAmJC47e+HfGVzPLunN9JtMcLq/nlf1 +FIFUyhjIMYQJkb1FR/+exOMn8elJxh0mrBewk5E7TiCdQIc/p+4yYaDFSP+C +Ga6/o+RjZgTub8L1zVoDXP9Dfaqno5gwprHYw0+TQD1HRC8dus+EuRdekoEq +/3PIJub50d3/+/xICJ3PUfZ/3/cyd3pSet3//l9GyfNFu4X/9zyvlx8w7OD7 +3/Nq8qTXOPD+rz0b+9ZK3f7L5rb/XPjZw0sINrc+1eOB9SqjbG79LsvGpiQN +srn1/rglTmWSyub2x+XkxYWdJDa3v0TGJfu7utjc/qaMZ6S2fGZzxwNKWPPw +fTWbO16GdYJdTCvZ3PEU7sFUYpWxueORWe9JoeaxueNV2sJCLzaTzR3Py45J +qAeksbnj/W92g8HFp2zu+3NGQ0iaGcbmvl/O+pP9T6+zue/fQYu1xeuvsrnv +Z2fJUIKdN5v7/sZtqz2U7snmzgfrZ28pmNqxufNF3mhb8ogZmzufFD9eqvL5 +GJs73+jF2pnsM2Bz56MASYfwXF02d76792yn2txGNnc+3Bi/olx9GZs7X7IH +NhlN/mNx59P0b/t5u36zuPPt2z0T5brTLO58fGHJKqt3UyzufC8SsNbMtoHF +XQ8oF/cIOpayuOtF4f456sV8Fnc9MeTncfLOZnHXm1XvCiX/ZLK469HRu67b +g9JZ3PU1+YCiZekiFne/IK3i2+xBGePuf64W1FdtfjjK3c85mKeHeVqPcPe3 +GRfjxU2XD3PPF/cIOfebT4a45/n8/NuXRf8b5N6/lL2lfN5UOMC9z0o5sPHl +kNg37v3crPre3rlIJve+0d0OZdST6dz70zaDR7vOatK497fagil+FQSZe3/c +HmPtbLy3h3u/LNOr+XNZfgf3/rk86lP5wJcW7v20V5X0mkqleu79tS5/lnSv +dw33fvu4dh5bklLMvf/Wmui1kr6VzL0f95e9O9NUnQac+/n6y/8Z+Pq+A04+ +8Jvt2tc/+Qk4+YSoqvf4NeUW4OQj28UCH6Q4dQInj2n//J+h+74e4OQ1dZs1 +qn4U9gInz7HWet4U40MCTt6TE1iz5HAvCTh5kLKtvvc0Pqdz8qLndjrJQ/ic +zcmTdvrVP/+Bz8mc/OpC+6THg/dU4ORjgX3M2O+dNODkZw9WLc4ttaYDJ1/z +Sq5fUf2EDpz8LVN2rQfpHR04+dwp9XPXhZvowMnvtm1S53Wk0YGTDyaSIlJu +jTGAkx8+qFLd8xTPa5x8sc9tc8uqFryO/H/+mJyy3DJxhgmcfLLlyU/eA4L9 +wMkvNRtPr5KU7gdOHhrBc/K3aEc/cPJShTt3aSfUvgEnT9WXzaKknv8GnLx1 +yvSG+avb34CTx1YUfi7zfPYNOHluxMN3V6bWDQAn702yG+AzOTEAnDz44i7b +kLeBA8DJi9fo3QkcSRsATr48+3llr8XPAeDkz+cNxpJKpL8DJ59e+mlbYqjF +d+Dk1y7XV9+KufcdOPl2yXRYzKmm78DJv3Vj4l2WywwCJx+P4e8RbxoahP8D +cASkyw== + "]]}}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwV13k8VF0YB/BoI4WkREmypJIkUb3lEUq2kJ1sKUuLbCESFWWLlCSvLZE9 +FMmSoxct9n2bGTNmJLuZO4RC7/HXfL6fmXvnPL9z7znPEb9088IVzlWrVkVw +rFq18ql9ZaStetREJenKx6lVkyNojbqIG1VYEb5Fxj6pzB1FR1LiE98LawBl +s7qo7cIoGtopec9H+jy4Nnj8lFIcQzpNPe+ShI0hpPLco/1XxpBkpGjGXzEL +MHiVo8KOHkOL2g4v7KVtQN9nueL8hzE0FtxZax9nD39G2tv0+8aQ2eW5T0+F +L8Mvn4rw7IUxVKsuUlqT6AhBXi3QKzSO5CVOFc6IucC28kYfJcVxxEW/n2Yq +7QqsoWPBD5zHUTmtvanG2A2MdfzS2oLGkZvBZe2Lce6wPnWvyNb4cUQ59Ej9 +sbAX2KQ0nRypGUexKdurpaxuAZfy6Suve8eRNl/OyapEb6B+DE3JmxhHH6Ya +j06L3YbUp6/30gUmUFS+gIyh9F1wOeejtMliAp0RTU8fdQoEYmBu8Y7LBLr7 +JYH/s3EQlCgbXgi7PYEUlWQfW8TdA5Vd6hxR8RModav+w3DhYAh10Gz43j6B +/Jte1JqWBwP3fG5FOm0CmYbQOCWsQuD2ZyEdlakJtHHWPbAi8SGclZ9L/Mg1 +iXw7Y30nxMJgc3HPL95/JpHhs/6r56WjgMM4J3EmbhLJ6khki3yNgtar28oq +X00irtXXfw47RQNf1u5hu7xJZFu2XISMn0Dy0o/y058n0dZ9T+TM42KATyE9 +JGh0Et3jer83TDgWeC9MmecoTqH9mjGh79xiIds8ZPyiyhRqD7k5SvoaC/vc +8zfOaE4hydWyuXLezyG+7p/8dssp9GUpXa6zPQ6aHPs7LwVOoY3suKNikS8h +mZxYo1k7hUoO34o7x3gJv33klx81TiEbN6M59xMJIBB1b0tJ5xQqmOQrqx1J +AP9b3ud7hqbQhZHQk1fPJML8Zg7amTXTKJ7ip16ynAzf7tXXbFadRqd3WqQP +mKRAdlbXDk3NaTRmqbyWKz8F+KrRXtfz02jXxG2H/IOpMFAzpfX04jS66aIk +tYqcChbdbiPHfKeRwOWCrIxjaSD5Qi1aNn8amVu8KmCy0qE19rTDjfVMNE0y +3pAmkgGzMbn2uzcw0UMbritG6hkgccvStWUjE713uCnyITYDbl5CNHEBJuJ1 +PRXir/wGOKbt7F/uZKK6+32W6wIyYebI5QmSPBMp5G1et5MrBw6tkT80bcpE +3+Xq7Jrkc+D8E7kJJQsmsivyrbhrkQPivwa7/K2Y6PEHqttgTg7k2OtqL9ky +0Uh1PumNXi5YCZNvUJ2ZKLlLq+jwszzYf6RunbQfE/H8vXdRc1cBbK5n2zAS +mCjexcxG9mwBMHqE5NoTmUiyU9Zus2sBTDq6T1YlM5FKdo8D6VMBNC0v6z5N +Y6Ky7LiSUrlCSAo5v7w7h4kmjSYqdZoLgXvO03fwIxOZZL1o8Nz0Dgpi6lev +72IiKcOpkZqIYggzJueHcrGQSKDmCadXxeD4wGHk4AYW4s9PjdhQWox/f/pJ +Gw8L/eYykjOkF8ORWW0FQT4Waq0u9Rw4VgIC54XWPdrKQn7y95bnf5TAuFIJ +j9QeFmrmExSUUy2FrKLZCxdOsJBP83GInykDW23yXaojC/HKlfz4zlUOlrv3 +GIs4s1D6Y/nIPzvLoSfVTsnYhYXadPf22Zwph5N8V6XrrrHQgQZBT6m4csi+ +/6rzXzcWon6dfvNOuQKqTPgvifqxkObnDN4mv0pY5O+J941kIaHizQMcHAg6 +Nq1Tr33LQhcj/xgPbUPw8d5DcVoBC6Vd/tHwRRZBY0qmzJ9CFpLdWlYWYY7g ++vuIYrn3LKTmbfd8ayGC5/WV5IhSFlJKNHsTe6ga5o4EHROrZiF7mzfWWQPV +cDzZcEdhKwt9oKs3tpz8D1pywzjeTLPQf1eTdlhM1EJV/dZwESkCzUqf4su/ +8RXCtBQgSZ9AnAu5/Duk6sGdL/zANh8CKf21+2D0thGU2tjDuXEEagxWbP6y +vwW+sOInLhURSOzJ+EL2VCv4hQZIKtUTaHyOOtAl3w6/fyz8HqUQ6INT9H/a +Su3AzRPz/NgAgYJ6VN6gf9rhwsE18w+xt5Um3cg+2w523lRBCSqB67NavHOx +HcLDNhaZ0wiUMNMtLBnaDkW3eULL6AQ6x2oy9qC2g41/4IULPwmUPlZRzxvV +AdO+PJI/pgnk+kJf4uqzDojZeFJJikkgZQ2Gf118B1S+jBC6gl2ftOHgndcd +oKDdqjmEzTKwiB792AE5P0bsaCwCqZT+MqpldMAjsZ/BLWwC9T04TLl9vBOE +Zo9uCp8jEK9o5vTQUCdM/pf+3nOZQKqmDyiM0U6wq9OJTMf2iLZtoE91wqE7 +M6e6sLs5tmfS5jtBWuu2rNJfAiUPh9qQN3aBan2M8i9sucKrTR2KXeC1bpjv +Jgcb6anL5f4X3AU2QhLbNNaw0d07G15+DuuCz2qJkm7YhSXDD6ujuiAj12tT +IragTMqlqvguENpz3ZWNTd7IL1Ke1wWznTGuqWvZ6Ho3K7SoswuMeXzd2OvY +KNKlxDFVshu+asRWBXCz0ZhqfijP/m44UaEXlIWtuT0jx/tQN5S/kBPqwOb8 +Gjule6IbLJVia/duYKPbkl7eC/rd8GTigFgLtiNV4eEF/25gaWlStm5ko9Mm +hRlr2rshinX42wNeNkqWzfp6s6cbbD3n1bOxF1enjvaTu0Fu+nhcM/bHd9EH +i352g9Mn46rtfGwkx3+zxHqpG2ZF50RzsXc2HKz7INMDj/TkJL7xs9Ev1dwh +58AeKKh8HcwQYKPK9PF/fYJ7YFZ6vJxjCxvd55I1ehjWA59k/jaJYfO25n1+ +/awHuA9mhlthS9u+TR7I7AHyyzybNmzTgCJz49YeCOjd5vNBkI1KykobQbwX +pNa9fua4jY38ROcfnJfuBfG9HjeDsFXvHfvH+kAvnLRqlEnAbjxXlu13tBf0 +xZUVm7CHesoflmj1wsSwz9vDQnh+fn1SPeDRC3HJAkdnsL0Uaou31fTC+sjp +XFthnJ/i7tSYb71wqHmG1wfbXOlOxMbmXnj1S1E7CvufE4qXOPt6IUzZT6sS +m1MtnW9qqhe2LqRd2ybCRtGGwVfrRPqgjCdGrQY7yIhmorq7DyrvhBzoxfYw +OXm6QqoPAnf+nZjANrWYESqS7wN996cLW3fgfO0v1yWe7QN7avZxR+wcN43d +Xh598N1/0vwvdqJHKs+0Tx9w+q9t3LyTjR57Lf5yCeiDkZ8UIUlsN9/iJtvQ +PohD5OPnsJWDJP11kvtAlOWUGYVdG72me099H6j8lj0gKMpGH2LsPie19IFe +cNYBSeysZ5V527v6oG8//1pF7IgXXg94aX3gEJanZoR9IWVI/vdsH5zdZTQa +jU19WxvRJt4Pg+emfnDsYqPWyTUTCnv74e28vDIfdrXsGd1Y2X6gKTAu7cRO +zanbaKbcDypJCVpK2JfefHlM1u2HxCNuxs7Yw0nfon/69IP3orldHXY3mYt5 +LqAfuOxnzVuxv+7QMsi53w9/7HlkSdhZL7/z33jcD+1B6fZM7KvP62OItH7Q +9Q3bICzGRpORjc+WmvrBrbH3ggP2QMPGGZuOfpBWnyGuYTdv0DOu7u2Hlsr7 +N7yw34Y2CT5g9MPYuxlWMLZbcPNzroV+UHux8Vg6tl0N7y+X5X6oiErNzcU2 +5NQ3bVhNAiInaeEdtkJQy7YoXhIscTdJfsae8W99sUWSBI+dWktJ2EPl/PNe ++0gQyHV3eRC7a8HAvFuOBOnWD8VGsD/4tG1/eZwEGmHJTDa2r2f7y136JDDz +zGvm3s1Gzu8Efgcak6Di+/RPXmwL1gXLQQsS3Mn2I23BPnGzQyT9Mgnalq0M +RbH/XO38d58fCVyC/vjIYRsrWcfIBZJg/TducQXsPI7hh0eCSfBA4VD2UWyb ++Dn3U1EkoPsXm57ELnUIclJ7RoLKMt47gM1/iNtaM54Ear1eQWrYn+tEzhmm +kUD1u7n0OWyRmNenTDNJIB/Q+lUb2+Oi7BGrPBIEdGqe08Nu2FsiY1dEgn3x +FZn62JLsU7uufCBBfZDMsCF2QNWXLVcrSHBdOWK1MXZ3mD73zWp8P2PaXxPs +R7vtZ3zrSSDSZvTcAps2Pjoa0EKCEP5rclbYx0o9qPc7SRDq5fjmInbM/T+d +j/pIcFpdbckae0wvuD5ygAQ33v1WsMVWF95UHcMgQdNwhKYdduLQ85K4ERK4 +zbBO2GPPFOzK/XcSz8eAzKZL2Hr+mampBAm04mSrVvzmrHxcxhwJUpbm9Ryw +lzeXReQskqCaEVa5YjPK6XsFHGTQXU/ivoxdmFXvXbyODB5bR46umNvL6HoZ +DxleZeeprvgSkO2r+MkgdF5y/4rLN1wxq9lKhiB/bWLlflu6J3W/iZDhRbzI +yxVff+Wt1iRGhj2/o3etuPb6X+V2STJkMjLur4xP9FjowZ59ZFj70KxupR7v +1ZslyHJkUF+VOrxSb0vzy+2DR8ggYOs3vJKHTMIe3uFj+P+SBmttsIOu5K4e +P0UGt9et91by65NXXJhWIwPlrJroSr4Ki5VTM5pkKNM+/MISO/zrmaEFXTIU +2sZPm2Mznjb3LRuSwVXCQ9oM+6SNWctqMzKIi5f9szKfz/fRarkuksGn1emQ +EfbUjHP5JnsyXI3z5Vh5HlIi/DKErpEh5mW2qi72vOnqf3e6kUFBtSpfC9tg +T+QT8Vtk2NcqunAWm7Msyf9AIBl2ZGXsP41tFSztLh9MhtbKz/wq2MX6BY5H +w8jQZsTfdQL7ynC1ITzD9Xw5MH8Eu6pIS1MjngxjzeOm8tjbAtpPaiWR4W9R +7TNZ7G9bhvYaZZIhO+hNpiT2gdPrlx0ryLC8dE155f0rzMnd21tNho6qQLGV +91NR0MBQ6wsZ0kJ8qFwr9f+MTz/Qhj0yObyE3+/zj/frMIfJMF8/JjCM3f6r +2ct+ggyrDQTLqNhmdp7J7SwylJzvVOpbWX+OVLKKF8mQlz31sQHbo08v/rYA +BVy8HbvfYv9SIz6PCVEgTmPXsUxs/7y4cStRCuyWE3JPwQ4OpKqoyODvT6Q4 +R2PHSbn/4FShAEu57voN7DL3WIVIFwrsD6FViWOrkI5dXHKlAGe2SLQQ9n8a +lBBXLwrwEW1HN2HXC0n3GQRSYEHw/rp5vP6SP5UGbn1OAXFzp/cN2Ks2kBqT +qylQWv9J7hp2sGfgL74vFBA8ccDOBpubIrH7XgMFtAdknQyxBQqvezp0U2Dt +pvzZlf1B0nRZWGaCAh+r+wRWYWumiTsVCQ2ApelOCMf7j2I8r/SXnQPQ3nux +3h97d9SfoX7xAbhypvjQDezft7surZEdABnOa6H62PmGYTZmqgPguPOsmwD2 +Fg6WyZLzADBFs3li8P64ao4iKOA6ABzMUfkg7ImJ+g5pzwFQ0jp16Cb2l950 +Q4OAATgh3vVBF/t2obne65gBqLDqmF6LTbWt1tAqx/cjmufd8H7daJK/2gYN +gPyx1DfW2B91Ev7zqB2AtPVmstrYT5W9VBObB0BvRgLtwT7DJ3Nymj4AlKi5 +v224P8itij7ynIcKWxgNJBlsH1HbPYMXqeB8cNyqdDteLwzkTcn2VJBymTdN +wT7/gCO8x5EK1Kg8mUfY0qOvWU1uVKC0vdY3xe4sHqkuD6bCjX8Wsgjc/8jr +etjG5lHBYbTLXQJ7xC8kUXORCk2vFWIvb8XrpekB51eraGBvaCiniW3H3MIp +tpoGn664/7sPW0fix1ERLhp0suWmJnG/tifsURK/AA22r6K6e2G3GjdeX5Ki +gUvAug5f3N/JTpjw9OjRoEE/lLDejPMw1kXhBjTYnKWdroyt/knNU8WIBtVK +tjs2Y1tGHSJlmNOANyHevAb3m2Hy3LleDjTo4qRySWEPe1VqC9ymQZKyHg8F +96+pS3vC9dJpkDCX8l0U97+yjsIqHJk0sD3DnTPNg/ezZj6iOJsGN97oa37G +bk5ZtNhZQIMIF4VSB+yl0937xsto0LTP1CED99OWD8O+h7bQwFn+TNoO3H9v +4WNy1f6mQfhi/qE23M+3fwp/pLVEA8X1xoVJ2E+vS61r+UsDvu/iEy7YAvWW +q/vXDMJ4T9UzjhWH1C1N8w5Ct+Pj+IP4fMD/J4HYITEI1Hdm4r6cbLTx5xmy +p84gzM7GKnfi80rjc5rlgt4gDHIHXXiBHanh33fXYBAC/RNFLLF50gq7w0wG +ofGybuHAEoE2WO9oS7EdhERzGzpjkUBcHcy6Bs9BqCmVplN+E2gN+rdAInEQ +XHSSpiN+Eaj/pMY5avIgHP/y0e0UdkH5BC3h1SA4HznsOzVLIMsPpwQEMgdB +vU98mz52UT7Va9W7QcgTvuu2YYZANokSJyhfB+FdWVO6Mz6vfbydWxvHHoS0 +V/eOlo8TKGrOyPrCr0EonKfJWWI73Fqc3bSAx2tyNXhhDJ/f3PX2hiwPQosV +3ykl7CvO02Ge3HRwNLn8LnOEQAJmR/QNxOhQnrNt2fkHga4pVvRx69DhqKKA +nwk+r1a3duV91KPDidtGO6j4fLv1BjPQyYAOfv6jXU7YKENKus6EDs356eHe +ZAJtEXriEWRHhztt+i9C+wlUsXCZZ+4WHUayZvfc6SYQf1zgQIYvHTbdiK2Y +7cLjUUgoMvang/GMi4IrNt+1FrN3QXTwPhibZdWJ6yEfT78RQYfo7fsOy7Tj ++UC8p4ZS6WDKJyv4oIlAdlb7+J+9pkOpstj+kUYClcypM06/oQMnb1ydDrat +/O2wlFw6tNlNufE2EOj9K0aX5Qc6ML5s/hb0jcD710fXtgY6KBU0CgvUEKhw +d8fpoGY6zJg3lVn9R6C1nyYFD7XRIVvZxOD1Zzx/s3sqIrvp0Mn34LBsNYFW +Oz1ef26QDoeS/GwkPhEoV+tSatUvOjBLvlxL+EAgRVlxFVjA9bvwtDSUEKiK +l0ZCf+hA/bwv+ncxgVo7rIU+r2JAy1o3sv57As1YW0TVbGCA9a6Pvj0FBApQ +3S6rsYkBJ3MOPGe9JdA6iZ7vtXwM+BsR6MCFLTxivPaLIL6e7ZN7IA+f5z0M +7nzbxYAjt3oKzmQR6Ksxn4iWOAP6m47tV8skkIFyc+l3CQZURxPmJ94Q6NKi +DrtehgGiGevkRdMJ9Oih5tUmBQb4rt/8MTMFz5fLOq7zRxmwq2a+604ygRJ0 +6jKalRkwLvtIUDeJQHn86oMtJxmg+mJ8sCcB15OgYt5+lgGmW/9wJz4nkEXA +0uwFLQYkd117ejyWQHTbymcdOgwoDFP2aH6K65U80dJpwACzfYyD5Ghc7/qF +6yZGDHjfLTlkFIXrHSvd0G3CAE3v8rTqSFxvwdGzPZYMuDI5IO8dRqC0mBmG +mTUDmoZ+qH16RCBZr/f3em0ZYCsr3z8bgvM4fvhT32UGaDgwq5Tu4zx2MC0t +nRiwptpZ7mQQzmP57Xy/Cx7vu1Cjg3dxHjWyimRXBpT/0RCpv02g8Yzxtovu ++PrndxzcfAjkFZpzk+LJgHkt+VtzXgRavuqyycabAQs63/5aeuD89GRyB3wZ +MPRjb+rzmzg/+Z/nbP1xvjITXqnXcX4Cb4apAQxQoHf4eLgQSGL2crBdEAMS +Nzhd/3sF59kjsWfwPgMcnuzgVLpEoKPldGQfwoCo/pJzi9b4+Ul8ZU1/xIAd +PDaSMhYE0gy0+3MpnAHDbfo6141w/vZiLxmReL4Jl/fbdXH+GgNKl6MZMKWy +1sNPlUB9ZwVdNZ4wYDnLSyzwKIH+B89qNtc= + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwV1Gs41GkYBvDYVsKiVIw2E8NsWlsStanxaLVZpxYhcpYkLXJIqtWscsgh +2zJKGWfDlUN2I0S8RLHtiMlpHQbzf8cgYv4aSkVrPzzX/eH+cP8+PZo+wfan +pdesWeO/ev+n5elJXtOUowlr/YB659QkrDVTPz9KMQQLVoa/gvYk7M3JYFdS +jkDt+wSWT+cECL/Wjr5IPwb+VzdfZ92cAKuO/odZFAdY16bLvuE4AdrJ2zif +qS5wzno2q5Y2AZ8sT93xpnvAcvuGQcdFEZzwfdeQSvGFLZbxzJlCEbSaqde0 +sP0gcLdrbDVTBPo0xp8S6lnI8hDJ2rmJQJa4lu9ED4LktIYLCxQR8HfHm92k +hMOTqva6sPxxYOWoNem4XoAF+2iHv+LGwVKp5FAjOwKs6Pf5uefGoXqWazRH +vQS74hPH3PaPQ0r5xh129KuQLOX+YVOvEHI3/xyXSIkB05e+KlSaEK503Gl1 +qosBXuaiNENRCE6xY9I011jIzlFwYi9hUFgIYdaz42DkvBZPhYchsocVOUNN +gNSmfXvzrmGwSxsMOEZPAfZQcs71WQKiZSu/SaCwQHe7sbT3mAB2mv9x4+F5 +FvgYB1xV7hTAq9jgqaE2FhTT1UKHGgSg/YVe6a6IdAjP5n7VnCmA58uFu3pe +3YZUlS/LHjsLQOHtbSNq8l1gjuD0ib4xyOBfNnu0kg2/9EhCU5+OgrNLXoWY +LITvLnMLXjOGYW7IQS5fnQMFHubZU9rDEOche/q4GQcMXa0i3ssPQ+WpYPVq +FgdKma0yPw4OgWIQI/bK/iJw/qGgk3VxCJ5dGzgpE1UML7RMml5XDYJB2QaZ +r2VLoKf9oE3IgQGQ/xztZq5RATtVmak5p/pAx252siWpCjbti5j71ZAHF18e +gAzJY/hAnh0RStpAtWrDiJQUAm7wQevIyAaoJsy4nYeewhV64lJncyE8Dcja +6jLTCj+8HTxBu56NFugMpfLANnAxKyep/BokvVSqvFXnBVisv08bjGhF+z57 +VR9/wIXwJtqWRgMu4sYYvny+sxPqf2+rF/3NQ9Rb00v3Z7tAe9B0Ua6iF02/ +Gx3p1X8FPSxnP9vvB1Dh6/oXiindYKaYc/nJ/DBS3FY8JxT2QLf1nd1nTMdQ +8tlHfrnafRDigYq4wwK0aFoq9Gf2w7Lx94MrKRiFG7RWbWn5F3IYGg+mVMfR +6IPWJJ7mINRV89u3V4nQx4CeTN3LQ1BRERe86eAk+vbwuhW/+mG4Ob8j5Frm +FDLP1zzzl+oIFAVmqNsrTKOL2zy1BG6j4OXASQhznkF6M47y/TZjcOlPbpNW +2hukoiSWbf0wBjSjyK5Q/ixaizIraGwBZDP0nR6vFaNzhvUD660I+Cnx7M7f +OGLU1NVbVmtDgEpDFfVjsRhtDhQzz9gSYLNe6lREiRghjg79mSMBVYdWRgMr +xEhF9Vbob14E8AP3Kno/FqP6JV/5dxcI2Bildty1Q4zkkSJDmEvALzIqJxoW +xMjLVVc5rYCA6r1v6y3ei9Gjd2b4cBEBnPFD0v0fxMhT/1JCTikBpGj7z5LP +YlSZh3tPVhOgkfFVvbEciVxjaoN4/xBwM/+7/SsaJCq18MltXCQgiuqVVGZB +IkM9TRNYIsAy3cPugDWJGhXHhtBHAmrurjNqP0airm531eY1GMrfdGfPHCeR +xN0lpUUOw9bl67vsPUhkEmr7a7sGhtu6z45wwkjU5qCkbqGJoa926p5HBIls +97+s+ZuG4bCjWs3WSyTy+WT19sUODH5WEmHeVRLFx5kHdBhgOG2iRMMJq3v3 +TJxfHcXwqaTDOjCPRC5Rywv2Fhjkjm0zjiokEeH5JK3bCgPN0dEyvXjVo23c +2WOLAXPD+KPlJKJUGB3tP4khKRQbiOtIlP+HBJ9wxzBtHuNv30givfDK6H89 +MaB7W9KeNq/6D+xpGPDFwJ8ryuW1r/pa9AyHgzBsnKMK+/tJNM2Z5rmFYAjO +/rKqb4hE4TdKgvlhGJ7r3DaSjK76bXaUjkSu9vT0nKxJEinrT/zkeQVD8xyT +a/SGRPc2FolGozAEJJ35UWaeRGX9NC3B6h/TGFHTjPtEIqM6AnnHYjCV4rR6 +Sc+jRnaeOxGP4aECw6ZXdh6ZM70++iRiKKotWLtHeR51eVPv4mQMD8reS2iU +eeRyZGSf7+8YZmIFst70eTRwdFPQkVsYYrfjc2/2zKP/AFoeb6I= + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJwV13c8VX8YB3Aa0rAKZYWsklCJ+NFjyyhZIZLskZmVkhRSaCAhK1mRmZF5 +7uWiFEWKzBJCGnKSCL/n/nFf5/V+ve7r3HOe536/388jbOdl7LiGgYHhL37o +Vz3HyS7KlNmRCMrGQjEVklinwes9wiMHl58QB/O1SOJgRlLqUx5NcOvM8OLT +JYkxftGwQPHj4JGYe75KnyT0O3rL03hMIeo9x+VPx0hCNEYgZ1XQEq4VBM/S +DEnin579/bPiNnCIxhDhb0QS0+E9tLOJZ2G/tMf1VWOSMHf40xDH4wASkgvL +bqYkQdPgrW5OdYLyzLUXG8xIQlZEpZQUdIXCD6osSydJgnn0atZJcU/4sd51 +rYYlSdR+7O5oNvUG8pF1kdEpkvA+4aBnnegD2x526ptakcSQzHWNWB4/oPG8 +UVU6TRIJGTsoYlb+ELdBMVrQhiT02AqUG1MDwMehreUfuur7q0M/BC/Akzmn +5Ie2JHGraOtuI/HLwJxndzvLniS0BLKzp5xDYfX7/a9mDiRxuTWFnWp6BT4G +GhPrHElCTl4q1jIxDJ4dGug0cyKJTC7DyJs84bBO2svjpQtJXOy4TztZGw5r +vn9PtHUliZMRH9eIWEXAdv0+yi/0lt8+oXWpkXC9pSeJ1Z0kgnoSgmYEb0Ci +1yt/CQ+SMIrvdzsufgvK/ou+MutNElL6Io95225BPHeso50P1mftuS8TzrdB +7d2djC70mZqVMsL0Dii/P65Z6EsSXHvuSFsk3gXxty4xBn4kEcb8VOIGTwKk +hH8+ZxhIEpI6d6PKvRPA5xDJXI7ujvCaGmhLgPX9DFbbgrC/a6UKpQPuAYdw +kGo3unU5W7qnOxHWnfw1oBaMzz+XeEgwJhn+Xi7p+3aJJCr3+yce/ZwM2fYr +v4+EkISNt8kfH6UU2Lhhh+RtdMk3thraZArMVxyelLpMEsaTUcpuWqkwnBwm +YxNKEklDwRqVK+nQr86x60EYSajxW2YPm2XA2+4/F0bQ06cU1jMXZUD+/mBh +kasksXPmgn3Rvkwgz+m45aO9XOXFGAYzgXrjxd7CaySx1aEkP+dwFsReXXcl +PoIkLCwflvyczQa1nqPHlqNI4seA6aYs3hz4lPYhROoGSUTaMDuaaORAV16S +nhX6qb0Xb1VCDnRvnaqvRrN6qkRcVMiFfYtPhc/dJImWqx9OMYXkwfwuJlpz +NElYr4+trM7Jg/J5Felv6F/XVdldO/MgveyZBncMSQjF5rW8FMwHfrLsvDP6 +0n1/2btN+aDjnbi8PpYkDjzhYOJnLgB3DxYbuVsk8UK6xbZDtgAOCvS8t0Db +lgXVXbYsAGkWJ6YQdGzViPenggJo1vGMoaEnKUUDuccK4e3MTq0Tt0ki/Z1u +2f74J6AnoTp96g5JbF4Ns9bZWQKOZip5qnFYb1dzGyntEhh8H3bRGi3aI2XL +4VkC5VrbeYLQRx732g80lMDOvLqyYnTN48TKaulSuFJibs8TTxLfTGbq9TtL +4VdB2vZxtNzGXpUjo6VwoMX+yTI6uJHaKDuP37/Oxc6dQBIb9tyncO0sg1z9 +UC1ttPCKGm3Eowz2y7D7ZKPN8u+/PM9SDknhQSwW90gi9fRVAyfhcjA9zlrp +gR7d6tFhcagcTm5Skr2G9gpRf61yuhyk/e2yitA3jL91bygqB9BXDGFIJImG +f+r9Dwyego9hv2Y6Wszo+2RzdAX4clrU1t0nCd5QHSXnhxVg/DS+rAPNXpQZ +vam6AoJ7RUJG0IvMJtJGoxXAyKIStyaJJN5Qqs8PH66E0Aa2Om10sGzYysJ4 +JRCtov+1ob1s+g1TlyrBy2Uo/j3aIebgQ+CoAl3leNo42nByXCNSuQpMrDuK +1yZjvTP1bmyLrwL2u/BEBd3JxskprVoNh3fnBxagm1U8HLvMqiEsz9alGv3M +vbXKz70aYvyV5GjoR21BlnWJ1VDqutlmCB0UOpR+9Fs1aLQKrLKkkMSuH7l7 +7FOeAfvB+DQX9HYBhotMpc9ASkTX7Dx6i77lq8ctz8Ctr286BP0nd7PXz5/P +gPGLeGkc+pWNd0WITg34c/Tk1aIDOxUhiayB0kBuj3UPcD1IV46/YK6FRcnW +sC3o7FjZmCX+WphgagvmRHcZSHyw0aqFT9oyvKLovS85z4slou2ecqijmyTv +8JgX1ELCmQ0GumiLm5spUY218Fsy1v0EOkJ3DcvMRC3Mp6ecskGPtP3ILVeo +g78Cj5eC0AES7sfG9OvgId8Fu1D0lusTc1y2dXCr6XhJBFpRe0j1QlQdEI4+ +S3fR8bT2fvhQB17mJ20fo3WoOawdwfXgm7PT9y16WEi4cuVWPbiqT2v2of2u +pFrJPqoHVqrq6iD6IcTnx7+sh73TU5IT6KWGK+qn+Bugn8y2/YOOE/g3GS3b +AFtaVH2X0LtDAm83aDbAzWjec6toM2XPQSGPBpArG96xIRX3w1qrgC8NDeBg +zGDJhdbm7eXn6W6AT68/F+9AD14wbtabaIDl1eJvfOiNirrsxayN0F15XHwX +OjOJVjWyqxHerjMQEkPLL8BpDoVGyEq+unY32r5avsDvTCMcrPwasQ+9yF1+ +Ivd8I1T81RKTRd8J2Pen93ojbGXYU3wA3XBIVPO/0kZYdem9pIA2vZcxfY7W +CGrXXzQpoqdJ3rvpfY1gPHL313/o7RUcw4yMBJBWs2yqaOuYJdMxbgL2qDGJ +q6OzHMZftkoRwLPDc5cmWoqrpibaggDD5YROHbTvtyxZT08C/N5fvayLrm6J +yTsRTsCMgjCXPlo9wPYeVykBt7xfzh9DRx3X27LQQkDF23XqhugOcblr/QME +fOnV9TuB3roqsFg/S0DgaGmsEfrJ12vPXq+lwKMDJ24Zozt7F+YorBRYM6oS +YIKebfaQKeehQOfYbS1TNGfpqNsjUQrob7L+R7d8qnluggwFOJarU8zQllGv +PkUoUeCsRo3QSfQlPzWBQC0KxID/LbrTbassXE5QQEaWcYxuqsHeBEsrCqTI ++gqbo8cOZ77Wc6LANsUhbbqZxLg2K/tQQFbQ3JTuPRw3tfddooBoIqlLt8Hy +StjO6xRgkWmXoNtz6nwDWxwFtCxnvtHvf/fd5AJDGgUK7bxS6a6gnpb7lUeB ++UDng3S/L+r2+lxOgZXsyXL68/9N1insaaDAYg8TH938kfUTLc8p8Ln7nTv9 +fY/47t9V/ZYCubquOfT6nLXJPZ0/TIGLtJ42ev3C9fiSk6coIFSy9y29vrny +d3pukhSQPBnWRq//i13r2S+tUkDny2Q2vT8zrMH6HpuoEObj734czbr0PdKG +iwqR44p89H7u/2LfZChEhc9cuhX0fgcQxxQPyFNhwTYu6yg6ubDJT0SNCmkx +s3+00fX3FUo5DaiwmDgur4Vm9BaW+HOWCoOS73zU0KLWiXaT56iQPkx6AH09 +Hd2c/iGQCjytsyYq6Bih35z1sVSIOdE+dBhdvMXtRFESFfqOvAiTR3ctDEen +P8Lf//OVTQ7N3fV8TVgNFS4qjE5Lox+Gpf7UGqfCIR7fNBE0zYNDSuEnFUhd +7wQh9IRlpPPuJSq89+MNEKD/3w94D2/iaALeqYq/3OiqUY1Xr5WbwF721dqN +9P4cDZuN1WmC7V4O9uvR88WN3AbGTZA0MV3MiFa4qHj2uXMT5GmvbFzE/ePZ +Npl5SlwTXL0y2DWF7rtwji80rQn63oXHjaMXRh6rquQ3wYaWO/AJffiJaHRN +QxP4xm85Q9/PajR5hconm6DBV7CyBd1fYK7lPdcEmgXe/RT0Ivs9N+mVJthb +dW+mDq00xFZZsK0ZHH/d7S1D1/qv13t0pBma7TtepKLrc3+eT0hAm05IeqEH +t0gnG2c0w/rCShFX9D9f90b2gmYoYdm7yR6tAhPMsUQzGG1XyjyJbuwdSIuY +bgYHO81fymiCua0tQJUGnn1PDjPRzwe3ND7LGRrMv8vLjMbz5+mUu7vNPA1i +tILmrqGzXZTq7Bla4CtDvMIldIRT7ylPzhZQHXkRfw591I4j5ZpyCzilSqUZ +oF9bRuwojmmBWQuhpY3owaMeXGv3tcLZr8n8wXh+drT958is0ArWT8rzvdGN +2psqWdRa4VjwdglndIZmnukOs1YY2/F50QRtp/opfl9IKwzeNlqVQk8qmG21 +6GiFubmpsEE873+Lq7AVebSBvZBNxn70kVH1tptBbRARqRcnho5MOxrqcq0N +1s4IB/CguTlNf4gkt8HF4XJ2RrQ8o/vrFFob2J7TiHuNeSRoIOl2FN9zWG2W +7XRF/7tNsjm8eA4idj/OxGO+WfO3kJ1PrB02J3NE1GOe4utQnwOZdvAL4Foo +Qss9/PDOQbEdGC5GnclAO+pueFB8rB1U/N9svop+nmwnph7QDrNBAf30fHZb +iUfJta0dKn9fMu3EPMd/KdK+2vUlqNGih3owD8qv2laZFL+C8LFmLSrmy1fh +cp2tkq8hU8jspgLmW8E7X/8+/v4GGLMzFXIiSeLrn5Hhd7LdEL1jaHL0CvZv +uq6d9dZboMaaZ23DeYJVIO/H2FgPjNcsPOjH+SXGtdIpU/Q95CcdkirA+Whe +tXDMJbQXfsOBPEecz/wO0Cq4m/twXngzrYbz30gxLbpLuB/eCI4nrOD8ueTW +82BP8ACES5i4BB7F/KG2YcWpbhAyjPIjDwKe/1nCzmXbh0H3YJMhVQ7zjcCZ +XZ+sR+CzRvbKQ0lcvzNmm3uPfQTnzOhTNkIksY3tJzNt8SNwSZWpD3Di/Ew8 +KBFJ/QR6g+aHmzeShLtc3YeN+qNg1eVRabU8RxTq2mU2zo/CJpfFqpc/5wjJ +sbqFwtjP4JD6XMZ7fI74H1piXfw= + "]], + + Line[{{0.6116816716216795, -1.2434179146146471`}, { + 0.6333713592563216, -1.2400577525972953`}, { + 0.6531622996938181, -1.2369033261517752`}, { + 0.6725634788953451, -1.2337280433641775`}, { + 0.6936157131874332, -1.2301879908573987`}, { + 0.7132577780802865, -1.226794382434761}, { + 0.7345508980637009, -1.2230138548863574`}, { + 0.7544338486478805, -1.2193849801391232`}, { + 0.7739270379960906, -1.2157308801602156`}, { + 0.7950712824348619, -1.2116539745902124`}, { + 0.8148053574743983, -1.2077360152267913`}, { + 0.8335219739026045, -1.2039116799274199`}}], + Line[CompressedData[" +1:eJwV0WtMkwcUxvH6ykS84IpmEpSwDjFykTEvpMboieBlQkcCgRm2IB1uC+KF +KUFWLTKRumKYBRSqAlWsVAbhoiDO7oVjxFXRmVnwyhAVjQ6h75EDhAxDWffh +yT+/z48iJT3uO0Emky137f+29O1rS7/4FpQR/4bVRwxja7B+unz3ANwK9NCK +ocMoj9obkus5CMKCd6cUvsPYs/Rel0YchPeGSss6j2FsNMGiV1sdEFAfkHB7 +lDGpIVzu63RA4h0vsegF40+6ykM9NRJ8dkad1tzBODvLPeqbOgmqu+spweXT +qbu8XjdIsMM+K3LsFmNT9CozNUvwKKcydpXLr+X2GwJKsD178YTVxhhtkk0P +7JIgbXD/eFM740dXko9lvpdgU6vKP6uV0Vz9x5fjExJs2GMV5C6HnQ72y5ER +bE++U1IjMm7OHmvQf0Dw6uqHnT2/M2ojDfayOQTLCkZz1lgZ+/5qm3fdn+AH +206N1MJY949vmaeKwOZTahUaGfUVOnVvDEF4p644t4Hx2zhHQH0sgVGW2TbF +5YWi2PjFFgK/1pMyZx3jUcPXtoIUAqv1jcVRy5gafmpohoZgXZRNc/4C4/oB +2ZXuAwQXCoxT57vsdzZVW3OQYH3EiFZvYXzooXSPyiPIVGd5p1Yxbnj6cGG+ +gSBm7v4ObzPjJ3nzPne3EFzOF6JXmBidSu3sR9UEB4OXPTVUMD5xvOy01BJs +Ph7yfX85Y+GWpqSNFwnWmBIdJWWMk0FxGTqR4NLaaaH2k4zdz64qE5BA8Lf3 +ervcckLhXHSdYJtvZXySkXG3853+xk2CDOFMxd8ljD12g2lqF8GSmabAqmLG +346Mbet6QKA4frj8dhHjidXJgebHBOqbbff7C13/VYU2R/QSBLVX1XoZGBd/ +VarxekGguhuT4XOMUZjjXNv3kmBrzQOl9y+M1h/vdhzqJ5DHPnajo4ylS1ca +YgcJPBUrEtvzGff0lccriCD9bYj1sJ5RZXTzGRoiGPizuTvoZ8Ylqp3Pro0Q +XDuXUtqoY3Sbcv984RjBjo+rV7rnMT6/vDpNPU4QKYaPzM1lFNPMn4ZNEMQf +yf61KIfR6DdzdHKSoGiBqf2ShvE/Os3Liw== + "]]}, "Charting`Private`Tag#3"], { + Directive[{ + Opacity[0.2], + Hue[0.67, 0.6, 0.6]}], + + Line[{{0.8335219739026045, -1.2039116799274199`}, { + 0.8338408514536224, -1.2038455414748004`}, { + 0.8341597290046454, -1.20377940302218}}], + + Line[{{0.6111710011173744, -1.2434958081923804`}, { + 0.6116816716216795, -1.2434179146146471`}}]}}}, {}}, + "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>]]& )[<| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 1.}, {-1.75, 1.75}}, + "Frame" -> {{True, True}, {True, True}}, "AxesOrigin" -> {0, 0}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> Directive[ + GrayLevel[0], FontFamily -> "Times", FontSize -> 10], + "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxF2Xk4lN/7B/BoI4WklJJEUkmSpXzKLSrZsi9RlpRotYVIVBQRKSTZKku2 +qMiaQ9Ei+87MmE0i28yDoqLfcV2/me9frtdljOfc5zxne0udumx6hnfBggUT +PAsWzP/UOzPYUjVkoZF0pmRsweggWqQt7kZdpwyfI2LuV+QMoT0p8Ylv1h0C +ykptCfuZIdS/QeaGj+wxuPTV4/sW5R9Iv6HrddI6cwipOHpn+5kfSCZCIv2f +5HEwfpqtMRH1A/3Vc3rkKGsHRj5z5cfe/kA/gttrHOMc4c9ga4tRzw9kdfrX +uwfrTsNPn/K7WTM/UI22ePGHRGcI8mqCbrFhpCh9oGBS0hXWlNX7qCoPIz7G +zWeWspeA3b83+JbLMCqjtTZ8MHcDc32/Zy1Bw8jN+LTeiTh3WJq6VXx1/DCi +7LqjfW+dF9ilNOwf/DCMYlLWVm2xvQJ8agfPPO8eRnpC2fsrE72BWhKakjsy +jN6O1auMS16F1AfPtzJERlBknoiciex1cD3qo7ri+Ag6LJGWNnQ2EIi+X3+v +uY6g6x8ThKvNg6BIzcQ07OoIUlaVv3c87gZobNTmiYwfQamrjW7fXRcMoU46 +X7+0jiD/hkc1lmXBwD+dU55GG0GWITReadsQuFotpq8xNoKWT7kHlifehiOK +vxJL+EaRb3uM74hkGKws7Pop+N8oMnnYe+6YbCTwmGcnTsaNInl96SzxT5HQ +fG5NacXTUcS38ML3gbNRIPRi04BD7iiyL517hczvQ/Lst7KD1aNo9bb7CtZx +0SCklBYSNDSKbvC92Rq2LgYETcess5XH0Had6NDXbjGQZR0yfEJjDLWGXB4i +fYqBbe55yyd1xpDMQvkcBe9YiK/9L6/VZgx9nE1TaG+Ngwbn3vZTgWNo+USc +imTEY0gmJ37QqRlDRbuvxB1lPobfPopzd+rHkJ2b2S939QQQibyxqqh9DOWP +CpXWDCaA/xXvY139Y8h0MHT/ucOJML2Sh3Z40TiKp/hpF80lw+cbdR9Wao6j +gxuOp/VZpEDWi471Ojrj6IeN2mK+vBQQqkJbLx0bRxtHrjrl7UyFvg9jug9O +jKPLrqpbFpBT4Xin2+Be33Ekcjr/RfreZyDzSCtKPm8cWR9/ms9ip0FzzEGn +i0tZaJxkvuyZeDpMRec4blrGQrft+M6YaaeD9BWbS03LWeiN02XxtzHpcPkU +okmJsJDgpQMh/moZwDPu4Ph4AwvV3uyxWRKQCZN7To+QFFlIKXflkg182bBr +keKucUsW+qJQ69CgmA3H7iuMqB5nIYdXvuXXj2eD1E96h78tC917S3WjZ2dD +tqOB3qw9Cw1W5ZEyDHPAdh35ItWFhZI7dF/tfpgL2/fULpH1YyGBfzdO6GzM +h5V1E3bMBBaKd7Wykz+SD8wuMYXWRBaSaZd3WHkpH0ad3Ucrk1lII6vLifQu +Hxrm5gwePGOh0qy4omKFAkgKOTa3KZuFRs1GKvQbC4D/l6cvvYSFLF48+uq5 +4jXkR9ctXNrBQltMxgY/hBdCmDk5L5SPjcQDddTPPi0E51tOgzuXsZFwXmr4 +suJC/PmD91sE2Og3n5mCCaMQ9kzpKYkKsVFzVbFn394iEDkmtuTOajbyU7wx +N/2tCIZViwS2bGajRiFRUQXNYnjxasrUVJ2NfBr3QfxkKdjrka9TndlIUKHo +2xe+MrDZtNlc3IWN0u4pRvzZUAZdqQ6q5q5s1GKwtcfucBnsFzonW3uejXZ8 +FfXcElcGWTeftj9xYyPqp/GM12rlUGkhfErCj410qtMFG/wq4K9wV7xvBBuJ +Fa7s4+FB0LZiiXbNSzY6EfHHvH8NgpIbt6Vo+Wz07PS3rx/lEdSnZMr9KWAj ++dWlpeHWCC68CS9UeMNGWt4OsasLEMTWVZDDi9lINdEqI2ZXFfzaE7RXsoqN +HO0yTr7oq4J9ySbrC5rZ6C1Du75p/3toygnjyRhno/fnktYfH6mByrrVd8W3 +EGhK9oBQ3sVPEKarBElGBOKdyRFev6UO3IXu7ljjQyDVfw5vzV7Wg2rLxEBO +HIHqg5UbP25vgo/s+JFTrwgkeX94JmusGfxCA2RU6wg0/Iva16HYCr+/zfwe +ohDo7dmo93qqrcAvEB27t49AQV0aGei/VjDduWj6Nvaa4qSLWUdawcGbKipN +JXD7bP9eO9EKd8OWv7KmEShhsnOdTGgrvLoqEFrKINBRdoO5B7UV7PwDTU2/ +EyjtR3mdYGQbjPsKyHwbJ9ClR0bS5x62QfTy/apbWARSO8T0r41vg4rH4WJn +sOuSlu289rwNlPSadfqx2cbHo4ZK2iD726ADjU0gjeKfZjXMNrgj+T24aYJA +Pbd2U67uawexKZUVd38RSFAic7y/vx1G36e98ZwjkKblLQpzqB0cavUj0rA9 +ouy/MsbaYde1yQMd2J08azNp0+0gq3tVXvUfgZIHQu3IyztAsy5a7Se2QsG5 +hjblDvBaMiB0mWcCGWor5LwP7gA7Mek1hxZNoOvXlj2uDuuAaq1EGTfsgqKB +21WRHZCe47UiEVtULuVUZXwHiG2+cGkCm7xcWLwstwOm2qMvpS6eQBc62aGv +2jvAXMDXbWLJBIpwLXJOlemET4diKgP4J9APzbxQge2doF5uGPQCW2dterb3 +rk4oe6Qg1obN+ylmzEC9E2xUY2q2LptAV2W8vGeMOuH+yA7JJmxnqtJtU/9O +YOvqUFYvn0AHLQrSF7V2QiR79+dbghMoWf7Fp8tdnWDvOa2dhf13YepQL7kT +FMb3xTVil7yO2vnqeyecfWdeuVZoAikIXy46OdsJUxK/JHKwN3zdWftWrgvu +GCpIfxaeQD81c/pdArsgv+J5MFNkAlWkDT/xCe6CKdnhMp5VE+gmn7zZ7bAu +eCf3r0ESW7A5t/r5wy7g35l51xZb1v5lcl9mF5Af59q1YFsGvLI2b+6CgO41 +Pm9FJ1BRaXE9SHXDliXPHzqvmUB+EtO3jsl2g9RWj8tB2Jo39v53ckc37Let +l0vArj9amuWn0g1GUmrKDdj9XWW3i3S7YWTA5+VuMdw/P99p7vDohrhkEZVJ +bC+lmsI1H7phacR4jv06XD/lTanRn7thV+OkoA+2teq18OWN3fD0p7JeJPZ/ +6sqneHu6IUzNT7cCm1crTWhsrBtWzzw7v0Z8AkWZBJ+rFe+BUoForQ/YQWY0 +C81NPVBxLWRHN7aHxf6D5Vt6IHDDv5ERbMvjk2KvFHvAyP3BzOr1uL6Op2sT +j/SAIzVrnzN2ttuhTV4ePfDFf9T6H3aiR6rAuE8P8Povrl+5YQLd8/r70zWg +Bwa/U8RksN18CxvsQ3sgDpH3HcVWC5Lx10/uAQn22cxI7JqoRZ2b63pA47f8 +DlGJCfQ22qE6qakHDINf7JDBfvGwIndtRw/0bBderIwd/sjrliCtB5zCcrXM +sE1T+hV/T/XAkY1mQ1HY1Jc14S1SvUA/OvaNZ+MEah5dNKK0tRdeTiuqCWFX +yR82iJHvBZoS89QG7NTs2uVWar2gkZSgq4p9KuPjPbJBLyTucTN3wR5I+hz1 +3acXvP9aO9Rid5L5WEcDeoHPccq6GfvTel3j7Ju98MdRQJ6E/eLxF+GL93qh +NSjNkYV9LrYumnjWCwa+YcvWSU6g0Yj6h7MNveBW323qhN33dfmkXVsvyGpP +EuexG5cZmld190JTxc2LXtgvQxtEbzF74cfrSXYwtltwYyzfTC9oPVq+Nw3b +4YPgT9e5XiiPTM3JwTbhNbL8upAERHbSzGtspaCmNZGCJJjlb5Cpxp70b360 +SoYE9842F5Ow+8uEp722kSCQ7/ocHbtjxti6U4EEaSdvSw5iv/VpWft4HwkO +hSWzJrB9PVsfbzQigZVnbiP/pgnk8lrkd6A5Ccq/jH8XxD7ONrWhHyfBtSw/ +0ips9ctt4mmnSdAyZ2sigf3nXPuTbX4kcA3646OAba56MlohkARLP/NLKWHn +8gzc3hNMgltKu7JUsO3if7kfiCQBw7/Qcj92sVPQWa2HJKgoFbwG2MK7+E/q +xJNAq9srSAu7ulb8qMkzEmh+sZY9ii0e/fyAZSYJFAOaP+lhe5yQ32ObS4KA +dp2jhthftxbJObwiwbb48kwjbJmJAxvPvCVBXZDcgAl2QOXHVefKSXBBLXyh +OXZnmBH/5Sr8fea0fxbYdzY5TvrWkUC8xSz2ODZteGgooIkEIcLnFWyx9xZ7 +UG+2kyDUyznjBHb0zT/td3pIcFBba/Yk9g/D4LqIPhJcfP1byR5be92Kqmgm +CRoGwnUcsBP7Y4viBkngNslWd8SezN+Y82QU90ef3IpT2Ib+mampBAl04+Qr +551xRDEu/RcJUmanDZ2w51aWhmf/JUEVM6xi3laUgzfyechgsJTEfxq74EWd +d+ESMnisHlSZN7+X2YVSATI8zcrVnPcpIDtWCpNB7JjM9nmXLTtj9WE1GYL8 +9Yj571vVOWrwWZwMj+LFH8/7wlNvrQZJMmz+HbVx3jUX/qm1ypAhk5l+c/75 +JPaG7uzaRobFt61q59vjvXClNFmBDNoLUgfm29vU+HgtfQ8ZROz9BubrIZew +WXBgL/5/SfQaO+ygMzkLhw+Qwe158435+vUoKs+Ma5GBckRLYr6+Sn8rxiZ1 +yFCqt/uRDfbdT4f7ZwzIUGAfP26NzXzQ2DNnQoZL0h6yVtj77ayaFlqRQUqq +9L/5/ozdRqvhO0EGn+azu8ywxyZdylY4kuFcnC/P/HhICfdLFztPhujHWZoG +2NOWC59scCODkmZlni628eaI+1JXyLCtWWLmCDZvaZL/jkAyrH+Rvv0gtm2w +rLtiMBmaK6qFNbALjfKdVcLI0GIm3KGOfWagygQe4vZ83DG9B7vyla7OoXgy +/GgctlTEXhPQul83iQz/XtU8lMf+vKp/q1kmGbKCMjJlsHccXDrnXE6Gudnz +avPvX0F2ztbuKjK0VQZKzr+fyqLGJrofyfAsxIfKN9/+7/FpO1qwB0cHZvH7 +fezedn3WABmm636IDGC3/mz0chwhw0Jj0VIqtpWDZ3IrmwxFx9pVe+bnnz0V +7MK/ZMjNGiv5iu3RYxh/VYQCrt7OnS+xf2oR1T/EKBB3aOPeTGz/3LhhWwkK +bFIQc0/BDg6kamjI4d+rp7hEYcdtcf/Gq0EBtlrthYvYpe4xShGuFNgeQquU +wtYg7T0xe4kCvFniUWLY7w9RQi55UUCIaFFZgV0nJttjHEiBGdGbS6bx/Et+ +Vxy4OpYCUtZn33zFXrCMVJ9cRYHiuncK57GDPQN/Cn2kgKj6Dgc7bH6K9KYb +Xymg1yd/1gRbpOCCp1MnBRavyJuaXx9kLOfWyY1QoKSqR2QBts4zqbOvxPrA +xnID3MXrj3K8oOzHDX3Q2n2izh97U+Sf/l6pPjhzuHDXRezfVztOLZLvAzne +86FG2HkmYXZWmn3gvOGImwj2Kh62xaxLH7AksgSi8fq44BdFVORSH/CwhhSD +sEdG6tpkPftAVffArsvYH7vTTIwD+kBdquOtAfbVAmvD59F9UG7bNr4Ym2pf +dUi3DH8f0Tjthtfreou8hXaoDxT3pmacxC7RT3jvUdMHz5ZayethP1Dz0kxs +7APDSWm0GfuwkNz+cUYfUCJ//WvB+4Ocyqg9sQJUWMX8SpLD9pGw30w/QQWX +ncO2xWvxfGGsaEl2pMIW12nLFOxjt3judjlTgRqZK3cHW3boObvBjQqUludG +ltjthYNVZcFUuPjfzAsC738UDTzsY3Kp4DTU4S6NPegXkqjzlwoNz5ViTq/G +86XlDpenC2jgaGKioIPtwFrFK7mQBu/OuD/Zhq0v/U1FnI8G7RMKY6N4v7Y5 +7E6SsAgN1i6gunthN5vXX5jdQgPXgCVtvnh/Jz9iIdBlSIOvRqHEyZW4HuYG +6K4xDVa+0EtTw9Z+p+WpYUaDKlX79SuxbSJ3kdKtaSCYEG/9Ae83wxT5c7yc +aNDBS+Xbgj3gVaEncpUGSWqGAhS8f02d3XzXMI0GCb9Svkjg/a+88zoNnkwa +2B/mzx4XwOtZoxBRmEWDixlGOtXYjSl/j2/Ip0G4q1KxE/bswc5tw6U0aNhm +6ZSO99M2t8O+hDbRwEXx8LP1eP+9SojFV/ObBnf/5u1qwfv51nd37+jO0kB5 +qXlBEvaDC1uWNP2jgdAXqRFXbJE6m4W9i+gw3FX5kGfeIbWz44J06HS+F78T +nw+E/yQQ66XpQH1tJeXLO4GWfz9M9tSnw9RUjFo7Pq/Ux9JsZgzpQOcPMn2E +HXHIv+e6MR0C/RPFbbAFnhV0hlnQof60QUHfLIGWnVzfkmJPh0RrOwbzL4H4 +2li1Xz3p8KFYlkH5TaBF6Em+dCIdXPWTxsN/Eqh3/6Gj1GQ67PtY4nYAO79s +hJbwlA4ue3b7jk0RyObtARGRTDpo90itMcJ+lUf1WvCaDrnrrrstmySQXaK0 +OuUTHV6XNqS54PNaydWcmrgJOjx7ekOlbJhAkb/MTpr+pEPBNE3BBtvpyt+p +FTP4eS3OBc/8wOc3d8OtIXN0aLIVOqCKfcZlPMyTnwHOFqdfZw4SSMRqj5Gx +JAPKstfMuXwj0Hnl8h5+fQaoKIv4WeDzalVzR26JIQPUr5qtp+Lz7eqLrMCz +xgzw8x/qOIuN0rfI1lowoDEv7a43mUCrxO57BDkw4FqL0aPQXgKVz5wW+HWF +AYMvpjZf6ySQcFxgX7ovA1ZcjCmf6sDPo5TwytyfAeaTrkqXsIXON1m9DmKA +986YF7btuD3kfWkXwxkQtXbbbrlW3B9I8EB/KgMsheRFbzUQyMF2m/DD5wwo +VpPcPlhPoKJf2syDGQzgFYyr1ce2V7walpLDgBaHMTfBrwR685TZYfOWAcyP +Kz8HfSbw+lVyqeUrA1Tz69eJfCBQwaa2g0GNDJi0bii1fU+gxe9GRXe1MCBL +zcL4eTXuv6nN5RGdDGgXurVbvopAC8/eW3qUzoBdSX520u8IlKN7KrXyJwNY +RR/PJ7wlkLK8lAbM4Pa7CjR9LSJQpSCNhP4wgFq9Lep3IYGa206KVS9gQtNi +N7LRGwJNnjwe+WEZE05uLPHtyidQgOZa+UMrmLA/e0cs+yWBlkh3fakRYsK/ +8EAnPux1g+aLP4riv5/wydmRi8/zHsbXPm9kwp4rXfmHXxDok7mQuK4UE3ob +9m7XyiSQsVpj8RdpJlRFEdbqGQQ69Vd/ok6OCRLpSxQl0gh057bOuQYlJvgu +XVmSmYL7y3UJ3zEVJmz8MN1xLZlACfq16Y1qTBiWvyNqkESgXGFtetN+Jmg+ +GqZ3JeD2JGhYtx5hguXqP/yJsQQ6HjA7ZarLhOSO8w/2xRCIYV/xsE2fCQVh +ah6ND3B7ZdSb2o2ZYLWNuZMchdu7dOaChRkT3nTK9JtF4vb+KF7WacEEHe+y +Z1URuL35Kke6bJhwZrRP0TuMQM+iJ5lWJ5nQ0P9N690dAsl7vbnRbc8Ee3nF +3qkQXI99u9/1nGbCISdWpepNXI/1LBubs0xYVOWisD8I12Pu5XSvK37e16Fm +O6/jenyQVyZfYkLZn0PidVcJNJw+3HLCHf997DUnNx8CeYVmX6Z4MmFaV/HK +Ly8CzZ1zXWHnzYQZ/c//bDxw/Qzlcvp8mdD/bWtq7GVcP8XvR+39cX3lRrxS +L+D6iWQMUAOYoMRo8/FwJZD01OlghyAmJC47e+HfGVzPLunN9JtMcLq/nlf1 +FIFUyhjIMYQJkb1FR/+exOMn8elJxh0mrBewk5E7TiCdQIc/p+4yYaDFSP+C +Ga6/o+RjZgTub8L1zVoDXP9Dfaqno5gwprHYw0+TQD1HRC8dus+EuRdekoEq +/3PIJub50d3/+/xICJ3PUfZ/3/cyd3pSet3//l9GyfNFu4X/9zyvlx8w7OD7 +3/Nq8qTXOPD+rz0b+9ZK3f7L5rb/XPjZw0sINrc+1eOB9SqjbG79LsvGpiQN +srn1/rglTmWSyub2x+XkxYWdJDa3v0TGJfu7utjc/qaMZ6S2fGZzxwNKWPPw +fTWbO16GdYJdTCvZ3PEU7sFUYpWxueORWe9JoeaxueNV2sJCLzaTzR3Py45J +qAeksbnj/W92g8HFp2zu+3NGQ0iaGcbmvl/O+pP9T6+zue/fQYu1xeuvsrnv +Z2fJUIKdN5v7/sZtqz2U7snmzgfrZ28pmNqxufNF3mhb8ogZmzufFD9eqvL5 +GJs73+jF2pnsM2Bz56MASYfwXF02d76792yn2txGNnc+3Bi/olx9GZs7X7IH +NhlN/mNx59P0b/t5u36zuPPt2z0T5brTLO58fGHJKqt3UyzufC8SsNbMtoHF +XQ8oF/cIOpayuOtF4f456sV8Fnc9MeTncfLOZnHXm1XvCiX/ZLK469HRu67b +g9JZ3PU1+YCiZekiFne/IK3i2+xBGePuf64W1FdtfjjK3c85mKeHeVqPcPe3 +GRfjxU2XD3PPF/cIOfebT4a45/n8/NuXRf8b5N6/lL2lfN5UOMC9z0o5sPHl +kNg37v3crPre3rlIJve+0d0OZdST6dz70zaDR7vOatK497fagil+FQSZe3/c +HmPtbLy3h3u/LNOr+XNZfgf3/rk86lP5wJcW7v20V5X0mkqleu79tS5/lnSv +dw33fvu4dh5bklLMvf/Wmui1kr6VzL0f95e9O9NUnQac+/n6y/8Z+Pq+A04+ +8Jvt2tc/+Qk4+YSoqvf4NeUW4OQj28UCH6Q4dQInj2n//J+h+74e4OQ1dZs1 +qn4U9gInz7HWet4U40MCTt6TE1iz5HAvCTh5kLKtvvc0Pqdz8qLndjrJQ/ic +zcmTdvrVP/+Bz8mc/OpC+6THg/dU4ORjgX3M2O+dNODkZw9WLc4ttaYDJ1/z +Sq5fUf2EDpz8LVN2rQfpHR04+dwp9XPXhZvowMnvtm1S53Wk0YGTDyaSIlJu +jTGAkx8+qFLd8xTPa5x8sc9tc8uqFryO/H/+mJyy3DJxhgmcfLLlyU/eA4L9 +wMkvNRtPr5KU7gdOHhrBc/K3aEc/cPJShTt3aSfUvgEnT9WXzaKknv8GnLx1 +yvSG+avb34CTx1YUfi7zfPYNOHluxMN3V6bWDQAn702yG+AzOTEAnDz44i7b +kLeBA8DJi9fo3QkcSRsATr48+3llr8XPAeDkz+cNxpJKpL8DJ59e+mlbYqjF +d+Dk1y7XV9+KufcdOPl2yXRYzKmm78DJv3Vj4l2WywwCJx+P4e8RbxoahP8D +cASkyw== + "]]}}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwV13k8VF0YB/BoI4WkREmypJIkUb3lEUq2kJ1sKUuLbCESFWWLlCSvLZE9 +FMmSoxct9n2bGTNmJLuZO4RC7/HXfL6fmXvnPL9z7znPEb9088IVzlWrVkVw +rFq18ql9ZaStetREJenKx6lVkyNojbqIG1VYEb5Fxj6pzB1FR1LiE98LawBl +s7qo7cIoGtopec9H+jy4Nnj8lFIcQzpNPe+ShI0hpPLco/1XxpBkpGjGXzEL +MHiVo8KOHkOL2g4v7KVtQN9nueL8hzE0FtxZax9nD39G2tv0+8aQ2eW5T0+F +L8Mvn4rw7IUxVKsuUlqT6AhBXi3QKzSO5CVOFc6IucC28kYfJcVxxEW/n2Yq +7QqsoWPBD5zHUTmtvanG2A2MdfzS2oLGkZvBZe2Lce6wPnWvyNb4cUQ59Ej9 +sbAX2KQ0nRypGUexKdurpaxuAZfy6Suve8eRNl/OyapEb6B+DE3JmxhHH6Ya +j06L3YbUp6/30gUmUFS+gIyh9F1wOeejtMliAp0RTU8fdQoEYmBu8Y7LBLr7 +JYH/s3EQlCgbXgi7PYEUlWQfW8TdA5Vd6hxR8RModav+w3DhYAh10Gz43j6B +/Jte1JqWBwP3fG5FOm0CmYbQOCWsQuD2ZyEdlakJtHHWPbAi8SGclZ9L/Mg1 +iXw7Y30nxMJgc3HPL95/JpHhs/6r56WjgMM4J3EmbhLJ6khki3yNgtar28oq +X00irtXXfw47RQNf1u5hu7xJZFu2XISMn0Dy0o/y058n0dZ9T+TM42KATyE9 +JGh0Et3jer83TDgWeC9MmecoTqH9mjGh79xiIds8ZPyiyhRqD7k5SvoaC/vc +8zfOaE4hydWyuXLezyG+7p/8dssp9GUpXa6zPQ6aHPs7LwVOoY3suKNikS8h +mZxYo1k7hUoO34o7x3gJv33klx81TiEbN6M59xMJIBB1b0tJ5xQqmOQrqx1J +AP9b3ud7hqbQhZHQk1fPJML8Zg7amTXTKJ7ip16ynAzf7tXXbFadRqd3WqQP +mKRAdlbXDk3NaTRmqbyWKz8F+KrRXtfz02jXxG2H/IOpMFAzpfX04jS66aIk +tYqcChbdbiPHfKeRwOWCrIxjaSD5Qi1aNn8amVu8KmCy0qE19rTDjfVMNE0y +3pAmkgGzMbn2uzcw0UMbritG6hkgccvStWUjE713uCnyITYDbl5CNHEBJuJ1 +PRXir/wGOKbt7F/uZKK6+32W6wIyYebI5QmSPBMp5G1et5MrBw6tkT80bcpE +3+Xq7Jrkc+D8E7kJJQsmsivyrbhrkQPivwa7/K2Y6PEHqttgTg7k2OtqL9ky +0Uh1PumNXi5YCZNvUJ2ZKLlLq+jwszzYf6RunbQfE/H8vXdRc1cBbK5n2zAS +mCjexcxG9mwBMHqE5NoTmUiyU9Zus2sBTDq6T1YlM5FKdo8D6VMBNC0v6z5N +Y6Ky7LiSUrlCSAo5v7w7h4kmjSYqdZoLgXvO03fwIxOZZL1o8Nz0Dgpi6lev +72IiKcOpkZqIYggzJueHcrGQSKDmCadXxeD4wGHk4AYW4s9PjdhQWox/f/pJ +Gw8L/eYykjOkF8ORWW0FQT4Waq0u9Rw4VgIC54XWPdrKQn7y95bnf5TAuFIJ +j9QeFmrmExSUUy2FrKLZCxdOsJBP83GInykDW23yXaojC/HKlfz4zlUOlrv3 +GIs4s1D6Y/nIPzvLoSfVTsnYhYXadPf22Zwph5N8V6XrrrHQgQZBT6m4csi+ +/6rzXzcWon6dfvNOuQKqTPgvifqxkObnDN4mv0pY5O+J941kIaHizQMcHAg6 +Nq1Tr33LQhcj/xgPbUPw8d5DcVoBC6Vd/tHwRRZBY0qmzJ9CFpLdWlYWYY7g ++vuIYrn3LKTmbfd8ayGC5/WV5IhSFlJKNHsTe6ga5o4EHROrZiF7mzfWWQPV +cDzZcEdhKwt9oKs3tpz8D1pywzjeTLPQf1eTdlhM1EJV/dZwESkCzUqf4su/ +8RXCtBQgSZ9AnAu5/Duk6sGdL/zANh8CKf21+2D0thGU2tjDuXEEagxWbP6y +vwW+sOInLhURSOzJ+EL2VCv4hQZIKtUTaHyOOtAl3w6/fyz8HqUQ6INT9H/a +Su3AzRPz/NgAgYJ6VN6gf9rhwsE18w+xt5Um3cg+2w523lRBCSqB67NavHOx +HcLDNhaZ0wiUMNMtLBnaDkW3eULL6AQ6x2oy9qC2g41/4IULPwmUPlZRzxvV +AdO+PJI/pgnk+kJf4uqzDojZeFJJikkgZQ2Gf118B1S+jBC6gl2ftOHgndcd +oKDdqjmEzTKwiB792AE5P0bsaCwCqZT+MqpldMAjsZ/BLWwC9T04TLl9vBOE +Zo9uCp8jEK9o5vTQUCdM/pf+3nOZQKqmDyiM0U6wq9OJTMf2iLZtoE91wqE7 +M6e6sLs5tmfS5jtBWuu2rNJfAiUPh9qQN3aBan2M8i9sucKrTR2KXeC1bpjv +Jgcb6anL5f4X3AU2QhLbNNaw0d07G15+DuuCz2qJkm7YhSXDD6ujuiAj12tT +IragTMqlqvguENpz3ZWNTd7IL1Ke1wWznTGuqWvZ6Ho3K7SoswuMeXzd2OvY +KNKlxDFVshu+asRWBXCz0ZhqfijP/m44UaEXlIWtuT0jx/tQN5S/kBPqwOb8 +Gjule6IbLJVia/duYKPbkl7eC/rd8GTigFgLtiNV4eEF/25gaWlStm5ko9Mm +hRlr2rshinX42wNeNkqWzfp6s6cbbD3n1bOxF1enjvaTu0Fu+nhcM/bHd9EH +i352g9Mn46rtfGwkx3+zxHqpG2ZF50RzsXc2HKz7INMDj/TkJL7xs9Ev1dwh +58AeKKh8HcwQYKPK9PF/fYJ7YFZ6vJxjCxvd55I1ehjWA59k/jaJYfO25n1+ +/awHuA9mhlthS9u+TR7I7AHyyzybNmzTgCJz49YeCOjd5vNBkI1KykobQbwX +pNa9fua4jY38ROcfnJfuBfG9HjeDsFXvHfvH+kAvnLRqlEnAbjxXlu13tBf0 +xZUVm7CHesoflmj1wsSwz9vDQnh+fn1SPeDRC3HJAkdnsL0Uaou31fTC+sjp +XFthnJ/i7tSYb71wqHmG1wfbXOlOxMbmXnj1S1E7CvufE4qXOPt6IUzZT6sS +m1MtnW9qqhe2LqRd2ybCRtGGwVfrRPqgjCdGrQY7yIhmorq7DyrvhBzoxfYw +OXm6QqoPAnf+nZjANrWYESqS7wN996cLW3fgfO0v1yWe7QN7avZxR+wcN43d +Xh598N1/0vwvdqJHKs+0Tx9w+q9t3LyTjR57Lf5yCeiDkZ8UIUlsN9/iJtvQ +PohD5OPnsJWDJP11kvtAlOWUGYVdG72me099H6j8lj0gKMpGH2LsPie19IFe +cNYBSeysZ5V527v6oG8//1pF7IgXXg94aX3gEJanZoR9IWVI/vdsH5zdZTQa +jU19WxvRJt4Pg+emfnDsYqPWyTUTCnv74e28vDIfdrXsGd1Y2X6gKTAu7cRO +zanbaKbcDypJCVpK2JfefHlM1u2HxCNuxs7Yw0nfon/69IP3orldHXY3mYt5 +LqAfuOxnzVuxv+7QMsi53w9/7HlkSdhZL7/z33jcD+1B6fZM7KvP62OItH7Q +9Q3bICzGRpORjc+WmvrBrbH3ggP2QMPGGZuOfpBWnyGuYTdv0DOu7u2Hlsr7 +N7yw34Y2CT5g9MPYuxlWMLZbcPNzroV+UHux8Vg6tl0N7y+X5X6oiErNzcU2 +5NQ3bVhNAiInaeEdtkJQy7YoXhIscTdJfsae8W99sUWSBI+dWktJ2EPl/PNe ++0gQyHV3eRC7a8HAvFuOBOnWD8VGsD/4tG1/eZwEGmHJTDa2r2f7y136JDDz +zGvm3s1Gzu8Efgcak6Di+/RPXmwL1gXLQQsS3Mn2I23BPnGzQyT9Mgnalq0M +RbH/XO38d58fCVyC/vjIYRsrWcfIBZJg/TducQXsPI7hh0eCSfBA4VD2UWyb ++Dn3U1EkoPsXm57ELnUIclJ7RoLKMt47gM1/iNtaM54Ear1eQWrYn+tEzhmm +kUD1u7n0OWyRmNenTDNJIB/Q+lUb2+Oi7BGrPBIEdGqe08Nu2FsiY1dEgn3x +FZn62JLsU7uufCBBfZDMsCF2QNWXLVcrSHBdOWK1MXZ3mD73zWp8P2PaXxPs +R7vtZ3zrSSDSZvTcAps2Pjoa0EKCEP5rclbYx0o9qPc7SRDq5fjmInbM/T+d +j/pIcFpdbckae0wvuD5ygAQ33v1WsMVWF95UHcMgQdNwhKYdduLQ85K4ERK4 +zbBO2GPPFOzK/XcSz8eAzKZL2Hr+mampBAm04mSrVvzmrHxcxhwJUpbm9Ryw +lzeXReQskqCaEVa5YjPK6XsFHGTQXU/ivoxdmFXvXbyODB5bR46umNvL6HoZ +DxleZeeprvgSkO2r+MkgdF5y/4rLN1wxq9lKhiB/bWLlflu6J3W/iZDhRbzI +yxVff+Wt1iRGhj2/o3etuPb6X+V2STJkMjLur4xP9FjowZ59ZFj70KxupR7v +1ZslyHJkUF+VOrxSb0vzy+2DR8ggYOs3vJKHTMIe3uFj+P+SBmttsIOu5K4e +P0UGt9et91by65NXXJhWIwPlrJroSr4Ki5VTM5pkKNM+/MISO/zrmaEFXTIU +2sZPm2Mznjb3LRuSwVXCQ9oM+6SNWctqMzKIi5f9szKfz/fRarkuksGn1emQ +EfbUjHP5JnsyXI3z5Vh5HlIi/DKErpEh5mW2qi72vOnqf3e6kUFBtSpfC9tg +T+QT8Vtk2NcqunAWm7Msyf9AIBl2ZGXsP41tFSztLh9MhtbKz/wq2MX6BY5H +w8jQZsTfdQL7ynC1ITzD9Xw5MH8Eu6pIS1MjngxjzeOm8tjbAtpPaiWR4W9R +7TNZ7G9bhvYaZZIhO+hNpiT2gdPrlx0ryLC8dE155f0rzMnd21tNho6qQLGV +91NR0MBQ6wsZ0kJ8qFwr9f+MTz/Qhj0yObyE3+/zj/frMIfJMF8/JjCM3f6r +2ct+ggyrDQTLqNhmdp7J7SwylJzvVOpbWX+OVLKKF8mQlz31sQHbo08v/rYA +BVy8HbvfYv9SIz6PCVEgTmPXsUxs/7y4cStRCuyWE3JPwQ4OpKqoyODvT6Q4 +R2PHSbn/4FShAEu57voN7DL3WIVIFwrsD6FViWOrkI5dXHKlAGe2SLQQ9n8a +lBBXLwrwEW1HN2HXC0n3GQRSYEHw/rp5vP6SP5UGbn1OAXFzp/cN2Ks2kBqT +qylQWv9J7hp2sGfgL74vFBA8ccDOBpubIrH7XgMFtAdknQyxBQqvezp0U2Dt +pvzZlf1B0nRZWGaCAh+r+wRWYWumiTsVCQ2ApelOCMf7j2I8r/SXnQPQ3nux +3h97d9SfoX7xAbhypvjQDezft7surZEdABnOa6H62PmGYTZmqgPguPOsmwD2 +Fg6WyZLzADBFs3li8P64ao4iKOA6ABzMUfkg7ImJ+g5pzwFQ0jp16Cb2l950 +Q4OAATgh3vVBF/t2obne65gBqLDqmF6LTbWt1tAqx/cjmufd8H7daJK/2gYN +gPyx1DfW2B91Ev7zqB2AtPVmstrYT5W9VBObB0BvRgLtwT7DJ3Nymj4AlKi5 +v224P8itij7ynIcKWxgNJBlsH1HbPYMXqeB8cNyqdDteLwzkTcn2VJBymTdN +wT7/gCO8x5EK1Kg8mUfY0qOvWU1uVKC0vdY3xe4sHqkuD6bCjX8Wsgjc/8jr +etjG5lHBYbTLXQJ7xC8kUXORCk2vFWIvb8XrpekB51eraGBvaCiniW3H3MIp +tpoGn664/7sPW0fix1ERLhp0suWmJnG/tifsURK/AA22r6K6e2G3GjdeX5Ki +gUvAug5f3N/JTpjw9OjRoEE/lLDejPMw1kXhBjTYnKWdroyt/knNU8WIBtVK +tjs2Y1tGHSJlmNOANyHevAb3m2Hy3LleDjTo4qRySWEPe1VqC9ymQZKyHg8F +96+pS3vC9dJpkDCX8l0U97+yjsIqHJk0sD3DnTPNg/ezZj6iOJsGN97oa37G +bk5ZtNhZQIMIF4VSB+yl0937xsto0LTP1CED99OWD8O+h7bQwFn+TNoO3H9v +4WNy1f6mQfhi/qE23M+3fwp/pLVEA8X1xoVJ2E+vS61r+UsDvu/iEy7YAvWW +q/vXDMJ4T9UzjhWH1C1N8w5Ct+Pj+IP4fMD/J4HYITEI1Hdm4r6cbLTx5xmy +p84gzM7GKnfi80rjc5rlgt4gDHIHXXiBHanh33fXYBAC/RNFLLF50gq7w0wG +ofGybuHAEoE2WO9oS7EdhERzGzpjkUBcHcy6Bs9BqCmVplN+E2gN+rdAInEQ +XHSSpiN+Eaj/pMY5avIgHP/y0e0UdkH5BC3h1SA4HznsOzVLIMsPpwQEMgdB +vU98mz52UT7Va9W7QcgTvuu2YYZANokSJyhfB+FdWVO6Mz6vfbydWxvHHoS0 +V/eOlo8TKGrOyPrCr0EonKfJWWI73Fqc3bSAx2tyNXhhDJ/f3PX2hiwPQosV +3ykl7CvO02Ge3HRwNLn8LnOEQAJmR/QNxOhQnrNt2fkHga4pVvRx69DhqKKA +nwk+r1a3duV91KPDidtGO6j4fLv1BjPQyYAOfv6jXU7YKENKus6EDs356eHe +ZAJtEXriEWRHhztt+i9C+wlUsXCZZ+4WHUayZvfc6SYQf1zgQIYvHTbdiK2Y +7cLjUUgoMvang/GMi4IrNt+1FrN3QXTwPhibZdWJ6yEfT78RQYfo7fsOy7Tj ++UC8p4ZS6WDKJyv4oIlAdlb7+J+9pkOpstj+kUYClcypM06/oQMnb1ydDrat +/O2wlFw6tNlNufE2EOj9K0aX5Qc6ML5s/hb0jcD710fXtgY6KBU0CgvUEKhw +d8fpoGY6zJg3lVn9R6C1nyYFD7XRIVvZxOD1Zzx/s3sqIrvp0Mn34LBsNYFW +Oz1ef26QDoeS/GwkPhEoV+tSatUvOjBLvlxL+EAgRVlxFVjA9bvwtDSUEKiK +l0ZCf+hA/bwv+ncxgVo7rIU+r2JAy1o3sv57As1YW0TVbGCA9a6Pvj0FBApQ +3S6rsYkBJ3MOPGe9JdA6iZ7vtXwM+BsR6MCFLTxivPaLIL6e7ZN7IA+f5z0M +7nzbxYAjt3oKzmQR6Ksxn4iWOAP6m47tV8skkIFyc+l3CQZURxPmJ94Q6NKi +DrtehgGiGevkRdMJ9Oih5tUmBQb4rt/8MTMFz5fLOq7zRxmwq2a+604ygRJ0 +6jKalRkwLvtIUDeJQHn86oMtJxmg+mJ8sCcB15OgYt5+lgGmW/9wJz4nkEXA +0uwFLQYkd117ejyWQHTbymcdOgwoDFP2aH6K65U80dJpwACzfYyD5Ghc7/qF +6yZGDHjfLTlkFIXrHSvd0G3CAE3v8rTqSFxvwdGzPZYMuDI5IO8dRqC0mBmG +mTUDmoZ+qH16RCBZr/f3em0ZYCsr3z8bgvM4fvhT32UGaDgwq5Tu4zx2MC0t +nRiwptpZ7mQQzmP57Xy/Cx7vu1Cjg3dxHjWyimRXBpT/0RCpv02g8Yzxtovu ++PrndxzcfAjkFZpzk+LJgHkt+VtzXgRavuqyycabAQs63/5aeuD89GRyB3wZ +MPRjb+rzmzg/+Z/nbP1xvjITXqnXcX4Cb4apAQxQoHf4eLgQSGL2crBdEAMS +Nzhd/3sF59kjsWfwPgMcnuzgVLpEoKPldGQfwoCo/pJzi9b4+Ul8ZU1/xIAd +PDaSMhYE0gy0+3MpnAHDbfo6141w/vZiLxmReL4Jl/fbdXH+GgNKl6MZMKWy +1sNPlUB9ZwVdNZ4wYDnLSyzwKIH+B89qNtc= + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwV1Gs41GkYBvDYVsKiVIw2E8NsWlsStanxaLVZpxYhcpYkLXJIqtWscsgh +2zJKGWfDlUN2I0S8RLHtiMlpHQbzf8cgYv4aSkVrPzzX/eH+cP8+PZo+wfan +pdesWeO/ev+n5elJXtOUowlr/YB659QkrDVTPz9KMQQLVoa/gvYk7M3JYFdS +jkDt+wSWT+cECL/Wjr5IPwb+VzdfZ92cAKuO/odZFAdY16bLvuE4AdrJ2zif +qS5wzno2q5Y2AZ8sT93xpnvAcvuGQcdFEZzwfdeQSvGFLZbxzJlCEbSaqde0 +sP0gcLdrbDVTBPo0xp8S6lnI8hDJ2rmJQJa4lu9ED4LktIYLCxQR8HfHm92k +hMOTqva6sPxxYOWoNem4XoAF+2iHv+LGwVKp5FAjOwKs6Pf5uefGoXqWazRH +vQS74hPH3PaPQ0r5xh129KuQLOX+YVOvEHI3/xyXSIkB05e+KlSaEK503Gl1 +qosBXuaiNENRCE6xY9I011jIzlFwYi9hUFgIYdaz42DkvBZPhYchsocVOUNN +gNSmfXvzrmGwSxsMOEZPAfZQcs71WQKiZSu/SaCwQHe7sbT3mAB2mv9x4+F5 +FvgYB1xV7hTAq9jgqaE2FhTT1UKHGgSg/YVe6a6IdAjP5n7VnCmA58uFu3pe +3YZUlS/LHjsLQOHtbSNq8l1gjuD0ib4xyOBfNnu0kg2/9EhCU5+OgrNLXoWY +LITvLnMLXjOGYW7IQS5fnQMFHubZU9rDEOche/q4GQcMXa0i3ssPQ+WpYPVq +FgdKma0yPw4OgWIQI/bK/iJw/qGgk3VxCJ5dGzgpE1UML7RMml5XDYJB2QaZ +r2VLoKf9oE3IgQGQ/xztZq5RATtVmak5p/pAx252siWpCjbti5j71ZAHF18e +gAzJY/hAnh0RStpAtWrDiJQUAm7wQevIyAaoJsy4nYeewhV64lJncyE8Dcja +6jLTCj+8HTxBu56NFugMpfLANnAxKyep/BokvVSqvFXnBVisv08bjGhF+z57 +VR9/wIXwJtqWRgMu4sYYvny+sxPqf2+rF/3NQ9Rb00v3Z7tAe9B0Ua6iF02/ +Gx3p1X8FPSxnP9vvB1Dh6/oXiindYKaYc/nJ/DBS3FY8JxT2QLf1nd1nTMdQ +8tlHfrnafRDigYq4wwK0aFoq9Gf2w7Lx94MrKRiFG7RWbWn5F3IYGg+mVMfR +6IPWJJ7mINRV89u3V4nQx4CeTN3LQ1BRERe86eAk+vbwuhW/+mG4Ob8j5Frm +FDLP1zzzl+oIFAVmqNsrTKOL2zy1BG6j4OXASQhznkF6M47y/TZjcOlPbpNW +2hukoiSWbf0wBjSjyK5Q/ixaizIraGwBZDP0nR6vFaNzhvUD660I+Cnx7M7f +OGLU1NVbVmtDgEpDFfVjsRhtDhQzz9gSYLNe6lREiRghjg79mSMBVYdWRgMr +xEhF9Vbob14E8AP3Kno/FqP6JV/5dxcI2Bildty1Q4zkkSJDmEvALzIqJxoW +xMjLVVc5rYCA6r1v6y3ei9Gjd2b4cBEBnPFD0v0fxMhT/1JCTikBpGj7z5LP +YlSZh3tPVhOgkfFVvbEciVxjaoN4/xBwM/+7/SsaJCq18MltXCQgiuqVVGZB +IkM9TRNYIsAy3cPugDWJGhXHhtBHAmrurjNqP0airm531eY1GMrfdGfPHCeR +xN0lpUUOw9bl67vsPUhkEmr7a7sGhtu6z45wwkjU5qCkbqGJoa926p5HBIls +97+s+ZuG4bCjWs3WSyTy+WT19sUODH5WEmHeVRLFx5kHdBhgOG2iRMMJq3v3 +TJxfHcXwqaTDOjCPRC5Rywv2Fhjkjm0zjiokEeH5JK3bCgPN0dEyvXjVo23c +2WOLAXPD+KPlJKJUGB3tP4khKRQbiOtIlP+HBJ9wxzBtHuNv30givfDK6H89 +MaB7W9KeNq/6D+xpGPDFwJ8ryuW1r/pa9AyHgzBsnKMK+/tJNM2Z5rmFYAjO +/rKqb4hE4TdKgvlhGJ7r3DaSjK76bXaUjkSu9vT0nKxJEinrT/zkeQVD8xyT +a/SGRPc2FolGozAEJJ35UWaeRGX9NC3B6h/TGFHTjPtEIqM6AnnHYjCV4rR6 +Sc+jRnaeOxGP4aECw6ZXdh6ZM70++iRiKKotWLtHeR51eVPv4mQMD8reS2iU +eeRyZGSf7+8YZmIFst70eTRwdFPQkVsYYrfjc2/2zKP/AFoeb6I= + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJwV13c8VX8YB3Aa0rAKZYWsklCJ+NFjyyhZIZLskZmVkhRSaCAhK1mRmZF5 +7uWiFEWKzBJCGnKSCL/n/nFf5/V+ve7r3HOe536/388jbOdl7LiGgYHhL37o +Vz3HyS7KlNmRCMrGQjEVklinwes9wiMHl58QB/O1SOJgRlLqUx5NcOvM8OLT +JYkxftGwQPHj4JGYe75KnyT0O3rL03hMIeo9x+VPx0hCNEYgZ1XQEq4VBM/S +DEnin579/bPiNnCIxhDhb0QS0+E9tLOJZ2G/tMf1VWOSMHf40xDH4wASkgvL +bqYkQdPgrW5OdYLyzLUXG8xIQlZEpZQUdIXCD6osSydJgnn0atZJcU/4sd51 +rYYlSdR+7O5oNvUG8pF1kdEpkvA+4aBnnegD2x526ptakcSQzHWNWB4/oPG8 +UVU6TRIJGTsoYlb+ELdBMVrQhiT02AqUG1MDwMehreUfuur7q0M/BC/Akzmn +5Ie2JHGraOtuI/HLwJxndzvLniS0BLKzp5xDYfX7/a9mDiRxuTWFnWp6BT4G +GhPrHElCTl4q1jIxDJ4dGug0cyKJTC7DyJs84bBO2svjpQtJXOy4TztZGw5r +vn9PtHUliZMRH9eIWEXAdv0+yi/0lt8+oXWpkXC9pSeJ1Z0kgnoSgmYEb0Ci +1yt/CQ+SMIrvdzsufgvK/ou+MutNElL6Io95225BPHeso50P1mftuS8TzrdB +7d2djC70mZqVMsL0Dii/P65Z6EsSXHvuSFsk3gXxty4xBn4kEcb8VOIGTwKk +hH8+ZxhIEpI6d6PKvRPA5xDJXI7ujvCaGmhLgPX9DFbbgrC/a6UKpQPuAYdw +kGo3unU5W7qnOxHWnfw1oBaMzz+XeEgwJhn+Xi7p+3aJJCr3+yce/ZwM2fYr +v4+EkISNt8kfH6UU2Lhhh+RtdMk3thraZArMVxyelLpMEsaTUcpuWqkwnBwm +YxNKEklDwRqVK+nQr86x60EYSajxW2YPm2XA2+4/F0bQ06cU1jMXZUD+/mBh +kasksXPmgn3Rvkwgz+m45aO9XOXFGAYzgXrjxd7CaySx1aEkP+dwFsReXXcl +PoIkLCwflvyczQa1nqPHlqNI4seA6aYs3hz4lPYhROoGSUTaMDuaaORAV16S +nhX6qb0Xb1VCDnRvnaqvRrN6qkRcVMiFfYtPhc/dJImWqx9OMYXkwfwuJlpz +NElYr4+trM7Jg/J5Felv6F/XVdldO/MgveyZBncMSQjF5rW8FMwHfrLsvDP6 +0n1/2btN+aDjnbi8PpYkDjzhYOJnLgB3DxYbuVsk8UK6xbZDtgAOCvS8t0Db +lgXVXbYsAGkWJ6YQdGzViPenggJo1vGMoaEnKUUDuccK4e3MTq0Tt0ki/Z1u +2f74J6AnoTp96g5JbF4Ns9bZWQKOZip5qnFYb1dzGyntEhh8H3bRGi3aI2XL +4VkC5VrbeYLQRx732g80lMDOvLqyYnTN48TKaulSuFJibs8TTxLfTGbq9TtL +4VdB2vZxtNzGXpUjo6VwoMX+yTI6uJHaKDuP37/Oxc6dQBIb9tyncO0sg1z9 +UC1ttPCKGm3Eowz2y7D7ZKPN8u+/PM9SDknhQSwW90gi9fRVAyfhcjA9zlrp +gR7d6tFhcagcTm5Skr2G9gpRf61yuhyk/e2yitA3jL91bygqB9BXDGFIJImG +f+r9Dwyego9hv2Y6Wszo+2RzdAX4clrU1t0nCd5QHSXnhxVg/DS+rAPNXpQZ +vam6AoJ7RUJG0IvMJtJGoxXAyKIStyaJJN5Qqs8PH66E0Aa2Om10sGzYysJ4 +JRCtov+1ob1s+g1TlyrBy2Uo/j3aIebgQ+CoAl3leNo42nByXCNSuQpMrDuK +1yZjvTP1bmyLrwL2u/BEBd3JxskprVoNh3fnBxagm1U8HLvMqiEsz9alGv3M +vbXKz70aYvyV5GjoR21BlnWJ1VDqutlmCB0UOpR+9Fs1aLQKrLKkkMSuH7l7 +7FOeAfvB+DQX9HYBhotMpc9ASkTX7Dx6i77lq8ctz8Ctr286BP0nd7PXz5/P +gPGLeGkc+pWNd0WITg34c/Tk1aIDOxUhiayB0kBuj3UPcD1IV46/YK6FRcnW +sC3o7FjZmCX+WphgagvmRHcZSHyw0aqFT9oyvKLovS85z4slou2ecqijmyTv +8JgX1ELCmQ0GumiLm5spUY218Fsy1v0EOkJ3DcvMRC3Mp6ecskGPtP3ILVeo +g78Cj5eC0AES7sfG9OvgId8Fu1D0lusTc1y2dXCr6XhJBFpRe0j1QlQdEI4+ +S3fR8bT2fvhQB17mJ20fo3WoOawdwfXgm7PT9y16WEi4cuVWPbiqT2v2of2u +pFrJPqoHVqrq6iD6IcTnx7+sh73TU5IT6KWGK+qn+Bugn8y2/YOOE/g3GS3b +AFtaVH2X0LtDAm83aDbAzWjec6toM2XPQSGPBpArG96xIRX3w1qrgC8NDeBg +zGDJhdbm7eXn6W6AT68/F+9AD14wbtabaIDl1eJvfOiNirrsxayN0F15XHwX +OjOJVjWyqxHerjMQEkPLL8BpDoVGyEq+unY32r5avsDvTCMcrPwasQ+9yF1+ +Ivd8I1T81RKTRd8J2Pen93ojbGXYU3wA3XBIVPO/0kZYdem9pIA2vZcxfY7W +CGrXXzQpoqdJ3rvpfY1gPHL313/o7RUcw4yMBJBWs2yqaOuYJdMxbgL2qDGJ +q6OzHMZftkoRwLPDc5cmWoqrpibaggDD5YROHbTvtyxZT08C/N5fvayLrm6J +yTsRTsCMgjCXPlo9wPYeVykBt7xfzh9DRx3X27LQQkDF23XqhugOcblr/QME +fOnV9TuB3roqsFg/S0DgaGmsEfrJ12vPXq+lwKMDJ24Zozt7F+YorBRYM6oS +YIKebfaQKeehQOfYbS1TNGfpqNsjUQrob7L+R7d8qnluggwFOJarU8zQllGv +PkUoUeCsRo3QSfQlPzWBQC0KxID/LbrTbassXE5QQEaWcYxuqsHeBEsrCqTI ++gqbo8cOZ77Wc6LANsUhbbqZxLg2K/tQQFbQ3JTuPRw3tfddooBoIqlLt8Hy +StjO6xRgkWmXoNtz6nwDWxwFtCxnvtHvf/fd5AJDGgUK7bxS6a6gnpb7lUeB ++UDng3S/L+r2+lxOgZXsyXL68/9N1insaaDAYg8TH938kfUTLc8p8Ln7nTv9 +fY/47t9V/ZYCubquOfT6nLXJPZ0/TIGLtJ42ev3C9fiSk6coIFSy9y29vrny +d3pukhSQPBnWRq//i13r2S+tUkDny2Q2vT8zrMH6HpuoEObj734czbr0PdKG +iwqR44p89H7u/2LfZChEhc9cuhX0fgcQxxQPyFNhwTYu6yg6ubDJT0SNCmkx +s3+00fX3FUo5DaiwmDgur4Vm9BaW+HOWCoOS73zU0KLWiXaT56iQPkx6AH09 +Hd2c/iGQCjytsyYq6Bih35z1sVSIOdE+dBhdvMXtRFESFfqOvAiTR3ctDEen +P8Lf//OVTQ7N3fV8TVgNFS4qjE5Lox+Gpf7UGqfCIR7fNBE0zYNDSuEnFUhd +7wQh9IRlpPPuJSq89+MNEKD/3w94D2/iaALeqYq/3OiqUY1Xr5WbwF721dqN +9P4cDZuN1WmC7V4O9uvR88WN3AbGTZA0MV3MiFa4qHj2uXMT5GmvbFzE/ePZ +Npl5SlwTXL0y2DWF7rtwji80rQn63oXHjaMXRh6rquQ3wYaWO/AJffiJaHRN +QxP4xm85Q9/PajR5hconm6DBV7CyBd1fYK7lPdcEmgXe/RT0Ivs9N+mVJthb +dW+mDq00xFZZsK0ZHH/d7S1D1/qv13t0pBma7TtepKLrc3+eT0hAm05IeqEH +t0gnG2c0w/rCShFX9D9f90b2gmYoYdm7yR6tAhPMsUQzGG1XyjyJbuwdSIuY +bgYHO81fymiCua0tQJUGnn1PDjPRzwe3ND7LGRrMv8vLjMbz5+mUu7vNPA1i +tILmrqGzXZTq7Bla4CtDvMIldIRT7ylPzhZQHXkRfw591I4j5ZpyCzilSqUZ +oF9bRuwojmmBWQuhpY3owaMeXGv3tcLZr8n8wXh+drT958is0ArWT8rzvdGN +2psqWdRa4VjwdglndIZmnukOs1YY2/F50QRtp/opfl9IKwzeNlqVQk8qmG21 +6GiFubmpsEE873+Lq7AVebSBvZBNxn70kVH1tptBbRARqRcnho5MOxrqcq0N +1s4IB/CguTlNf4gkt8HF4XJ2RrQ8o/vrFFob2J7TiHuNeSRoIOl2FN9zWG2W +7XRF/7tNsjm8eA4idj/OxGO+WfO3kJ1PrB02J3NE1GOe4utQnwOZdvAL4Foo +Qss9/PDOQbEdGC5GnclAO+pueFB8rB1U/N9svop+nmwnph7QDrNBAf30fHZb +iUfJta0dKn9fMu3EPMd/KdK+2vUlqNGih3owD8qv2laZFL+C8LFmLSrmy1fh +cp2tkq8hU8jspgLmW8E7X/8+/v4GGLMzFXIiSeLrn5Hhd7LdEL1jaHL0CvZv +uq6d9dZboMaaZ23DeYJVIO/H2FgPjNcsPOjH+SXGtdIpU/Q95CcdkirA+Whe +tXDMJbQXfsOBPEecz/wO0Cq4m/twXngzrYbz30gxLbpLuB/eCI4nrOD8ueTW +82BP8ACES5i4BB7F/KG2YcWpbhAyjPIjDwKe/1nCzmXbh0H3YJMhVQ7zjcCZ +XZ+sR+CzRvbKQ0lcvzNmm3uPfQTnzOhTNkIksY3tJzNt8SNwSZWpD3Di/Ew8 +KBFJ/QR6g+aHmzeShLtc3YeN+qNg1eVRabU8RxTq2mU2zo/CJpfFqpc/5wjJ +sbqFwtjP4JD6XMZ7fI74H1piXfw= + "]], + + Line[{{0.6116816716216795, -1.2434179146146471`}, { + 0.6333713592563216, -1.2400577525972953`}, { + 0.6531622996938181, -1.2369033261517752`}, { + 0.6725634788953451, -1.2337280433641775`}, { + 0.6936157131874332, -1.2301879908573987`}, { + 0.7132577780802865, -1.226794382434761}, { + 0.7345508980637009, -1.2230138548863574`}, { + 0.7544338486478805, -1.2193849801391232`}, { + 0.7739270379960906, -1.2157308801602156`}, { + 0.7950712824348619, -1.2116539745902124`}, { + 0.8148053574743983, -1.2077360152267913`}, { + 0.8335219739026045, -1.2039116799274199`}}], + Line[CompressedData[" +1:eJwV0WtMkwcUxvH6ykS84IpmEpSwDjFykTEvpMboieBlQkcCgRm2IB1uC+KF +KUFWLTKRumKYBRSqAlWsVAbhoiDO7oVjxFXRmVnwyhAVjQ6h75EDhAxDWffh +yT+/z48iJT3uO0Emky137f+29O1rS7/4FpQR/4bVRwxja7B+unz3ANwK9NCK +ocMoj9obkus5CMKCd6cUvsPYs/Rel0YchPeGSss6j2FsNMGiV1sdEFAfkHB7 +lDGpIVzu63RA4h0vsegF40+6ykM9NRJ8dkad1tzBODvLPeqbOgmqu+spweXT +qbu8XjdIsMM+K3LsFmNT9CozNUvwKKcydpXLr+X2GwJKsD178YTVxhhtkk0P +7JIgbXD/eFM740dXko9lvpdgU6vKP6uV0Vz9x5fjExJs2GMV5C6HnQ72y5ER +bE++U1IjMm7OHmvQf0Dw6uqHnT2/M2ojDfayOQTLCkZz1lgZ+/5qm3fdn+AH +206N1MJY949vmaeKwOZTahUaGfUVOnVvDEF4p644t4Hx2zhHQH0sgVGW2TbF +5YWi2PjFFgK/1pMyZx3jUcPXtoIUAqv1jcVRy5gafmpohoZgXZRNc/4C4/oB +2ZXuAwQXCoxT57vsdzZVW3OQYH3EiFZvYXzooXSPyiPIVGd5p1Yxbnj6cGG+ +gSBm7v4ObzPjJ3nzPne3EFzOF6JXmBidSu3sR9UEB4OXPTVUMD5xvOy01BJs +Ph7yfX85Y+GWpqSNFwnWmBIdJWWMk0FxGTqR4NLaaaH2k4zdz64qE5BA8Lf3 +ervcckLhXHSdYJtvZXySkXG3853+xk2CDOFMxd8ljD12g2lqF8GSmabAqmLG +346Mbet6QKA4frj8dhHjidXJgebHBOqbbff7C13/VYU2R/QSBLVX1XoZGBd/ +VarxekGguhuT4XOMUZjjXNv3kmBrzQOl9y+M1h/vdhzqJ5DHPnajo4ylS1ca +YgcJPBUrEtvzGff0lccriCD9bYj1sJ5RZXTzGRoiGPizuTvoZ8Ylqp3Pro0Q +XDuXUtqoY3Sbcv984RjBjo+rV7rnMT6/vDpNPU4QKYaPzM1lFNPMn4ZNEMQf +yf61KIfR6DdzdHKSoGiBqf2ShvE/Os3Liw== + "]]}, "Charting`Private`Tag#3"], { + Directive[{ + Opacity[0.2], + Hue[0.67, 0.6, 0.6]}], + + Line[{{0.8335219739026045, -1.2039116799274199`}, { + 0.8338408514536224, -1.2038455414748004`}, { + 0.8341597290046454, -1.20377940302218}}], + + Line[{{0.6111710011173744, -1.2434958081923804`}, { + 0.6116816716216795, -1.2434179146146471`}}]}}}, {}}, + "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>], + ImageSizeCache->{{4.503599627370496*^15, -4.503599627370496*^15}, { + 4.503599627370496*^15, -4.503599627370496*^15}}]}, + Annotation[{ + GraphicsComplex[CompressedData[" +1:eJx1enk4lV/0L5pImVKihEiTJInyjSWUTCkhUhINVDKGSCiKiESSTGUeikQy +brOSIfN0jAeZjzMQFe52n9857nPvc/86z3rW+7577bX3+qzhc0TMrHWvszAx +MUmvYmJa/tW4PtJQPKqvGHn96xTT5AjyZP2825c/BDh0pwxTZKaQodG79Gly +HPwMOWFutW4asS95XlLbkQ7c1VQTYvg02nVuaqTMLwt89QgffFjJyKnuGITR +cuGKBuFh7w0y4svi7mFmRtC0ca1K+Ucy+jKgUlN/vBTqU32ZE0hkVHorcpvR +RDkUVW9+JrCLgmbEFTg/WFWBr7o0ROpQEMt8Kte2XdVgy/ls/xYnCpJdMv1y +/mMNyDZQh1NDKajGS6aucl89VJLDJsw+UZDQi/H55Kmf4OLjJiZbTUHjv3t7 +WqQa4c/Q/J/RbgqKG8uv5ghoApIzu9gQiYI4BBNJg4PNMFka99l+kYL8LbNv +xIi1QpVqSJEbGxXNKqUOWri3QXpBrBeRh4ocpMuztpS1wzp/UuoVfirq/Vju +1yDSCf2np4aYd1DR31vNb/e6dIGlx18nSWEq2n9i3eKNfAIsLtyW24Rltfci +Nz/x9cBFg+3wTJCKnASv7Oy/1AsWB8aNc7ZSkcSEPnubdh/80PGhXOamok2c +06zlf/rg2b8PBxvWUNFq9DZdNKIfLDUjSX6zFHRbJr+DTXMAjsjwuOj3UFCq +ullM0ewATGdX3g7/gv05LsA1HEqE+DW2NzuPUFDMZp0nz/i9wMdc7cf3xgkU +1u2ikr0YBd88q8u4lUhIOo177XbWFDi4WuogyWAa6Se9/mG/MRPSg6pXrWuZ +RnWcvLySSjmQ9GlGV1eejNRK4jlqXQrgH1dbmLM/GV01Sbic1FMMx6LObcv4 +SUanybV6dr2NYOLqrqv7i4I6Hh/qvn+sGfhmjmx89puCtFUkU0u9WsCET3SL +6moqOqGfEb+6sRUCyIe+PeagouzcnBoQaYdda2ODb2yhohQbVWEHuw747jpp +uLSNiib9a4IXajvBpqZd11wI+6t1UuubAAFehwm8Mcf+zrUNkfa37IZ93n1F +Iljfe6VYVT2vB6YpdXM2+P0RF+8ItX+9UBsrHXJtMxXFLOx8ph3XB+G/o78L +bqCiDb9OEuw1+2FmJkSuGd+Pr/dTy0Op/fD+neeRvHEKYkccCoMxA2DAKcH7 +uJaCfoYrGjaeIoLB5r9sEa8oqPvgU5Xn/A5gEl17fKRsHG2ghh4R8n8DUYSI +MrXyKVTxqOPiWrdEoB2+NtElNY0mz08UaNZlANtve+f+r9PIRcpzcW4oG8Zl +s9l37SSj3ipSQqZcPhTpc5kJupCRbMSFhJCDxfD7sMdRoWIyCqe18ov5NMKn +++w+uQMUpJgze76c2ARPhX551VMpSDLjVm2TTAs4rB3mtGamohu90k90XVuB +rK7WvRnv18Dtk6HezzZwa9/i9IWXigLPed2qEOiAXPYg5TIBKhqO/Bb4y6kT +HP8Zmlbg+/5U+CrNuboLBBrOvzLC/rbr0A67z9MNlo43Wj8unwczWX/BAvtb +MJk9aDsVSWnZXQlJ6wXz0RZbUT78PYcCDZ77fRApp83ejc+b6284ZZtoP/Rm +XhBxZqEikwhR+e6qfsjMrY2zIFNQ/vw19t/3BmAkaWbng1a8P7uzD77tIMLh +e23pJ5Mo6Fxw560z4gHArJcSQQudRDzX0pPij74HsdfKgRIfSCiqRf3ToeA0 +2He4Yq24yzS600r2+dTcAnrszjbUtVS0/ceBii972uCptqToNy4q4p0tVNpv +1w6hUTxHaNje8sDVrTurO0Dxj8R+Xhy/NNefrzeJdcHzmz9zuvB+o/1c4vlu +EyDoTbKSFvYH0/qumqjibsipLpS8jf2VWhR4+BV7L2wi/ujag/35U6/mzsKu +PrB0W9vkvImKLj7x/e5T3wcWUiffb8P4w9o0XfHDvh/KcsQHuv9QEM+Fwzpn +hQYgL2XLosUQBRl7fb3b8GMAZNNr+HnKKMisTEKGcJcIeX9VBarvU9CFa78L +X/Jfg1mn/GfJ82OociFOsrkxFGpvdDabuU8hjrsK3q5yCcBMMr36Zvs0yk0O +zc6RzIBI7zOLwinT6Gdxjn3P0WzgOcO39ulmMtr/g9d+V2geJD961/zWhoyU +HU1fbc5A8Kq6gOCXsywb/3twqRGe+W74ZNhHQeSzRoGjX5sgZWjEtA+fX9Sw +jwlhQwsoVQfJzS5R0H0xB8d5nVZ4MbFfqH49FYlf+RjVk9gGhDdpJg3YHyzK +cZxTU+2wef797S3YX2YJlc8JWp0QcdhGzwL7s6RC4PS5912g9N1Q/DT295nn ++zSnhwkwVz3GM4zP48M5X5MLSj1wY/spGx58Xs1ZI8V5Xr1g9d98EgWfp68U +W6qDeR+0sPSy7sLnzeNdsUDi6IfWG8/DDmA8+vSh14Epsx/S+B/arKdR0Ca+ +F3YepgPwoEHntU8nBdEuGwWUrSfC5R1fndvSKci5OcR5QsgXuLPaZjn+m0TW +lrK7mAgxYNRqM3LUmYRGij90JWingjE/warXYhoRNnAJ5KW1wExz0N0YjO+S +XNbZlxdaYUbwt2AqJxUNtuU9yVZvh4lhp4+HsL1yHmKumlEdIEi+mRiA48nG +q+4V63wnKL/ecDQO77dDSmaepEyA7lPKgpewPwiFOe6bX3WDiOHNzz+wv05y +7jlOGuiB7oDfSw3Ynzt9n0Zy8fTBVqZeWwcc7wsnWveO5/ZB7V4D83h8Husv +b2uIvtIPEYYmA8R/FHTdguRrzzYAN/SvZSaOUNDnd8SWi18GgFjJ/c3jGwXx +px851XaRCNcne6QcfSko4APPnnPiD8HytJPsRqMJpDvic/zWyQiY42buO7ma +hLZfvVYRcaoDrvYmH7uB8fjWq+ogyvtO0HL2Xc+P96PtmhgTQ+kC9VCJIjO8 +n9BdtkMsit1Alqu4Y4X19zMMtWODeiDfuIm0BvvDnHAszspvAAK37j20p5GC +nj5Ru1UrTQTnddxfE6MpaPPeF5KGoUHAKR3n7TE6iXSjB6X+zHTAqR3nRwPx +/XC2b3yzQ6cLLtin1bHh9a4PF5+DYOzPyv1zh7EsZrDIv2eiG74Wd/AwYX+u +uvl83en+ATgY6WIiWkhBaW2iO/sfEcH8xTYWWTMK0qxty4zk1wPvgtNP910f +Q2KrJFIlHV9BWMV/HxovTqHP5tYCX0LiwdoM9YnwTCPF5DbzrsJ0qF1c1Hr5 +fhr9YT0veW4gCw7PaEjzcpJRg9buDpOTeXCc85Z4xW0ykticm+tniODOZ78s +yc9ktCUn0ir5VCOYOvbyivZSUHXk+gMPYptAWuOn2uA0BbUyb03sm2sGcfX7 +ErI4/liqQqa05FvhomxI+W583hw/00pig9uA7UDiM2Mcf//Jy5ixdLSDr5yL +egGud2JSKjZckOsExchwdVm8f5Ow37YKAV0w4JplcBz75/ivsLj9DQR4PzI5 +vIDP58/9FrPVEj2wh+W2jw72r/hoLLnWphe6G2J1DHC9czHgYFe8YR9whIcZ +li3HX/XFVZ2r+2G8rSiYGcfDxS8KPDyJ/aDSIbJFZ4aCUPwu8Qr9Aaj7EPfM +kYDzbdNlvhImItSvsSHofKagDTO27vkRT+CU1O+Ir6yTaMfEffMPB2Kgp2xK +/eUlEnr+pdemPyUFUq5qaSxcmUa8e6LNisJagG/nnbtUHO9fMwMPfPrVCjcL +9Yq24virOZ2b7HKkHXRE5GRqcf1h45xVe8WnA0IR4dhpfN8++tTyPiZ2wlgm +jeyF9+u4iluUIEkAFaaYYVPsj2o+8Y6z7t0wz/to7Rz210s5B6WIuh7Qpomi +nfi+a4oOHRFg7YNmquTUJI6/uuh/RtvT+8DPUjrHnJ2K2N9ntPrq90PNNa2M +ngVcr9pq7/Ze7Id6Y04F2TEKuiJ13zc6dQAaTKdsOH5gPBKTr28+S4QLe4kH +CIEU9GWq5ghJ6D7EvIzdPcAzgdInOXPLR8LB9Z7jmbbBKWRgROP7JNUBOrYv +5zdje5LefOeyet4JjR5xV6exvWPaXtX+PV1glflH+grej5d7r6Linm4IlY+2 +CMT7rWyPO3fWrQfkRVq+aGF/cN6uv5DpMQCOB0KSjJtxPvqnSa3eQwTB+LVS +gnHY3tzFT0jvBUQtDOWdKJlEfq8dHnP0dYC5b5ryeXw/vjg1bH1zrAtUfaOm +qfj7LLmRrvvdCbAtKX7fCbw+T8Yde/PWbliz8cPM8v1Ln9mZ7986AM2cjw9J +FON4196T2uNMhMGh3TGvrCmIdeDRewPxu0AePOr12GIciVEVdlz/0gXVHnuG +z+HvmR4uIGf9I0Ba8tTXH3g9/hG9NZW8+D5RnVL3p1GQtEf9lgCOLlhgqxUr +wfrjJhfqV10ggIhI7n/6+H3FY4cKO64RQdV8ukj2EQXJyEo8Nwr1BMUdKswB +YRMoI6naMWstAew2jxy5hp9P41Lprz9OBKXX4/1t4RQkb90kEHetCxoWjc8J +Yv23TYO7zycSINkjIVEMyz+vCr0h+hOhk2L5easWBR2ODov4zK8K3dwqglfm +R1Gjt/VoV1UI7LX9sIGmNoWemLBeP68SD6L3Lt6t3zCNxJolTLnvpsPkDdvJ +oqhpxPUhxm99Thau50+8aGAno7jnUv5/t+dBW4yprJ4lGb2/NvSjUgJBTXTi +nr8ZZOTRppiA/msE3QOr557g/kJOlehaEdYEBW/8+K5jPLELvPJjYKoZDj6g +KbTg+lhta3yK48FWyHstydeE65dHrBLnn/i2QeGepVohjCeGsg/8NtS1w7tZ +GY0AjCfFEie1QiQ6oU+aaLYdn2ca8/CTw15d8Fj6YPIRvH8Z3rPn1Csxnng7 +9bJiWTjg72CnSA9cP5l10ArflzOPmZ+13eiF3oC0PU8xnqgUKtsrnu+DYtkr +27hx//Tyzq619Ut9wPldZMIS40l63kRf+Lt+sDh8yHkK48lmq2n3m2cHwMV1 +tOUm7g+LOPq60N8B6C3ZG/gni4IMvPtYRI294X4Jn6bi1AQauyi3hvVDNHAW +o913z5CQ6Sfn/IdGKSAy29/iajyNMrKHnxQHtEB8qsPGCIwn/1bFjHYSWkGS +dCy0Dte3Sp5H/7u8vx2OG9fsCcd48tzh36ylWweM/OrmE8PxU7deW6+4vRPq +Cx5ZOeD7Vn5nSa5RjACJxPhHy/mvVLXb+65DN3BSGo5sxPqvmuGlduU98H7d +BQkNHL+m05tYhFb1QeF127d7cT+TU8dJyUruA6sEHbUSjCf+qq4dD8/2g7tr +hMBFfF7m9/7NbJzH+KJ/y2se40n2bxXiiYQBYOEIrdCsoaCBKwXBTZpEyPCV +s6t7SUEanCnHiyIcoferT3TaxDgysTn/21Y+HHgCPDdlN08hO/3jJ/J3dYD7 +9qWJCVxfVG1TP5vyqBP+XmWX6MLnezTHrvdRcxf4ONxIWK5PXNNCx40Fu0FY +ks82Gu9nYqK6Sdy+B2TVFQ5aY39clw7/pOc6AHo0S+m7LRR0Vq4u57soEYoD +KYbyCTi+V935NXwzEDiThIdN0yZRUnBB2taWDujYx7VGBt+Plvmzhq2SXRB3 ++YnQCP7+2Z3+L0TuEWDvT8H5U3h9tm5RYc8f3aDRI3HzHLZvTeEk78GGAUiW +0z8bW0JBDj4p1t32RJhTl7r324GCpEQVMmhClrAlr8ZJVmYc2V2SOGyc1gVu +zWqntfH3LpjaRzWSCZB9plm2A6+3VrTtezknEZb83M1ZP+L+hEXH4MeqLqCk +RM5nYv2zqpOD81oEyLgSRjLE70s4fPZsv0KEKxJSnTPeFPSwMpyrRM8DsuXO +6fren0CL3Ll+Kf+6oJjoW7Dc34ZrVsTXyRFhXOIpr1YkBRmRdS/2G3XBg2SX +ruV5wxa3xuPqkQRY+lQeLIHlooh3lweeEmEbu4nYHiMK+qdh/vqquAnoOC3m +n/kyhrgOsl1WC+sC5XYHD2X8/J7wnRzDRwngEdlfboLltWM561v1iaDmmPe+ +2J+CIgZfZYeOdIENjSx/FeuzdNJvHPElQMN5rhb5Zft4EoZ73YggPdDkZGdJ +QTZnr2lcCrWFdTG7BTaHjaNWXx026+IukNLrW1rG0ymaRd7GqwS4FerMvIzP +Zxc/znVa4n460+f8gYc4nwDhahEXAfjOiO1bxtOOU7x3VV8QYTHJQcj9CAWt +VhGw6eWXgW/+IS8KUkfRPrUgn0ybEEg29B6/pDiFSF16698LxMNMUOpV4fXT +KMzygonEqXQgtvFJNkZMIwF3Nfmb77LgxmPzkQPryYhDMnvoO2seXBTeqSdg +QUaX/P/qDW5B8NXziUhfOhl9uRlYqiHbCGzsQa+OYny8+1pH9FZwEwRtOC67 +C+OjksHjbuJoM5hWaPrH4XgbU/rgw76vFeTztT2SMD4WxI2/dfJqgxnx8Txm +jI83ZIRjgr61w8E6GocTxsefk6snpHd3wsc5KTlOfD/1ZC8HSbp3wbpvbCLS +eP8ZKam724sJ0FTkLsSxjJdhHOKV23ugsf1StSu+/2ZnpQwIV3thl+WcQTTG +x696WujZ2T7gTtKIk8P42Fj47Kn6Qh/IrNPLiMT42Hlc9XRvVD8cq/xqozBL +QcU/W9K+ag+A/P3z23oxPspIiCjCPO6/Ldnrf2RTkGvt63KDPC9gm0vNj+ub +QCe2G8X16EdDclLLNjU1EvouWWFaK5UCZ15ITsgaTaOHD9a/KfFtgRLlCDEb +jI9REklV1m2tcMV+TiUZ46OL4NzjM+LtILLbztoD42OEXQw7yakDWFzX1HBj +POj5sYFm0tQJ4io0ym0cP3feOSrXChFg55/AHcvxoNh19NLC3W5gSRYI5MP6 +Gv0Pq0xQD0gdjUm4jPFxzGC/xTumPrh67pykGsZHiRv8isyJfXDlJFsKCeNj +zau+i/Pa/dDP5qH7Gp9XwO/zl3Vn+yFjrk/y4jgFmRrv5QqOHYAcOaF9Ixgf +jdwWZnTViRDVcvvlsRAKConeWrzL+B6wyp24Hts+jrIP3Qs9TXwDf5ykFp/W +TCGP8336SsIdUPDAe387xsdWAuv0abdOYL06Y/gTn2/f+OioW30XeHPdljTG ++5lVppSM8eF6S3XH0US8H6bf3bw8d3uAeXpUygP7gyvUvSfeeQA2WoXkz2B8 +rNLjFFAXwfVC7dF9yokUJKEpmixQFQA/b23JLXg3ib4EmZZE1neAtlfSfjF8 +PwbzuOYc9naBO+vDxX78/TmDVW+32xBAWqnog/pyvWfvPstZ2Q288vtNTbB9 +GcJNJzzqBoBmWJtrXEpB4/HjDZdscf3z6oG5jRMFlasI5JRF3AAPh3po5xtH +AkGxCgaJOL7dflZp4O81ztY5XJ0gwKqzvLm9eD03pa0SqhuJcDxl/ysyxkfT +Mo5Zy8VOyA+ISU3Feul/BVM0NQLkahx6fRG//z6IRrxwmQi1g0PKhU8p6KRg +XNzoTXeg9Pz+98ByAiWckgqN/90F0Qtz2sv3gctyLeuZI0TYUTbX8iCKgiwy +ef6463VB/nfSr+V4KfqkrqYaRoCxunEDKSwfyRtAV72JENCZffrfZQoS8xeM +XxIygrPvUhSpgWMox9zjpnJwFxTkcjwA/Hx93Zut/YcJwHPFZXi5PnZbN39H +/zwRPreKDZ4PoCAV/o3FQcQuqB32U1vuB4y9xG2lvAjws6CES3HZPqlfp6+4 +4vp4z4RDzB0KyutrrC3TswE9TZf3DR7jyK2octOt/C64I+e3Sg8//2pvXznr +JQI4/bx58Lzwcn6dvnjxJhFWF1tIHvegIDaH83dy2QnwLjlNaRkfjVR7ZK8F +EmFKcY2dixIFDW4X83QSPwN3f9j92iUzhgSP+hxo20uANU8uVCzjd9Cjv81P +O7rghIrywmUsL96y3GjiSIR5zW9LF+0o6Mfu7D2mn7pgb1h+og7WE1/WdSye +I8BdUTvxC8v5r/uEZzozAbTWdbEtr6/mbvrX7BkRhht0NO+cx/jn1Vx+NfQq +/B1pbNDpGEMe11NXjSsQwCb2p+fyerT0HalvJ3H937Nn43K9IzpzzcvUgwgR +62/eWbqO/bP++oWyzTgfuWpQls+Xzl+EsHUI1I+OAJ2/2Cssz3K1rx/o/MUB +l5rYMbwOnb/Yx+f+Mtq8Fej8Ba+sI+mBTAPQ+Ys/ZMueQVoV0PmLGuv/tJyd +C4HOX7iKP5uvL4kDOn+hTO28IPo4isFfGKl8IAt15zD4C3W2ZNFOx3IGf+FQ +LLqlSLqGwV/kB1blD39vYPAXYp1Ks+vTWxj8RXOI4Y2zRzsY/IUKR7RLAYXA +4C+atF4fvKnUx+AvbE1QQg2hn8FfLMgf7VwMIDL4i2iFHR9H+YYY/EXel+5v +wlnDDP4iPf2JNe9/Iwz+4jllj+2jt6MM/iLBKkxAd8M4g78w1Yv3tTecYPAX +9zNqincGTzL4C9Ejzj/tuqcY/EWUgpRB7uppBn9x+pnlPo/4aQZ/4SZk6pem +Tv7/8hdKddc2CYkOAp2/uNNMs3tZ2gt0/qL523/atsc6gD6fv7N204XCmWnG +fP5fSq2W1TsyYz5fkPUtz/79ENDn8+49xFe/WvuAPp+v3qlYPJaFcel/5s88 +blvPG9dOM+bPoXsrVOPtyYz5c0SXf/TjqQGgz2efvz8gt7iDzJjP8pCEBtva +yIz57BaNp+4TccNAn8++3LQmLdewH+jzWUPl2PoQpy6gzx+7rQ5zXM2dZswf +ty08ltQ1ITPmjy+LZQ+/e4Rx6H/mczvCNubL43qGPp/zsyNKT+eRGfM5f+bL +f3hbBoE+L7uuyClK9CUz5lk7eraKPPlHZsyz1lXtjfDR/wX0eZZDVM3Gkrf9 +QJ9npbqXrz3Z2QX0eU3W8cVeq/Rpxrzmw2RT1MR5MmNe02Ozs2FTA657/2ee +QR4W1qEtTTPmGcQa++7eD2TGPEPy6bO+S3JDQJ8v3NCkDb57SGb0/9bir6Ij +R8iM/t8/uPDeDP8w0Pv1blJCTMM3MqO//pg2RxPlX+mvv875hpjV/wJ6f50o +vtWuq7Af6P21jLGm4xzGWXr/qM3GbO6YMs3oH3PerDvy7QyZ0T9GRW8wiJgn +Ar2/ih86ztL2Z5rRX4nq62u8SiQz+itN8eTumNtDQO93Tuhvzdl2n8zoRyp3 +hR6h9ZIZ/UikyTDruUvDQO8fUPiW4NISMqPez9ygoN3CulLvL3zj7tSfHQZ6 +fX7L7+bJtRQyo572Fibenjy0Uk+rh4RZbBAbAXo9bSZ/6yFXfT/Q6+lYE7Wo +Udyn0uvFTYVZQn8Tpxn1osYrk3PHtMiMerHh7SyLAscg0OupL4ep+epz04x6 +av0ZQXm3ODKjnprR9dT79GQI6PVN69fRcBNHMqP+sI5ak9XaRWbUH1YHjb2/ +uA8DvV4YV/Oy0C0iM/K7EnN8uSnLSn6/rTUV+VX0F9DzcQnJvebIJJmRPye8 ++1mviq/kT4uHmx+HPP8F9PyW8DV29SEuCiMfeRezpe5SoDH49HAv4h0dJxqD +Tz/RfFp7wYfG4NOv6yskKr2kMfh0O17DvPzXNAafnuG0xWr1WxqDT6cZkzmV +ImgMPt1cqmYVG5bp+Wi2JTHGL5zGyEfmwibRh8JojHzE/obbuyCExshHXoNl +J0te0Bj5KEZY/5mcP42Rj5jjYuTin9AY+chva/fIgAeNkY9Knl94v8mFxshH +Q7lzbzvtaIx8lBR2RCLlNo2Rj2ZAOvG6OY2RjzqVf46dMKYx8tFPoaGQxXM0 +Rj7y2n3ewuk0jZGPos8lPTkMNEY+Uj9cqlMiQ2PkI6JK3OK7fTRGProZ43fR +RJjGyEebJT4pd/HSGPlIg3DhaBkbjZGPjBusso0XqIx8tN7iz5cf01Q0kT5k +M/SSCHEPRiTXD1FR9nm1VSGqg8AycrrmVBsVuZ/2js4aHoTFfWZixtVUJNKt +0R7rPQTkx8ORRoVUJC/nFcIvPQwZ7zTCOz5S0aUd9fPMTcOAQpx2UWOoaKb6 ++YCN5y84lNo87xhERWGrTRx0cdz9HpJsevOIijb1ZL9nKR8BYQupY+/tqWiN +m6feMdtRECRJqpHMcH+QbJI+wDMGRm/nlwZ0qfh+OBZZfxqDo8rzUh+Vqahw +vw8r991x+LaX7UGBJBVxa9hJPOKYAJZt029EcD9AOPCz6X7BBPwNfJdwAven +GVEgNmgyCbs+7tKvnqGgy+my3IKLk2D0g6cgqJ+CPLzfeRJSpuBQtOmtrO8U +9GFE8C2HFgkqBULzWDIo6LUQ+8zSEgmCtkWVZd5fyd+rJa2tfljQGP8/6FTm +3vnWk8b4/8Ftq40mMgE0xv8PwrycNxq+ojH+f3B0T5JTyhsa4/8HdvE77Jpw +fND/f+Ba3lyli+OhIGHaPiSkDMr0hvdZYz3htNXmVQcq4er4m+0u+P1/gTTO +a9+/gagZ6UpwKA1tf/DEPMfyB5wo9+tuxvG4JedKwL2/U6BWqCXqVEhBO714 +T69LIEG2L4umTNQKv1/O/1NJ/jKNwe/PP0xvn3xAY/D7szvXlpf50Rj8PiUl +km8omMbg91Gl2H9VOD7p/P68YPJfZ2wvnd/nXsgJ18f7yVUVEM4cKYVCO6Hs +CqyvN/Le+tG/AsiGwn/ZcLw7d4UF+mz7BktlUnWWGD8C5fnlLauqIXvmgV4d +Xk8ziol1b9MU3Jpw+fO5DPdDsm/I6++T4IRG5f24xBX+/NN/fh5kGxqDP3/+ +aLVHsDeNwZ9r7FYau4jxovCfcudbrc9gq9OpGoX9t5OUsNc8/CtwHQ6OtMD2 +pOcZO/4qLIRrukxGm7H9/sIzvAXPS8D/bHX3USwj1qoqR6VyuNuednQt3s+I +nD6PYW0lUKmjngTsj4H6It5SURLYVN65P/WFgggNgVGrmkiwhz1qb/zLFX57 +9765hVt6NAa/vdqA0nViGY/+p3468OezyJ1nNAa/7ZF+wZwf+4POb7sXcuaf +wuvR+e1+s8/cytgeOr8dYPNjVhvb+3XTwdnil6XwyIPQMIr1p824wx8fr4Ab +ERKRWni/ssy368PLq8D0jsrLeuz/b2/Mdik7VgPZ2bHzFMbbYe6GchY0BZZu +4gt5lRT0LNC40t+MBHl5vxImU1f441Drmnu7rWgM/rjE9/v+1Mc0Bn/cNLHj +5NlAGvLVnWxc9yETQPOYGxP2v7N7d9TpyRxQqRRc2ojt+VvooXxxeyF00uJM +f2N7HZH2MWnZEpgzffn+NN5PUVtXpPdYGVwzU6Ucx3ozpf7gA26VQAg8tySB +4+OBSmDDW04SSPvPuCvkUdDSPl177wISZCqulWwIW+F3WRPNAt9jPKfzuz1v +PA+auOP3X9+TCipNAjWb0IU1z2lIZPFEea/VJzh0kMs2DvtDLEbDd1PwF+AK +gjQFvF5weXUndOSD9QUD02Rsj9bCoueOp8Ww8WD17gvY3rx7azRiFXE8m9d+ +j8D6k92t230DSXBmk8v3rbEr/K54k4W/lgPOZyY2WW5quXCPuzkxD/vDPEc2 +xeFKERzOHvc+gL/3zjNi+uRQCRzht4sUXf6+c+13z1EScJ9rX016tsLf+rRy +P+zXpjH4W24RZ6VGZxqDv23kGS3I8aUx+NsdifmfPmL8oPO3zBsVXrLg+0Xn +b/tPHRQQw/bT+VudhZA6Nby+nOuxq99ulkLiqUW2P1jvfaPt4l3eClDq/R58 +B9u/hVePJPqmClx7MrmY8feuq697+1G7GhTu/WR/hP35WfNYLClrCtrc3507 +9o2CthcUZGhfIIFQYRjT4ocVfvRpRXMYB87HdH6UdkftVtIjGoMfLVO761+O +8dfaTble4XImSN4ze/8B429slbNRfmgOZFiym3Tj83oHwUnBPwpg/9jovmFs +7wSHi6bV+hLwtL13+wzejwIMsz5HZXCOTz7GAOujVRP1tupXwuBW4p/z+H11 +t9/pPmtIMJjL1UjIp6AXFz5fPvWJBApRRpOv3q7wl2nUG2/emdIY/OVs1tER +iYc0JPw8seKHUBJsp32yv4nrlXV7Xxdv3vEJEjTdTy7Hm87IkMqT41/g/KXa +j6vwesdOdSvd98kHdN32bxC2p0Rrf4iRcTGES9mJLN8v+W7O7JRNZXCdEtT2 +Cetb2Y6u0/AiwT1Tp60W8Sv85fHWM6qpuL75ncBuPT39FZh/iWe8xOfDdkyd +6yNHETRmnxHfib+3peEbi2duCbjKDYxJYlkzXjJLuYcE+8riU3kCV/hJ0hrL +VSpG+LzVWTZODOfBbFT4RRO8ftr446/1q4ohVvpswHI+O5om5pdbWAp2wRuu +tGO9/vG7BGGrQpD51LN1HdYz24js/n21BAj7WmxPYPnu4rRPeRUJ7FmiI7te +rfCTX4901enfwPv70GhNzCyGxbiRzOX8UnhETPW/jCJYsmh7IIdlCWmbnvXc +pSAwmjW/Bct7tO70FtNIUPzeLDTDe6VfulUXbb1NncbgI9d0MhlvwvFB75ca +EsM0jHF80PnIzJN8/M44Puh8pEubqFsvxks6Hzm8tsqFF++Pzkfyb727UxWv +P/uxaIuWbimEDY99ZMZynIV8vjlTBYwzBcs9wP5/Enna3eJxFayaEHHkx/Eh +866j5dqxamBy9bkSje9DuIUVz3D6FNxu2KDyG8fHNd3JXR/PkeA1070i5vQV +vo9Ps72YYklj8H1Jh1xERHF80Pk+yY031rrh+Bjgsao1PJIJBuvlpR7j+Ph6 +u/KLw+0c8L8nL1OO75uDR4SxVGwBcJQoLRHwfhJkXzQ/oxXDPgPPqrPY/n92 +t4u4UsogfeP+9eZYX3RqffbGE5Wg7cK3+yZ+Xyp8v5A7Ewksr/x4lVJAQR2T +xMaEVBKoB0vcGI1Y4eNsr1VV/DOhMfg4tnVb9wW60RDlqRKXZV0iRH36qrIF +x4dLUUmR1CzOf083c23B/rjmf/gdcH8B9ePB5UPYXxueDlM3m+ZDQOmZdG9s +zwOHE4JOJ4vBH+4FGGB7/3C9uiW5WAr7v7yayMd6oRiLBykPSaCqTHvg83/w +cSdaXkQ32OLvaRrVJFd8hVvt7WNu+HwI93XLNIYLYWHp4+Q2/L2GuR6/qFic +j36Pc8pgOeS/K3tj20lgWlXUPPpihW9L7VDa+NcA90/P2It9ivJgZt/z22fx ++rXiMo87uxD8alN3WPbnXG+ykkJSKayreAH9WL/HzSmwULUQnvkJ3FnCcsFr +uQxerRL4Ezoke3K5fwoRWRQrJYG54Du9y69X+LY+J120+joNBbWMzDFFFkOq +mXXE8v5fOB743fa0CHiY9n6UxvKw0ZObe/6WQKuDgKMglm0HIvRESCSwHpPI +e+yz0m8fKWfyvof7mZwK/8SzXggm5EQ2a+LnD/0yL9URLgHiZvWsZXntrs3s +x22LQUrogt4yHsnOwWVuuSJ4/+bRqj1YZuFcVBwgksAkpeXo1ucrfNqmd3Wa +erh/IpdZHczkL4a6wcCTevj5U6fZozqcSoC/knxeAcvbnxQMV3wrBmJjy+1l +/RhNICiqvQh0e4Mo/2G5L/u/W6Z/SKBSIEvb9Gil/3+Yhg4nnaQx+DTbIzTW +TNzP0vv//sgONwkc33Q+jdDq6XoJxzedT9P9HPypFsc3nU/7s6/ScwM+Dzqf +tvfEWnFlvH7raU/yc7VS4LO+Zr4Gy59Hb982mS0H/5PO1Mf4/igOKFc9c64C +7ycaL3fh+7qtVpkKB6vBwXHz3Ad8nzc6rdO4+mEKkjo/kvRxP+IT6W3ac4YE +so3eLx+lr/BVLFNToaY4vul8VVPj7/u9uP+g81WHBZtbDXF8R1x+pHVDJBP0 +znBkW+H4LlOwut6gnwOeiaYWOTg+e4RFshcDCsBSeUx1GY+9NLa9eTNaDMLp ++5vOYfsJGyTf6EaXwZrUbFHL5fta9d91VrlKuJSWmWSD349NqjD4szAFJ23z +WLhxf7F49MHGtiQSPNwv3R0YucInvVx3zE8IxzedT4ozX5xRxPF9ac3z7Jz4 +RMicVZCcxP2FDFubguJABkhXmKct4PrW2qRTJ+JvNlhbdAe3Yn857r6tPaiZ +D++23Tdzx/YY+dT0e8sXw1WVXOHl+92ZcuGkDbUUVFNsOouxXnWcKafTlQSJ +/q9X8f0ffFLwlufXzXB88wkyua7N+AoSour69vh8Tgm0bedvLIT+euLHrfh7 +HzfcOvshrATaFb97yi7Xz09+mze1kEAk+HFEddAKX5QZs8q1UJ+GSve94L+Q +kgchV9ZpqeP1fc5obJirQJDVtFpZB7/ffv/ONvfIUmhv8Xo5hPUvBf+N+EkV +woYKJbu/WH6TWuogeqIEIv3Jv08t76c396g+IgGLaEPP1rAVvmhp6vW4/jWc +H0ftCzlfFsNJo4nJ/41vWzLPJtgXQdb8yV1SWC634paQmy4BmrpNiDCWQw8c +CTw3QQIOERmjMt+V+dHjFBdyuQ4N2U2+l7p7F4FD66OH6vh5jr9TT0w2l8CT +oWPblvuHwaMx9Ro3imHTse5Ty/EdE1b+pXdnETSt1hLehWXxi6H3efpJoFV7 +xl4gYIUPosVe+nDuIu5/2+aoxRzFwDKg4HgePy92KdRs5E4JRPXQrADL82/U +UpsLi+FP89pty/lc71X02J3yIjjx9HvpMSyvZm6Oe/GbBLeFk46s81qZZ1mF +Jth/0cT3f+cargdLxaD2ayRu2d9Rpl8MLc4Ww0Ep5sFl//AsCf4pICNwGsh4 +vny/s0ouy1ASi2HW6ebhZb3W69UCZDIJxmuyOvc9XeF7DklaPV3SpaG93M9O +HXhQDGKhNPXl/fNmDNyKFSsGzfWX/i3jkaLdoZ05TcWQoG4Zv7y/gluxB6UW +SKD3xC05yJ2CaFu0T8mmjUHI3LfBoyr/73zj/9YX/bvK0vRxDCwE+59RsX7f +YP5c6nMiXIv4dtBm6P+d5yQzlz74EkCEavMzBGMs/y+VwnhJ + "], {{{}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{ + Polygon[CompressedData[" +1:eJwl1Xe8l2MYB+Bz2nvvfdp7D0TamU1NFCmEChGFSnsvpb132ntREYpISpFK +tEWkYcd1f/rjOt/7uX/V+b3Pcz9vSZ17tuyRLCEhIZGribeyoh/H5QA5RfaS +SQynk/WTMgcH1H1laWqp28gU7FQPo7s6P0fURWikvipX0ZumdNLLyF71eOK7 +VLI+IafyGLfRVi8lu9TDSaKx9TW5mldoRnIq65+U03ic2ylKE/3rcg2v0pwU +VNH/Tk6nM3dQjJRU9dkpOYMnqE1xUlHNZ9/LmXThTkqQmuo++0HOoit3UZI0 +pCUd6clARjKRmRr+3mk5O/aZOpQiCzV9dkbO4SnupjRZqeWzs3IuT1OXMtyj +f0OupQ8tyBZ7qn9OzqMb9SjLvfq/y3VxtrQke+yh/nk5n2eoTznu0/9Druc1 +WpEj9lD/glzAszSgnV4qdqtHUJ77rf+UG3idh+LM9TKxTz2BnLHv1hflQp6j +Ie31UvO+eiQVeMD6L7mRN+K7WufhkLp1zIM6M5+oJ/K8uhDfqHPFGap/lIt4 +SV2U7rHfMieD+SKeVZahkbqDTMNAPohZlCUYySjrHrIAQzlq3U+WZzQVrR+U +f8s35Sb5sizGCPrF/sm8DOFw7JMsS5uYV5mFT2OmZUneUr8gC3NMnTvmT31J +Lo7vEzNinYuD6sY8rE7LHvVoKtHU+h+5mf60jTugl5X96knkiRm1/kkuoSdN +eEQvHR+qx1CZZtb/yi0MiHkgb8yx/s9yaZxHzCxVaK5/U26NvYnzJl/Mtv5l +uSyeM2aWqjHb+v/JbQyMcyF/zLb+L3I5L8bMUi1mO152bBeDYg8oELOt96t8 +h14xo1SP2Y73IzvUg+MZKRizrXdFroiZiRnkUb30fKQeS42YbetkvKseEn+G +LtbZ+Ew9mUIx29a/yZUxC3Szzs2XMSt0VGfgY/W42HN1Qb5W14w5VyfnPXVv +WTxmL+6NzMdXcTdkOTqqu8rsDOJz6z6yVMwub8eeySIM49uYBVkh3m+Jt/7P +OBjv1XiHxr7FHYj3YjxD/Hscj/NlS9wdTsZ5sCvmNu5e3DnWxF2OvYjfEzPF +xtgv9nKE8yxnMzvjHsdzc5HVbIjzib2J5+Qcy1jKEhaziIUsYD7zmMscZjOL +mcxgOtOYypTYDyYzKe4ZE5nAeFaxPuYpzp3DnGUcK1nHtrgTHOIMY9kUZ8U+ +jnKBFexmP8e4xFoOcILLbOUUV9jDtZgRTjOG/wEht/gM + "]]}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwl1XV41mUUBuDBBozuDhkwulsFEaUbpLuVEpVQUOkOg24MLMKglA5FAQVM +FBQMUELsABPvc/nHved5z7dd1773d74tpf/IDnenSUhImOtLZGVfPpOT5FI5 +SqYwiz7Og2UeTujjZVnq6J1lEvv0mYzQC3NSL05j/Rf5ImNpQx+zrBzWHyMt +VZzPyGX0pS5dzNKxX59FCk2cf5UvcT9tSaSq+Vm5nH7cSAmamv8mX+YB2pFE +NfPP5Qr6cxMlSUd1r30hVzKAmylFemp47Uu5ioHUI5UM1PTaV3I1g6hPaZLJ +SCYyk4WsZCM7tfzcObkm7plbKEMOanvtvFzLnTSgLDmp47Wv5RPcxa2Uo5n5 +7/IVxtGeXHGn5t/IJxlCQ8rT3Pyq3BzPlg7kjjs0vyCfYii3UYEW5tfkFh7k +DvLEHZpflE8zjNvpapaeA/psKtLS+Q+5lYfoGM/cLBtH9MfJG/fufEmuYziN +6GaWgYP6HCrRyvlPuY2H43d1LsAHeqfYBz07R/UF3KMX45SeL56hflk+w2i9 +BCPivmVepvFuvFdZjsZ6d5nMFF6PXZSpzGGuc3y4ijCDj50nyIrMo7Jza/mX +nCy3yzGyJLOZEPcnCzKdD+OeZHk6x77KHLwdOy1Ls1C/V97AaT1/7J/+rXw2 +fp/YEed8vKc3oYeekTf0eVShjfPf8lUm0iU+A2Y5eUdfRIHYUecr8jlG0pSe +Zpk4pM+nKm2d/5GvMSn2gYKxx+bfyefjecTOUo125v/KHXE38bwpFLtt/r18 +Id5n7CzVY7fNr8udTInnQuHYbfMf5Hrui52lRux2/LFjl5gad0CR2G2zH+UG +RsWOUjN2O/4+slufFu+RorHbZj/JjbEzsYP0MsvMm/oj1Irddk7LHn16fA8D +nXNxTF9Msdht55/lptgFhjjn5/3YFXrrWXhLfzTuXC/KJ3rt2HM9kb36WFkq +di8+N7IQH8VnQ1agtz5I5mYqx53HyTJp/v9/sCTuTBZnJp/GLshK/Aepw6i8 + + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwNxkVWQgEAAMDvUbySR3DlSk9iC9iFivgwsLsFW7G7u4u9s5j3Jje/KK8w +JwiCAorllh3+WOSbK8b44JwtkrxywjpzJHjikBWmGaaEO3ZZYpx+uinlnj1S +TDBAD2WUU0ElVYQIE6GaGmqpo54GGmmimRZaidJGOx3E6CTOA/ukmWSQXp45 +YpUZRujikQOWmWKIN07ZYJ4+XjhmjVk+uWCbUd45Y5Mfrlngi0uyZPjlhn8G +dlEC + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJwV0nWIFUEAwOG9MM4OLCzOwE6wC2wFu8D2wJY7xS6wFWwxMDGwC2wFWwxM +DCws7ALzzrO/+ePjN/Pe7nu7M5OYlNIxOSaKonRSDZ7HRtFmEuOiaKTm07ta +Rttogl7WlRQ2fqQ1+MVx5tKfXL67qespyyf2MYXuNCbeNed1Kcmk+f8XuoVR +tKUmvznBPAZQjs/sZyo9aMIP97/UrYymHbX4w0nmM5DyfOEA0+hJU9Ld/0q3 +MYb21OYvp1jAICrwlYNMpxfN+On+17qdsXSgDv84zUIGU5FvHGIGvWlOButx +QZeREtbU773RHYyjI1lcc0VXUZfI/IwuYgiV+M5hZtKH7K65rmtpQUbzi7qc +QsYPdTh5jG/rRoobPwtr7xne6k7Gk9/n97QTWY2vaildrUX0cdgfracxelZL +6mItqA/CedChmltvaWndoMX0adgfraypHKGE+SwtoPe1LzmMb+g6iho/0ZZk +Mr6kKxhBXvM7uimcA+/yTncxgc5k8/01XUN9Ys3P6RKGUYU0jjKbfrQKZ8Jv +vdfdTKQLDagaziHHmEMSrcMZcP0H3cMkutKQamEP+cheJtONRlQPaxieiTji +wxkJ+xfek8wkhDMR9iK8S9jrsDbkJFdY47CvYR3Cs3m3/xB1eu4= + "]], + + Line[{532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, + 812}], + + Line[{543, 544, 545, 546, 547, 548, 549, 750, 688, 638, 600, 576, + 563, 761, 699, 649, 611, 587, 550, 751, 689, 639, 601, 577, 771, + 709, 659, 621, 564, 762, 700, 650, 612, 783, 721, 671, 588, 776, + 714, 664, 794, 732, 626, 788, 726, 806, 676, 800, 738, 811, + 551}]}, "Charting`Private`Tag#3"], { + Directive[{ + Opacity[0.2], + Hue[0.67, 0.6, 0.6]}], + Line[{814, 815, 813}], + Line[{818, 817}]}}}], {}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 1.}, {-1.75, 1.75}}, + "Frame" -> {{True, True}, {True, True}}, "AxesOrigin" -> {0, 0}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> Directive[ + GrayLevel[0], FontFamily -> "Times", FontSize -> 10], + "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxF2Xk4lN/7B/BoI4WklJJEUkmSpXzKLSrZsi9RlpRotYVIVBQRKSTZKku2 +qMiaQ9Ei+87MmE0i28yDoqLfcV2/me9frtdljOfc5zxne0udumx6hnfBggUT +PAsWzP/UOzPYUjVkoZF0pmRsweggWqQt7kZdpwyfI2LuV+QMoT0p8Ylv1h0C +ykptCfuZIdS/QeaGj+wxuPTV4/sW5R9Iv6HrddI6cwipOHpn+5kfSCZCIv2f +5HEwfpqtMRH1A/3Vc3rkKGsHRj5z5cfe/kA/gttrHOMc4c9ga4tRzw9kdfrX +uwfrTsNPn/K7WTM/UI22ePGHRGcI8mqCbrFhpCh9oGBS0hXWlNX7qCoPIz7G +zWeWspeA3b83+JbLMCqjtTZ8MHcDc32/Zy1Bw8jN+LTeiTh3WJq6VXx1/DCi +7LqjfW+dF9ilNOwf/DCMYlLWVm2xvQJ8agfPPO8eRnpC2fsrE72BWhKakjsy +jN6O1auMS16F1AfPtzJERlBknoiciex1cD3qo7ri+Ag6LJGWNnQ2EIi+X3+v +uY6g6x8ThKvNg6BIzcQ07OoIUlaVv3c87gZobNTmiYwfQamrjW7fXRcMoU46 +X7+0jiD/hkc1lmXBwD+dU55GG0GWITReadsQuFotpq8xNoKWT7kHlifehiOK +vxJL+EaRb3uM74hkGKws7Pop+N8oMnnYe+6YbCTwmGcnTsaNInl96SzxT5HQ +fG5NacXTUcS38ML3gbNRIPRi04BD7iiyL517hczvQ/Lst7KD1aNo9bb7CtZx +0SCklBYSNDSKbvC92Rq2LgYETcess5XH0Had6NDXbjGQZR0yfEJjDLWGXB4i +fYqBbe55yyd1xpDMQvkcBe9YiK/9L6/VZgx9nE1TaG+Ngwbn3vZTgWNo+USc +imTEY0gmJ37QqRlDRbuvxB1lPobfPopzd+rHkJ2b2S939QQQibyxqqh9DOWP +CpXWDCaA/xXvY139Y8h0MHT/ucOJML2Sh3Z40TiKp/hpF80lw+cbdR9Wao6j +gxuOp/VZpEDWi471Ojrj6IeN2mK+vBQQqkJbLx0bRxtHrjrl7UyFvg9jug9O +jKPLrqpbFpBT4Xin2+Be33Ekcjr/RfreZyDzSCtKPm8cWR9/ms9ip0FzzEGn +i0tZaJxkvuyZeDpMRec4blrGQrft+M6YaaeD9BWbS03LWeiN02XxtzHpcPkU +okmJsJDgpQMh/moZwDPu4Ph4AwvV3uyxWRKQCZN7To+QFFlIKXflkg182bBr +keKucUsW+qJQ69CgmA3H7iuMqB5nIYdXvuXXj2eD1E96h78tC917S3WjZ2dD +tqOB3qw9Cw1W5ZEyDHPAdh35ItWFhZI7dF/tfpgL2/fULpH1YyGBfzdO6GzM +h5V1E3bMBBaKd7Wykz+SD8wuMYXWRBaSaZd3WHkpH0ad3Ucrk1lII6vLifQu +Hxrm5gwePGOh0qy4omKFAkgKOTa3KZuFRs1GKvQbC4D/l6cvvYSFLF48+uq5 +4jXkR9ctXNrBQltMxgY/hBdCmDk5L5SPjcQDddTPPi0E51tOgzuXsZFwXmr4 +suJC/PmD91sE2Og3n5mCCaMQ9kzpKYkKsVFzVbFn394iEDkmtuTOajbyU7wx +N/2tCIZViwS2bGajRiFRUQXNYnjxasrUVJ2NfBr3QfxkKdjrka9TndlIUKHo +2xe+MrDZtNlc3IWN0u4pRvzZUAZdqQ6q5q5s1GKwtcfucBnsFzonW3uejXZ8 +FfXcElcGWTeftj9xYyPqp/GM12rlUGkhfErCj410qtMFG/wq4K9wV7xvBBuJ +Fa7s4+FB0LZiiXbNSzY6EfHHvH8NgpIbt6Vo+Wz07PS3rx/lEdSnZMr9KWAj ++dWlpeHWCC68CS9UeMNGWt4OsasLEMTWVZDDi9lINdEqI2ZXFfzaE7RXsoqN +HO0yTr7oq4J9ySbrC5rZ6C1Du75p/3toygnjyRhno/fnktYfH6mByrrVd8W3 +EGhK9oBQ3sVPEKarBElGBOKdyRFev6UO3IXu7ljjQyDVfw5vzV7Wg2rLxEBO +HIHqg5UbP25vgo/s+JFTrwgkeX94JmusGfxCA2RU6wg0/Iva16HYCr+/zfwe +ohDo7dmo93qqrcAvEB27t49AQV0aGei/VjDduWj6Nvaa4qSLWUdawcGbKipN +JXD7bP9eO9EKd8OWv7KmEShhsnOdTGgrvLoqEFrKINBRdoO5B7UV7PwDTU2/ +EyjtR3mdYGQbjPsKyHwbJ9ClR0bS5x62QfTy/apbWARSO8T0r41vg4rH4WJn +sOuSlu289rwNlPSadfqx2cbHo4ZK2iD726ADjU0gjeKfZjXMNrgj+T24aYJA +Pbd2U67uawexKZUVd38RSFAic7y/vx1G36e98ZwjkKblLQpzqB0cavUj0rA9 +ouy/MsbaYde1yQMd2J08azNp0+0gq3tVXvUfgZIHQu3IyztAsy5a7Se2QsG5 +hjblDvBaMiB0mWcCGWor5LwP7gA7Mek1hxZNoOvXlj2uDuuAaq1EGTfsgqKB +21WRHZCe47UiEVtULuVUZXwHiG2+cGkCm7xcWLwstwOm2qMvpS6eQBc62aGv +2jvAXMDXbWLJBIpwLXJOlemET4diKgP4J9APzbxQge2doF5uGPQCW2dterb3 +rk4oe6Qg1obN+ylmzEC9E2xUY2q2LptAV2W8vGeMOuH+yA7JJmxnqtJtU/9O +YOvqUFYvn0AHLQrSF7V2QiR79+dbghMoWf7Fp8tdnWDvOa2dhf13YepQL7kT +FMb3xTVil7yO2vnqeyecfWdeuVZoAikIXy46OdsJUxK/JHKwN3zdWftWrgvu +GCpIfxaeQD81c/pdArsgv+J5MFNkAlWkDT/xCe6CKdnhMp5VE+gmn7zZ7bAu +eCf3r0ESW7A5t/r5wy7g35l51xZb1v5lcl9mF5Af59q1YFsGvLI2b+6CgO41 +Pm9FJ1BRaXE9SHXDliXPHzqvmUB+EtO3jsl2g9RWj8tB2Jo39v53ckc37Let +l0vArj9amuWn0g1GUmrKDdj9XWW3i3S7YWTA5+VuMdw/P99p7vDohrhkEZVJ +bC+lmsI1H7phacR4jv06XD/lTanRn7thV+OkoA+2teq18OWN3fD0p7JeJPZ/ +6sqneHu6IUzNT7cCm1crTWhsrBtWzzw7v0Z8AkWZBJ+rFe+BUoForQ/YQWY0 +C81NPVBxLWRHN7aHxf6D5Vt6IHDDv5ERbMvjk2KvFHvAyP3BzOr1uL6Op2sT +j/SAIzVrnzN2ttuhTV4ePfDFf9T6H3aiR6rAuE8P8Povrl+5YQLd8/r70zWg +Bwa/U8RksN18CxvsQ3sgDpH3HcVWC5Lx10/uAQn22cxI7JqoRZ2b63pA47f8 +DlGJCfQ22qE6qakHDINf7JDBfvGwIndtRw/0bBderIwd/sjrliCtB5zCcrXM +sE1T+hV/T/XAkY1mQ1HY1Jc14S1SvUA/OvaNZ+MEah5dNKK0tRdeTiuqCWFX +yR82iJHvBZoS89QG7NTs2uVWar2gkZSgq4p9KuPjPbJBLyTucTN3wR5I+hz1 +3acXvP9aO9Rid5L5WEcDeoHPccq6GfvTel3j7Ju98MdRQJ6E/eLxF+GL93qh +NSjNkYV9LrYumnjWCwa+YcvWSU6g0Yj6h7MNveBW323qhN33dfmkXVsvyGpP +EuexG5cZmld190JTxc2LXtgvQxtEbzF74cfrSXYwtltwYyzfTC9oPVq+Nw3b +4YPgT9e5XiiPTM3JwTbhNbL8upAERHbSzGtspaCmNZGCJJjlb5Cpxp70b360 +SoYE9842F5Ow+8uEp722kSCQ7/ocHbtjxti6U4EEaSdvSw5iv/VpWft4HwkO +hSWzJrB9PVsfbzQigZVnbiP/pgnk8lrkd6A5Ccq/jH8XxD7ONrWhHyfBtSw/ +0ips9ctt4mmnSdAyZ2sigf3nXPuTbX4kcA3646OAba56MlohkARLP/NLKWHn +8gzc3hNMgltKu7JUsO3if7kfiCQBw7/Qcj92sVPQWa2HJKgoFbwG2MK7+E/q +xJNAq9srSAu7ulb8qMkzEmh+sZY9ii0e/fyAZSYJFAOaP+lhe5yQ32ObS4KA +dp2jhthftxbJObwiwbb48kwjbJmJAxvPvCVBXZDcgAl2QOXHVefKSXBBLXyh +OXZnmBH/5Sr8fea0fxbYdzY5TvrWkUC8xSz2ODZteGgooIkEIcLnFWyx9xZ7 +UG+2kyDUyznjBHb0zT/td3pIcFBba/Yk9g/D4LqIPhJcfP1byR5be92Kqmgm +CRoGwnUcsBP7Y4viBkngNslWd8SezN+Y82QU90ef3IpT2Ib+mampBAl04+Qr +551xRDEu/RcJUmanDZ2w51aWhmf/JUEVM6xi3laUgzfyechgsJTEfxq74EWd +d+ESMnisHlSZN7+X2YVSATI8zcrVnPcpIDtWCpNB7JjM9nmXLTtj9WE1GYL8 +9Yj571vVOWrwWZwMj+LFH8/7wlNvrQZJMmz+HbVx3jUX/qm1ypAhk5l+c/75 +JPaG7uzaRobFt61q59vjvXClNFmBDNoLUgfm29vU+HgtfQ8ZROz9BubrIZew +WXBgL/5/SfQaO+ygMzkLhw+Qwe158435+vUoKs+Ma5GBckRLYr6+Sn8rxiZ1 +yFCqt/uRDfbdT4f7ZwzIUGAfP26NzXzQ2DNnQoZL0h6yVtj77ayaFlqRQUqq +9L/5/ozdRqvhO0EGn+azu8ywxyZdylY4kuFcnC/P/HhICfdLFztPhujHWZoG +2NOWC59scCODkmZlni628eaI+1JXyLCtWWLmCDZvaZL/jkAyrH+Rvv0gtm2w +rLtiMBmaK6qFNbALjfKdVcLI0GIm3KGOfWagygQe4vZ83DG9B7vyla7OoXgy +/GgctlTEXhPQul83iQz/XtU8lMf+vKp/q1kmGbKCMjJlsHccXDrnXE6Gudnz +avPvX0F2ztbuKjK0VQZKzr+fyqLGJrofyfAsxIfKN9/+7/FpO1qwB0cHZvH7 +fezedn3WABmm636IDGC3/mz0chwhw0Jj0VIqtpWDZ3IrmwxFx9pVe+bnnz0V +7MK/ZMjNGiv5iu3RYxh/VYQCrt7OnS+xf2oR1T/EKBB3aOPeTGz/3LhhWwkK +bFIQc0/BDg6kamjI4d+rp7hEYcdtcf/Gq0EBtlrthYvYpe4xShGuFNgeQquU +wtYg7T0xe4kCvFniUWLY7w9RQi55UUCIaFFZgV0nJttjHEiBGdGbS6bx/Et+ +Vxy4OpYCUtZn33zFXrCMVJ9cRYHiuncK57GDPQN/Cn2kgKj6Dgc7bH6K9KYb +Xymg1yd/1gRbpOCCp1MnBRavyJuaXx9kLOfWyY1QoKSqR2QBts4zqbOvxPrA +xnID3MXrj3K8oOzHDX3Q2n2izh97U+Sf/l6pPjhzuHDXRezfVztOLZLvAzne +86FG2HkmYXZWmn3gvOGImwj2Kh62xaxLH7AksgSi8fq44BdFVORSH/CwhhSD +sEdG6tpkPftAVffArsvYH7vTTIwD+kBdquOtAfbVAmvD59F9UG7bNr4Ym2pf +dUi3DH8f0Tjthtfreou8hXaoDxT3pmacxC7RT3jvUdMHz5ZayethP1Dz0kxs +7APDSWm0GfuwkNz+cUYfUCJ//WvB+4Ocyqg9sQJUWMX8SpLD9pGw30w/QQWX +ncO2xWvxfGGsaEl2pMIW12nLFOxjt3judjlTgRqZK3cHW3boObvBjQqUludG +ltjthYNVZcFUuPjfzAsC738UDTzsY3Kp4DTU4S6NPegXkqjzlwoNz5ViTq/G +86XlDpenC2jgaGKioIPtwFrFK7mQBu/OuD/Zhq0v/U1FnI8G7RMKY6N4v7Y5 +7E6SsAgN1i6gunthN5vXX5jdQgPXgCVtvnh/Jz9iIdBlSIOvRqHEyZW4HuYG +6K4xDVa+0EtTw9Z+p+WpYUaDKlX79SuxbSJ3kdKtaSCYEG/9Ae83wxT5c7yc +aNDBS+Xbgj3gVaEncpUGSWqGAhS8f02d3XzXMI0GCb9Svkjg/a+88zoNnkwa +2B/mzx4XwOtZoxBRmEWDixlGOtXYjSl/j2/Ip0G4q1KxE/bswc5tw6U0aNhm +6ZSO99M2t8O+hDbRwEXx8LP1eP+9SojFV/ObBnf/5u1qwfv51nd37+jO0kB5 +qXlBEvaDC1uWNP2jgdAXqRFXbJE6m4W9i+gw3FX5kGfeIbWz44J06HS+F78T +nw+E/yQQ66XpQH1tJeXLO4GWfz9M9tSnw9RUjFo7Pq/Ux9JsZgzpQOcPMn2E +HXHIv+e6MR0C/RPFbbAFnhV0hlnQof60QUHfLIGWnVzfkmJPh0RrOwbzL4H4 +2li1Xz3p8KFYlkH5TaBF6Em+dCIdXPWTxsN/Eqh3/6Gj1GQ67PtY4nYAO79s +hJbwlA4ue3b7jk0RyObtARGRTDpo90itMcJ+lUf1WvCaDrnrrrstmySQXaK0 +OuUTHV6XNqS54PNaydWcmrgJOjx7ekOlbJhAkb/MTpr+pEPBNE3BBtvpyt+p +FTP4eS3OBc/8wOc3d8OtIXN0aLIVOqCKfcZlPMyTnwHOFqdfZw4SSMRqj5Gx +JAPKstfMuXwj0Hnl8h5+fQaoKIv4WeDzalVzR26JIQPUr5qtp+Lz7eqLrMCz +xgzw8x/qOIuN0rfI1lowoDEv7a43mUCrxO57BDkw4FqL0aPQXgKVz5wW+HWF +AYMvpjZf6ySQcFxgX7ovA1ZcjCmf6sDPo5TwytyfAeaTrkqXsIXON1m9DmKA +986YF7btuD3kfWkXwxkQtXbbbrlW3B9I8EB/KgMsheRFbzUQyMF2m/DD5wwo +VpPcPlhPoKJf2syDGQzgFYyr1ce2V7walpLDgBaHMTfBrwR685TZYfOWAcyP +Kz8HfSbw+lVyqeUrA1Tz69eJfCBQwaa2g0GNDJi0bii1fU+gxe9GRXe1MCBL +zcL4eTXuv6nN5RGdDGgXurVbvopAC8/eW3qUzoBdSX520u8IlKN7KrXyJwNY +RR/PJ7wlkLK8lAbM4Pa7CjR9LSJQpSCNhP4wgFq9Lep3IYGa206KVS9gQtNi +N7LRGwJNnjwe+WEZE05uLPHtyidQgOZa+UMrmLA/e0cs+yWBlkh3fakRYsK/ +8EAnPux1g+aLP4riv5/wydmRi8/zHsbXPm9kwp4rXfmHXxDok7mQuK4UE3ob +9m7XyiSQsVpj8RdpJlRFEdbqGQQ69Vd/ok6OCRLpSxQl0gh057bOuQYlJvgu +XVmSmYL7y3UJ3zEVJmz8MN1xLZlACfq16Y1qTBiWvyNqkESgXGFtetN+Jmg+ +GqZ3JeD2JGhYtx5hguXqP/yJsQQ6HjA7ZarLhOSO8w/2xRCIYV/xsE2fCQVh +ah6ND3B7ZdSb2o2ZYLWNuZMchdu7dOaChRkT3nTK9JtF4vb+KF7WacEEHe+y +Z1URuL35Kke6bJhwZrRP0TuMQM+iJ5lWJ5nQ0P9N690dAsl7vbnRbc8Ee3nF +3qkQXI99u9/1nGbCISdWpepNXI/1LBubs0xYVOWisD8I12Pu5XSvK37e16Fm +O6/jenyQVyZfYkLZn0PidVcJNJw+3HLCHf997DUnNx8CeYVmX6Z4MmFaV/HK +Ly8CzZ1zXWHnzYQZ/c//bDxw/Qzlcvp8mdD/bWtq7GVcP8XvR+39cX3lRrxS +L+D6iWQMUAOYoMRo8/FwJZD01OlghyAmJC47e+HfGVzPLunN9JtMcLq/nlf1 +FIFUyhjIMYQJkb1FR/+exOMn8elJxh0mrBewk5E7TiCdQIc/p+4yYaDFSP+C +Ga6/o+RjZgTub8L1zVoDXP9Dfaqno5gwprHYw0+TQD1HRC8dus+EuRdekoEq +/3PIJub50d3/+/xICJ3PUfZ/3/cyd3pSet3//l9GyfNFu4X/9zyvlx8w7OD7 +3/Nq8qTXOPD+rz0b+9ZK3f7L5rb/XPjZw0sINrc+1eOB9SqjbG79LsvGpiQN +srn1/rglTmWSyub2x+XkxYWdJDa3v0TGJfu7utjc/qaMZ6S2fGZzxwNKWPPw +fTWbO16GdYJdTCvZ3PEU7sFUYpWxueORWe9JoeaxueNV2sJCLzaTzR3Py45J +qAeksbnj/W92g8HFp2zu+3NGQ0iaGcbmvl/O+pP9T6+zue/fQYu1xeuvsrnv +Z2fJUIKdN5v7/sZtqz2U7snmzgfrZ28pmNqxufNF3mhb8ogZmzufFD9eqvL5 +GJs73+jF2pnsM2Bz56MASYfwXF02d76792yn2txGNnc+3Bi/olx9GZs7X7IH +NhlN/mNx59P0b/t5u36zuPPt2z0T5brTLO58fGHJKqt3UyzufC8SsNbMtoHF +XQ8oF/cIOpayuOtF4f456sV8Fnc9MeTncfLOZnHXm1XvCiX/ZLK469HRu67b +g9JZ3PU1+YCiZekiFne/IK3i2+xBGePuf64W1FdtfjjK3c85mKeHeVqPcPe3 +GRfjxU2XD3PPF/cIOfebT4a45/n8/NuXRf8b5N6/lL2lfN5UOMC9z0o5sPHl +kNg37v3crPre3rlIJve+0d0OZdST6dz70zaDR7vOatK497fagil+FQSZe3/c +HmPtbLy3h3u/LNOr+XNZfgf3/rk86lP5wJcW7v20V5X0mkqleu79tS5/lnSv +dw33fvu4dh5bklLMvf/Wmui1kr6VzL0f95e9O9NUnQac+/n6y/8Z+Pq+A04+ +8Jvt2tc/+Qk4+YSoqvf4NeUW4OQj28UCH6Q4dQInj2n//J+h+74e4OQ1dZs1 +qn4U9gInz7HWet4U40MCTt6TE1iz5HAvCTh5kLKtvvc0Pqdz8qLndjrJQ/ic +zcmTdvrVP/+Bz8mc/OpC+6THg/dU4ORjgX3M2O+dNODkZw9WLc4ttaYDJ1/z +Sq5fUf2EDpz8LVN2rQfpHR04+dwp9XPXhZvowMnvtm1S53Wk0YGTDyaSIlJu +jTGAkx8+qFLd8xTPa5x8sc9tc8uqFryO/H/+mJyy3DJxhgmcfLLlyU/eA4L9 +wMkvNRtPr5KU7gdOHhrBc/K3aEc/cPJShTt3aSfUvgEnT9WXzaKknv8GnLx1 +yvSG+avb34CTx1YUfi7zfPYNOHluxMN3V6bWDQAn702yG+AzOTEAnDz44i7b +kLeBA8DJi9fo3QkcSRsATr48+3llr8XPAeDkz+cNxpJKpL8DJ59e+mlbYqjF +d+Dk1y7XV9+KufcdOPl2yXRYzKmm78DJv3Vj4l2WywwCJx+P4e8RbxoahP8D +cASkyw== + "]]}}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwV13k8VF0YB/BoI4WkREmypJIkUb3lEUq2kJ1sKUuLbCESFWWLlCSvLZE9 +FMmSoxct9n2bGTNmJLuZO4RC7/HXfL6fmXvnPL9z7znPEb9088IVzlWrVkVw +rFq18ql9ZaStetREJenKx6lVkyNojbqIG1VYEb5Fxj6pzB1FR1LiE98LawBl +s7qo7cIoGtopec9H+jy4Nnj8lFIcQzpNPe+ShI0hpPLco/1XxpBkpGjGXzEL +MHiVo8KOHkOL2g4v7KVtQN9nueL8hzE0FtxZax9nD39G2tv0+8aQ2eW5T0+F +L8Mvn4rw7IUxVKsuUlqT6AhBXi3QKzSO5CVOFc6IucC28kYfJcVxxEW/n2Yq +7QqsoWPBD5zHUTmtvanG2A2MdfzS2oLGkZvBZe2Lce6wPnWvyNb4cUQ59Ej9 +sbAX2KQ0nRypGUexKdurpaxuAZfy6Suve8eRNl/OyapEb6B+DE3JmxhHH6Ya +j06L3YbUp6/30gUmUFS+gIyh9F1wOeejtMliAp0RTU8fdQoEYmBu8Y7LBLr7 +JYH/s3EQlCgbXgi7PYEUlWQfW8TdA5Vd6hxR8RModav+w3DhYAh10Gz43j6B +/Jte1JqWBwP3fG5FOm0CmYbQOCWsQuD2ZyEdlakJtHHWPbAi8SGclZ9L/Mg1 +iXw7Y30nxMJgc3HPL95/JpHhs/6r56WjgMM4J3EmbhLJ6khki3yNgtar28oq +X00irtXXfw47RQNf1u5hu7xJZFu2XISMn0Dy0o/y058n0dZ9T+TM42KATyE9 +JGh0Et3jer83TDgWeC9MmecoTqH9mjGh79xiIds8ZPyiyhRqD7k5SvoaC/vc +8zfOaE4hydWyuXLezyG+7p/8dssp9GUpXa6zPQ6aHPs7LwVOoY3suKNikS8h +mZxYo1k7hUoO34o7x3gJv33klx81TiEbN6M59xMJIBB1b0tJ5xQqmOQrqx1J +AP9b3ud7hqbQhZHQk1fPJML8Zg7amTXTKJ7ip16ynAzf7tXXbFadRqd3WqQP +mKRAdlbXDk3NaTRmqbyWKz8F+KrRXtfz02jXxG2H/IOpMFAzpfX04jS66aIk +tYqcChbdbiPHfKeRwOWCrIxjaSD5Qi1aNn8amVu8KmCy0qE19rTDjfVMNE0y +3pAmkgGzMbn2uzcw0UMbritG6hkgccvStWUjE713uCnyITYDbl5CNHEBJuJ1 +PRXir/wGOKbt7F/uZKK6+32W6wIyYebI5QmSPBMp5G1et5MrBw6tkT80bcpE +3+Xq7Jrkc+D8E7kJJQsmsivyrbhrkQPivwa7/K2Y6PEHqttgTg7k2OtqL9ky +0Uh1PumNXi5YCZNvUJ2ZKLlLq+jwszzYf6RunbQfE/H8vXdRc1cBbK5n2zAS +mCjexcxG9mwBMHqE5NoTmUiyU9Zus2sBTDq6T1YlM5FKdo8D6VMBNC0v6z5N +Y6Ky7LiSUrlCSAo5v7w7h4kmjSYqdZoLgXvO03fwIxOZZL1o8Nz0Dgpi6lev +72IiKcOpkZqIYggzJueHcrGQSKDmCadXxeD4wGHk4AYW4s9PjdhQWox/f/pJ +Gw8L/eYykjOkF8ORWW0FQT4Waq0u9Rw4VgIC54XWPdrKQn7y95bnf5TAuFIJ +j9QeFmrmExSUUy2FrKLZCxdOsJBP83GInykDW23yXaojC/HKlfz4zlUOlrv3 +GIs4s1D6Y/nIPzvLoSfVTsnYhYXadPf22Zwph5N8V6XrrrHQgQZBT6m4csi+ +/6rzXzcWon6dfvNOuQKqTPgvifqxkObnDN4mv0pY5O+J941kIaHizQMcHAg6 +Nq1Tr33LQhcj/xgPbUPw8d5DcVoBC6Vd/tHwRRZBY0qmzJ9CFpLdWlYWYY7g ++vuIYrn3LKTmbfd8ayGC5/WV5IhSFlJKNHsTe6ga5o4EHROrZiF7mzfWWQPV +cDzZcEdhKwt9oKs3tpz8D1pywzjeTLPQf1eTdlhM1EJV/dZwESkCzUqf4su/ +8RXCtBQgSZ9AnAu5/Duk6sGdL/zANh8CKf21+2D0thGU2tjDuXEEagxWbP6y +vwW+sOInLhURSOzJ+EL2VCv4hQZIKtUTaHyOOtAl3w6/fyz8HqUQ6INT9H/a +Su3AzRPz/NgAgYJ6VN6gf9rhwsE18w+xt5Um3cg+2w523lRBCSqB67NavHOx +HcLDNhaZ0wiUMNMtLBnaDkW3eULL6AQ6x2oy9qC2g41/4IULPwmUPlZRzxvV +AdO+PJI/pgnk+kJf4uqzDojZeFJJikkgZQ2Gf118B1S+jBC6gl2ftOHgndcd +oKDdqjmEzTKwiB792AE5P0bsaCwCqZT+MqpldMAjsZ/BLWwC9T04TLl9vBOE +Zo9uCp8jEK9o5vTQUCdM/pf+3nOZQKqmDyiM0U6wq9OJTMf2iLZtoE91wqE7 +M6e6sLs5tmfS5jtBWuu2rNJfAiUPh9qQN3aBan2M8i9sucKrTR2KXeC1bpjv +Jgcb6anL5f4X3AU2QhLbNNaw0d07G15+DuuCz2qJkm7YhSXDD6ujuiAj12tT +IragTMqlqvguENpz3ZWNTd7IL1Ke1wWznTGuqWvZ6Ho3K7SoswuMeXzd2OvY +KNKlxDFVshu+asRWBXCz0ZhqfijP/m44UaEXlIWtuT0jx/tQN5S/kBPqwOb8 +Gjule6IbLJVia/duYKPbkl7eC/rd8GTigFgLtiNV4eEF/25gaWlStm5ko9Mm +hRlr2rshinX42wNeNkqWzfp6s6cbbD3n1bOxF1enjvaTu0Fu+nhcM/bHd9EH +i352g9Mn46rtfGwkx3+zxHqpG2ZF50RzsXc2HKz7INMDj/TkJL7xs9Ev1dwh +58AeKKh8HcwQYKPK9PF/fYJ7YFZ6vJxjCxvd55I1ehjWA59k/jaJYfO25n1+ +/awHuA9mhlthS9u+TR7I7AHyyzybNmzTgCJz49YeCOjd5vNBkI1KykobQbwX +pNa9fua4jY38ROcfnJfuBfG9HjeDsFXvHfvH+kAvnLRqlEnAbjxXlu13tBf0 +xZUVm7CHesoflmj1wsSwz9vDQnh+fn1SPeDRC3HJAkdnsL0Uaou31fTC+sjp +XFthnJ/i7tSYb71wqHmG1wfbXOlOxMbmXnj1S1E7CvufE4qXOPt6IUzZT6sS +m1MtnW9qqhe2LqRd2ybCRtGGwVfrRPqgjCdGrQY7yIhmorq7DyrvhBzoxfYw +OXm6QqoPAnf+nZjANrWYESqS7wN996cLW3fgfO0v1yWe7QN7avZxR+wcN43d +Xh598N1/0vwvdqJHKs+0Tx9w+q9t3LyTjR57Lf5yCeiDkZ8UIUlsN9/iJtvQ +PohD5OPnsJWDJP11kvtAlOWUGYVdG72me099H6j8lj0gKMpGH2LsPie19IFe +cNYBSeysZ5V527v6oG8//1pF7IgXXg94aX3gEJanZoR9IWVI/vdsH5zdZTQa +jU19WxvRJt4Pg+emfnDsYqPWyTUTCnv74e28vDIfdrXsGd1Y2X6gKTAu7cRO +zanbaKbcDypJCVpK2JfefHlM1u2HxCNuxs7Yw0nfon/69IP3orldHXY3mYt5 +LqAfuOxnzVuxv+7QMsi53w9/7HlkSdhZL7/z33jcD+1B6fZM7KvP62OItH7Q +9Q3bICzGRpORjc+WmvrBrbH3ggP2QMPGGZuOfpBWnyGuYTdv0DOu7u2Hlsr7 +N7yw34Y2CT5g9MPYuxlWMLZbcPNzroV+UHux8Vg6tl0N7y+X5X6oiErNzcU2 +5NQ3bVhNAiInaeEdtkJQy7YoXhIscTdJfsae8W99sUWSBI+dWktJ2EPl/PNe ++0gQyHV3eRC7a8HAvFuOBOnWD8VGsD/4tG1/eZwEGmHJTDa2r2f7y136JDDz +zGvm3s1Gzu8Efgcak6Di+/RPXmwL1gXLQQsS3Mn2I23BPnGzQyT9Mgnalq0M +RbH/XO38d58fCVyC/vjIYRsrWcfIBZJg/TducQXsPI7hh0eCSfBA4VD2UWyb ++Dn3U1EkoPsXm57ELnUIclJ7RoLKMt47gM1/iNtaM54Ear1eQWrYn+tEzhmm +kUD1u7n0OWyRmNenTDNJIB/Q+lUb2+Oi7BGrPBIEdGqe08Nu2FsiY1dEgn3x +FZn62JLsU7uufCBBfZDMsCF2QNWXLVcrSHBdOWK1MXZ3mD73zWp8P2PaXxPs +R7vtZ3zrSSDSZvTcAps2Pjoa0EKCEP5rclbYx0o9qPc7SRDq5fjmInbM/T+d +j/pIcFpdbckae0wvuD5ygAQ33v1WsMVWF95UHcMgQdNwhKYdduLQ85K4ERK4 +zbBO2GPPFOzK/XcSz8eAzKZL2Hr+mampBAm04mSrVvzmrHxcxhwJUpbm9Ryw +lzeXReQskqCaEVa5YjPK6XsFHGTQXU/ivoxdmFXvXbyODB5bR46umNvL6HoZ +DxleZeeprvgSkO2r+MkgdF5y/4rLN1wxq9lKhiB/bWLlflu6J3W/iZDhRbzI +yxVff+Wt1iRGhj2/o3etuPb6X+V2STJkMjLur4xP9FjowZ59ZFj70KxupR7v +1ZslyHJkUF+VOrxSb0vzy+2DR8ggYOs3vJKHTMIe3uFj+P+SBmttsIOu5K4e +P0UGt9et91by65NXXJhWIwPlrJroSr4Ki5VTM5pkKNM+/MISO/zrmaEFXTIU +2sZPm2Mznjb3LRuSwVXCQ9oM+6SNWctqMzKIi5f9szKfz/fRarkuksGn1emQ +EfbUjHP5JnsyXI3z5Vh5HlIi/DKErpEh5mW2qi72vOnqf3e6kUFBtSpfC9tg +T+QT8Vtk2NcqunAWm7Msyf9AIBl2ZGXsP41tFSztLh9MhtbKz/wq2MX6BY5H +w8jQZsTfdQL7ynC1ITzD9Xw5MH8Eu6pIS1MjngxjzeOm8tjbAtpPaiWR4W9R +7TNZ7G9bhvYaZZIhO+hNpiT2gdPrlx0ryLC8dE155f0rzMnd21tNho6qQLGV +91NR0MBQ6wsZ0kJ8qFwr9f+MTz/Qhj0yObyE3+/zj/frMIfJMF8/JjCM3f6r +2ct+ggyrDQTLqNhmdp7J7SwylJzvVOpbWX+OVLKKF8mQlz31sQHbo08v/rYA +BVy8HbvfYv9SIz6PCVEgTmPXsUxs/7y4cStRCuyWE3JPwQ4OpKqoyODvT6Q4 +R2PHSbn/4FShAEu57voN7DL3WIVIFwrsD6FViWOrkI5dXHKlAGe2SLQQ9n8a +lBBXLwrwEW1HN2HXC0n3GQRSYEHw/rp5vP6SP5UGbn1OAXFzp/cN2Ks2kBqT +qylQWv9J7hp2sGfgL74vFBA8ccDOBpubIrH7XgMFtAdknQyxBQqvezp0U2Dt +pvzZlf1B0nRZWGaCAh+r+wRWYWumiTsVCQ2ApelOCMf7j2I8r/SXnQPQ3nux +3h97d9SfoX7xAbhypvjQDezft7surZEdABnOa6H62PmGYTZmqgPguPOsmwD2 +Fg6WyZLzADBFs3li8P64ao4iKOA6ABzMUfkg7ImJ+g5pzwFQ0jp16Cb2l950 +Q4OAATgh3vVBF/t2obne65gBqLDqmF6LTbWt1tAqx/cjmufd8H7daJK/2gYN +gPyx1DfW2B91Ev7zqB2AtPVmstrYT5W9VBObB0BvRgLtwT7DJ3Nymj4AlKi5 +v224P8itij7ynIcKWxgNJBlsH1HbPYMXqeB8cNyqdDteLwzkTcn2VJBymTdN +wT7/gCO8x5EK1Kg8mUfY0qOvWU1uVKC0vdY3xe4sHqkuD6bCjX8Wsgjc/8jr +etjG5lHBYbTLXQJ7xC8kUXORCk2vFWIvb8XrpekB51eraGBvaCiniW3H3MIp +tpoGn664/7sPW0fix1ERLhp0suWmJnG/tifsURK/AA22r6K6e2G3GjdeX5Ki +gUvAug5f3N/JTpjw9OjRoEE/lLDejPMw1kXhBjTYnKWdroyt/knNU8WIBtVK +tjs2Y1tGHSJlmNOANyHevAb3m2Hy3LleDjTo4qRySWEPe1VqC9ymQZKyHg8F +96+pS3vC9dJpkDCX8l0U97+yjsIqHJk0sD3DnTPNg/ezZj6iOJsGN97oa37G +bk5ZtNhZQIMIF4VSB+yl0937xsto0LTP1CED99OWD8O+h7bQwFn+TNoO3H9v +4WNy1f6mQfhi/qE23M+3fwp/pLVEA8X1xoVJ2E+vS61r+UsDvu/iEy7YAvWW +q/vXDMJ4T9UzjhWH1C1N8w5Ct+Pj+IP4fMD/J4HYITEI1Hdm4r6cbLTx5xmy +p84gzM7GKnfi80rjc5rlgt4gDHIHXXiBHanh33fXYBAC/RNFLLF50gq7w0wG +ofGybuHAEoE2WO9oS7EdhERzGzpjkUBcHcy6Bs9BqCmVplN+E2gN+rdAInEQ +XHSSpiN+Eaj/pMY5avIgHP/y0e0UdkH5BC3h1SA4HznsOzVLIMsPpwQEMgdB +vU98mz52UT7Va9W7QcgTvuu2YYZANokSJyhfB+FdWVO6Mz6vfbydWxvHHoS0 +V/eOlo8TKGrOyPrCr0EonKfJWWI73Fqc3bSAx2tyNXhhDJ/f3PX2hiwPQosV +3ykl7CvO02Ge3HRwNLn8LnOEQAJmR/QNxOhQnrNt2fkHga4pVvRx69DhqKKA +nwk+r1a3duV91KPDidtGO6j4fLv1BjPQyYAOfv6jXU7YKENKus6EDs356eHe +ZAJtEXriEWRHhztt+i9C+wlUsXCZZ+4WHUayZvfc6SYQf1zgQIYvHTbdiK2Y +7cLjUUgoMvang/GMi4IrNt+1FrN3QXTwPhibZdWJ6yEfT78RQYfo7fsOy7Tj ++UC8p4ZS6WDKJyv4oIlAdlb7+J+9pkOpstj+kUYClcypM06/oQMnb1ydDrat +/O2wlFw6tNlNufE2EOj9K0aX5Qc6ML5s/hb0jcD710fXtgY6KBU0CgvUEKhw +d8fpoGY6zJg3lVn9R6C1nyYFD7XRIVvZxOD1Zzx/s3sqIrvp0Mn34LBsNYFW +Oz1ef26QDoeS/GwkPhEoV+tSatUvOjBLvlxL+EAgRVlxFVjA9bvwtDSUEKiK +l0ZCf+hA/bwv+ncxgVo7rIU+r2JAy1o3sv57As1YW0TVbGCA9a6Pvj0FBApQ +3S6rsYkBJ3MOPGe9JdA6iZ7vtXwM+BsR6MCFLTxivPaLIL6e7ZN7IA+f5z0M +7nzbxYAjt3oKzmQR6Ksxn4iWOAP6m47tV8skkIFyc+l3CQZURxPmJ94Q6NKi +DrtehgGiGevkRdMJ9Oih5tUmBQb4rt/8MTMFz5fLOq7zRxmwq2a+604ygRJ0 +6jKalRkwLvtIUDeJQHn86oMtJxmg+mJ8sCcB15OgYt5+lgGmW/9wJz4nkEXA +0uwFLQYkd117ejyWQHTbymcdOgwoDFP2aH6K65U80dJpwACzfYyD5Ghc7/qF +6yZGDHjfLTlkFIXrHSvd0G3CAE3v8rTqSFxvwdGzPZYMuDI5IO8dRqC0mBmG +mTUDmoZ+qH16RCBZr/f3em0ZYCsr3z8bgvM4fvhT32UGaDgwq5Tu4zx2MC0t +nRiwptpZ7mQQzmP57Xy/Cx7vu1Cjg3dxHjWyimRXBpT/0RCpv02g8Yzxtovu ++PrndxzcfAjkFZpzk+LJgHkt+VtzXgRavuqyycabAQs63/5aeuD89GRyB3wZ +MPRjb+rzmzg/+Z/nbP1xvjITXqnXcX4Cb4apAQxQoHf4eLgQSGL2crBdEAMS +Nzhd/3sF59kjsWfwPgMcnuzgVLpEoKPldGQfwoCo/pJzi9b4+Ul8ZU1/xIAd +PDaSMhYE0gy0+3MpnAHDbfo6141w/vZiLxmReL4Jl/fbdXH+GgNKl6MZMKWy +1sNPlUB9ZwVdNZ4wYDnLSyzwKIH+B89qNtc= + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwV1Gs41GkYBvDYVsKiVIw2E8NsWlsStanxaLVZpxYhcpYkLXJIqtWscsgh +2zJKGWfDlUN2I0S8RLHtiMlpHQbzf8cgYv4aSkVrPzzX/eH+cP8+PZo+wfan +pdesWeO/ev+n5elJXtOUowlr/YB659QkrDVTPz9KMQQLVoa/gvYk7M3JYFdS +jkDt+wSWT+cECL/Wjr5IPwb+VzdfZ92cAKuO/odZFAdY16bLvuE4AdrJ2zif +qS5wzno2q5Y2AZ8sT93xpnvAcvuGQcdFEZzwfdeQSvGFLZbxzJlCEbSaqde0 +sP0gcLdrbDVTBPo0xp8S6lnI8hDJ2rmJQJa4lu9ED4LktIYLCxQR8HfHm92k +hMOTqva6sPxxYOWoNem4XoAF+2iHv+LGwVKp5FAjOwKs6Pf5uefGoXqWazRH +vQS74hPH3PaPQ0r5xh129KuQLOX+YVOvEHI3/xyXSIkB05e+KlSaEK503Gl1 +qosBXuaiNENRCE6xY9I011jIzlFwYi9hUFgIYdaz42DkvBZPhYchsocVOUNN +gNSmfXvzrmGwSxsMOEZPAfZQcs71WQKiZSu/SaCwQHe7sbT3mAB2mv9x4+F5 +FvgYB1xV7hTAq9jgqaE2FhTT1UKHGgSg/YVe6a6IdAjP5n7VnCmA58uFu3pe +3YZUlS/LHjsLQOHtbSNq8l1gjuD0ib4xyOBfNnu0kg2/9EhCU5+OgrNLXoWY +LITvLnMLXjOGYW7IQS5fnQMFHubZU9rDEOche/q4GQcMXa0i3ssPQ+WpYPVq +FgdKma0yPw4OgWIQI/bK/iJw/qGgk3VxCJ5dGzgpE1UML7RMml5XDYJB2QaZ +r2VLoKf9oE3IgQGQ/xztZq5RATtVmak5p/pAx252siWpCjbti5j71ZAHF18e +gAzJY/hAnh0RStpAtWrDiJQUAm7wQevIyAaoJsy4nYeewhV64lJncyE8Dcja +6jLTCj+8HTxBu56NFugMpfLANnAxKyep/BokvVSqvFXnBVisv08bjGhF+z57 +VR9/wIXwJtqWRgMu4sYYvny+sxPqf2+rF/3NQ9Rb00v3Z7tAe9B0Ua6iF02/ +Gx3p1X8FPSxnP9vvB1Dh6/oXiindYKaYc/nJ/DBS3FY8JxT2QLf1nd1nTMdQ +8tlHfrnafRDigYq4wwK0aFoq9Gf2w7Lx94MrKRiFG7RWbWn5F3IYGg+mVMfR +6IPWJJ7mINRV89u3V4nQx4CeTN3LQ1BRERe86eAk+vbwuhW/+mG4Ob8j5Frm +FDLP1zzzl+oIFAVmqNsrTKOL2zy1BG6j4OXASQhznkF6M47y/TZjcOlPbpNW +2hukoiSWbf0wBjSjyK5Q/ixaizIraGwBZDP0nR6vFaNzhvUD660I+Cnx7M7f +OGLU1NVbVmtDgEpDFfVjsRhtDhQzz9gSYLNe6lREiRghjg79mSMBVYdWRgMr +xEhF9Vbob14E8AP3Kno/FqP6JV/5dxcI2Bildty1Q4zkkSJDmEvALzIqJxoW +xMjLVVc5rYCA6r1v6y3ei9Gjd2b4cBEBnPFD0v0fxMhT/1JCTikBpGj7z5LP +YlSZh3tPVhOgkfFVvbEciVxjaoN4/xBwM/+7/SsaJCq18MltXCQgiuqVVGZB +IkM9TRNYIsAy3cPugDWJGhXHhtBHAmrurjNqP0airm531eY1GMrfdGfPHCeR +xN0lpUUOw9bl67vsPUhkEmr7a7sGhtu6z45wwkjU5qCkbqGJoa926p5HBIls +97+s+ZuG4bCjWs3WSyTy+WT19sUODH5WEmHeVRLFx5kHdBhgOG2iRMMJq3v3 +TJxfHcXwqaTDOjCPRC5Rywv2Fhjkjm0zjiokEeH5JK3bCgPN0dEyvXjVo23c +2WOLAXPD+KPlJKJUGB3tP4khKRQbiOtIlP+HBJ9wxzBtHuNv30givfDK6H89 +MaB7W9KeNq/6D+xpGPDFwJ8ryuW1r/pa9AyHgzBsnKMK+/tJNM2Z5rmFYAjO +/rKqb4hE4TdKgvlhGJ7r3DaSjK76bXaUjkSu9vT0nKxJEinrT/zkeQVD8xyT +a/SGRPc2FolGozAEJJ35UWaeRGX9NC3B6h/TGFHTjPtEIqM6AnnHYjCV4rR6 +Sc+jRnaeOxGP4aECw6ZXdh6ZM70++iRiKKotWLtHeR51eVPv4mQMD8reS2iU +eeRyZGSf7+8YZmIFst70eTRwdFPQkVsYYrfjc2/2zKP/AFoeb6I= + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJwV13c8VX8YB3Aa0rAKZYWsklCJ+NFjyyhZIZLskZmVkhRSaCAhK1mRmZF5 +7uWiFEWKzBJCGnKSCL/n/nFf5/V+ve7r3HOe536/388jbOdl7LiGgYHhL37o +Vz3HyS7KlNmRCMrGQjEVklinwes9wiMHl58QB/O1SOJgRlLqUx5NcOvM8OLT +JYkxftGwQPHj4JGYe75KnyT0O3rL03hMIeo9x+VPx0hCNEYgZ1XQEq4VBM/S +DEnin579/bPiNnCIxhDhb0QS0+E9tLOJZ2G/tMf1VWOSMHf40xDH4wASkgvL +bqYkQdPgrW5OdYLyzLUXG8xIQlZEpZQUdIXCD6osSydJgnn0atZJcU/4sd51 +rYYlSdR+7O5oNvUG8pF1kdEpkvA+4aBnnegD2x526ptakcSQzHWNWB4/oPG8 +UVU6TRIJGTsoYlb+ELdBMVrQhiT02AqUG1MDwMehreUfuur7q0M/BC/Akzmn +5Ie2JHGraOtuI/HLwJxndzvLniS0BLKzp5xDYfX7/a9mDiRxuTWFnWp6BT4G +GhPrHElCTl4q1jIxDJ4dGug0cyKJTC7DyJs84bBO2svjpQtJXOy4TztZGw5r +vn9PtHUliZMRH9eIWEXAdv0+yi/0lt8+oXWpkXC9pSeJ1Z0kgnoSgmYEb0Ci +1yt/CQ+SMIrvdzsufgvK/ou+MutNElL6Io95225BPHeso50P1mftuS8TzrdB +7d2djC70mZqVMsL0Dii/P65Z6EsSXHvuSFsk3gXxty4xBn4kEcb8VOIGTwKk +hH8+ZxhIEpI6d6PKvRPA5xDJXI7ujvCaGmhLgPX9DFbbgrC/a6UKpQPuAYdw +kGo3unU5W7qnOxHWnfw1oBaMzz+XeEgwJhn+Xi7p+3aJJCr3+yce/ZwM2fYr +v4+EkISNt8kfH6UU2Lhhh+RtdMk3thraZArMVxyelLpMEsaTUcpuWqkwnBwm +YxNKEklDwRqVK+nQr86x60EYSajxW2YPm2XA2+4/F0bQ06cU1jMXZUD+/mBh +kasksXPmgn3Rvkwgz+m45aO9XOXFGAYzgXrjxd7CaySx1aEkP+dwFsReXXcl +PoIkLCwflvyczQa1nqPHlqNI4seA6aYs3hz4lPYhROoGSUTaMDuaaORAV16S +nhX6qb0Xb1VCDnRvnaqvRrN6qkRcVMiFfYtPhc/dJImWqx9OMYXkwfwuJlpz +NElYr4+trM7Jg/J5Felv6F/XVdldO/MgveyZBncMSQjF5rW8FMwHfrLsvDP6 +0n1/2btN+aDjnbi8PpYkDjzhYOJnLgB3DxYbuVsk8UK6xbZDtgAOCvS8t0Db +lgXVXbYsAGkWJ6YQdGzViPenggJo1vGMoaEnKUUDuccK4e3MTq0Tt0ki/Z1u +2f74J6AnoTp96g5JbF4Ns9bZWQKOZip5qnFYb1dzGyntEhh8H3bRGi3aI2XL +4VkC5VrbeYLQRx732g80lMDOvLqyYnTN48TKaulSuFJibs8TTxLfTGbq9TtL +4VdB2vZxtNzGXpUjo6VwoMX+yTI6uJHaKDuP37/Oxc6dQBIb9tyncO0sg1z9 +UC1ttPCKGm3Eowz2y7D7ZKPN8u+/PM9SDknhQSwW90gi9fRVAyfhcjA9zlrp +gR7d6tFhcagcTm5Skr2G9gpRf61yuhyk/e2yitA3jL91bygqB9BXDGFIJImG +f+r9Dwyego9hv2Y6Wszo+2RzdAX4clrU1t0nCd5QHSXnhxVg/DS+rAPNXpQZ +vam6AoJ7RUJG0IvMJtJGoxXAyKIStyaJJN5Qqs8PH66E0Aa2Om10sGzYysJ4 +JRCtov+1ob1s+g1TlyrBy2Uo/j3aIebgQ+CoAl3leNo42nByXCNSuQpMrDuK +1yZjvTP1bmyLrwL2u/BEBd3JxskprVoNh3fnBxagm1U8HLvMqiEsz9alGv3M +vbXKz70aYvyV5GjoR21BlnWJ1VDqutlmCB0UOpR+9Fs1aLQKrLKkkMSuH7l7 +7FOeAfvB+DQX9HYBhotMpc9ASkTX7Dx6i77lq8ctz8Ctr286BP0nd7PXz5/P +gPGLeGkc+pWNd0WITg34c/Tk1aIDOxUhiayB0kBuj3UPcD1IV46/YK6FRcnW +sC3o7FjZmCX+WphgagvmRHcZSHyw0aqFT9oyvKLovS85z4slou2ecqijmyTv +8JgX1ELCmQ0GumiLm5spUY218Fsy1v0EOkJ3DcvMRC3Mp6ecskGPtP3ILVeo +g78Cj5eC0AES7sfG9OvgId8Fu1D0lusTc1y2dXCr6XhJBFpRe0j1QlQdEI4+ +S3fR8bT2fvhQB17mJ20fo3WoOawdwfXgm7PT9y16WEi4cuVWPbiqT2v2of2u +pFrJPqoHVqrq6iD6IcTnx7+sh73TU5IT6KWGK+qn+Bugn8y2/YOOE/g3GS3b +AFtaVH2X0LtDAm83aDbAzWjec6toM2XPQSGPBpArG96xIRX3w1qrgC8NDeBg +zGDJhdbm7eXn6W6AT68/F+9AD14wbtabaIDl1eJvfOiNirrsxayN0F15XHwX +OjOJVjWyqxHerjMQEkPLL8BpDoVGyEq+unY32r5avsDvTCMcrPwasQ+9yF1+ +Ivd8I1T81RKTRd8J2Pen93ojbGXYU3wA3XBIVPO/0kZYdem9pIA2vZcxfY7W +CGrXXzQpoqdJ3rvpfY1gPHL313/o7RUcw4yMBJBWs2yqaOuYJdMxbgL2qDGJ +q6OzHMZftkoRwLPDc5cmWoqrpibaggDD5YROHbTvtyxZT08C/N5fvayLrm6J +yTsRTsCMgjCXPlo9wPYeVykBt7xfzh9DRx3X27LQQkDF23XqhugOcblr/QME +fOnV9TuB3roqsFg/S0DgaGmsEfrJ12vPXq+lwKMDJ24Zozt7F+YorBRYM6oS +YIKebfaQKeehQOfYbS1TNGfpqNsjUQrob7L+R7d8qnluggwFOJarU8zQllGv +PkUoUeCsRo3QSfQlPzWBQC0KxID/LbrTbassXE5QQEaWcYxuqsHeBEsrCqTI ++gqbo8cOZ77Wc6LANsUhbbqZxLg2K/tQQFbQ3JTuPRw3tfddooBoIqlLt8Hy +StjO6xRgkWmXoNtz6nwDWxwFtCxnvtHvf/fd5AJDGgUK7bxS6a6gnpb7lUeB ++UDng3S/L+r2+lxOgZXsyXL68/9N1insaaDAYg8TH938kfUTLc8p8Ln7nTv9 +fY/47t9V/ZYCubquOfT6nLXJPZ0/TIGLtJ42ev3C9fiSk6coIFSy9y29vrny +d3pukhSQPBnWRq//i13r2S+tUkDny2Q2vT8zrMH6HpuoEObj734czbr0PdKG +iwqR44p89H7u/2LfZChEhc9cuhX0fgcQxxQPyFNhwTYu6yg6ubDJT0SNCmkx +s3+00fX3FUo5DaiwmDgur4Vm9BaW+HOWCoOS73zU0KLWiXaT56iQPkx6AH09 +Hd2c/iGQCjytsyYq6Bih35z1sVSIOdE+dBhdvMXtRFESFfqOvAiTR3ctDEen +P8Lf//OVTQ7N3fV8TVgNFS4qjE5Lox+Gpf7UGqfCIR7fNBE0zYNDSuEnFUhd +7wQh9IRlpPPuJSq89+MNEKD/3w94D2/iaALeqYq/3OiqUY1Xr5WbwF721dqN +9P4cDZuN1WmC7V4O9uvR88WN3AbGTZA0MV3MiFa4qHj2uXMT5GmvbFzE/ePZ +Npl5SlwTXL0y2DWF7rtwji80rQn63oXHjaMXRh6rquQ3wYaWO/AJffiJaHRN +QxP4xm85Q9/PajR5hconm6DBV7CyBd1fYK7lPdcEmgXe/RT0Ivs9N+mVJthb +dW+mDq00xFZZsK0ZHH/d7S1D1/qv13t0pBma7TtepKLrc3+eT0hAm05IeqEH +t0gnG2c0w/rCShFX9D9f90b2gmYoYdm7yR6tAhPMsUQzGG1XyjyJbuwdSIuY +bgYHO81fymiCua0tQJUGnn1PDjPRzwe3ND7LGRrMv8vLjMbz5+mUu7vNPA1i +tILmrqGzXZTq7Bla4CtDvMIldIRT7ylPzhZQHXkRfw591I4j5ZpyCzilSqUZ +oF9bRuwojmmBWQuhpY3owaMeXGv3tcLZr8n8wXh+drT958is0ArWT8rzvdGN +2psqWdRa4VjwdglndIZmnukOs1YY2/F50QRtp/opfl9IKwzeNlqVQk8qmG21 +6GiFubmpsEE873+Lq7AVebSBvZBNxn70kVH1tptBbRARqRcnho5MOxrqcq0N +1s4IB/CguTlNf4gkt8HF4XJ2RrQ8o/vrFFob2J7TiHuNeSRoIOl2FN9zWG2W +7XRF/7tNsjm8eA4idj/OxGO+WfO3kJ1PrB02J3NE1GOe4utQnwOZdvAL4Foo +Qss9/PDOQbEdGC5GnclAO+pueFB8rB1U/N9svop+nmwnph7QDrNBAf30fHZb +iUfJta0dKn9fMu3EPMd/KdK+2vUlqNGih3owD8qv2laZFL+C8LFmLSrmy1fh +cp2tkq8hU8jspgLmW8E7X/8+/v4GGLMzFXIiSeLrn5Hhd7LdEL1jaHL0CvZv +uq6d9dZboMaaZ23DeYJVIO/H2FgPjNcsPOjH+SXGtdIpU/Q95CcdkirA+Whe +tXDMJbQXfsOBPEecz/wO0Cq4m/twXngzrYbz30gxLbpLuB/eCI4nrOD8ueTW +82BP8ACES5i4BB7F/KG2YcWpbhAyjPIjDwKe/1nCzmXbh0H3YJMhVQ7zjcCZ +XZ+sR+CzRvbKQ0lcvzNmm3uPfQTnzOhTNkIksY3tJzNt8SNwSZWpD3Di/Ew8 +KBFJ/QR6g+aHmzeShLtc3YeN+qNg1eVRabU8RxTq2mU2zo/CJpfFqpc/5wjJ +sbqFwtjP4JD6XMZ7fI74H1piXfw= + "]], + + Line[{{0.6116816716216795, -1.2434179146146471`}, { + 0.6333713592563216, -1.2400577525972953`}, { + 0.6531622996938181, -1.2369033261517752`}, { + 0.6725634788953451, -1.2337280433641775`}, { + 0.6936157131874332, -1.2301879908573987`}, { + 0.7132577780802865, -1.226794382434761}, { + 0.7345508980637009, -1.2230138548863574`}, { + 0.7544338486478805, -1.2193849801391232`}, { + 0.7739270379960906, -1.2157308801602156`}, { + 0.7950712824348619, -1.2116539745902124`}, { + 0.8148053574743983, -1.2077360152267913`}, { + 0.8335219739026045, -1.2039116799274199`}}], + Line[CompressedData[" +1:eJwV0WtMkwcUxvH6ykS84IpmEpSwDjFykTEvpMboieBlQkcCgRm2IB1uC+KF +KUFWLTKRumKYBRSqAlWsVAbhoiDO7oVjxFXRmVnwyhAVjQ6h75EDhAxDWffh +yT+/z48iJT3uO0Emky137f+29O1rS7/4FpQR/4bVRwxja7B+unz3ANwK9NCK +ocMoj9obkus5CMKCd6cUvsPYs/Rel0YchPeGSss6j2FsNMGiV1sdEFAfkHB7 +lDGpIVzu63RA4h0vsegF40+6ykM9NRJ8dkad1tzBODvLPeqbOgmqu+spweXT +qbu8XjdIsMM+K3LsFmNT9CozNUvwKKcydpXLr+X2GwJKsD178YTVxhhtkk0P +7JIgbXD/eFM740dXko9lvpdgU6vKP6uV0Vz9x5fjExJs2GMV5C6HnQ72y5ER +bE++U1IjMm7OHmvQf0Dw6uqHnT2/M2ojDfayOQTLCkZz1lgZ+/5qm3fdn+AH +206N1MJY949vmaeKwOZTahUaGfUVOnVvDEF4p644t4Hx2zhHQH0sgVGW2TbF +5YWi2PjFFgK/1pMyZx3jUcPXtoIUAqv1jcVRy5gafmpohoZgXZRNc/4C4/oB +2ZXuAwQXCoxT57vsdzZVW3OQYH3EiFZvYXzooXSPyiPIVGd5p1Yxbnj6cGG+ +gSBm7v4ObzPjJ3nzPne3EFzOF6JXmBidSu3sR9UEB4OXPTVUMD5xvOy01BJs +Ph7yfX85Y+GWpqSNFwnWmBIdJWWMk0FxGTqR4NLaaaH2k4zdz64qE5BA8Lf3 +ervcckLhXHSdYJtvZXySkXG3853+xk2CDOFMxd8ljD12g2lqF8GSmabAqmLG +346Mbet6QKA4frj8dhHjidXJgebHBOqbbff7C13/VYU2R/QSBLVX1XoZGBd/ +VarxekGguhuT4XOMUZjjXNv3kmBrzQOl9y+M1h/vdhzqJ5DHPnajo4ylS1ca +YgcJPBUrEtvzGff0lccriCD9bYj1sJ5RZXTzGRoiGPizuTvoZ8Ylqp3Pro0Q +XDuXUtqoY3Sbcv984RjBjo+rV7rnMT6/vDpNPU4QKYaPzM1lFNPMn4ZNEMQf +yf61KIfR6DdzdHKSoGiBqf2ShvE/Os3Liw== + "]]}, "Charting`Private`Tag#3"], { + Directive[{ + Opacity[0.2], + Hue[0.67, 0.6, 0.6]}], + + Line[{{0.8335219739026045, -1.2039116799274199`}, { + 0.8338408514536224, -1.2038455414748004`}, { + 0.8341597290046454, -1.20377940302218}}], + + Line[{{0.6111710011173744, -1.2434958081923804`}, { + 0.6116816716216795, -1.2434179146146471`}}]}}}, {}}, "GCFlag" -> + True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>, "DynamicHighlight"]], + AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], + Axes->{True, True}, + AxesLabel->{None, None}, + AxesOrigin->{0, 0}, + DisplayFunction->Identity, + Epilog->InsetBox[ + FormBox[ + StyleBox[ + "\"\\!\\(\\*StyleBox[\\\"s\\\",FontSlant->\\\"Italic\\\"]\\) = 5\"", + Directive[ + GrayLevel[0], FontFamily -> "Times", FontSize -> 10], StripOnInput -> + False], TraditionalForm], {0.8, 1}], + Frame->{{True, True}, {True, True}}, + FrameLabel->{{ + FormBox[ + TagBox[ + "\"\\!\\(\\*StyleBox[\\\"E\\\",FontSlant->\\\"Italic\\\"]\\)\"", + HoldForm], TraditionalForm], None}, { + FormBox[ + TagBox[ + StyleBox["\"\[Lambda]\"", + Opacity[0], StripOnInput -> False], HoldForm], TraditionalForm], + None}}, + FrameStyle->GrayLevel[0], + FrameTicks->{{Automatic, Automatic}, {Automatic, Automatic}}, + FrameTicksStyle->{{{}, {}}, {FontOpacity -> 0, {}}}, + GridLines->{None, None}, + GridLinesStyle->Directive[ + GrayLevel[0.5, 0.4]], + ImagePadding->{{45, 10}, {Automatic, 3}}, + ImageSize->{Automatic, 110}, + LabelStyle->Directive[ + GrayLevel[0], FontFamily -> "Times", FontSize -> 10], + Method->{ + "DefaultBoundaryStyle" -> Automatic, + "DefaultGraphicsInteraction" -> { + "Version" -> 1.2, "TrackMousePosition" -> {True, False}, + "Effects" -> { + "Highlight" -> {"ratio" -> 2}, "HighlightPoint" -> {"ratio" -> 2}, + "Droplines" -> { + "freeformCursorMode" -> True, + "placement" -> {"x" -> "All", "y" -> "None"}}}}, + "DefaultMeshStyle" -> AbsolutePointSize[6], "ScalingFunctions" -> None, + "CoordinatesToolOptions" -> {"DisplayFunction" -> ({ + (Identity[#]& )[ + Part[#, 1]], + (Identity[#]& )[ + Part[#, 2]]}& ), "CopiedValueFunction" -> ({ + (Identity[#]& )[ + Part[#, 1]], + (Identity[#]& )[ + Part[#, 2]]}& )}, "AxesInFront" -> True}, + PlotRange->{{0., 1.}, {-1.75, 1.75}}, + PlotRangeClipping->True, + PlotRangePadding->{{0, 0}, {0, 0}}, + Ticks->{Automatic, Automatic}], ",", + GraphicsBox[ + InterpretationBox[{ + TagBox[{GraphicsComplexBox[CompressedData[" +1:eJx1unk81N/7/49U0mJN2ZJIiyVJtsolUYlEESq7iBZSoVIhSkUUCUkSEmWX +UjoIY9+NfU8oY5iZ57TzO26374zf7f25vf6a2/N25nme51znnOtc13V/SDu4 +Hz7JxcHB4bqAg2Pu98DJsabicXPtKPOgf+jTNPLnyd1wRzQS9GyP7V7pSEeW +Vs8zp6aTQOnPkh1Zv+ho6az/iX1rMmGSt0q13IKB1ptOjn2+lwcBdu8EYp4y +kHe9JkQz3oNgx43B3Z0MtCpPoI+TE0Fs66Gj8osI9HZoT23DzlKw6Ex3+K1I +oFK3p+JWE2VQ9y2jnH6QQITcLr43Z0kws5R07qEzgbh+pfOLr6+G0tqmXb6+ +BFKbtXt7JKMWfj9VyhYIJVBtoGp9xeYG2JsZ8F02lkBS4d9/vZpshOXQfnFT +MoG+/+jva1NuhvM9AGWvCZT07UP1ivst0FcU+ME6h0ArJF9Sv3xphVkar7db +PoFCXPOdE2TJsKHX8ab2WwIxddK/nLrRDqa9PxaK4vaLKmV5Ip874Fy52zUt +/H5/Rtm9JukumN07tmrvGwL9cWt9sulKN1zuTFyVlEIg+d2LZ5w/9EBBoQGD +Fk+gfYnSLtmr+uDVkmz6kkcE8pa0XTd4oh9aQtvfWt8lkMKE+dL2gwMQGBvj +//Q6gYT4pnjKfg+AqfAlN28PAnGjJ5kycYOQlnq84Lc9gU6rfuhcYjgE2kpp +u8ZMCJRu4JDwiTkEFo6nl1dqY3t+F+P/GjUMinZpXukKBMo/sm9BpN4XqHIX +GX+3ikA39gc9y/v6BcSHV7yc5iKQdO+BjhdBI7Cjizf2DIWBtNQDI0VVvoKQ +vatGRSsDnVjT8Iuz5StcN9hhcvcjAxHVoUMe/qNAtuJTHUlgoGhum4uHZcdg +5L5nXEkQAwn15SdyleHnpQrrel0ZaOE1fzPN8+OgT7tcLHaAgThe2WQOCX6D +xyEW939sZiDP2MxQwdJv8MNqbPjiYgYqkg/mETj3HeLkLMofDdGRwAFPhYAV +EyDlxRRe+omOehQbWy5/nADDjd2jqhF0lBUPsl9sKLDMXyPw4Uk6ss5UE5Cc +oYAFWUNrmQgd+QU99+9Jm4SA3UJydBk6Slh56NZd0UB4R7om+4pOQ9G9V/bk +z8RDwbEn6j0FdKTyWmCRBE8aHM3n3H1KgYHMUx/XXFieA/HuGwPafBmonk9Y +WEmnAMRanh/eWMRA+0qSV9Rd+QgmulSPlikGsrdJsU7tKwYO0wDzVeIE+pgy +dSEy8jNQmDWMYrw+PfvPrlygWAG1HgPNbccI9DeMwedUVQlb6i5FinkSSML3 +lmOBaw2QribaqQURiLP0gG7oRB3ckHHe+juCQLrjAVmLdBuBG0R4lyYQaGHZ +yQkU1gQ8G04e139FoP3TdWae/c1wo/UN30gmgTpvbu29rNkKi+IsnJXyCHRw +j1J6aWAbXKhrOcuP9/tu86xk7mYyNNYuj6Hg/Z7/vqAWpDvgQcK2+P5cAqV5 +6K296NkJn90OL/qL+6OE1Eb8q+uCyr1BufJpeL+SKUaVYj3AnXFs6mcigfZ+ +Xfw3YzkFnmkrlJ65RkeLNnyI9cugQF31tMSAAR2dEztT5Ws2CRkPG56Graaj +3i2394SKXoSNZofvLXpGQ8voUdulQmIgOquKfj+cjsoDOo8tuvYSJl/JpEsI +MxDlyMRHw/os8Le0HxhxY6Aryv4zP0fyIUpNxanzDQP1k6gpOeof4KXqjzdH +RxhILc4iJXJLMTxteHzuKz+B3uuJrc0Zw/5oiV3+QzUCNVgFrc4IKYdQyr1/ +d80I5NMdHRYsXglFsx5lvmcIFKYlquVKqganAiFBph+B4gbMfT0O1sHznX6W +8eEESpV/usqtvQGuM+qTtj4lkGDaRroqNEGf0JEbhi8JFMsgi8oGN4NJ0KpN +bhkE0i5gHikbboHzrXsXWWH7KmW51bWotsGufVHZtdj+zv0qtw5fJUPQzZYa +Obw+R69lW5o1tgPHt6N/7uD1CzMNdCsX6wSJHnWXzdkE+vq0MmzUuwtijc6+ +q0gn0O219gyf6m4QTf/5tzOJQAK8Ey7CIxOwwERXJSCYjrodrtnm3KLA/bht +y0It6eirkynFaNMk/OxQ7y/ioyPTiC43Y7n7ELqD98KhrXQk6JSZmqyRCGXr +St486KKj+DaD7K0Rr+FEv5zNoC4DOb8AnrR79fDHxOWp3GMCZe1UN7eMboQq +XrXbOXg//OxNHDkw0ASH1z78tQqPj0kpCytWa4GF58WntuPxXzQ547wlrBX0 +lvIn9uH5nSFPB2e3tsFRS9Ftanj+EjWK5W83toPJvrhrEdg+wswiHXnPDpgs ++nxEDNuvLIybvK66E278yK3MxPa9WioTbqBGATl31Zvt5/F5/v6zzZhMgYDy +n58L1enoR8Ot5Nc3JkHptcXfw1J0ZOH0o+ihqBMc0ndnqNnRUMW/JKXW5igI +GSzsfX+Fjlac2xV0VT0FKvfVGitj//T+VVR+gVIWqF0/2HHNnoEaiwsu9Gnk +Q2paY3NHMgPJ1whfWB9VCJJO72m2/Qyk62X3aGUWAtOi0dzYpQR6J7SFWfyw +FGKTpTeYqeDz6iAQe3NnObTae0sbmOL7jvN0Q2wZCXb2vjr+wJVAlTEO63W9 +qsFXw8C7GN8P4+7HRYf+1YLRIb/tffcJpNUx5rnavwFcTbdWZz8h0GrJu15U +kSY42GYTBvg+0vU6/tf3RDOMHRhKisP31bSJVdj4uxbwvfZ38wC+z+K/Btv0 +LGuD2ytIZ55h+16Wvej16xAZlD+7DszZX842I77vZTs4nPWcbsXrw6WbxDc5 +2QGPBvN03+L1c0ipCO0x6oKL/DeH7uD7tqRcbL9pYjdc+eozUYLvY6Z4nySp +cQKCpkTufA2lowq/vt01nhSIfBHfr2ZLR+t49WbzhLB/PrHqXxQvHfm0RvpM +SN0BjgDPOCdhOnJ3VVvP0ZMAEyVGbgVVdDRW/KY75WA6mDoGEF3qDJSnop8s +vrMeirenQhS+X38J9gZsOdsIUe7ZVKfnBJox4XZd+K4JEnc/v7kN+6tn7gae +oktbwIphmv0wC8/vW/1zM6dWqNPIkbiH59ezjF+s8HUbfPAWWbwBz1+J3z3f ++h8ZHvrceFaG7fOlvfBWvkEHKD7xMfHD+0/dT/aqYXwnHHzkEmeF/aNd68q9 +f6Up4B8VfzPPm44klhx751JKgbsjBxQu7cb78dLlAmG3SRCUu3RVVYKO7r8R +3Ggqdx2+740PD62jocNjwTvd9ONg0e+oHb1JdDTteVi9JrcZFEwzJxRw/yGS +kaufrWgFomeJSyX+/qfLRjtrTrRBSNDYURoen1ns9KP0FDJon71juQKP/9aT +PMHqn+2wh5SmrIHnN6UncS0mlgIPAjU7fU3pKA3ZdH/RnoQv2pXiLtjeKzeF +K1lGPYA/RUT7UUM6Knm7RJ63sBWyA/uXb8L9/33W8iP1bxvUNk9zzsVLS25N +tPKZtcOJ85/1juH2/XWP6du/UWDS8rTgGXk6+rg5MkLv0SSMkXckjEnTkWFd +e85TUTNY8umr1EEVGpJdoJCu5PUIvnke+i7nTke5ju5ibyOTwTJD895NDgbS +ftXu2F2UCR5Rl0bSTzDQb54jSqZDecBQ7uIpec5ATUYbOm30C2Gv47bv5j0M +pLDy/ft7lgjObiu4N8qD1+eqpn2lSynUiqsVbFYmUJBz+7FzwuUwxLH1W8Ih +AokIm1FlYkiQ/0T0hPApAp00WPwk42A1uD00VUm4RqBw9b5RMXIt+AycC/iF +48+bGfcTqOYNsDzQdmYCx59xZK13tVxN4HzHpSof73eRgqdnX+1thg8dRxq3 +4vNW/ZRX0fdFC0RHOG9IwOeNzLn65cDPVritJD0ehO3FRYqcNNIiw84xo5Id +2J4rGl+XvIhoBxdSYccPvF47tFQduDo7wM57hfkvfN4S0sqXWah3gdi+wJ4x +fN5son+c33W/G5LNVn/Yhs/7uGy7T0LFBDBUrx4Jx/fnBz6+3PZTFPg9rnHo +rAMdFZ/YxTPOMwmvv9ada1tMR8uI8zc+xN2CZ+fPp0Zy09GaicuObxQTYEnK +wanvxXQU+rbfYzAtDe4EDD7bosJAx36TLpgJ18NzX/XsqkgCrZnJFH1i0Qhx +nMfuvMfxSABvftrQiyaYjo1+WYTjkYtZ94b1iWborfmktAufNw51Pxg1boU9 +GWvHrfH8hDc+c/gU3QaQIf1KAs//XU6YYvYoGWZ63NZ1YfvU7n//6sr2Drh1 +/2ddFt7vHj55dbbBndCU5YrS8Xk40rviedsqCugKrhmKxP5aIPrupovvKGB3 +wNSgWp+OGkmexfttJ8FPaPqNpxgdvZ2s3U6Vugyl6ZdlkgpoKJPC975sLBZM +jNJlh2Oxf8qNTP3xtBlOytIGavF9ctJN/+3WXy0Q+tbpywP8fWZkd2DD/jbo +CBf3HsDj690puGI2nAxrPLvixPH4zy3L3R72pR2Yq8qp9nh+X1uMJpPDKfDY +uCBHyJyO9gtaflmtOglX6Oed1gnSke37mWxkFg4vLgYHJWnTUeyCD5VxSa1w +aaDgFzfuX/WDg86bsTYwLlZYOLc/Hm5cftBRux2Owd8hb9y+60Slk+4ABaYX +nWhYqkJHx66FxvncnYTFW+/xZa6lI56hgMSjcudgFjXNxATQkHPNr5Uda9vg +ntw27gL8/pT/kZnkk2TYrS49vAX3H1hwgOm3ZhKiHRdOaS6no4NPJblLL7fC +waxF3G/xfJKm/Zryi9vAL+7jnbn/J5813sUr1A5mFn6Cb3B/G65s2ne8lgJn +vI2j6nfSUeQ6v4yBi5Pgs2Qsq1WSjlTVFEKtovyh6PiFMrdBGrplU0Sv8GiD +PeKSR7hwf9U/GiUtP5LhRYQi5yzub9nZk81ZBpPAOdXw4h6O58sC9TKT+Mkg +ddtGHvD/D2u7BGxiUMD8zNhqERzfr+mIvcufMAnB+odOza6jo23PouNyRfVg +sZeusbAADTUHuY93kyLBXvJfjpIrHX+f5+SRPcnQ4mfSuOYfHcm2KtgJnMuE +pN/ZSjuOMRD/m4R7vAV5kLpOSzTzGQMlhSqH/JEohAMq1zbVdTFQotNITYUC +gpX7OB7dXYz3R8YnEaPDpdBv+c9NaAu21ymtD44c5bCqz51ZbYz98dP9N07d +JAHfkx8LzFzw+j7vbHPSrAZNtYCMFdjfOD6ROqReWguyTvR/QdjfpMbYqx/X +aYDulao2d7C/sdkmZPXhZyP881SZdsf+xq9dOwXtaIY1409t/2B/oK43fLU8 +ugVy8nO1b2B/4xlmWzM02QphaPE5H2zPfauT07y2kOHAY0vnXdh+ATwKR27d +aQddk/wUHtxuqeZ7b1l9B4zvjpETw+8XK+gbRSp0geo26ZxV2J+95vx6a1tg +N/gbZq06g/2Nw6sjcqElE/B61lBn+AEdqVm8/N7rSAFD67ppTic64hbfxLmY +axK6nAuz+xbR0dGgAS6Z40Hg3K9zWv8vDX07pr6Q580zsJ6ZHDzzkY7ssn0+ +XLdKg2ucdf6HtjCQks2Sbg+OerjQ13DIAfubrAuiI41GjbBbXPGNA/Y3ei6h +hk0xTXDj8KcGf+xv+hoVJE58a4ZaqQUXBLC/OdNreV9ZrxXkhVrO78X7Nyv/ +663i+zje+biXJILn/3dBwnhXDxmefDsl9QXPX8dfY4e1fAfwHmo/PXe/hl78 +y3S91glT41fXtGJ/U2t/z7hUkALBjrwdnL50FNK/zuFKLgVCd37X6NlHRwf4 +0nZ+ivOCHXovGt6n05CNx5Ef57VioSVcBpU9oiPl1u2DyyOaYdWLyq4n2L80 +869ZeHWyBUwEfxRfwt97uE7mVZN2GxgpqjSR8XjW3V9XvfEWGYaWbPCWxuMd +0lMhne9qh2cvVit44fnYiY/Ivb5HAQVOBqnlKD7vC86MfnUJgwt8p+7wadDR +4rSIVV9jWmHVgL8IDf+/cX+aU2ZfG4SdmiBr4P7aL0suCd3WDvVnBqfm7qN3 +L+ye7u+mwNFBgYzfqni8MruyGFKuIKA/e8vak4a4rHZrdYm0wSbZwUvpc/6p +xbGw4RgZ1s/KJ2/D/RXuYR5e6t4K02V5nQn4ezo3KomCt20w8D3npjxu//bJ +5tc2nnY4fUhK7D1+P7Gk5IY9iQL63v3+ikBH1yti+UvM/GAdj3NvfjsNrZvg +Xl3l3AZDQmYPfuP/8xkMdvpnk8Fv32rqItyfnWBIS8oiMkgsZTTOxSN1QbOF +W6gUoLxRfR66gY7+HnB8bC9nA39DqKo2hjT06VKgiow+Gd7sux+pif/vE2uh +/TGxDfTdU4TW4efo75yH257gdqb6rpVzz4riEuk0HO9EiX7aiZ9LhZUVdo5Q +gFtLfvOQEh15mDgdOBF1HrK+jG11fEBD6cp7dH098H0Oj2Ln5svjpPkmt7oN +ri++KDpnn40//t5NFCPDVnAXmPNfHcx6A5lfFHg79nPBc+wfufeIefSLqoJO +7NmV239No837HgTneEQC/6K2HXLOdETtNuNNFEsGW/M7Zaf+0FG0q4WNwt5M +aOVz6uawYiCxG/u0XJ7nwfEg3ZikeAZaoZQ/UsVTCDJ2SeTF2F+dCPlj9kUE +weAwTbt7EY5H9vtPh+4rBZ6dt9fcViJQ7vjp0zbMMlBJqQ6yxf5Ke0iXdNeH +BKe1xO3pzgQSr9Olwxbsr7aQI8p9CWR0sDhA/10trBFJmTLE/kqLr4brwfYG +2H5KAyywv/pkf+NVDr0R/JIfkIywv3rrElZ6QK0ZaqzPjPRjf3Xu8SEZt4gW +uGDhGX4O+xudozd7h8dbIVibN+A8Xu9vOm+Cl24mA5fM8MK59f2Y9P2Jd2A7 +hE8of+Gfy5dV1yY8qOyA5Y0n7Dfj9xsp3BMqG7pgpRMXXQn7KzM16wdKN7oh +UU9HJhj7q2ffBa4tQBOwe++unSEP6Yh+hb7Y3p4Cu4TPvq/G/urtsZ8rGjkm +wWk25pcCzoev1j0uO1oYCKvtVSw5f9LQbgmrpD7zZzB6xrNKrpCOqpTK7eqU +08D7VDOpR5GBVvOnrbjBrIN2ur6lEvZXl5Xqq0/vawT/b3cYathfJT369aQy +sgkKRza+csT+Ssj+msbol2ZYffzI0T/YvyQql29v024FY7Hn17Xw+bnuyxtT +cqcNSp0CXwnh+ccrpJLc28mw70faxBie/xXJnzeN5TrAtcdHsBX7jzjPhKVU +705Q/2MqPYb7W5JkkKzBTwH7J6dCC7C/Mljgfv1fFgV8tq5p7d+P7+dnq4vX +H78EoVkBPleTaSh/66Wo/cMxoK1Pa1WIoKOTTrf+RYc2Q4mI66qbGXP7+e5r +qfEW2P0o1MsFf89ufO+HZs022EeOb2zC4yl7uzm1yI8MgrTtKrJz+fvgNJ9j +WzsYJFtL++H5rIGte7bcocBB0407sy3oSMFQ5pUY6T6I/b63NB/7G+1/s5/u +RrbCl21e58bw/+P56r2yOttAUul9hDru70ri+lFppXYIOn2KFIK/d4n0+n1N +BwWS82R/2arh+GCPWMHnOGd4bPnY9oErDTVKnTTqFmgDwevZfsn4/+Q/p6Od +zMkwqfvqyHbc31SUw+9M11YY9Q5bHoW/t2LvxOL32W1guXKH2SbcHjLq3F7J +1Q5b4sQpn/D7tiPL476UUUA4ro/XTIeO9CWTksZdbsBagZczWc00NJWzcXO1 +fRuot6jdZOL/55wfLxB5TYa8WKc83rl8sjNz5CUnGUSqlvjM7ee7rwzbcyYo +wCtE0wnZhOOPEMnkWSkrCBaTE7oJNGQXH8L/DsjQvK7/gxb+/177qyZFT9vA ++sOBs1L4WUuYV9ktigwbTTOvrcbPzgxtpdeUNnBJN5iaixf9NHz3oyEKRMgX +6d1QpqPCgea6z2YeUHs71mvDXRoytjJcK3Aar1dqSoMi/j95szXKK28DgSgb +u61z9fCitU9frCSDOkwqzPmrR2LizilMCoxyGfgF4fjyi4Ssv7ecMdQd6OpQ +kqGhJGpc7aAqGWi0uqQ5f8kVcOtwxnAbrGcW/p3ztw/Tjvdo2ZFhoe+f1rn+ +Pd8lDb/90AaNgrcezX3/oeGpkuSlZDho+27Z3Pf4HXLjX09TIKla+4zrejr6 +FthaZh9lDxavV0aqHKUhiYO+ZvcPkEGJcDScu8+qnX8sSfvRBg0nks7NxTfH +lDt9nkuRYVBzvGPO3mItAYoJfyhgXeGgo4PzARYPsSVxfi8vmgYWD/nz7Vfx +9G4qsHiIf233R/1lFGDxkF8bsqw5jn4HFg85lXrqN1/zOLB4iBa/XGKbwRiw +eEhXECmlmfIVWDxE9tCXcY+AEWDxEKfes+TCDV+AxUOkvbjudnwbAhYP0f/d +3WsbPQgsHtL1WDag3nYAWDzE8VyY9kLbPmDxkChPOSP3um5g8ZDAtJ9dNac6 +gcVDjPeNda1tJAOLh/y6su3Ond2twOIhFgKHHf90NwGLhyRJOGvWXq0HFg8x +95EZ4bxfBSwesqZINcV5YxmweIg141pJz6MiYPGQOkFNvhMeucDiIV7fYVj/ +bgKweMjeJ8WTlPQANg+ZVmwW0Wx5xeYhVMf371WfvmPzkPJiEduZwRI2DzmY +FddhzV/J5iEUTlmpqv31bB6yX81nTb9kM5uHvArV+9twto3NQzIOKu6Pf9LB +5iFOqeq/whu62Tzk/J5/rS6O/WweUnkyoiLVdZDNQ1RTt1/OMhtm85Bzpsff +7FAYYfMQXzurhJ8LR9k8JP+UXneJ4Dibh4jUiHJmLvjO5iGXrjIU9q6lsHkI +/xbVFYcVqGwe4sH/beif/xSbh3zftyZJz2OazUN4r1uecFOjsXnIzv4K2X46 +7T95iAq1VbveaApYPGS7xK6tj4UngcVDHi9vrZffPAGsev5I9jXRvPu0/6zn +PzIYs7+JpoBVz+/4vcxhW/IksOr5P8vrXyrHTACrHv0nvT5mmSPtP+vRMqj2 +8r9BKrDquV5NO0oWfKD9Zz33tJBz3ZHl08Cq5+ol/eqomp4EVj33bS+RqNg8 +Aax6ZPbR6+UjRrT/rEcaqJxbyCk5Bax6nuGVZcIPkmj/Wc+z8KkeJ/yn4H/r +a6z62FphdTPDFtp/1scKKyppH3SngVUfkzQfINmJUoFVH9Pvdn8tTJ0AVn0n +WMzFPXc37T/rO+tj2sKOqU4Bqz5yyma2ISaG9p/1kSWXbug5PpuC/61XsOoN +MXYzp0kVtP+sN6xLl1h2fngK/reewKoPjMf626Zn0v5PfeB/831Wfr+Gtlvb +bJD2n/l9LleTc67LNLDye9/kaO9gRSqw8vvG0ksfX/ybAFZ++jJ+U5PATho7 +P120+Tb3poXz+el3we9853SmgJXfOdnzPlkfSWPnd4UWjMV8mVPAypcSdvpr +tBbT2PnSIh3lkLM/poCV34jP5HuuTqOx8xOqBOG+pIvGzk/sL/IMfV8/Daz8 +YkpAY894LY2dHxg1/10TPk5j5wcHrtg1nPefBlZ+UJMpkJenTgVWflB3wceC +xk0BVnx7Hj62aGvS2PFtXLHP0Dacf7Pi22JFx3WRe6eAFR9abnkdewbnM6z4 +cM0xWUKxYApY8day8MmdY0U0dry1llcySpRrGljxUcrM/cAjL2ns+MYna+Ot +ODKNHd9opnSGpGybBlZ8othz7FFTFY0dX0zpu9QZjNDY8UVB4Zao90emgRUP +pDW3t0X30tj3eeT10YhDkzT2fe5rp9ebvplg6xvqEs6c9P1IsPUNGeXXhq9R +CLa+IVrreaEIL5Otbyhpzu58Lclk6xtmUu7nv1dgsvUNl0kZQnfUmGx9Azl7 +XDR2F5Otb3DcEVnxdDeTrW9Yy/OjR34Pk61v0BUufVCry2TrG6TfxS9FOky2 +vkFds6zcCPfHus+XwK1DohpMtr6hKqLmxJ+tTLa+wdiUT15wM5OtbzDhGqsX +kWay9Q3yqi/OZa5isvUNL6W8UtyWMdn6hgdvp4lJDiZb39Bz94HOZfq8vqER +9JfqjszrG/YIRIpItc/rGzRLm+S7K+b1Dc0KB1xq387rG04G1CVpp8zrGwT5 +qnfZR8zrGwRGVZQm/Ob1DWccLsX8OT2vb3iwTY/hfXRe3zCblT4SvHte3yCn +8DCkb9P/T98wtNaoS3Be33DdSmuH8595fYMF8XXfxMC8vuESWXutJmle30Ao +dj/dkTavb5hebSS24v68vkHjHucdi/Pz+oZH4x2G30zm9Q0t1wSH7LbO6xuy +wgaOvl8+r294PWDsITE+r2943z3UfbJ8Xt/gksizqjZuXt9wYEw5ceTivL4h +cHz0aoghHb0Zk3yywogKWTxB97PHaOix1FJidpYKUbf5Zz5fprHv9+t104pZ +UQRb77ChkZxyoYNg6x2WXl0ot/kfMa93UAv4kCvMZOsdDi3lbuxfz2TrHSZG +Y8sFVZhsvUOtuNL9q1pMtt4hq3eGSQUmW+9wcMmxPS/wfmfpHXykWw7vxOeB +pXdwO3zh0g98Xlh6h3unX2zeit9n6R1KXgenDuL+WXoHYYNtX7ZsZ7L1Dn83 +dc1sUWIikQLb+5f+TILkEpm7CxbQ0bpA4f2LU6igmWTPbYHvK1Z8skBau9f9 +MsHWGyjfiHZ7XEOw9QZMWGz+niDYegMXTST9jI/J1hvYe9qKE/h8sfQG48Iq +VoFbmGy9QaqxmX48Pq8svYHquPffLm0mW2+QECCepYztwdIbnH7q91IP24Ol +N/D7kVp6Drez9AZhoobyC7B/YOkNmh/GSMnsZLL1Bl07+jdkYH/E0hv08QZv +XKvMRDwv+zQymybhVb7EwXocT51Si5nmvUyF619feNzsoLHjK5saz6l36QSb +97eujKx+Pkywef/XW1ceTSxgoqK/ul1PjHLhwErtBltRJlpHTdnkGPsOZPT6 +/C9uYqLMwuNeo0VF4Ob7JeaUKvY/awnhj6ElsO48gzI3XsRDInnplIFFj/vP +LjyfMXVzQcu6CnCgdicy8Xxv6JmEg3cVPN4pTwhhe7wqoocsXFYLh8DVwgXv +D5beYMHpkrsG2J5DDZ+ES2WoUEi6TVFk0lBPU1j8ghYquCwQt+7B8QUrHuzQ +tl4Z5Eiw+f6uXuKndRnB5vtfTp3iKpgm2Hx/heHNrd+wf2TxfdfRCOVeKSab +76ereNfK4f3G4vvJarU9sepMNt8vWT6wUQePj8X3l65fZ/Udj5/F9y8mmPrM +7X8W33e6pDmSgOfP4vvmJ7pGHbF9WHzf/ZMZUYjtx+L7poPFss7qTDbf79EX +deHH98FXgaYyLjQJw8ta6Q4r6ehu2PGKEAccB+1xV6oaoLHj2cHjR98PPyfY +fN0qfkW3Zh/B5uuD0qmvnuH74M5hSvPiNzmw2q1TVQTfHz43euP3UwpA/d2V +q3kbmOhPkZ/uMYkiuL2pLkRvGxN5oYOaKmol0NAFSkd3MNGn9u6nQd8+Q57E +oxg3PB8HncEIxWsVkFOrWdmI56vttq7RdG0VKChcF1bA9hiS2OXTV1gD10kS +XjnYXiy+33yiYVExtqfvnrCmJ3xUCNYtvZSG45fZzYcvBH2kwss/Y7YUnA+w +4u+cC7Iu4XcINk+/rMHI1m0mkNG/Gf81t4vBxJ4jP0KTiQovLTzwQvszuNqR +yG7Y3xw1/RXV0VMOreTu6bN4fIX5FYe8PCth57ntYXPn82h3g3H46hoIu12k +o4bb9XvJEnfCqPBs1Z6I0gYam5/3Xd0iH5hHoGLNnGmXqDIwDVliuBvP59yW +qvAASRIYpJ9lCOP+itdcN1GsrwKrzW+EGXPf86mr8h+nwpSR3OCJBBo7H9BV +cTVxO0KwebmrjPO6wGKCzcs/TYs8fk4l2Lx8ZPkuh8ClTDYv1546Jlu5hsnm +5WkaXlqeikw2Lx+W464RwvuJxcvPmvKHTuL4g8XLa0dLYsrx+Fm8fLtDgNZ2 +PH4WLxdKy7pXgMfP4uWbaKOKD/F6s3j58WXGz7hxfyxePtEgYV6szmTz8pfU +6nu38P7NNdR8Qc2bBM0p3TJrnE9KfPyYddCCCmKtogmkLzR2PlOdlPfJN45g +82o/3uDdjt0Em1ebGOtt+DaD9/c13YZd1jmQbiSzYWwlE70g+Vh9iCqAeNXr +pFo5JnoOEakRNR/BZ+y38xt8n02suGJ4lrcEUHbujW/4vtkFX3lC0WcobTeJ +VMLzeab30my1eQWQDJT/FeL5Sl5bOtXFqAT1osatc/a4UTtIOfCwBphDvwvb +sL1YvJz0JF/vF96/Btd+ZAYvpEJFYLBU9ywNhVvkWu/NpsKRxG4/gxIaO//6 +LvrI9Y8/webTQiVU73/1BCoxko+0Ol4Mutycb8Xw/tXq5ctPE/oM441lerJ4 +/z40Rxaf88rhpKahqQkeX0xlpvvs3kpAF5cl6uPx0e5eLPtLrYZfNVpGR3A7 +eYnG4gOBVIh23dCk2kpj82ihgR19O7IIpPP84wn302VwwuWLLR+eT/q2MNOz +RAVIJ6HCRbi/hK0uHm8Tq+C2rdQfbvxsmKyUp9tHhU2c2WtLU2nsfFA2nvPu +HXd839oYdkY7lYOl+IslfPj7FpP+mQJTJFhxUfzJbvz++p3iLbrJOF7If5f6 +BbfbWGhmVRpWwfUXUzNrcfu5mangMhIVOCRUyje/pbF5sudjXUe3cAJ1J/Zu +oTythN2x1hfm+lORX9D4WJ8Ev372HpHGz4NLHykn/aqCI20v9Adx/xuNzvQX +M6iw90CaiM0jGjufdMk9Z1C4j2Dz4pqAXftufSLYvLgvTSf8wSTB5sXrNvKG +1uP8gcWLJ9ZIGezA543Fixd1nPYWweeNxYsXlH/9Uo/vaxYv9gijZZTh88Hi +xfHhDFo2tjeLF9MCOBqV8fhZvPjUT4ZuKR4/ixfr9U1Vpc7FB/+PF9f8lRje +iPtj8eJ1jXK/JvB5Y/HiDO3ONSX4vMWeOiv4NXMSEux6lTtF6cjpMGV9hikV +bocVcaKvNHY+nOoRrZMUQ7B5rWfuxTeTnQSb174YtfRdis/bkODZOsvtOSCd +fQcZ4/P27nTF24unC+DkvUHiKD5vF/3ijiu/+AifBB/essPnLUUtvPUuoxjy ++bg8cvF5++t5+hN/2mcwTJKM4cPz+bSXN3/57groS3G0ycTzDVnE4SfVXwlH +ONZwaWJ7GMvmbeS8XgPXdr+p+ortxeLF3OHVDivxeVCOlZe6wUEFsBs44sFJ +R52U4eaUdCqM7syhdnyez+etpERcOq8RbF57dFNMrnEdgXwv7pb01i8G5rPk +iR4cz/3mf+SmNFMKslZff8zi85xlse7du4RySDwqUKKDx8dzOGl2z9ZK0G18 +7zx33oqH9s7mdFeDcRNo2eN2qYRTvmnXqeB5sXWHHc6HWbzWueDqJq4MAnG0 +7Rakm5fBg5w1vgSef/V2WrbDYAWYZ7V+m8Hv8384zvEnpApc86j5y3D/kTts +N73ooEIZbfLCudfz9Qevkbf7+1wJtNkuQ/O+STl8fDjl+Q/bp8LbC452kSDk +Va0R4Pf1tK9v03hQAUnbbhNduP/6AUWd5WpV0LTpeqUcbn8bKT0jW0oFFedj +tWLvaWw+u2S/y8ycHv+AQjPaGlwJ9vqyunvw/00UnZVDt5JgQaGSrfjc86M7 +xWPDOJ4TmR3/hvs/PxRnJk2lwo7jd3ZaPZmvfww5lkuqnyBQyl1b2/4MEnhF +rpScW98MuohdFG8VLHvvPjS3/zfDh/7CE5Vgnrvo2Jx9Q7YqTHsvIYHQRUmh +FXP5Nd+M9tAwFTTeHfMrT6Kx+azTp8WUrIs4vnEKlapbWAmJrk2Oc+M9Izr+ +LDW3ElIj3GTm7CG8KcE90YoED17/aJ6b/0D+Dje731T4mCz66ErofP0lyFgz +2AQINp+dVnk6EFBEsPlsSceXLTzYP7D4bAZjatgT+wcWn/13UFOPIclk81ny +08tqYwpMNp9tHKoSfY39A4vPyiy2vpqJzzOLzwq1+VFe4vVk8VmBo1EuSni8 +LD7747CHNwnbm8Vnze+uYubOxZP/j8/6LY4d0ML9sfgsV9EKpQV4f7P47J0x +n/192D/IXUoxSuGeBOLw0vIaAzoKfhpk12dMBdeD1n3vRufrR6l+xk2K0QSb +j+aPFP/Ziv0Di4++Uj2x4QnOL+OsA4ycpXOg4ITTeU7sHz7vOnuyybwA7rZn +h4pj/9C3Vjp/5v5H+Lx/T6km9g+BB8RjYsaL4XGfZ8xj7B96linFHH72GXos +f/fM4vNdR9pxkke9Ai6sd7d7heerZ/ibtLu1Ega+XijUwvbYbiK5t+dCDUTz +0uWp2F4sPpvtHGAqg99/kVp+9Pe/SVhvWKCoxUVHMxq+y9tTqfBmjdgisfL5 ++lfEwBXLjKsEm4+aCzFkL9YSyCq4djBIqxj2vGzNK8L260qz0PeglwJFUiCc +gv1DcceRU7lR5fBYp6BSA4+vOCp+n7NcJXD9TFw1t39TV2RJ3G+qBpvTiktP +zY3/O0dB11Uq2BZztHi209h8dIzDO2SOlw+c46aoGpaBQ7+p8Rhez863Mg7W +7RVgLTXU9hu/Xzlrvl8yoApsWxef5Mf9v7v1w7GljQp3bOwsFDLm63XdW4q/ +VzsTSHOwfzx4bzm8D/byYmD7XP/ocdungQTfp6zpu/D7R1DmsMrtCpg+oTDZ +hvu/tX5j8Bb5KmgbVr++Cbd39b/XMEdUWC4Wff12IY3NQ3ccRylH7xGI63zt +YrNrlVC2ceLn3HmzzXg8cHsDCVJ3RGusxs88XTd5lnZWQbB+xH0q7j9KcXuY +6QQVYgRG42lP5+uFmx3F16+wxP65weolZxIJNpgU71TH7ztrCKS+m62EVp/f +otvm8oeb+Rt6TSuBt+b0/Tn7xuVYP/ecrQD1rR2XePGz3LGoy4KDVOj9Q9rX +kEJj89C1V2aL5T0JlPDqtgv1N47P7daG6+L/y9oMj1anVsIGCf/jOvhZJq1J +6ukhEsRXr1wni5+5OVuTwn9Q4ZPP2ksVD+brlSZp/8JEjQlUb2msvvc+CZ7V +7xeZG19ryUKNf+OV8HPl0Ka58ZssTyuQ3lkJQrztkXPjXbHN98e2EXzfW2QF +zn3v4Wi7N19EJRxbRHWbG4/RY26x6WkqrGqo/nAzmsbmobb8Mj+57Ajk+bv4 +cbRLJXxCk9xz/SmvjWhP46+EFpKOyFz+ktc6aXHrUyXwEZtr5tb3o9uLLcr/ +qBCyhz+n/jYN7e3OK75UOwm0QnluOwH6/6kf/G/7xpIqX8GGSTDWSNr4mB/H +D698W8/MUmA4+updFUP6//EfXyoCLL05JmHP7/Bl3w/Q0f8Hk6RLZQ== + "], {{{}, {}, {}, {}, {}, {}, {}, {}, + {RGBColor[1, 0, 0], Opacity[0.2], EdgeForm[None], + GraphicsGroupBox[PolygonBox[CompressedData[" +1:eJwl1WWQllUYBuBlyQ1Kulm6lQ6xg65FQUEFsVCUVUIFC0UJ6W4EQZTusmAI +ARWRskAHAx1UupHweoYfF/d9zrvz7fudWNK6ZaT3TExISMjEsUzX82b/nJCD +5Hz5iryJEfQyzpDlOKS/KevRXO8mC7Fbn8qLelWO6DW5T09ikz6Mx3jGuBQ/ +6XOId2lsfFIuoDct4mfNFWaPPo1a3G+czGZ9OI+TmVvMnZIL6UNLatPBfApb +9BE8QRZuNXdaLqIvrahDVm7z7IxcHN+H1tQlG7d7dlYu4SXaUI/s3OHZObmU +l2lLfXJwp2fn5TL60Y4GJHGXZxfkcvqTTkOSuduzi3JF7AntaUQK93h2Sa7k +1Vjr2EdSudez/+QqXot1izWmo/lUtuojeZKcNDF3Wa7m9Vi3WFMeMJ+TL/RR +PEUumpq7ItfwRnxurCkPms/FNn003clNM3NX5VoGxOfG3pkrwl59eqw7nYxz +s10fw9Pkobm5a3JdnL/4PbGX5oqyT58Re0Nn4zzs0MfSS6/IH3Hu6KGX5oA+ +l7xx1uICsF68xfN6eX6N94k10ouxX58Z+65X4+/Ycx7S8/JlrLWsxTi9t6zE +uxw2Higb0EN/VqYxhIOxhrIOo/ggzoiswTCOGr8tGzKaG+J8x71lMB/H/sua +jGSg8QuyAkP5Lb6XrE/n2ENZnO9ir2Vt3oszK6vzT5xXHo7fxVf6eProlfkz +3p/n9DL8rM8jH62ME/kk3jnWJs6AcQm+12fFeecR43x8rU+IzyI/rc1l5lP9 +nXiHuAN0MZefnfpEelKANuay8Jk+KD437gUFaWs+K5/rg+Mz4l5QiMIUoSjF +KE4JSlKK0qRRhrKUozwVqEglKlOFqlSjOu38vmxs0IfQlSaR5grwjT6JDGqQ +bi47G/WhPBpn3rgkP+izaRrzxgXZpU+mr16Fv+K8xnroZflFHyDr8qHeX97I +cI7FmspGjIl54/YyB6fiPPlDvDHur7wsU+S/cf/lxfg5+WP8XSFZPyzPxRrr +O+URLsT3Md4f94czsZ/GW+N+sCfekZNx58xviP2J/Ys9j3MT5znuIutYyxpW +s4qVrGA5y1jKEhaziIUsYD4fxRowL+4Uc5nD+8xmVpx7ZjKD6UxjKlOYzCQm +MoEt7GB3nHlOcI3xbGY733KQ41xlXJxx9nGI03E/fO9NcR/4nbNxhs1ti/3k +fJwh413yEkn6AZmaeP3/6yuM5X+Xm+rE + "]]]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + TagBox[ + {RGBColor[1, 0, 0], AbsoluteThickness[2], Opacity[1.], + LineBox[CompressedData[" +1:eJwt02WQVmUYBuClu7tZursRlEaQWkIpCVGaVUKQXKU7VcYCBpRBaYNQlJFQ +QhgMYKgBBmWA0TFIKa9nxh/X3vf7nN3zffue9yQOTE4alSohIeF1PyIb+/Gn +nCU3yEmyBgsZbZ0sy3Dx/7+pRzt9oCzACf0dXtUrc02vSTc9E9/q83mBYdYl +OKOvJTVPWP8lP2YM7eN3zQryo/4utehunZl9+gIGkYYmZn/LTxjLM9Smh3kW +9usLeZG0NDX7R25kHB2oQzqedO2m3BT/Dx2pS3qecu2W3Mx4OlGPDDRz7bbc +wgQ6U5+MNHftjtzKa3ShAZlo4dpduY2JJNGQzLR07Z7cHs+ErjQiC61c+1d+ +yuTY63iOZKW1a/flZ0yJfYs95lnzrBzQF/ES2Whj9kB+ztTYt9hTnjPPxkF9 +MYPJTluzh/ILpsV9Y0/paZ6d7/QlDCEHT5s9kjtIifvGszMrxE/6e7Hv9LLO +wff6UoaSk3Zmj+XOOH/xOfEszQrzs/5+PBt6W+fkkL6M0Xp5rsS5Y7hekrP6 +OnLFWYsXgF3iDV7Wy3Ipvk/skV6EX/QP4rnrVbgez5w+ei4Ox17LWizXx8gK +zONX6+myAcP1ETKROZyLPZR1WMyHcUZkNebzu/UM2ZAl5I7zHe8ts9kdz1/W +ZBHTrV+R5ZjL5fi/ZH16xzOURTkZz1rWZlWcWVmVG3Fe6RufxRF9BWP1ivwW +35+ReinO6x+Rhw7WqfkyvnPsTZwB62Kc0lfHeed56zwc1d+Me5GXjmZp+Eqf +Gd8h3gH6meXlB/0tRpGPTmZp2aPPivvGe0F+Opun42t9dtwj3gsKUJBCFKYI +RSlGcUpQkkRKUZoylKUc5alARSpRmSpUpYvPS883+hz60ybSLB/H9LdJphpJ +ZhnYq89lQJx56+Kc1tfQNubW+Tmur2ScXomrcV5jP/TSXNBTZF3W6xNldRbw +R+ypbMTSmFt3lRn5D8fuoPk= + "]]}, + Annotation[#, "Charting`Private`Tag#1"]& ], + TagBox[ + {RGBColor[1, 0, 0], AbsoluteThickness[2], Opacity[1.], + LineBox[CompressedData[" +1:eJwV0FVKBFAUgOE7Y+ce3NIswXd1L3Z3d/eoGBgoBoqIgSIigtjdnw8f/znn +7d6c3IJYfiSEkEeh4ZsbMqMhHGqafugmyeZXvWSZRPuznrPPPFG3Rz1ll3WK ++OGWI7ZYYYFifrnjmG1WWaSEUsoop4JKqqimhlrqqKeBRppopoVW2ming066 +6KaHXvroZ4BBhhhmhFHGGGeCSaaYJs4Ms/8f6N33esIOayyR4P6kZ+yRYn/T +KzZIsr/oBenmTz0g1fyuWXqtGfql2TqnEX3QP5rhS30= + "]]}, + Annotation[#, "Charting`Private`Tag#2"]& ], + TagBox[ + {GrayLevel[0], AbsoluteThickness[2], Opacity[1.], + LineBox[CompressedData[" +1:eJwV0nesj1cYwPEfYgS1V6lx7WurUXtvqmpvRWvWprbEnonRSku0FEUl9kzs +KEEbI6oUpZLaRWLHCJ/nj4/veZ/L9b7nnKQ+w9oOTZFIJJL9kTllIpEzVSJx +XjvpCm2l6enJcM/19Z2eoK11Fj63rqyPdR8Laeo5Naet61Hc+j/dxFT6UMvs +lR7le7KQy+xP/ZER8X9QxeyJ7mdRvAMlzG7qZqbRl6zkNr+gPzGSdjSgpPkt +3cJ0viQbecz/0pWMoj0NSTa/rVuZwVdk50Pzi7qK0XSgEaXM7+g2ZtKPHOQ1 +v6Q/M4aONKa0+V3dziz6x96Tz/xvXc03cQ5UNXuqB1hME8qY3dMdzGZA7B0f +mV/WNYylM5+YPdODLImzoazZfd3JHAZS2+y1/sYPsZfkN7uiaxkXe+k5wUnr +LlSzfq6H+JZmlDP7X3cxN/bHc0p+tx5EHes3eow21plYZt1c03I29lWzk8e6 +gF6Nb9Ff9FPNwPg4K01BN07FeWtWvqCr5+r6QrvqYf1MP6AX38X7ahq6cybO +X7PRm+aey+uD+Fbdra01I/PiHDUVf1gPpq71Wz3Oclp4Tse5uDcUtP5H1zGB +btQwe6lHWBr/hgpmD3UP8/k67hGFzK/peibSnZZUNH+ke1nAkLhHJJlf1w1M +oget4o5Q2M/+1V+ZTM/Y1zhvivjZDd3IFHrFd8c5xDfEe8Tvjt8Rf5eiFKM4 +JShJMqXinsddjfsW9yL2M74v3pmPqURlqsQ9j7sadyrOLfaGmtSKu0l/7/Ue +RfOCEQ== + "]], + LineBox[{608, 591, 818, 752, 697, 653, 622, 574, 805, 739, 684, + 640, 609, 827, 761, 706, 662, 592, 819, 753, 698, 654, 838, 772, + 717, 623, 832, 766, 711, 849, 783, 667, 843, 777, 861, 722, + 854, 788, 866, 575}], LineBox[{804, 738, 683, 639, 867}]}, + Annotation[#, "Charting`Private`Tag#3"]& ], + {Hue[0.67, 0.6, 0.6], Opacity[0.2], LineBox[{869, 870, 868}], + LineBox[{873, 872}]}}}], {}}, + {"WolframDynamicHighlight", <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>}], + DynamicBox[(Charting`HighlightActionBox["DynamicHighlight", {}, + Slot["HighlightElements"], + Slot["LayoutOptions"], + Slot["Meta"], + Charting`HighlightActionFunction["DynamicHighlight", { + GraphicsComplex[CompressedData[" +1:eJx1unk81N/7/49U0mJN2ZJIiyVJtsolUYlEESq7iBZSoVIhSkUUCUkSEmWX +UjoIY9+NfU8oY5iZ57TzO26374zf7f25vf6a2/N25nme51znnOtc13V/SDu4 +Hz7JxcHB4bqAg2Pu98DJsabicXPtKPOgf+jTNPLnyd1wRzQS9GyP7V7pSEeW +Vs8zp6aTQOnPkh1Zv+ho6az/iX1rMmGSt0q13IKB1ptOjn2+lwcBdu8EYp4y +kHe9JkQz3oNgx43B3Z0MtCpPoI+TE0Fs66Gj8osI9HZoT23DzlKw6Ex3+K1I +oFK3p+JWE2VQ9y2jnH6QQITcLr43Z0kws5R07qEzgbh+pfOLr6+G0tqmXb6+ +BFKbtXt7JKMWfj9VyhYIJVBtoGp9xeYG2JsZ8F02lkBS4d9/vZpshOXQfnFT +MoG+/+jva1NuhvM9AGWvCZT07UP1ivst0FcU+ME6h0ArJF9Sv3xphVkar7db +PoFCXPOdE2TJsKHX8ab2WwIxddK/nLrRDqa9PxaK4vaLKmV5Ip874Fy52zUt +/H5/Rtm9JukumN07tmrvGwL9cWt9sulKN1zuTFyVlEIg+d2LZ5w/9EBBoQGD +Fk+gfYnSLtmr+uDVkmz6kkcE8pa0XTd4oh9aQtvfWt8lkMKE+dL2gwMQGBvj +//Q6gYT4pnjKfg+AqfAlN28PAnGjJ5kycYOQlnq84Lc9gU6rfuhcYjgE2kpp +u8ZMCJRu4JDwiTkEFo6nl1dqY3t+F+P/GjUMinZpXukKBMo/sm9BpN4XqHIX +GX+3ikA39gc9y/v6BcSHV7yc5iKQdO+BjhdBI7Cjizf2DIWBtNQDI0VVvoKQ +vatGRSsDnVjT8Iuz5StcN9hhcvcjAxHVoUMe/qNAtuJTHUlgoGhum4uHZcdg +5L5nXEkQAwn15SdyleHnpQrrel0ZaOE1fzPN8+OgT7tcLHaAgThe2WQOCX6D +xyEW939sZiDP2MxQwdJv8MNqbPjiYgYqkg/mETj3HeLkLMofDdGRwAFPhYAV +EyDlxRRe+omOehQbWy5/nADDjd2jqhF0lBUPsl9sKLDMXyPw4Uk6ss5UE5Cc +oYAFWUNrmQgd+QU99+9Jm4SA3UJydBk6Slh56NZd0UB4R7om+4pOQ9G9V/bk +z8RDwbEn6j0FdKTyWmCRBE8aHM3n3H1KgYHMUx/XXFieA/HuGwPafBmonk9Y +WEmnAMRanh/eWMRA+0qSV9Rd+QgmulSPlikGsrdJsU7tKwYO0wDzVeIE+pgy +dSEy8jNQmDWMYrw+PfvPrlygWAG1HgPNbccI9DeMwedUVQlb6i5FinkSSML3 +lmOBaw2QribaqQURiLP0gG7oRB3ckHHe+juCQLrjAVmLdBuBG0R4lyYQaGHZ +yQkU1gQ8G04e139FoP3TdWae/c1wo/UN30gmgTpvbu29rNkKi+IsnJXyCHRw +j1J6aWAbXKhrOcuP9/tu86xk7mYyNNYuj6Hg/Z7/vqAWpDvgQcK2+P5cAqV5 +6K296NkJn90OL/qL+6OE1Eb8q+uCyr1BufJpeL+SKUaVYj3AnXFs6mcigfZ+ +Xfw3YzkFnmkrlJ65RkeLNnyI9cugQF31tMSAAR2dEztT5Ws2CRkPG56Graaj +3i2394SKXoSNZofvLXpGQ8voUdulQmIgOquKfj+cjsoDOo8tuvYSJl/JpEsI +MxDlyMRHw/os8Le0HxhxY6Aryv4zP0fyIUpNxanzDQP1k6gpOeof4KXqjzdH +RxhILc4iJXJLMTxteHzuKz+B3uuJrc0Zw/5oiV3+QzUCNVgFrc4IKYdQyr1/ +d80I5NMdHRYsXglFsx5lvmcIFKYlquVKqganAiFBph+B4gbMfT0O1sHznX6W +8eEESpV/usqtvQGuM+qTtj4lkGDaRroqNEGf0JEbhi8JFMsgi8oGN4NJ0KpN +bhkE0i5gHikbboHzrXsXWWH7KmW51bWotsGufVHZtdj+zv0qtw5fJUPQzZYa +Obw+R69lW5o1tgPHt6N/7uD1CzMNdCsX6wSJHnWXzdkE+vq0MmzUuwtijc6+ +q0gn0O219gyf6m4QTf/5tzOJQAK8Ey7CIxOwwERXJSCYjrodrtnm3KLA/bht +y0It6eirkynFaNMk/OxQ7y/ioyPTiC43Y7n7ELqD98KhrXQk6JSZmqyRCGXr +St486KKj+DaD7K0Rr+FEv5zNoC4DOb8AnrR79fDHxOWp3GMCZe1UN7eMboQq +XrXbOXg//OxNHDkw0ASH1z78tQqPj0kpCytWa4GF58WntuPxXzQ547wlrBX0 +lvIn9uH5nSFPB2e3tsFRS9Ftanj+EjWK5W83toPJvrhrEdg+wswiHXnPDpgs ++nxEDNuvLIybvK66E278yK3MxPa9WioTbqBGATl31Zvt5/F5/v6zzZhMgYDy +n58L1enoR8Ot5Nc3JkHptcXfw1J0ZOH0o+ihqBMc0ndnqNnRUMW/JKXW5igI +GSzsfX+Fjlac2xV0VT0FKvfVGitj//T+VVR+gVIWqF0/2HHNnoEaiwsu9Gnk +Q2paY3NHMgPJ1whfWB9VCJJO72m2/Qyk62X3aGUWAtOi0dzYpQR6J7SFWfyw +FGKTpTeYqeDz6iAQe3NnObTae0sbmOL7jvN0Q2wZCXb2vjr+wJVAlTEO63W9 +qsFXw8C7GN8P4+7HRYf+1YLRIb/tffcJpNUx5rnavwFcTbdWZz8h0GrJu15U +kSY42GYTBvg+0vU6/tf3RDOMHRhKisP31bSJVdj4uxbwvfZ38wC+z+K/Btv0 +LGuD2ytIZ55h+16Wvej16xAZlD+7DszZX842I77vZTs4nPWcbsXrw6WbxDc5 +2QGPBvN03+L1c0ipCO0x6oKL/DeH7uD7tqRcbL9pYjdc+eozUYLvY6Z4nySp +cQKCpkTufA2lowq/vt01nhSIfBHfr2ZLR+t49WbzhLB/PrHqXxQvHfm0RvpM +SN0BjgDPOCdhOnJ3VVvP0ZMAEyVGbgVVdDRW/KY75WA6mDoGEF3qDJSnop8s +vrMeirenQhS+X38J9gZsOdsIUe7ZVKfnBJox4XZd+K4JEnc/v7kN+6tn7gae +oktbwIphmv0wC8/vW/1zM6dWqNPIkbiH59ezjF+s8HUbfPAWWbwBz1+J3z3f ++h8ZHvrceFaG7fOlvfBWvkEHKD7xMfHD+0/dT/aqYXwnHHzkEmeF/aNd68q9 +f6Up4B8VfzPPm44klhx751JKgbsjBxQu7cb78dLlAmG3SRCUu3RVVYKO7r8R +3Ggqdx2+740PD62jocNjwTvd9ONg0e+oHb1JdDTteVi9JrcZFEwzJxRw/yGS +kaufrWgFomeJSyX+/qfLRjtrTrRBSNDYURoen1ns9KP0FDJon71juQKP/9aT +PMHqn+2wh5SmrIHnN6UncS0mlgIPAjU7fU3pKA3ZdH/RnoQv2pXiLtjeKzeF +K1lGPYA/RUT7UUM6Knm7RJ63sBWyA/uXb8L9/33W8iP1bxvUNk9zzsVLS25N +tPKZtcOJ85/1juH2/XWP6du/UWDS8rTgGXk6+rg5MkLv0SSMkXckjEnTkWFd +e85TUTNY8umr1EEVGpJdoJCu5PUIvnke+i7nTke5ju5ibyOTwTJD895NDgbS +ftXu2F2UCR5Rl0bSTzDQb54jSqZDecBQ7uIpec5ATUYbOm30C2Gv47bv5j0M +pLDy/ft7lgjObiu4N8qD1+eqpn2lSynUiqsVbFYmUJBz+7FzwuUwxLH1W8Ih +AokIm1FlYkiQ/0T0hPApAp00WPwk42A1uD00VUm4RqBw9b5RMXIt+AycC/iF +48+bGfcTqOYNsDzQdmYCx59xZK13tVxN4HzHpSof73eRgqdnX+1thg8dRxq3 +4vNW/ZRX0fdFC0RHOG9IwOeNzLn65cDPVritJD0ehO3FRYqcNNIiw84xo5Id +2J4rGl+XvIhoBxdSYccPvF47tFQduDo7wM57hfkvfN4S0sqXWah3gdi+wJ4x +fN5son+c33W/G5LNVn/Yhs/7uGy7T0LFBDBUrx4Jx/fnBz6+3PZTFPg9rnHo +rAMdFZ/YxTPOMwmvv9ada1tMR8uI8zc+xN2CZ+fPp0Zy09GaicuObxQTYEnK +wanvxXQU+rbfYzAtDe4EDD7bosJAx36TLpgJ18NzX/XsqkgCrZnJFH1i0Qhx +nMfuvMfxSABvftrQiyaYjo1+WYTjkYtZ94b1iWborfmktAufNw51Pxg1boU9 +GWvHrfH8hDc+c/gU3QaQIf1KAs//XU6YYvYoGWZ63NZ1YfvU7n//6sr2Drh1 +/2ddFt7vHj55dbbBndCU5YrS8Xk40rviedsqCugKrhmKxP5aIPrupovvKGB3 +wNSgWp+OGkmexfttJ8FPaPqNpxgdvZ2s3U6Vugyl6ZdlkgpoKJPC975sLBZM +jNJlh2Oxf8qNTP3xtBlOytIGavF9ctJN/+3WXy0Q+tbpywP8fWZkd2DD/jbo +CBf3HsDj690puGI2nAxrPLvixPH4zy3L3R72pR2Yq8qp9nh+X1uMJpPDKfDY +uCBHyJyO9gtaflmtOglX6Oed1gnSke37mWxkFg4vLgYHJWnTUeyCD5VxSa1w +aaDgFzfuX/WDg86bsTYwLlZYOLc/Hm5cftBRux2Owd8hb9y+60Slk+4ABaYX +nWhYqkJHx66FxvncnYTFW+/xZa6lI56hgMSjcudgFjXNxATQkHPNr5Uda9vg +ntw27gL8/pT/kZnkk2TYrS49vAX3H1hwgOm3ZhKiHRdOaS6no4NPJblLL7fC +waxF3G/xfJKm/Zryi9vAL+7jnbn/J5813sUr1A5mFn6Cb3B/G65s2ne8lgJn +vI2j6nfSUeQ6v4yBi5Pgs2Qsq1WSjlTVFEKtovyh6PiFMrdBGrplU0Sv8GiD +PeKSR7hwf9U/GiUtP5LhRYQi5yzub9nZk81ZBpPAOdXw4h6O58sC9TKT+Mkg +ddtGHvD/D2u7BGxiUMD8zNhqERzfr+mIvcufMAnB+odOza6jo23PouNyRfVg +sZeusbAADTUHuY93kyLBXvJfjpIrHX+f5+SRPcnQ4mfSuOYfHcm2KtgJnMuE +pN/ZSjuOMRD/m4R7vAV5kLpOSzTzGQMlhSqH/JEohAMq1zbVdTFQotNITYUC +gpX7OB7dXYz3R8YnEaPDpdBv+c9NaAu21ymtD44c5bCqz51ZbYz98dP9N07d +JAHfkx8LzFzw+j7vbHPSrAZNtYCMFdjfOD6ROqReWguyTvR/QdjfpMbYqx/X +aYDulao2d7C/sdkmZPXhZyP881SZdsf+xq9dOwXtaIY1409t/2B/oK43fLU8 +ugVy8nO1b2B/4xlmWzM02QphaPE5H2zPfauT07y2kOHAY0vnXdh+ATwKR27d +aQddk/wUHtxuqeZ7b1l9B4zvjpETw+8XK+gbRSp0geo26ZxV2J+95vx6a1tg +N/gbZq06g/2Nw6sjcqElE/B61lBn+AEdqVm8/N7rSAFD67ppTic64hbfxLmY +axK6nAuz+xbR0dGgAS6Z40Hg3K9zWv8vDX07pr6Q580zsJ6ZHDzzkY7ssn0+ +XLdKg2ucdf6HtjCQks2Sbg+OerjQ13DIAfubrAuiI41GjbBbXPGNA/Y3ei6h +hk0xTXDj8KcGf+xv+hoVJE58a4ZaqQUXBLC/OdNreV9ZrxXkhVrO78X7Nyv/ +663i+zje+biXJILn/3dBwnhXDxmefDsl9QXPX8dfY4e1fAfwHmo/PXe/hl78 +y3S91glT41fXtGJ/U2t/z7hUkALBjrwdnL50FNK/zuFKLgVCd37X6NlHRwf4 +0nZ+ivOCHXovGt6n05CNx5Ef57VioSVcBpU9oiPl1u2DyyOaYdWLyq4n2L80 +869ZeHWyBUwEfxRfwt97uE7mVZN2GxgpqjSR8XjW3V9XvfEWGYaWbPCWxuMd +0lMhne9qh2cvVit44fnYiY/Ivb5HAQVOBqnlKD7vC86MfnUJgwt8p+7wadDR +4rSIVV9jWmHVgL8IDf+/cX+aU2ZfG4SdmiBr4P7aL0suCd3WDvVnBqfm7qN3 +L+ye7u+mwNFBgYzfqni8MruyGFKuIKA/e8vak4a4rHZrdYm0wSbZwUvpc/6p +xbGw4RgZ1s/KJ2/D/RXuYR5e6t4K02V5nQn4ezo3KomCt20w8D3npjxu//bJ +5tc2nnY4fUhK7D1+P7Gk5IY9iQL63v3+ikBH1yti+UvM/GAdj3NvfjsNrZvg +Xl3l3AZDQmYPfuP/8xkMdvpnk8Fv32rqItyfnWBIS8oiMkgsZTTOxSN1QbOF +W6gUoLxRfR66gY7+HnB8bC9nA39DqKo2hjT06VKgiow+Gd7sux+pif/vE2uh +/TGxDfTdU4TW4efo75yH257gdqb6rpVzz4riEuk0HO9EiX7aiZ9LhZUVdo5Q +gFtLfvOQEh15mDgdOBF1HrK+jG11fEBD6cp7dH098H0Oj2Ln5svjpPkmt7oN +ri++KDpnn40//t5NFCPDVnAXmPNfHcx6A5lfFHg79nPBc+wfufeIefSLqoJO +7NmV239No837HgTneEQC/6K2HXLOdETtNuNNFEsGW/M7Zaf+0FG0q4WNwt5M +aOVz6uawYiCxG/u0XJ7nwfEg3ZikeAZaoZQ/UsVTCDJ2SeTF2F+dCPlj9kUE +weAwTbt7EY5H9vtPh+4rBZ6dt9fcViJQ7vjp0zbMMlBJqQ6yxf5Ke0iXdNeH +BKe1xO3pzgQSr9Olwxbsr7aQI8p9CWR0sDhA/10trBFJmTLE/kqLr4brwfYG +2H5KAyywv/pkf+NVDr0R/JIfkIywv3rrElZ6QK0ZaqzPjPRjf3Xu8SEZt4gW +uGDhGX4O+xudozd7h8dbIVibN+A8Xu9vOm+Cl24mA5fM8MK59f2Y9P2Jd2A7 +hE8of+Gfy5dV1yY8qOyA5Y0n7Dfj9xsp3BMqG7pgpRMXXQn7KzM16wdKN7oh +UU9HJhj7q2ffBa4tQBOwe++unSEP6Yh+hb7Y3p4Cu4TPvq/G/urtsZ8rGjkm +wWk25pcCzoev1j0uO1oYCKvtVSw5f9LQbgmrpD7zZzB6xrNKrpCOqpTK7eqU +08D7VDOpR5GBVvOnrbjBrIN2ur6lEvZXl5Xqq0/vawT/b3cYathfJT369aQy +sgkKRza+csT+Ssj+msbol2ZYffzI0T/YvyQql29v024FY7Hn17Xw+bnuyxtT +cqcNSp0CXwnh+ccrpJLc28mw70faxBie/xXJnzeN5TrAtcdHsBX7jzjPhKVU +705Q/2MqPYb7W5JkkKzBTwH7J6dCC7C/Mljgfv1fFgV8tq5p7d+P7+dnq4vX +H78EoVkBPleTaSh/66Wo/cMxoK1Pa1WIoKOTTrf+RYc2Q4mI66qbGXP7+e5r +qfEW2P0o1MsFf89ufO+HZs022EeOb2zC4yl7uzm1yI8MgrTtKrJz+fvgNJ9j +WzsYJFtL++H5rIGte7bcocBB0407sy3oSMFQ5pUY6T6I/b63NB/7G+1/s5/u +RrbCl21e58bw/+P56r2yOttAUul9hDru70ri+lFppXYIOn2KFIK/d4n0+n1N +BwWS82R/2arh+GCPWMHnOGd4bPnY9oErDTVKnTTqFmgDwevZfsn4/+Q/p6Od +zMkwqfvqyHbc31SUw+9M11YY9Q5bHoW/t2LvxOL32W1guXKH2SbcHjLq3F7J +1Q5b4sQpn/D7tiPL476UUUA4ro/XTIeO9CWTksZdbsBagZczWc00NJWzcXO1 +fRuot6jdZOL/55wfLxB5TYa8WKc83rl8sjNz5CUnGUSqlvjM7ee7rwzbcyYo +wCtE0wnZhOOPEMnkWSkrCBaTE7oJNGQXH8L/DsjQvK7/gxb+/177qyZFT9vA ++sOBs1L4WUuYV9ktigwbTTOvrcbPzgxtpdeUNnBJN5iaixf9NHz3oyEKRMgX +6d1QpqPCgea6z2YeUHs71mvDXRoytjJcK3Aar1dqSoMi/j95szXKK28DgSgb +u61z9fCitU9frCSDOkwqzPmrR2LizilMCoxyGfgF4fjyi4Ssv7ecMdQd6OpQ +kqGhJGpc7aAqGWi0uqQ5f8kVcOtwxnAbrGcW/p3ztw/Tjvdo2ZFhoe+f1rn+ +Pd8lDb/90AaNgrcezX3/oeGpkuSlZDho+27Z3Pf4HXLjX09TIKla+4zrejr6 +FthaZh9lDxavV0aqHKUhiYO+ZvcPkEGJcDScu8+qnX8sSfvRBg0nks7NxTfH +lDt9nkuRYVBzvGPO3mItAYoJfyhgXeGgo4PzARYPsSVxfi8vmgYWD/nz7Vfx +9G4qsHiIf233R/1lFGDxkF8bsqw5jn4HFg85lXrqN1/zOLB4iBa/XGKbwRiw +eEhXECmlmfIVWDxE9tCXcY+AEWDxEKfes+TCDV+AxUOkvbjudnwbAhYP0f/d +3WsbPQgsHtL1WDag3nYAWDzE8VyY9kLbPmDxkChPOSP3um5g8ZDAtJ9dNac6 +gcVDjPeNda1tJAOLh/y6su3Ond2twOIhFgKHHf90NwGLhyRJOGvWXq0HFg8x +95EZ4bxfBSwesqZINcV5YxmweIg141pJz6MiYPGQOkFNvhMeucDiIV7fYVj/ +bgKweMjeJ8WTlPQANg+ZVmwW0Wx5xeYhVMf371WfvmPzkPJiEduZwRI2DzmY +FddhzV/J5iEUTlmpqv31bB6yX81nTb9kM5uHvArV+9twto3NQzIOKu6Pf9LB +5iFOqeq/whu62Tzk/J5/rS6O/WweUnkyoiLVdZDNQ1RTt1/OMhtm85Bzpsff +7FAYYfMQXzurhJ8LR9k8JP+UXneJ4Dibh4jUiHJmLvjO5iGXrjIU9q6lsHkI +/xbVFYcVqGwe4sH/beif/xSbh3zftyZJz2OazUN4r1uecFOjsXnIzv4K2X46 +7T95iAq1VbveaApYPGS7xK6tj4UngcVDHi9vrZffPAGsev5I9jXRvPu0/6zn +PzIYs7+JpoBVz+/4vcxhW/IksOr5P8vrXyrHTACrHv0nvT5mmSPtP+vRMqj2 +8r9BKrDquV5NO0oWfKD9Zz33tJBz3ZHl08Cq5+ol/eqomp4EVj33bS+RqNg8 +Aax6ZPbR6+UjRrT/rEcaqJxbyCk5Bax6nuGVZcIPkmj/Wc+z8KkeJ/yn4H/r +a6z62FphdTPDFtp/1scKKyppH3SngVUfkzQfINmJUoFVH9Pvdn8tTJ0AVn0n +WMzFPXc37T/rO+tj2sKOqU4Bqz5yyma2ISaG9p/1kSWXbug5PpuC/61XsOoN +MXYzp0kVtP+sN6xLl1h2fngK/reewKoPjMf626Zn0v5PfeB/831Wfr+Gtlvb +bJD2n/l9LleTc67LNLDye9/kaO9gRSqw8vvG0ksfX/ybAFZ++jJ+U5PATho7 +P120+Tb3poXz+el3we9853SmgJXfOdnzPlkfSWPnd4UWjMV8mVPAypcSdvpr +tBbT2PnSIh3lkLM/poCV34jP5HuuTqOx8xOqBOG+pIvGzk/sL/IMfV8/Daz8 +YkpAY894LY2dHxg1/10TPk5j5wcHrtg1nPefBlZ+UJMpkJenTgVWflB3wceC +xk0BVnx7Hj62aGvS2PFtXLHP0Dacf7Pi22JFx3WRe6eAFR9abnkdewbnM6z4 +cM0xWUKxYApY8day8MmdY0U0dry1llcySpRrGljxUcrM/cAjL2ns+MYna+Ot +ODKNHd9opnSGpGybBlZ8othz7FFTFY0dX0zpu9QZjNDY8UVB4Zao90emgRUP +pDW3t0X30tj3eeT10YhDkzT2fe5rp9ebvplg6xvqEs6c9P1IsPUNGeXXhq9R +CLa+IVrreaEIL5Otbyhpzu58Lclk6xtmUu7nv1dgsvUNl0kZQnfUmGx9Azl7 +XDR2F5Otb3DcEVnxdDeTrW9Yy/OjR34Pk61v0BUufVCry2TrG6TfxS9FOky2 +vkFds6zcCPfHus+XwK1DohpMtr6hKqLmxJ+tTLa+wdiUT15wM5OtbzDhGqsX +kWay9Q3yqi/OZa5isvUNL6W8UtyWMdn6hgdvp4lJDiZb39Bz94HOZfq8vqER +9JfqjszrG/YIRIpItc/rGzRLm+S7K+b1Dc0KB1xq387rG04G1CVpp8zrGwT5 +qnfZR8zrGwRGVZQm/Ob1DWccLsX8OT2vb3iwTY/hfXRe3zCblT4SvHte3yCn +8DCkb9P/T98wtNaoS3Be33DdSmuH8595fYMF8XXfxMC8vuESWXutJmle30Ao +dj/dkTavb5hebSS24v68vkHjHucdi/Pz+oZH4x2G30zm9Q0t1wSH7LbO6xuy +wgaOvl8+r294PWDsITE+r2943z3UfbJ8Xt/gksizqjZuXt9wYEw5ceTivL4h +cHz0aoghHb0Zk3yywogKWTxB97PHaOix1FJidpYKUbf5Zz5fprHv9+t104pZ +UQRb77ChkZxyoYNg6x2WXl0ot/kfMa93UAv4kCvMZOsdDi3lbuxfz2TrHSZG +Y8sFVZhsvUOtuNL9q1pMtt4hq3eGSQUmW+9wcMmxPS/wfmfpHXykWw7vxOeB +pXdwO3zh0g98Xlh6h3unX2zeit9n6R1KXgenDuL+WXoHYYNtX7ZsZ7L1Dn83 +dc1sUWIikQLb+5f+TILkEpm7CxbQ0bpA4f2LU6igmWTPbYHvK1Z8skBau9f9 +MsHWGyjfiHZ7XEOw9QZMWGz+niDYegMXTST9jI/J1hvYe9qKE/h8sfQG48Iq +VoFbmGy9QaqxmX48Pq8svYHquPffLm0mW2+QECCepYztwdIbnH7q91IP24Ol +N/D7kVp6Drez9AZhoobyC7B/YOkNmh/GSMnsZLL1Bl07+jdkYH/E0hv08QZv +XKvMRDwv+zQymybhVb7EwXocT51Si5nmvUyF619feNzsoLHjK5saz6l36QSb +97eujKx+Pkywef/XW1ceTSxgoqK/ul1PjHLhwErtBltRJlpHTdnkGPsOZPT6 +/C9uYqLMwuNeo0VF4Ob7JeaUKvY/awnhj6ElsO48gzI3XsRDInnplIFFj/vP +LjyfMXVzQcu6CnCgdicy8Xxv6JmEg3cVPN4pTwhhe7wqoocsXFYLh8DVwgXv +D5beYMHpkrsG2J5DDZ+ES2WoUEi6TVFk0lBPU1j8ghYquCwQt+7B8QUrHuzQ +tl4Z5Eiw+f6uXuKndRnB5vtfTp3iKpgm2Hx/heHNrd+wf2TxfdfRCOVeKSab +76ereNfK4f3G4vvJarU9sepMNt8vWT6wUQePj8X3l65fZ/Udj5/F9y8mmPrM +7X8W33e6pDmSgOfP4vvmJ7pGHbF9WHzf/ZMZUYjtx+L7poPFss7qTDbf79EX +deHH98FXgaYyLjQJw8ta6Q4r6ehu2PGKEAccB+1xV6oaoLHj2cHjR98PPyfY +fN0qfkW3Zh/B5uuD0qmvnuH74M5hSvPiNzmw2q1TVQTfHz43euP3UwpA/d2V +q3kbmOhPkZ/uMYkiuL2pLkRvGxN5oYOaKmol0NAFSkd3MNGn9u6nQd8+Q57E +oxg3PB8HncEIxWsVkFOrWdmI56vttq7RdG0VKChcF1bA9hiS2OXTV1gD10kS +XjnYXiy+33yiYVExtqfvnrCmJ3xUCNYtvZSG45fZzYcvBH2kwss/Y7YUnA+w +4u+cC7Iu4XcINk+/rMHI1m0mkNG/Gf81t4vBxJ4jP0KTiQovLTzwQvszuNqR +yG7Y3xw1/RXV0VMOreTu6bN4fIX5FYe8PCth57ntYXPn82h3g3H46hoIu12k +o4bb9XvJEnfCqPBs1Z6I0gYam5/3Xd0iH5hHoGLNnGmXqDIwDVliuBvP59yW +qvAASRIYpJ9lCOP+itdcN1GsrwKrzW+EGXPf86mr8h+nwpSR3OCJBBo7H9BV +cTVxO0KwebmrjPO6wGKCzcs/TYs8fk4l2Lx8ZPkuh8ClTDYv1546Jlu5hsnm +5WkaXlqeikw2Lx+W464RwvuJxcvPmvKHTuL4g8XLa0dLYsrx+Fm8fLtDgNZ2 +PH4WLxdKy7pXgMfP4uWbaKOKD/F6s3j58WXGz7hxfyxePtEgYV6szmTz8pfU +6nu38P7NNdR8Qc2bBM0p3TJrnE9KfPyYddCCCmKtogmkLzR2PlOdlPfJN45g +82o/3uDdjt0Em1ebGOtt+DaD9/c13YZd1jmQbiSzYWwlE70g+Vh9iCqAeNXr +pFo5JnoOEakRNR/BZ+y38xt8n02suGJ4lrcEUHbujW/4vtkFX3lC0WcobTeJ +VMLzeab30my1eQWQDJT/FeL5Sl5bOtXFqAT1osatc/a4UTtIOfCwBphDvwvb +sL1YvJz0JF/vF96/Btd+ZAYvpEJFYLBU9ywNhVvkWu/NpsKRxG4/gxIaO//6 +LvrI9Y8/webTQiVU73/1BCoxko+0Ol4Mutycb8Xw/tXq5ctPE/oM441lerJ4 +/z40Rxaf88rhpKahqQkeX0xlpvvs3kpAF5cl6uPx0e5eLPtLrYZfNVpGR3A7 +eYnG4gOBVIh23dCk2kpj82ihgR19O7IIpPP84wn302VwwuWLLR+eT/q2MNOz +RAVIJ6HCRbi/hK0uHm8Tq+C2rdQfbvxsmKyUp9tHhU2c2WtLU2nsfFA2nvPu +HXd839oYdkY7lYOl+IslfPj7FpP+mQJTJFhxUfzJbvz++p3iLbrJOF7If5f6 +BbfbWGhmVRpWwfUXUzNrcfu5mangMhIVOCRUyje/pbF5sudjXUe3cAJ1J/Zu +oTythN2x1hfm+lORX9D4WJ8Ev372HpHGz4NLHykn/aqCI20v9Adx/xuNzvQX +M6iw90CaiM0jGjufdMk9Z1C4j2Dz4pqAXftufSLYvLgvTSf8wSTB5sXrNvKG +1uP8gcWLJ9ZIGezA543Fixd1nPYWweeNxYsXlH/9Uo/vaxYv9gijZZTh88Hi +xfHhDFo2tjeLF9MCOBqV8fhZvPjUT4ZuKR4/ixfr9U1Vpc7FB/+PF9f8lRje +iPtj8eJ1jXK/JvB5Y/HiDO3ONSX4vMWeOiv4NXMSEux6lTtF6cjpMGV9hikV +bocVcaKvNHY+nOoRrZMUQ7B5rWfuxTeTnQSb174YtfRdis/bkODZOsvtOSCd +fQcZ4/P27nTF24unC+DkvUHiKD5vF/3ijiu/+AifBB/essPnLUUtvPUuoxjy ++bg8cvF5++t5+hN/2mcwTJKM4cPz+bSXN3/57groS3G0ycTzDVnE4SfVXwlH +ONZwaWJ7GMvmbeS8XgPXdr+p+ortxeLF3OHVDivxeVCOlZe6wUEFsBs44sFJ +R52U4eaUdCqM7syhdnyez+etpERcOq8RbF57dFNMrnEdgXwv7pb01i8G5rPk +iR4cz/3mf+SmNFMKslZff8zi85xlse7du4RySDwqUKKDx8dzOGl2z9ZK0G18 +7zx33oqH9s7mdFeDcRNo2eN2qYRTvmnXqeB5sXWHHc6HWbzWueDqJq4MAnG0 +7Rakm5fBg5w1vgSef/V2WrbDYAWYZ7V+m8Hv8384zvEnpApc86j5y3D/kTts +N73ooEIZbfLCudfz9Qevkbf7+1wJtNkuQ/O+STl8fDjl+Q/bp8LbC452kSDk +Va0R4Pf1tK9v03hQAUnbbhNduP/6AUWd5WpV0LTpeqUcbn8bKT0jW0oFFedj +tWLvaWw+u2S/y8ycHv+AQjPaGlwJ9vqyunvw/00UnZVDt5JgQaGSrfjc86M7 +xWPDOJ4TmR3/hvs/PxRnJk2lwo7jd3ZaPZmvfww5lkuqnyBQyl1b2/4MEnhF +rpScW98MuohdFG8VLHvvPjS3/zfDh/7CE5Vgnrvo2Jx9Q7YqTHsvIYHQRUmh +FXP5Nd+M9tAwFTTeHfMrT6Kx+azTp8WUrIs4vnEKlapbWAmJrk2Oc+M9Izr+ +LDW3ElIj3GTm7CG8KcE90YoED17/aJ6b/0D+Dje731T4mCz66ErofP0lyFgz +2AQINp+dVnk6EFBEsPlsSceXLTzYP7D4bAZjatgT+wcWn/13UFOPIclk81ny +08tqYwpMNp9tHKoSfY39A4vPyiy2vpqJzzOLzwq1+VFe4vVk8VmBo1EuSni8 +LD7747CHNwnbm8Vnze+uYubOxZP/j8/6LY4d0ML9sfgsV9EKpQV4f7P47J0x +n/192D/IXUoxSuGeBOLw0vIaAzoKfhpk12dMBdeD1n3vRufrR6l+xk2K0QSb +j+aPFP/Ziv0Di4++Uj2x4QnOL+OsA4ycpXOg4ITTeU7sHz7vOnuyybwA7rZn +h4pj/9C3Vjp/5v5H+Lx/T6km9g+BB8RjYsaL4XGfZ8xj7B96linFHH72GXos +f/fM4vNdR9pxkke9Ai6sd7d7heerZ/ibtLu1Ega+XijUwvbYbiK5t+dCDUTz +0uWp2F4sPpvtHGAqg99/kVp+9Pe/SVhvWKCoxUVHMxq+y9tTqfBmjdgisfL5 ++lfEwBXLjKsEm4+aCzFkL9YSyCq4djBIqxj2vGzNK8L260qz0PeglwJFUiCc +gv1DcceRU7lR5fBYp6BSA4+vOCp+n7NcJXD9TFw1t39TV2RJ3G+qBpvTiktP +zY3/O0dB11Uq2BZztHi209h8dIzDO2SOlw+c46aoGpaBQ7+p8Rhez863Mg7W +7RVgLTXU9hu/Xzlrvl8yoApsWxef5Mf9v7v1w7GljQp3bOwsFDLm63XdW4q/ +VzsTSHOwfzx4bzm8D/byYmD7XP/ocdungQTfp6zpu/D7R1DmsMrtCpg+oTDZ +hvu/tX5j8Bb5KmgbVr++Cbd39b/XMEdUWC4Wff12IY3NQ3ccRylH7xGI63zt +YrNrlVC2ceLn3HmzzXg8cHsDCVJ3RGusxs88XTd5lnZWQbB+xH0q7j9KcXuY +6QQVYgRG42lP5+uFmx3F16+wxP65weolZxIJNpgU71TH7ztrCKS+m62EVp/f +otvm8oeb+Rt6TSuBt+b0/Tn7xuVYP/ecrQD1rR2XePGz3LGoy4KDVOj9Q9rX +kEJj89C1V2aL5T0JlPDqtgv1N47P7daG6+L/y9oMj1anVsIGCf/jOvhZJq1J +6ukhEsRXr1wni5+5OVuTwn9Q4ZPP2ksVD+brlSZp/8JEjQlUb2msvvc+CZ7V +7xeZG19ryUKNf+OV8HPl0Ka58ZssTyuQ3lkJQrztkXPjXbHN98e2EXzfW2QF +zn3v4Wi7N19EJRxbRHWbG4/RY26x6WkqrGqo/nAzmsbmobb8Mj+57Ajk+bv4 +cbRLJXxCk9xz/SmvjWhP46+EFpKOyFz+ktc6aXHrUyXwEZtr5tb3o9uLLcr/ +qBCyhz+n/jYN7e3OK75UOwm0QnluOwH6/6kf/G/7xpIqX8GGSTDWSNr4mB/H +D698W8/MUmA4+updFUP6//EfXyoCLL05JmHP7/Bl3w/Q0f8Hk6RLZQ== + "], {{{}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{ + Polygon[CompressedData[" +1:eJwl1WWQllUYBuBlyQ1Kulm6lQ6xg65FQUEFsVCUVUIFC0UJ6W4EQZTusmAI +ARWRskAHAx1UupHweoYfF/d9zrvz7fudWNK6ZaT3TExISMjEsUzX82b/nJCD +5Hz5iryJEfQyzpDlOKS/KevRXO8mC7Fbn8qLelWO6DW5T09ikz6Mx3jGuBQ/ +6XOId2lsfFIuoDct4mfNFWaPPo1a3G+czGZ9OI+TmVvMnZIL6UNLatPBfApb +9BE8QRZuNXdaLqIvrahDVm7z7IxcHN+H1tQlG7d7dlYu4SXaUI/s3OHZObmU +l2lLfXJwp2fn5TL60Y4GJHGXZxfkcvqTTkOSuduzi3JF7AntaUQK93h2Sa7k +1Vjr2EdSudez/+QqXot1izWmo/lUtuojeZKcNDF3Wa7m9Vi3WFMeMJ+TL/RR +PEUumpq7ItfwRnxurCkPms/FNn003clNM3NX5VoGxOfG3pkrwl59eqw7nYxz +s10fw9Pkobm5a3JdnL/4PbGX5oqyT58Re0Nn4zzs0MfSS6/IH3Hu6KGX5oA+ +l7xx1uICsF68xfN6eX6N94k10ouxX58Z+65X4+/Ycx7S8/JlrLWsxTi9t6zE +uxw2Higb0EN/VqYxhIOxhrIOo/ggzoiswTCOGr8tGzKaG+J8x71lMB/H/sua +jGSg8QuyAkP5Lb6XrE/n2ENZnO9ir2Vt3oszK6vzT5xXHo7fxVf6eProlfkz +3p/n9DL8rM8jH62ME/kk3jnWJs6AcQm+12fFeecR43x8rU+IzyI/rc1l5lP9 +nXiHuAN0MZefnfpEelKANuay8Jk+KD437gUFaWs+K5/rg+Mz4l5QiMIUoSjF +KE4JSlKK0qRRhrKUozwVqEglKlOFqlSjOu38vmxs0IfQlSaR5grwjT6JDGqQ +bi47G/WhPBpn3rgkP+izaRrzxgXZpU+mr16Fv+K8xnroZflFHyDr8qHeX97I +cI7FmspGjIl54/YyB6fiPPlDvDHur7wsU+S/cf/lxfg5+WP8XSFZPyzPxRrr +O+URLsT3Md4f94czsZ/GW+N+sCfekZNx58xviP2J/Ys9j3MT5znuIutYyxpW +s4qVrGA5y1jKEhaziIUsYD4fxRowL+4Uc5nD+8xmVpx7ZjKD6UxjKlOYzCQm +MoEt7GB3nHlOcI3xbGY733KQ41xlXJxx9nGI03E/fO9NcR/4nbNxhs1ti/3k +fJwh413yEkn6AZmaeP3/6yuM5X+Xm+rE + "]]}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwt02WQVmUYBuClu7tZursRlEaQWkIpCVGaVUKQXKU7VcYCBpRBaYNQlJFQ +QhgMYKgBBmWA0TFIKa9nxh/X3vf7nN3zffue9yQOTE4alSohIeF1PyIb+/Gn +nCU3yEmyBgsZbZ0sy3Dx/7+pRzt9oCzACf0dXtUrc02vSTc9E9/q83mBYdYl +OKOvJTVPWP8lP2YM7eN3zQryo/4utehunZl9+gIGkYYmZn/LTxjLM9Smh3kW +9usLeZG0NDX7R25kHB2oQzqedO2m3BT/Dx2pS3qecu2W3Mx4OlGPDDRz7bbc +wgQ6U5+MNHftjtzKa3ShAZlo4dpduY2JJNGQzLR07Z7cHs+ErjQiC61c+1d+ +yuTY63iOZKW1a/flZ0yJfYs95lnzrBzQF/ES2Whj9kB+ztTYt9hTnjPPxkF9 +MYPJTluzh/ILpsV9Y0/paZ6d7/QlDCEHT5s9kjtIifvGszMrxE/6e7Hv9LLO +wff6UoaSk3Zmj+XOOH/xOfEszQrzs/5+PBt6W+fkkL6M0Xp5rsS5Y7hekrP6 +OnLFWYsXgF3iDV7Wy3Ipvk/skV6EX/QP4rnrVbgez5w+ei4Ox17LWizXx8gK +zONX6+myAcP1ETKROZyLPZR1WMyHcUZkNebzu/UM2ZAl5I7zHe8ts9kdz1/W +ZBHTrV+R5ZjL5fi/ZH16xzOURTkZz1rWZlWcWVmVG3Fe6RufxRF9BWP1ivwW +35+ReinO6x+Rhw7WqfkyvnPsTZwB62Kc0lfHeed56zwc1d+Me5GXjmZp+Eqf +Gd8h3gH6meXlB/0tRpGPTmZp2aPPivvGe0F+Opun42t9dtwj3gsKUJBCFKYI +RSlGcUpQkkRKUZoylKUc5alARSpRmSpUpYvPS883+hz60ybSLB/H9LdJphpJ +ZhnYq89lQJx56+Kc1tfQNubW+Tmur2ScXomrcV5jP/TSXNBTZF3W6xNldRbw +R+ypbMTSmFt3lRn5D8fuoPk= + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwV0FVKBFAUgOE7Y+ce3NIswXd1L3Z3d/eoGBgoBoqIgSIigtjdnw8f/znn +7d6c3IJYfiSEkEeh4ZsbMqMhHGqafugmyeZXvWSZRPuznrPPPFG3Rz1ll3WK ++OGWI7ZYYYFifrnjmG1WWaSEUsoop4JKqqimhlrqqKeBRppopoVW2ming066 +6KaHXvroZ4BBhhhmhFHGGGeCSaaYJs4Ms/8f6N33esIOayyR4P6kZ+yRYn/T +KzZIsr/oBenmTz0g1fyuWXqtGfql2TqnEX3QP5rhS30= + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJwV0nesj1cYwPEfYgS1V6lx7WurUXtvqmpvRWvWprbEnonRSku0FEUl9kzs +KEEbI6oUpZLaRWLHCJ/nj4/veZ/L9b7nnKQ+w9oOTZFIJJL9kTllIpEzVSJx +XjvpCm2l6enJcM/19Z2eoK11Fj63rqyPdR8Laeo5Naet61Hc+j/dxFT6UMvs +lR7le7KQy+xP/ZER8X9QxeyJ7mdRvAMlzG7qZqbRl6zkNr+gPzGSdjSgpPkt +3cJ0viQbecz/0pWMoj0NSTa/rVuZwVdk50Pzi7qK0XSgEaXM7+g2ZtKPHOQ1 +v6Q/M4aONKa0+V3dziz6x96Tz/xvXc03cQ5UNXuqB1hME8qY3dMdzGZA7B0f +mV/WNYylM5+YPdODLImzoazZfd3JHAZS2+y1/sYPsZfkN7uiaxkXe+k5wUnr +LlSzfq6H+JZmlDP7X3cxN/bHc0p+tx5EHes3eow21plYZt1c03I29lWzk8e6 +gF6Nb9Ff9FPNwPg4K01BN07FeWtWvqCr5+r6QrvqYf1MP6AX38X7ahq6cybO +X7PRm+aey+uD+Fbdra01I/PiHDUVf1gPpq71Wz3Oclp4Tse5uDcUtP5H1zGB +btQwe6lHWBr/hgpmD3UP8/k67hGFzK/peibSnZZUNH+ke1nAkLhHJJlf1w1M +oget4o5Q2M/+1V+ZTM/Y1zhvivjZDd3IFHrFd8c5xDfEe8Tvjt8Rf5eiFKM4 +JShJMqXinsddjfsW9yL2M74v3pmPqURlqsQ9j7sadyrOLfaGmtSKu0l/7/Ue +RfOCEQ== + "]], + + Line[{608, 591, 818, 752, 697, 653, 622, 574, 805, 739, 684, + 640, 609, 827, 761, 706, 662, 592, 819, 753, 698, 654, 838, + 772, 717, 623, 832, 766, 711, 849, 783, 667, 843, 777, 861, + 722, 854, 788, 866, 575}], + Line[{804, 738, 683, 639, 867}]}, "Charting`Private`Tag#3"], { + Directive[{ + Opacity[0.2], + Hue[0.67, 0.6, 0.6]}], + Line[{869, 870, 868}], + Line[{873, 872}]}}}], {}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 1.}, {-1.75, 1.75}}, + "Frame" -> {{True, True}, {True, True}}, "AxesOrigin" -> {0, 0}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> Directive[ + Opacity[0], FontFamily -> "Times", FontSize -> 10], + "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxF2Xc8le//B/BIhYaRlNkgLSSEJO+GkWiIImXLahgNKjJCy2hINgmF7BXS +hQ+RbGfYq5R1jHOfQ0r1uzwev3O+f/V4Profx31f93W939d9vTbbuJy+yLlk +yZJJjiVLFv89dnGktWL0jGbEmcA/6OMM4joi6tovogwHo6+s2zs/g5QSImPz +RbRgxc3DJ4QE6OibuLSfh8wJaDzW1SEvRUf6jdS8OBFj4Pn4feNxRTqSDpZI ++bfxHDwQlVl7D+ho4ZjtS2sZC1gInlK20KejsQBStXWENZi8WxeueJaOTOzm +yp+J2MFJbReGihUdVR8RLf4v1h5emr60fOpERwpSB3IYG51AQPtfkLk7HXEP ++SedlbkK/1Dr3yh/OiodaGv8z9gVGu5H39z2iI5cT9kduxDhBjnfRvbYPqWj +3t33j4SIXIftxqcfL0+go/CEDRVbz9+AkBx/zzspdHSML13jY+xN2K/1urkk +g46KJhv2Tm28BVUZt6SSi+koNFNwu6HMXRjXiX8S0khH2hLJyaMOPrBJ4M3f +nDY6uvspmr/S2Be2cNv3FlLpSFlFNuRchB+Un79W7TxIR4nrTgY9EgmA97Xe +0mkEHd1pfFl9tjQANlgrmnL8pKOzgQOcUucDwb7/4CXtBTpaxXTzKYsNggQ3 +t7fhXATyJIV7Tmx8CEv83WPthAhk+LzL+YRMKITs5712cg+BZPWl0kRrQ0H0 +1+OVhcoE4l56+cd3hzC4xuf4kE+NQJYlf3OR8RN4ff1BYLImgdbteCJvGvEU +fpczqWf1CeTHnb/toUg4aFmaHVpnS6Cduk8f5LmGA/9y8n4ZewK1BbqMdteG +g7XEnzx5JwJJL5XNkL/5AsbcT47LuBDo059keVJbBAQPlvaW3CbQKiJi78bg +KIjM+UyEPiFQ4Z4bEUe/RoGmNp0k+5xAFq5Gc27q0dD+RApVvyBQNo2vpHok +Gk4ZZEh/jSbQ6ZEHGs7asbD8V8T+3mQCRfbePlL4Nx6KzWJUe4oJdEj8XHLf +mQT4cdn9s0wpgcbMVJdxZyaA+d/JwcsfCCQ5ccs2Uy4ReFKPT49XEMjFSWXr +kp5EmKg0cC7+TCBBu+y3KWpJUL2lMvNpF4FMz73Knp5JBvnfPPtz5gk01W3M +mySaApZnHlY7/iZQkAX3RaMjKdDue6pF8g+B8m1dRIvCU8A0a9/je0sYaM3V +A4F3VFOhTrfhhMIKBqrx7zRb7v0GJtOkMsSFGEjxncByce50OFvIcchRloE+ +y9dYNSqkg4djW22PHANZ5XqW3T2XDt4cjX4ndzNQSFG/62B6Ojz0H0zYrchA +IxWZ3anHM8DQ1p/ZpcpA8WS93D3P38GFfhmLwcMMtPKf3wVdyWyY5P2sXGPC +QJFOJhayOtlA4rPrXnKOgaRJslYCV7Mh+Veu/H4zBtJMo9p2l2eDa8SN4YwL +DFSSFlFYLJ8DKnePd3hbMxDNaOKDflMO+JlaDww7M9CZty+/XFudB/Eu2/3J +Xgy01XBy5L/HBeBv9V4gKo6BRH101R1eFcD5wMNRyfEMxJ+Z+Ji3uADeblEX +yU5goF/cRvKGQwXAUOjirnzFQC0Vxdf61ArhbXpLW0cKA91W8Pv7c7gQIlQU +7TozGaiJT0hI/mAxiLa/Or29nIE8mvZBJKMEBDt8Bg914vGWLxz+zF0KUlbJ +lBVdDJQcohD8W7wUjil672jEbjXY1mmhXQo6tkrjZ3oYaNcXoWtbI0pBwq6E +btnPQP21U6l5qmXwRnku8+wwA+lWpqxpvP0BTh2ecm2fZqD1BQJ9HBwIokkn +z+5azkQXgn8bfxNGMPiVrtmNnWQ3/OWTLIJ1uktePFrBRLLrSkoemyK4olT8 ++Ac3Ex2+afViXQ4Cw/If+dErmUgl1iQ1fHcFxDW/vPqdn4msLVLN3/ZVwBJD +/zPrxZioaOhIQ7NGFZh0Ztj8kmMiylG/mRDdKuDWuC95X56JZrM+ChucroJ+ +0z/Oa3czkeqdfdZ1DlXQIKZSvFOBid6v3T1b8awKolM2bzNWZKISLdFNeSP4 +93isCp+pMNGH1Olr4eH/AW32C6NCk4mqnOPEzk1UQ+NYVg1xnInyRy9dspit +BsXU+kDLE0yU7KheZrukBtb3uczWYwfaU82uCtXA0JI9Y4knmeiojUD0PY0a +IFl7bNYzZKLmc4EbsoJrIIT2+M8jYybqOXpl3VK5T9DgOtBGNmMipswBvswr +tfB3Ze3VZ/ZMpDl0uPaRZy1cUhezJrCD4o76ON6rBb6YuaXGDkwkLGQ8JRVV +C4UxIheEHPH4cVxqjq6uBY3etPNPnZjIszsy7IFYHZT/c632usxEC2EMPrvP +dbC78Ua4qDsTcc5n8IttrYeqhtYDXl5MJNZ4mIDd9bBvN+V5Dbbyq06y3T5s +Ff+sNd5MdFFvRUzW8XpwfmaomIhdF2Wz9fDNevBS0/OouMtEYeoi6k619WBX +vFZw1peJxL2CbIudvkDtnSQrlUB8f/+sioyyGuBXnHyuQAgTGRyv8Nd+3wCS +wqnT+ti2MRtPqlY1gLQd8ScQ+4lq3w9RSgN4Dlz1n8cedTkvMvSnAQxO+u7t +C2Wi2IEzXq7HG+GVhq9p/BMm4qg6djhkohF8pOz3/HrORBv409f4zDYCldA2 +lQ9nInkLnm7XJU1wra/5pA222a/aa8ZCTfDKSzX3M3aBonaKmEYTVOx9CxEv +mMj+NXCnP26C36cc4mReMlFDgHLTp53NoJPtPy4dzUTqfF84n+5thr2OamCC +/TbKWvX8wWboXqds8RD7XlZo4tSZZlgdYPl3YvH6jhH3DX7N4GS4pz43Bl+/ +K269M7UZ7jKakvfE4fUw6p+z/HALcIEw78pEJrol31R/SbcF/MYeMlSwc66J +DLcYtMAhMblMG2zJv9kiMSYtEMth9rAEe16w13/3lRaIcMmdsnuFr9dQPWMa +2QKfeVXu5yUx0cYn4/Npky2wGqjXd6Qw0Udrn7Q8ogV8U57WGmBbKK09V/az +Bf64K864YMdS1N83cLaC/UOHz4XYGyQe3ZwSboXjZIswSGUiwfTthDK0Qt9a +Ix/9N0y0rPriBAprBe5tF89rp+H18WI+pi68FUqHt6fZYms5hOi3RrWCz+mP +zX7Y/ryF6UOvW2EmOvJNOfbfU1xOy963QtKhV/eU0pnoZ2/S8LGBVji96dn8 ++gwmGp/r7yMrtIFbD0D1O1wPHMKqjqm0wRfzy8P92L5UzVS0vw0kR+Msf2ML +F8ddSdNpg7IOo5Y9mYv15vyC14U2GDk2lByLHc2giEg/aINTget3OGfh+W0X +9CcypA0qhZ3W38NWIO0dXP28Dda/ruuKwf6UH/52Lq4NLkrTBxqwZ9xPq37J +bwNZw+wJ2Wy83mcajd3728CHlMk3jL3W2lvtx7c22HDe6Oxv7L4WWfELY23Q +sHHpNYEcJrqe8/irNrMNer98lD+AneCi5y6ysh3OMQxzn2HP0qrDKlTaYZmb +2PTeXDyeY2X1a0Lboa88oMw8j4muvjwp5fy8Ha6ZuD+5iq2q9fVOTWQ75BXm +a/pg18fxynm9bofI5/bbErFnTp0LG33fDl7eCzsHsDWLZ42qv7aDG0ln+bl8 +JuK2e/Ru42g7HHoRctMBu41fctmdyXY4JThXcQP7orN20Z75dggpsvv2FDtY +InxDwhoSMHt4HOqwO+/t6b21jwTLY03s5Qtw/Veo2UvWJMEJ0Vd31bEv95qG +KmiRYNfadjcd7CWqvvDjBAmOZG0aNceWGWt6ZWxHgka1PPHH2NMRNr+ynUjw +wyNsdQR26ZHZ0ytdSDBTXdCZiH08ToKr6hYJjucs5yrCvn7qsv3uMBJoreRP +6sPW/PPv46NwEnxTunl1BHtF+vP136NIsH7AT5iOHb20rC42mQQ3BornuQqZ +qLKIZxdvKQlyA/pX78BeI/Fm6ts3Evyj83o4Yx88e6/36ygJHmjy+rthu4dZ +fhmaJEEYWnHVE5vCseHNwE8S3JffPBqIHf/9gUXPKjLcX1N7OQG7ZeNFg24B +MgjezfVNweY8d0i9S5gMO6QHb2Rg23+ZX9exiQyPZZS4irHlc5wb25XJcEA3 +IrcB22pUp6xtHxl0KfEtrdjPtkiltWqSwUBOsZWCPRveHdB8lAwdT8Q8BrA/ +3jLQ+HKBDMGBI2fp2NN523fWW5NBtV3l3iz2lgmuDZ/tyTC01vjpL+wgi3Li +kysZjohJGHEW4fE9Ip9RFUCGa43tV/ix73rxRlU+JEOVXUDaWuycwu9BFaH4 ++T7o1ApjC21PsPkYSQbI2pwmjq1jfedUeRwZzMuOXdmI7RltovkhiQzaLqlr +t2D3rOIXLX1HhjIP4RXbsNfoTKwoySWD6br9xjuwD/rUMYuLyDAwnndvF7b7 +++SvRWVkaBEMeiGHnTzj21pYQQbf2A8Pd2NTdpqjghoyCERYWO0pWpzP+zLz +68lwd8V1ESXsy5SZB7kkMpw1FVFSwY7na7qZ00kGCfmS56rYLUfT7bL7yBDm +OEFRw+b0Dzqd9ZUMW2dLF/ZhK5fZHMwcIcOJCtll+7HtGZry72hkcMjQm150 +pJyYeAadDA0RIh81sOvt53jS58jQfCH56gHshYT2ubcL+P/bZjg0seU7s4ff +cFBA+DOP56KtBIPbU5dTQHwlo2XRz/QdK1NWUuC45ftVgF0doJWdzE+Bjfct +di16tnxT3Ot1FFCFSdlFb59beJQkSoE94CKwaDOFTs9XGykwuG+0Y/H3gp0K +7ROlKbCt1/beoscOZj5YuZMCnFJfly1ad0NK+s3dFDj20tR+8X6Tp2IbBpUp +QKc3Ji8+D2dt+KSBOgU0RgwqF5/XKj6Y/z1QoG1Lf5k69scbAYpS2hTI1A0N +Xxwv8eNexqHHKCDPtNVfHM9b0tdvzp+kgMJ/TgOL40/5fSnS7gwFJg+nGe1d +HN9229JmMwps/bcrZfF9PUs/36NuRYFlXr9Ji+9z2s/ob8pFChxS3fx18X2f +OKe/SeASBQTfpjYvzocMhSOHvVzx/cGL6MX5Yt+vGHT6DgUC77V/kVkcv6Kd +b8t98fX0vYrS2FtCt9RvD6LAEM82j83YvRqCa/49oYCke1esGLa6EK+CcwQF +thtme29YfL/jHKfJMfj5ZlUPrMM2jp55kZFKAc0rD03XYOe5jRYLv6NAQbRd +AS82n95gp18uBXx1N0wtX5wPcy0Sph8o8Pq5HMc/vN4OnclJ4WqjQEvD6ija +Yr2QfVvrQqWA7lz6xAj2wtLE0a4eCsSMOW78hv0+L0wu9wcF/vY4b+larA/8 +LoXmfyjwzNMnoRo7+Ic9tY6TCrtjxWgfscc+WswrcVPh0smNoiXYKVdOHOBd +SwVjE1/BTGzxL3I1RdupcEo31vs59u2krT82y1Mh8JJjbTA29ZYET4gSFZou +D04v1rNn21cft9WkghksDHlg8wRNkPiMqXDB7T8ts8X6czDjm6MPFQx755aJ +YH9IHo/xCKDCkwmFb/zY/tyyRkEPqXD4VGEq92J9bXlX+fo5FRxqSzvmFuu/ +ZVZ83xsq2FxxnyFhn/XONTVuocKSsbO/H2KLD87w2ZKpoJdivtkXe0hLsdat +iwoJrzfI3sS+uip/b9g3Ksyur5myxg6KKRCs/0mFI7XpCmrYhSXFDbC5A54m +KsX34351W+LnvRMyHeDU4ylIwj7op7bffFcH8J6kXlrsZw1HS9Ju7+2AoNCf +jTnY36ilQYV6HSAX43nKF1totvzgLvcOmCz/z0gU+7pidYHwfx1wtcbZWx33 +V3vlTYlP6zpgdcsF653Ypipej1c1dcDooSgZUez96so2nJ0dYOWx5sw87u+c +h5P5Jic74MVgweEi7DDDAOca0U4Q71F12Imd7qq16bp7J/znfHr5At5PxLon +rpzy6ATV34abR7BDri/MOnl3wvToHUkStqtnQaPlg05ozXFCGdiqvtJ39OM7 +4fgLh9hz2NVhXJQt9Z3gM5dfl433M/1Z1Y9bN3fBP52R9Tp4f9RC45pQ3NYF +6+w4CXnsClltg3DZLlBW2py3HjsxvWaViWoXiOoG9Izg/ZZN6qeQHoMuuM5/ +b+gh9ve4urAfHl0QbXDl/Se8f6MFNzz/09gFdTqB+bvw/u63Mylmx+1uuNWZ +tD4Z7yeNVcyfyvt0Q5LWQakH2O84vgcpBXSDn37O+svYFpFzbgdCuyHFeEOZ +EnZljehRw6RuuP3dc6IS70/vb7JmeNZ3g0jGz4XOZLz/otAM6kR7gCvLbPon +3v/uOrTir31ZDxSX6jHo8bjeJW12yF3fB2k8uQQP3u97SFhuGbzQD+0h1CLz +R/h7deLMSurxAQiIjvKLw983a/mmuat/DYCh0A1nD1cm4kIx2VKxg5D+9nzx +L2smuqRc1smjPwSa8ukHRk7heqRnk/hxdghMbC+trsPfk8xxUf7vEV9Bzir9 +ZoYsno9GukvDtb7BZxfh0ffrmcjnaGBCwfdvIPZ1zZsZTiba3Hus43XgMOzv +4o2+TGMgddWAcBHF77DW2kntE4mBLkg2z3O0f4e7evtPPfrAQMz6kCFXvx9A +OcenPJzIQJFcFtdPS4/AcKh7bGUgA63tK0zirMZeKbul14mBlnn7Ge9zGwVt ++q0K0WMMtCTNIntIcAxeBpuEzu1kIPfo7BDBqjGYOzfy9foKBirf9YBb4Oo4 +xMqY1LwYIpDAMXdZ/zUTsPHmrNDKjwTqkWtpv/VhAvS3d/9Qfk6ghHEB76Vo +Ag7pHNAIfkYgmzQjmZDKCXj3T//g16cEGpWmeiZ+mgCG8h2jJ08INCvWJ1Hb +MgGB08IPv4fg3+edcBAanoClpw4r+j8gkM73FQtZq2mQoClbddmbQDzJeilq +/DSwjnEMKfYiUIP14xNVgjR4YMvbwYFt1LvmFXk9DQ4LSg6F3yaQFWmdzsJm +GvhFxN8r8CDQnSqpJ3oqNJBxUb5HdSNQTjxIf7OgwSo/tYBnFwlE3CZWWFvT +4IDQlZJ6OwKpmLwZ77Wlgb554wwHdhkfXz7VkQa/RtVOXrEh0CffvkNf3GkQ +/jq+X8WSQN023pZ5QTQIjVVaFWJKIEnYc2T3QxocN9yukWuC70dsWObdYxrI +cjBq288S6Hu7wWTKExq8PFGct/YMgaa1xL2jomnwNGBfp5chgZZvK4v2zaJB +Y/2M+IAegfSWutz9k0MDzz2SpP6jBAru32JzO58GIRrjaj26ePwiH+24/p4G +VscM9eq1CSTOY/beoYoGj4aPyd44RCDL4dWx36ppIBTbx2t8kEBJlZU+1rU0 +0Pbo95MDAm27vUP3fAMNLnuciGjSIJDi+E/yCQoN/Gt+/leqSqAbte9KvnTQ +IKVAet5ShUDvX1vFHe2mwdlBgaxfygQ6cKHO7vAADWaWX2heqUggXzWvo2iI +Bs93lWv5KBCoSkhBVmOYBlzqu3YOyRPoaONLYu8YDSZNLwle3kWgR2n61LwJ +GvCupR8M3kGgxsB/pbunaEDLVH4Vso1A/Db58e9maJBcr3nZaSuBTms6+O9g +0ODM5ZENwlIEeiEqZp86S4MfnHq+gZsI1DHbpCc1T4OikZ9LX0kQSLTdXy7x +Nw3MP9kcPChKIPNsFQGJvzQwoaiprxImUJHZzzUtSybB7l/UvCwfgbjEdnCs +4JyELvvS3L7l//Pynfe5diz73/WxFZ5DSgt09u9p9H+S7ifo7L8XfvfH85OT +dPb9GLQtSD4ZpbPvd1rboVFvmM5+Hkn6IU3jQTr7edPbqOTIXjp7PKbEmS48 +XXT2eHnmbA+KpdDZ47lJSNVYv53OHu9pAbUjow109vuQ6zF70fqZzn5fUVZ/ +L9V+orPfZ6KGnxqpgs5+36ueTGqMlNPZ8+Fm6/7KpWV09nwZjfazzMims+eT +2N9C9w3pdPZ8S/0bGmD0hs6ej/q3Vwk9Taaz56ujxb/mqCg6ez7bWfPGbA2n +s+e76e530Zef0tnrYTjXW6QglM5eb78zmqJW2dLZ6zH37N2aYQM6e70+EHVw +yT9EZ6/nN/E7WgU06Oz17gYf2jX30dn1gPeu6QVnFTq7vo3rSiZruc6w658r +/9jQH79pdn3k36285rTsFLt+3rjDkNXZRGPXV+EvIhzZS8fZ9bfQUau7UnCU +XZ+9rM4l/lz2g12/rxqez9wvO8yu78pv997KMf7Krv91F59/eus0yO4Pbkf+ +kBxs+9n9w+6t6vyT5m52f8k6Lnc0PqaD3X/SQrQWmq+Q2f3pqIqnZL9EG7t/ +0TikN34+2sTub8dzYjvM+evY/a+mQtjy72Aluz9O2ZaUKMe9Z/fPGbk24X3t +aez+qhNTMUnL8Gf335vj8FX7USKw+nOj4D6+C675wNofmDO8K3telANrfyJZ +rpxqv70aWPutM55Swxyhn4G1/0wWt9/XcKcJWN87JgKnbX93twLre37+ttLD +h4dIwDpPOaE70rWphQKs86WA9J9dXxw7gXVeFuEuY+DS2A2s8z/bq2Gayyz7 +gHWe2fVS2r/JcgBY56nav7p7LSMHgXWeu/km56OOsSFgnSfb9V6hlG77Bqzz +bOmT30Zd/YeBdZ7eFVib2kb7DqzzfHV+mSSy3giw8gTHt46/+NpGgZVnzG/L +MV9ydhxY+cnL1aSmXTsngJWv/KxpeqMQNQGs/KWol5kk1zYBrHxGu9vlndDU +BLDym5aqGx9e/5kAVr7TeM3ThM6F193/5z9+Dd0ftFfRgJU37RU/sOel0CSw +8qyOX6tslFImgZV3aSXPd3yemQRWHiZxZqDWSmQKWHmZV0qkxwO5KWDlaV+y +BQoKVKeAlbf9HpuvmDk0Baw8Two13PozOAWsvE9P8eoyDolpYOWBW6PIYWbK +08DKC8cFx/muHpwGVp5YIWe7JVxnGlh5o+IUSbPJYBpY+aWJZ/0o028aWPkm +zw0fLduEaWDln6UmjBV82dPAykclzaSZcsXTwMpPX+iNWN9D08DKX7dkiK9y ++zoNrHx2+UGF4Ctz08DKbzfxSkSIcM4AK9+9tNa+0Wj1DLDyYOvr3EPjW2eA +lRfvS+0MTlWaAVaeXPqpjl52eAZYeXNx6e6IEqMZYOXR+Zyt9vkOM8DKq4/d +tmp285sBVp5tWcsxXlM+A/8H4aet9Q== + "]]}}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwV13k4lU0UAHCkQos1sqUibUgSkhwpZCuyV3YRKdJCRXZfylKR7CQUsmYJ +MYhIZLuLfYuiXOW+95JSfXP/us/vufPMM3PmzJnzbnPyOn2eg42NLZidjY31 +a3B+pqdh1kIzwSL8D6pfQJzHxLzHRJVBK/nSpoPLC+hARmLqK9HjsPaG9kkh +fjqakpAJ9pU9CZ0Gg/0K0nRk2EktSxM1B+76z1LGSnQkEyWZ80/KBu6KyQqG +Ah2tGDg/cZS1g5Wo78p2hnT0NYzU7JjgCFYvN8UrWdKRlctS3SNRFzil48VQ +caCj5mNiVW9TXeGJ9RP7h+50pCh9pIQh5Q78Ov8ibH3oiGsyJMtS9jL8Qz1/ +k0LoqGa8t/OtuTd0/Jd8Y+c9OvI2cTE4l3AFSqZm9js/pKORff8dixa9BrvM +T99fk0FH8RmbG3acvQ7RJSF+t3PoyIA3X6M+9QYcPv6sq7qAjirnOw5+l7oJ +TQU3pbOr6CimUGCXqewd+Kab/iC6k450JLOzZ90CYSv/878lvXR0510yX6N5 +EGznch2poNKRsopctE1CMNSdvdrsMUFHmZtORdwTDYPXrQEyeQQd3e580mxZ +EwabHZWs2X/SkWX4OIf02XBwHdO6qLNCR+uZVwJrUyMg48qVF/GcBPIjxfvN +SUUCW4hPqosQgUzjBj1OysZA9GGeq6f2E0jOUDpPrDUGxH7dX1ehTCCuVZ5f +PrvFwlXeC5G8agSyr/5biswfwLNrd8OzNQm0afcDBeuEh/C7jkm1NCRQMNer +nZGi8XDc/szRTc4E2qP38G6ZdzzwrSEflnUlUG+41+xQazw4Sv4pU3AnkMwq +uQKFG4/hq8+pb7JeBHr3J1uB1JsAURM1I9W3CLSeSDgoFZUEiSXviZgHBKrY +fz3hxKck0NShk+TiCGTnbbZ0RT0Z+h5Io+bHBCqm8VY3zySDiVGBzKdkAp2e +uavhoZMKa34lHB7JJlDiyK1jFX/ToepMiupwFYGOSthkj1pkwBdPn/eyNQT6 +ekZ1NVdhBtj+nZ/wfEOgLXM3nQvlM4E71/jHtwYCebmr7GAbzoS5RiOPqvcE +EnApfpGjlgXN2xsLHw4SyNrmafGPhWxQ+M19uGSZQN+HzHmyxHLA3iKy+cJv +AkXYcZ03O5YDfUEm3Vv+EOiVs5dYZXwOWBcduh/KxkAbLx8Jv62aC216HScV +1zJQS8jAmTUBz2E+T7pAQoiBlF7yr5HgygfLCvajF+QY6L1Ci0OnYj74Xuht +HZZnIIdSv9o7NvkQwN4ZfGofA0VXjnlP5OdDZMhExj4lBpppKBzKNS4AU+cQ +5qAqA6WT9Uv3x72Ec2OydhPaDLTuX/A5vS3FMM/zXrnFioES3a3s5HSLgcTr +MsRmw0AyJDkH/svFkP2rVOHwGQbSzKM6D9UVg3fC9emCcwxUnZdQUaVQAip3 +jPsDHBmIZjb3xvBjCQRbO45PezCQxYsnH65uKIN0r10hZH8G2mE6P/P2fjmE +OLzmT0pjILFAPXW3p+VwNlw7KTudgfgKM+/zVJXDi+3qosUZDPSLy0zBdLIc +GIqDXI1PGai7oerqqFoFvMjv7u3PYaBbisF/f05XQIKKkstAIQN95BUSUtCq +ArG+p6d31TGQ78dDkMioBoH+wImjAzjeChXT77lqQNohm7J2kIGyoxWjfkvU +gIFSwO5O7B6jnQN2OjWg63zgm8UwA+39IHR1R0INSLpU0+3HGGis9XtumWot +PFdeKrScZiC9xpyNnbfegIn2d+++HwwkUs4/ys6OIJl0ynLvGiY6F/XbfEoY +wcQnuuYQdpbL9Id3cgg26bE9vreWieQ2VVfft0Zw6UDV/S9cTKR9w+HxphIE +pnVfXiWvYyKVVKvc+H0NkNb15PJnPiZytMu1fTHaAGymIRYi4kxUOXmso0uj +CawGCpx+yTMR5UTwQrReE3Bp/LflPwUmWiyqFzY63QRj1n88BPcxkertQ45t +bk3QIa5StUeRiV4L7ltseNQEyTnbdporMVH1cbGtZTN4Pm6HikcqTPQm98fV ++Pi3QFv8wGjQZKImjzRxm7lm6Pxa1EIYM9Gr2YsX7RabQSm3Pdz+JBNlX1Cv +dWZrAZFRr8V27HBX6pnLQi0wybb/a+YpJjrhxJ8cqtECJEffbfqmTNRlE765 +KKoFomn3/9wzZ6LhE5c2rZJ/Bx3e473kM0zElD3CW3ipFf6ua738yJWJNCe1 +W+/5tcJFdXFHAjsi7UTghdBW4E1ZWmXuxkTCQubfpZNaoSJF9JzQBRw/9otd +yc2toDGSd/ahOxP5DSXG3hVvg7p/3s3+nky0EsvgdXnfBvs6r8eL+TARx3IB +n/iOdmjq6Dni789E4p3aBOxrh0P7KHEt2MpPB8guh7BVQoo2BjDRef21KUXG +7eDxyFQpE7styWmH9o128FfT9224w0Sx6qLq7q3t4FIlKLAYxEQS/hHOVe4f +oPV2loNKOF7fP4dKs6IO+JWmUMofzURGxg0hOq87YItw7g9DbOcUqVOqTR0g +40L8Ccd+oDr6RYzSAX7jl0OWsWe9zopO/ukAo1NBB0djmCh13MLf27gTnmoE +Wac/YCL2JgPt6LlOCJR23f8rjok28+VvDFzsBCqhY60Qz0QKdtxD3mwf4epo +1ykn7DO/Wq+aC32Ep/6qpe+xy5V0csQ1PkLDwReQ8JiJXJ8BV/79j/DbxC1N +9gkTdYQpf3y3pwt0i0O+ySQzkTrvB46HB7vg4AU1sMJ+keSoelarC4Y2KdtF +YocWxWR+t+iCDWH2f+dY4/tnfDYHd4G76f720hQ8fm+aiAe1C+4wPmbvT8P3 +YTakZI12N3CCMM+6TCa6qfCx/aJeNwR/jWSoYJdcFZ3uNuqGo+LyhU7YW/4W +i6ZYdUMq+5nIauxlgZGQfZe6IcGr9LvLUzxeQ9XCOrEb3vOo/FeWxURSD74t +5813wwagXtudw0T1joF5ZUQ3BOU8bDXCtjsgaFP7sxv++CgteGGnUtRfd3D0 +gGuk2/sK7M2S9258F+4BY7JdLOQykUD+LkIZemBU0CzQ8DkTrW4+P4die4Br +5/mzOnn4fjxeTmmL74Ga6V15ztjH3aINe5J6IPB0fVcwdghPRf7ksx5YSE58 +Xof914TTffXrHsg6+jT0QD4T/RzJmjYY74HTWx8tixQw0belsVGyYi9cGQZo +fonrgVtsk4FKL3yw9Zweww6iauaiw72wZTbN/je2cFXapTzdXqjtN+veX8iq +N2dX/M/1wozBZHYqdjKDIipztxdMwkV2exTh/HaJ+JMY3QuNwu4iodiKpIMT +G+J6QeRZ22AK9rtX8S+W0nrhvAx9vAN7wee06odXvSBnWjwnV4zv+0Knuc9Y +LwSSCnmnsQUdA9S+TPXC5rNmlr+xR7vlJM597YUOqVVX+UuY6FrJ/U86zF4Y ++VCvcAQ7w0vfR3RdH9gwTEsfYS/SmmMbVPpg9RXxHwdLcTy/1rZvjOmD0bqw +WtsyJrr85JS0R1wfXLXyeXAZW/X4p9stiX1QVvFKMxC7PY1H3v9ZHyTGue7M +xF4wsYmdfd0H/gEre8axNasWzZo/9cEVku4am1dMxOVy76XUbB8cfRx9ww27 +l2/L6tvzfWAisNRwHfu8h07l/uU+iK50mXqIHSUZvzljIwmYw9xubdgDoftH +bh4iwZpUK1eFclz/FVsOkjVJcFLs6R11bM8R6xjF4yTYK9h3RRebTTUIvpwk +wbGirbO22LJfPz41dyFBp1qZxH3sHwlOv4rdSfDFN3ZDAnbNscXT67xIsNBc +PpCJbZwmydl0kwTGJWs4K7GvmXi67oslwfF1fFmj2Jp//tXfiyfB1IEbl2ew +1+bHiXxOIoHIeLAwHTt5VW1bajYJro9XLXNWMFFjJfdenhoSlIaNbdiNvVHy ++fepKRL8o/P4emBrWYaOfJolwV1NnpAr2D6x9h8m50kQi9Ze9sOmsG9+Pv6T +BP8pbJsNx07/fNdueD0Z/tvY6pmB3S113miInwwCd0qDcrA5bI6qDwqTYbfM +xPUCbNcPy5v6t5LhvuwBzipshRKPzj5lMhzRSyjtwHaY1a3tPUQGPUp6dw/2 +o+3SeT2aZDCSV+qhYC/GD4V1nSBD/wNx33Hs+ptGGh/OkSEqfMaSjv2jbNee +dkcyqPaphC5ib5/j3PzelQyTguYPf2FH2NUR77zJcExc0oyjEsf3mEJBUxgZ +rnb2XeLDvuPPk9QYSYYml7A8QeySis8RDTF4f290W4WxhXZlONUnkgGKtuVJ +YOs63japSyODba3BJSlsv2QrzTdZZNDxyhXcjj28nk+s5iUZan2F1+7E3qg7 +t7a6lAzWmw6b78bWCmxjVlWSYfxbWehebJ/X2Z8qa8nQLRDxWB47eyGop6KB +DEGpbyL3YVP22KLyFjLwJ9g57K9k5fOhwlftZLiz9proAWxPysLdUhIZLK1F +D6hgp/N+vFEyQAZJheo4VezuE/kuxaNkiL0wR1HD5giJOF30iQw7FmtWDmEr +1zppFc6Q4WSD3OrD2K4MTYWXNDK4Fej/YDlRXlyigE6GjgTReg3sdtcl7vwl +MnSdy758BHslo2/pxQr+v3eBXRNbYaB4+jk7BYTfc/ux7CAQ1Ze7hgIS6xjd +LD8yvNCYs44Cxvav1wN2c9jx4mw+Ckj9Z7eX5cW6rWnPNlFAFeblWN61tHIv +S4wC+8GLn+UzigN+T6UoMHFotp81X5R7hWumDAV2jjiHsvxVq/Duuj0U4JD+ +tJplvc05+Tf2UcDgibUra73Z31M7JpQpQKd3ZrP2w9EaP2+kTgGNGaNG1n4d +0qP4XgMFereP1apj118PU5LWoUChXkw8K14Sxv7mMQYUUGA6G7LieVPm2o3l +UxRQfOs+zoo/5ffFRBcLCsxr55kdZMW3z7mm6wwFdvzbm8M6r0f5Z4fVHSiw +2v83iXWeP4LN/uacp8BR1W2fWOd90sZwK/9FCgi8yO1i5UOB4jFtf2+8Pnic +zMoX1zGliNO3KRAe2vdBlhW/yj0v6oLwePpBJRns7THb23dFUGCSe6fvNuwR +DYGN/x5QYIvPYKo4troQj6JHAgV2mRYHbGad7zf20+QUvL9F1SObsM2TFx4X +5FJA81Kk9UbssiuzVcIvKVCe7FLOg82rPzEQXEqBIL3N39ew8mGpW9L6DQWe +xcmz/8P37ahFSQ5nLwW6OzYk0Vj1Qu5FqxeVAnpL+XMz2CurMmcHhymQ8vWC +1BT267JY+dIvFPg77LF9kFUf+LwqbP9Q4JFfYEYzdtQXV2obBxX2pYrT6rG/ +1tstH+CiwsVTUmLV2DmXTh7hEaSCuVWQQCG2xAf5lspdVDDRSw2Iw76VtePL +NgUqhF+80BqFTb0pyR19gAofPSd+sOrZo10bjJ01qXAGViZ9sbkj5ki85lQ4 +d+Xt8TOs+qNVMHUhkAqmI0urRbHfZH9L8Q2jwoM5xSk+7BAuObOISCpom1Tk +crHqa/fLxmdxVHBrrelfYtV/+6L00edUcLrks0DCtgwotTbvpgLbV8vfkdgS +Ewu8zmQq6OfYbgvCnjyu1HplkAoZzzbL3cC+vP7VwdgpKiyKtHx3xI5IKRdo +/0mFY635imrYFdVVHbCtHx5mHkgfw+/VLcmfoSdl+8F92E+AhK0VrHbYdm8/ +8JyiXmS9Zx0nqvNuHeyHiJifnSXYU9SaiAr9fpBP8TMJwhZarNPa69MP83Vv +zcSwryk1lwu/7YfLLR4B6vh9dVXemvmwrR82dJ9z3INtreJ/f/3Hfpg9miQr +hn1YXdmJY6AfHHw3Wizj951DO5t3fr4fHk+Ua1dix5qGebSIDYDEsKrbHux8 +7+Nbr/kMwFuP02tWcD+R6pO57rvvAKj+Nt02gx19bWXRPWAAfsze3kLC9vYr +77S/OwA9Je6oAFs1SOa2YfoAGD92S7XBbo7lpGxvH4DApVdtxbifGStqvt+z +bRD+6c6I6OL+qJvGOae0cxA2uXAQCtgNcjpG8XKDoHxgW5kIdmZ+y3or1UEQ +0wsbnsH9llPuu+hho0G4xhc6GYn9Oa0t9ovvICQbXXr9DvdvtKiOuD+dg9Cm +G/5qL+7vfnuQUnbfGoKbA1ki2bifNFexfagQOARZx7Wk72K/ZP8ccSBsCIIN +S0Q8se0Sl64ciRmCHPPNtQewG1vETphmDcGtz35zjbg//W+rI8OvfQhEC36u +DGTj/otCM2oTGwbOojM/fuL+d+/RtX9da4ehqkafQU/H9S5rm1upyCjkcZcS +3Ljf95W03z5xbgz6oqmVtvfw9+qcxTqq8TiEJScFp+HvG0HeH1zNv8bBVOi6 +h683E3GilGLp1AnIf3G26pcjE11Urh3gNpwETYX8IzMmuB7pO2XWL06ClfPF +DW34e5L5TYzvc8InkHfIv1Egh/PRTG9V/PEpeO8lPPtahIkCT4RnlH+eAvFP +G58vcDDRthGD/mfh03B4kCfZk8ZA6qph8aJKn0HQ0V3tHYmBzm3pWmbv+wx3 +9A+b3HvDQMz26Env4C9AseFVns5koEROu2unZWZgOsYntTGcgQRHK7I4mrHX +yW0fcWeg1QHB5oeuzIIO/WaDmAEDseXZFU8KfIUnUVYxS3sYyCe5OFqg6Sss +2cx8uraWger23uXiv/wNUmWtWh5PEojfwEcuZOMcSN1YFFpXT6Bh+e6+m2/m +wHDX0BflOAJlfOMPWIXm4KjuEY2oRwRyyjOTjW6cg5f/DLU+PSTQrAzVL/Pd +HDCUb5s9eECgRfFRydbuOQj/IRz5ORrPzzPnJjQ9B6tMtJVC7hJI9/PalaIN +NMjQlGvyDCAQd7Z+jhofDRxTLkRX+ROow/H+ySYBGtx15ulnxzYb2fiULEID +bYEtk/G3CORA2qS7so0GwQnpoeW+BLrdJP1AX4UGsl7KodQrBCpJB5kpOxqs +D1YLe3SeQMQtYq2jIw2OCF2qbnchkIrV828jzjQwtO1cYMeu5eV9Rb1Ag1+z +aqcuORHoXdDo0Q8+NIh/lj6mYk+gIacA+7IIGsSkHlgfbU2gLbD/2L5IGhib +7tIotcLrEZ+WfXmfBnLsjNY+SwJ97jOaz3lAgycnq8oELQj047hEQFIyDR6G +HRrwNyXQmp21yUFFNOhsX5AY1yeQ/iqvO39KaOC3fwtp7ASBosa2O916RYNo +jW9qw3o4fon3dl97TQMHA1P9dh0CSXCfee3WRIN70wZy148SyH56Q+pUMw2E +Ukd5zLUIlNXYGOjYSgMd37FgeSDQzlu79c520MDT92TCRw0CKX37ST5JoUFI +y8+3NaoEut76svpDPw1yymWW7VUI9PqZQ9qJIRpYTvAX/VIm0JFzbS7a4zRY +WHOua50SgYLU/E+gSRrE7a07HqhIoCYhRTmNaRpwqu/dM6lAoBOdT4iDX2kw +b31RwHMvge7lGVLL5mjAI0jXitpNoM7wfzX7vtOAVqj8NHongficXqW/XKBB +drump/sOAp3WdAvZzaCBhefMZmFpAj0WE3fNXaTBFw79oPCtBOpf/KgvvUyD +ypmfq55KEkisL0Q+8zcNbN85aWmJEci2WIVf8i8NrChq6uuFCVR55ufGbrZ5 +cPmXtCzHSyBO8d3saznmYdC1pnR0DYH+B7lNHg8= + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVlGk81fkCh62lRra6zRxZkkMphmupzMg3Q9laPkUpyR6qa+u2SEXHxZSR +qdvJEsmVJVSUpSLzQ5bKvsvJdqJwzv8sf1QSpvvi+TzvnpePlnfw/mNSEhIS +gd/5vx2OjbdXTRyw9GiQ5NVViiFjrRoyxDCFQ7hnayhLDJO7yWnFDBsUS7X7 +FfuLMarGZJ3T3YOn5YaJz53EcGzufXKH4Yzy+ld0xW9iMOPVsxc1D8M85218 +jokY3xx8krx03eF1Wo7L0xHDxfdz5X8Zvji50q/ZaYUYtdaqT1+m+WHtcvVE +hpQYRtrbiqY1j2PJdqP4wM8iyHGjMg/qBmFdgZp86HsRBgx/t77GOI1b9uNe +/yEisO/+VKVz5Aw0XJkzBk9FcFDMt/gr7SzKXaaXKhaKUCZoMhNqnseyM5E2 +PndFSHiosmGfbgRcwt5MzLBEyPjH3tg4RjSMhV2WLbtEuNCcVHuwPBpVBj7r +2DtFOBgzLKV9JAY8FZ5i0HYR5GdCIyvSYqGT0v2nq6kIYV3sML7mVdgbB8lK +qouw72b/iT26CdAmTefnR4RgyRWvv8pgY25ytkpsJcRG2xtXnoSw0VioXFKy +RYiOmOAJTgMbF7OTz10xEIIprV/w89lbUD8w3ODJEKJ+Puvnro5E2GTN9r0W +CyA/lWimGZ+Cvq/y3ibZAiQPhFuXLqTDTG3bP5NWCXDo8P8KReIssJo4L3bI +UxBynJdnqmaj+d9hLrQMhVh3uWNO1tloqznz4t48H8U+wapl7Gzs4AQ/WCXk +QyFoW8yFLTkoG5jJNOjgoy7qreuSS7n4UteSa5TCh/ED5SVqcvlIWtHVsmkj +Hz8sstxsNQoxu77oqMRBHnT2CcZf/lGCgPsBXxU7JnCuxRzJ08/xi5JuZrf9 +OH4sUR6UlCToj2nI6aA+oIxr3dRqUQPm3tGJkKgx1Jy4s+Ywvxa+A4E95etH +MaO7TfFhYAO0zkrF9U1yITVboLRG5w12fOUMeCSPYPOiZ5nToyb0JzGjWjyG +0RRt2lK/sRU+QX9aynoMQvM6bzZP0IbEU7q7gps54H0eGuw26kB0/pf+xoC3 +yJqseKOQ0Ik9tuP9a9t6oKCeKxwd7cJsuMnVq1ZdiD9e6pfB7IGL8n6fOU47 +Pm0vGA2I7EWWmp9504UWnDauLVn9sg8HwrTHJBNeY+hR7R/tWv3QqDTN8dtQ +i7kTXal64Rwcnb5U/e5WJTZZLV3wq3iHZhVzRbeQYthmavk//nEQZ3l4vyMu +A+fUPdaNuA1hZ2qVgCqIIvr8Az/07h6G2KBjtXlnHlmpKJKr/ToMoc/z56Z3 +nhEZklqonTaCuqrVHgsj1eSkacXbZY5c7C5K6zuq9IoU2Htn/PWJC0qSqfna +roXM8FSVPiS+h93mMI0h9Q5S6mQrzbYZRd41m2+tgd0k0i7mbsmHUTzabWCX +ntpHtAYc+u7FjMH3/pbZ660c8suWaDbD+ANCree7/H2GiJtG66xk5we8Onaz +/v7xETLz5ho3hPURpvfNzhc5vyfJMu6n9zPHEbTvyMNf9cfIysHSTKnacVz0 +PJzxRfYjkb3EcjYPnUBpgA2nWmWCSOS5F3JVJrG6kSFZKM0jp24XXlOpmcSZ +C9P6O9dSpHLTFTnlIB6UDE0V9usLibLDKf0oBT5ClCa58ywReWfQ1nn+BR88 +W40smxAxKUoHc9SdwvKIQ24nNtNkKnxqqZcXhVC86LQ0p8lml1zegA+F3HS9 +dmULmlQoKhb3BlC4ouofXGxFk/rLg1aNpyg8PhhRN7aLJhzvSx5PYinMFbSk +yPvQZMn6ituXH1EYe3yJUZJAE3vp4Ij5IgqHDB/c/tcNmsQPrfMOL6bg67U8 +VYdNE+XkOL3TzygEuC+2pqTQRG2Z6zP/GgqO4fKrbmTRxGNsRdpoLYWchYRo +p1yaZFZXR3o1UFizUHrqp3yarA/Xsz3SRGHiNsujoJAmxrwv3Xt6KJxt/7Va +uoImZxoePG/soyB/XWAxXkmTZ/c879hxKGRYsLZ2VdFkm9sr39+GKaR4Lpxs +qKfJ5a0X7QiXgsE711vtr2lSs8pI32KMgkh5q/VEE03smpOmzCYprF21xdmx +kyZxeY69T/gUwoo2xKb10KQ5ZrHcUPj9M2ozwcv6aaLkXZz+QEwhv6O3O3mA +Jvst/aP0pilo0FaWziM0uaW6xi/n0/f+Dv9m+zGa9H1qsdeepbCr45vG9Qma +qHZGGWTMUWBHfLy5V0CTo4WbldUXKFgM1TOHpmhS5vpFoU1CgLSqMK7JN5rI +rNGTXColwJKNv8voyU6RvwELt5GU + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJwV13c8Vm8UAHBpibIpIYmUbIkojllCIisZyQy/IgktoqWyiqysJBll7/W8 +5vvaI6XsVSiSvPe2+T3vX/fz/Xzu5z73nufcc84j6uh10oWZiYlJcg0TE+Nq +4DLbS5mz0LjuoDuSu49A63S2e48JKMEdY9VQEyDQ/tT4pGIBXXArvnCs6iiB +poXEg/0ljMEk51+kgDGBDDsHipIFzEFb0d3Ew4xA4mHCL1ZFrGGfk+Bu9lME ++mvgFHdWwh4mnZqFVWwJ9Pl2f9PZ2LNwhlPsJ7MDgaycf9Q+FnCG9xp2fHec +CNSks728MckVhuQoX9pcCSQvpl5AF3EHv49l+qPuBGKZDEm3lLgA4ilrHtz3 +IlDVeF9no7k37Ly6SpHyIZC3ibOBbexFcK7buFDgS6ARuXs64QK+sFZUY8Tr +CoFiUrdRdttchujxq6fyrhHIgCPncF2SH1iL8Lt9uEGgsq8dBxZFrsAXgSfu +f4IJFPGae6+pRCAUXRJ3i7pPID3hjIw5tyA4ZIMyLR8SKLAlkbPe/CZs0ndb +4QonkJKydLh1bDD4xGk7eUQRKI3vxN0HArchsHNJpiCWQNc645osq25D1k3j +Xpl4AlneGWcWs7kDWd7xmhkJBNpMXAyqTroLbRklddeTCBTQHxMwL3IfJmws +K6eeEcg0etDDWCIC7Nt9vlXkEkjaUCx7OzUCZpn8w8Ze4fis/W/mk1skuJZf +k2TOI9CZypVCZB4FPOOHRg8VEIhPMkr2VOwjGL0mJ3W7hEDBLMV77gvEQGfa +fy7Xawi07+ij0CLvGFhSTB4PqSVQ3x2vuSFqDLSHqB+9W4f3d610rqzfE3AX +c911m0Kgln8Zsv19saA+Qvy0a8Lvvxx7QCQsAeSD4j3i2glUqnA5Vn8qASx4 +6OK+HQSy9zb7cVEtESwlE4qNOwmUv8BR2TSbCDz1i/7/ugh0cjb0sIdeElw5 +SC/U7iNQ/MhVndKVFNjT8y7z0nsCaQlZZ4xapELpR8ofhQ84n06rrGd5nQo+ +xb6vv2LvmL/i9FomDW6yhmo5DRHIy115N9NwGlinsA+pjhKI2zk/68XBdOjn +i2l7NkWgU9bP8r8tZUBe842pGwsEWhwyZ03f/gLq30/LsXwl0F17FhcznRcw +mqMZ9Qi72Mlre1nMC6hb4o97tkgg9gvqd66pZML0uXPM5UsEag75cHrDjZdA +wkaLSoJAiq+4Ngix5ADbtfUS+/4RqFW22aFTPgeylWz3PMV2KAyoDrTOgecz +p66zrRAovGzMeyInB0yMdfd8xp6lvB7KPJ4LE6JZ2alMJEp5e6xQIfoVfLp7 +9cn8WhKxrQbbHt2RD/Fqz6r4WUkU725lL30kH/Lo36Z8sMX7pR24LuTDrr2s +4V3YGtkDTkO1+fBxi7rjbTYSVWbHlpbLFgC74S2Fz5tJtGA2X2PYVQBuqkg0 +lYNEFllx7Ze2FEGKckh1MS+JkuxCjFxFi6Dc1vniGj4STXKf7zx1oAhEC+8j +Y2yvG9rd6nZFkGsktmcW+/7Jhb6Nr4tgm8cHJf6tJKr9qz341KgYDPg0us8I +kGi36dfZxoclUN9X+OGVMIm2Bx1Vc3tWAv+Oq+rSsTlfpz1kLS+B+R0ixw7t +INFvFjNZ08kS0Ph2WpyG3UMpvzR6sBTcZ6LlR0RIdFU+eOXnx1I463NGkBAl +URcHL6+sZjmcYFvXM7abRI3q5116LcrhwUBhuKAEiSo8W8p8PcvB5eEEYYn9 +nBpgXR1bDilKgdQO7ICgkRT9hXJQqbh6rWQPiXYtZko6JVaAmO5osK8kify7 +VCGeXgkrmRGlldIkYpct/djKUgXvkq8oz2JnhMuH/RGqgg3vPf35ZUjUa7Tn +g71eFeQc9FPzwZZq5720O7YKchX9OyRkSTRGXcwsUqmGOV5F69tyJDpa/4K9 +82oNzM8kNnMrkmh0p2jpSkQNNOrrNKhi+95MspF/XgN13I/vOmA/g+is6PYa +CJj97foa+0/tTe3TQrVwT7IzTHc/ifKrbPxmamvB4/p0wjklEm0t4RpdswbB +FWoez31lEtmG/TGf5kfQM9kq8Ao73flje4s0grXNn6a7sKX5KisfnkIwJbGu +nUeFRNp+Dk/4ChC8UO4YTsRWTrLKjJGjQJaxuV7KQRJZh3ZM3FGjgM7L/pJa +7Ou+WsL+ehQgU1/MD2PXG0nFWNtQQHvdmrLtqiQy+rcSvOMeBUzOMpVGY5+1 +z7TLGqVAh6BsxDU1Et02EExImKNA3KhPQhx2pnJU/wM6BUo5mL2LsefZrxqe +Z60HVFgc9BnbDx1XVVSuh+5BkLU8RKKwnQRvTXg97LpIXxA7TKKySZ2O7sMN +8K5wTiBRnUTv9IOXwo82gNhGu2v52GReHb/RyQbwjvye14Stck31LM2tAc6b +coZ/xa7gkSMpjxugfsv4Xk0N/D/pbt9ZNNsASnP+fwexB3Os9LyXG2BBmCtq +Afs35xMP2ZUGELf+9GMVW22EozSHpxHmepp0xYFEVZfXGzzXaAR3B+o7D+ya +zG+XYmIaoWBkhVzEHt4sm3AytRGGT/0eXsX+6+NZx5nTCIYZwgkcmiRSh08s +4agRGgZMYmSx6waGku98boQSoScJHtiIhUr102wCq2Gvn4PY4xfWLSgZNoHj +mKnxLDbTWy3uZYsmeFS04zqBrfmsxtbLswls3abPcGiRiKJatOQW2wSmYZsM +tbAbPJIFreebwOlQTEsydvGcp6c92QQ8b28uvMTOOKdW7cTUDClR9O+F2Hdc +B05f4G2Gjpn6hGZsfUeuxFuHm4Ft9y7rL9iqE2NzoUeaoTLUz4+Ovc8hTzXC +pBlqHn/z+Ye92d7wQ7xzM5wSfL6JQ5tE3dZ3tuWFNUNaiGCBPDblvdm54thm +iNMspx3ELrDaVVGR1gzpllz1mtiPLZBVY0kzuKgamppgW5r+in0/3Az974aW +zmMP65/nWyvTAsc3ndZ5jt1JPeTCotICl3Z7OWRj1x1hLd2i1QKjmU72+dip +ui/Nt1m0APWY/L8qbEfNiWiZGy1Q1KFK68E2Q/lTivdaYMlW+utbbF2NwP0H +H7VAxv57xCD27sOCb7Rf4PVKK7KmsWdVLLhPdbaA4+JQOon9oUzM0W6gBexE +Jt/+xm478L3QcaIFLAr6P69g5+6PND1PtIBoBqraoIPrc5HdM5/VFlBReH+Z +FTtMQXrJfxMVeHyFedixL8i1RoUIU+FY7nk6L/aZvLjxe3uokHUo/uA2bBMZ +V/lwBSqsrZI9I4itKLW2J06PCr9+jpiJYovl9Iokn6BCShvfLnFsXsk0r3Rr +Kjx69aNPApuQUOd4fZ4KO1l+DEtha0xqUx8EUIHLMtZNFvtusn7QuVtU+B7C +1COP3XXKWOVIBBVSu/T592Pz85oviiVQ4YBjiNoBbPtu65drMqiwx4RyWAU7 +88GZM2N5VPCL4RNWxVZe49md2EQF3zTTgMPYgTXe9wK6qfDlm92yOnaLvx9Y +DlIhLLvDCLDZ91//sf8jXt+q4LYmttXX4Hyub1Rg9xV8qoWdln3PbfE3jo/D +ziht7FnncJHO9TRId+910sGW3xk9kMNJgzdUTX5d7ICh+MhQQRp4Jt98yTAl +NuWoqwQNmH+mb9XDZjmZsaqjQAPtnkpXhk225JSLHqYBD+tADMMJtHyv1SM0 +QL6b0xmevFW6Z8SUBqztnhEM74PqsSpbGlgUbzjNsM9vSly8Gw3q0Nd1DFeV +tpzw86HB4QsHIhnrM1/s2Gh+gwZNe+d/Mt7XQLoPKYTS4KyeuDbDj2cG/Dmi +aXB6w6IH4/uG0kfkFpJpoJVod4nx/eL2UzNtWTTYIxRsw4jPfwJzqVnFNMiK +9hBjxK+k/6vV3ToacBD72hnx/RtJ53BupUGA6JuTjPjrGv6mavXTYPzTpSo1 +Rv5tYLopMkYDM6YdzIz96q9ff/DfHA1+8k1KMvZT+Abbt0E6DVRqexQY++16 +kCurYpUG/QG/BRj5kLfM7xDL2gqbK70mGfmi4bGrx3RnK0hLB/JKM/Jp995Q +OalWeDulEijJyKdxGc0tyq3QKxlIY+SjvZVqAc2wFQKff1vZiR2kaxIF/q0Q +d1iK4MGmrVroC4e0wpn+jS6c2JzVNkx/wlrBvWSxdDMjHxTcvMvSW+HeGZE/ +6xj7uyPQRKarFaz3veal4/+PZfAWC9uHVgjVi45YxDZ5cp8yO4Wfz7869xl7 +gu2JfMavVjB7+1xvApv5Vy6n4O420OZteNSBLdipvQxybfDjpLc/FVvp2Ye3 +zqptcO4nXbsB2+XYxqd5x9uAJ6fgYTk2LcFxt7ZfGzhfVv2Yhh2pJqDmTm2D +mz+yGi5gZ7EXCEX0toG9pwzbOUY9nDyyWjTUBsa9oHYW+/sD36a/i23wq13N +yIxR/4a6jaO2tUPkvVpNZWyh63edyt3bwePkpcs/cL09YCJ8ZPhSO8SzLkst +YhuLl+xdE9gON7Ret37CDuqYWDB43A7k5O+qt9iTQuoBo1XtEEgV8ivCzq5d +Dlu/uQNOgLuVG7byqkOZWV4HiFaksCHcX4yOU0L0KjrA4sFWshjb6anICZWG +DtAd/daahR2lMjqz/V0HSH6fkXmMPedlIzD5D99vOzjjhJ00bnHd+3gnRAoY +Sq3FXtNgoB0+3wkPPZ/vU8D9cRtnDnsQ2QmFriGmYtiy9puGvJm6YF1UmyMf +9unf1EvmvF1AfVqq+wv34xJFvReCh7ugz7Z7AwXb9Tmw5DzsgrWe9Q+OYXfc +Vupq2dcNKqpNzUa4/6txtDM/OtANNzcmjqthZyWcVbHR7Ib2v0JTe7Fv5UWk +LVp0g81m49R1jPvfz/psC+4GrzpzogrPH1lSyVs9Brqh73GCCGMe0Z4LKdig +3QP1r0KzJvD8IhL15Vf21x7YBHdPCOB5qe5sUHbRcg8w17LLrsW2389jXf2z +B3b1SPyax/NX0ju1ig7mXpjvFrKgYG8TfuC3yN8LphMUcVds7py9y0rQC4OH +xvbk4XlufZPLPIrsBd5j+6flDpDoy4+x0bfyfdAa3W77RwHPR26RDQbKfXB/ +NkB/FPvmgEYmOtQHeRofdtRj85cnn88+0gcvF9se3lVgzIM2f6/b9sGwnoAb +J3Yi/Z2AeGgfjLKG7t0pj/v9Uqe5z1gf/JUcXJHD827G5+o29og3YGzKIcW9 +D9dn4ZeL09P9YMI828WP5/cw91LXNPF3IKX0/EI+Pj+QmrnT54IG4KWIX6YH +Pq/4KjaV8De+h0dlS8RXfD4ay2t62Cs6CMMPHmleWSbQH4/+p5JXh6AH9Ni0 +PxJISmvjimv1MOhwxfCLDBDoaLqoW+HWUVBt6JUaaiGQv/CZXRO2Y9AnbeDW +UYbP1/MWbAPHx8ElpDNDI5NAPBzfWJp+jwM3R5v62WgCrUNP88WSJoBrRlF2 +/iaBPJWqP2wynIT/HC8n/PEkUO4xx7Q6chIe7del+1sSiPiynfNT7BSsFuR+ +DNXC52Ozo2tjdKdBQvpx2KgkgYL076SWfJoGwcmdRoPcBBIdMXj//M5HCLRW +O+T6h47UVG7HCCh+Aivi09H5cTqy3dH9a82bT3D5ncZOVSodEW3hk97BM0DI +DCUfyqGj+HX2vifFZ2Fpm9F29gg64hktTWdumoWDD9fct7pIR+tvBJurXpyD +J3PvDT+b0BFTtn3+JPdneHODe9JBgY58EvPDuRs+Q0HkuGXlFjqqlQpl4brw +BV6NG3sLzS0jLgMf6RD2eagcmhxyaV5GwzI9b67UzINbOsvWjqRlVJAC4tP2 +C2AwK5/+0XcZ2eUrcwmvLMDtuZlrYYbLyDn7ev9/qwswFX/tgSL2/z9DzDY= -Cell[BoxData[ - RowBox[{"rangePlots", "=", - RowBox[{ - RowBox[{"(", - RowBox[{"s", "\[Function]", - RowBox[{"Show", "[", "\[IndentingNewLine]", - RowBox[{ - RowBox[{"Plot", "[", - RowBox[{ - RowBox[{"Evaluate", "[", - RowBox[{ - RowBox[{"Append", "[", - RowBox[{ - RowBox[{"E1RSBp", "[", "f", "]"}], ",", - RowBox[{"Emax", "[", "f", "]"}]}], "]"}], "/.", - RowBox[{"f", "->", - RowBox[{"Function", "[", - RowBox[{"q", ",", - RowBox[{ - FractionBox["1", "2"], - RowBox[{"(", - RowBox[{ - RowBox[{"\[Lambda]", " ", - SuperscriptBox["q", "3"]}], "+", - RowBox[{ - RowBox[{"(", - RowBox[{"1", "-", "\[Lambda]"}], ")"}], - SuperscriptBox["q", "s"]}]}], ")"}]}]}], "]"}]}]}], "]"}], - ",", - RowBox[{"{", - RowBox[{"\[Lambda]", ",", "0", ",", "1"}], "}"}], ",", - RowBox[{"PlotStyle", "->", - RowBox[{"{", - RowBox[{"Red", ",", "Red", ",", "Black"}], "}"}]}], ",", - RowBox[{"Filling", "->", - RowBox[{"{", - RowBox[{"1", "->", - RowBox[{"{", "2", "}"}]}], "}"}]}], ",", - RowBox[{"PlotRange", "->", - RowBox[{"{", - RowBox[{ - RowBox[{"{", - RowBox[{"0", ",", "1"}], "}"}], ",", - RowBox[{"{", - RowBox[{ - RowBox[{"-", "1.75"}], ",", "1.75"}], "}"}]}], "}"}]}], ",", - RowBox[{"Frame", "->", "True"}], ",", - RowBox[{"FrameStyle", "->", "Black"}], ",", - RowBox[{"LabelStyle", "->", - RowBox[{"Directive", "[", - RowBox[{ - RowBox[{"If", "[", - RowBox[{ - RowBox[{"s", "==", "5"}], ",", "Black", ",", - RowBox[{"Opacity", "[", "0", "]"}]}], "]"}], - "\[IndentingNewLine]", ",", - RowBox[{"FontFamily", "->", "\"\\""}], ",", - RowBox[{"FontSize", "->", "10"}]}], "]"}]}], ",", - RowBox[{"FrameTicksStyle", "->", - RowBox[{"{", - RowBox[{ - RowBox[{"{", - RowBox[{ - RowBox[{"{", "}"}], ",", - RowBox[{"{", "}"}]}], "}"}], ",", - RowBox[{"{", - RowBox[{ - RowBox[{"FontOpacity", "->", "0"}], ",", - RowBox[{"{", "}"}]}], "}"}]}], "}"}]}], ",", - RowBox[{"FrameLabel", "->", - RowBox[{"{", - RowBox[{ - RowBox[{"Style", "[", - RowBox[{"\"\<\[Lambda]\>\"", ",", - RowBox[{"Opacity", "[", "0", "]"}]}], "]"}], ",", - "\"\<\!\(\*StyleBox[\"E\",FontSlant->\"Italic\"]\)\>\""}], - "}"}]}]}], "]"}], ",", "\[IndentingNewLine]", - RowBox[{"ImageSize", "->", - RowBox[{"{", - RowBox[{"Automatic", ",", "110"}], "}"}]}], ",", - RowBox[{"ImagePadding", "->", - RowBox[{"{", - RowBox[{ - RowBox[{"{", - RowBox[{"45", ",", "10"}], "}"}], ",", - RowBox[{"{", - RowBox[{"Automatic", ",", "3"}], "}"}]}], "}"}]}], ",", - RowBox[{"Epilog", "->", - RowBox[{"Text", "[", - RowBox[{ - RowBox[{"Style", "[", - RowBox[{ - RowBox[{ - "\"\<\!\(\*StyleBox[\"s\",FontSlant->\"Italic\"]\) = \>\"", "<>", - - RowBox[{"ToString", "[", "s", "]"}]}], ",", - RowBox[{"Directive", "[", - RowBox[{"Black", ",", - RowBox[{"FontFamily", "->", "\"\\""}], ",", - RowBox[{"FontSize", "->", "10"}]}], "]"}]}], "]"}], ",", - RowBox[{"{", - RowBox[{"0.8", ",", "1"}], "}"}]}], "]"}]}]}], - "\[IndentingNewLine]", "]"}]}], ")"}], "/@", - RowBox[{"{", - RowBox[{"5", ",", "8", ",", "11", ",", "14"}], "}"}]}]}]], "Input", - CellChangeTimes->{{3.89538436139305*^9, 3.895384372140889*^9}, { - 3.895386017946352*^9, 3.89538601808422*^9}, {3.895397127394725*^9, - 3.8953971343132668`*^9}, {3.895397188170529*^9, 3.895397196502513*^9}, { - 3.895397259778947*^9, 3.895397277374106*^9}, {3.8953973525146923`*^9, - 3.895397352914198*^9}, {3.895397568498332*^9, 3.895397661133872*^9}, { - 3.895397707375415*^9, 3.8953977103143053`*^9}, {3.8953981962150593`*^9, - 3.895398213247607*^9}, {3.895398322344166*^9, 3.8953983263104267`*^9}, { - 3.895398678452354*^9, 3.895398680955134*^9}, {3.8954722126194677`*^9, - 3.8954722937157173`*^9}, {3.895472335855172*^9, 3.895472335983447*^9}, { - 3.895477203079076*^9, 3.89547727627043*^9}, {3.895485848477004*^9, - 3.8954860226534653`*^9}, {3.895486068549769*^9, 3.89548609256168*^9}, - 3.89548714293391*^9, 3.895487177821829*^9, {3.895568045967146*^9, - 3.89556808332795*^9}, {3.895568159913108*^9, 3.895568161065357*^9}, { - 3.895626099419903*^9, 3.895626112707643*^9}, {3.8956261485901947`*^9, - 3.895626148912745*^9}, 3.89562828041553*^9, {3.895639099729924*^9, - 3.8956391963983393`*^9}, {3.895639773811769*^9, 3.8956398123843527`*^9}, { - 3.8956398676278276`*^9, 3.895640060689803*^9}, {3.895640256882248*^9, - 3.895640307984001*^9}, {3.895640345415985*^9, 3.8956403697907887`*^9}, { - 3.895640703633913*^9, 3.895640704710685*^9}, {3.895640951490357*^9, - 3.895640989258892*^9}, {3.895641028399885*^9, 3.895641029075808*^9}, { - 3.895641088984995*^9, 3.895641166892764*^9}, {3.895641214722554*^9, - 3.895641218256051*^9}, {3.895641318849613*^9, 3.895641318908252*^9}, { - 3.895641364520598*^9, 3.895641364526672*^9}, {3.8956446658912163`*^9, - 3.895644666031002*^9}, {3.895644732779307*^9, 3.895644732864246*^9}, { - 3.89564528549043*^9, 3.895645333692643*^9}, {3.896010919527211*^9, - 3.896010927688459*^9}, {3.896010973160123*^9, 3.89601101555897*^9}, { - 3.896011214476692*^9, 3.8960112151235943`*^9}, {3.8960114365850573`*^9, - 3.896011438119809*^9}}, - CellLabel->"In[81]:=",ExpressionUUID->"722bcadf-ab31-4089-a6d8-a100d00b9871"], + "]], + Line[CompressedData[" +1:eJwVxXssFAAYAPDzqFQTtaa5CIlQyqzaFevbKIXTFpVFuN5Yo5KNkjSPOyan +hUhkeaY8K8/LV5fHtGIipPJsaMXHp7KRU/3x28/kVLD7WXWBQGDxz/+1CvtF +ZR2T8Oi5gVubzgzqVfslhc5PguFy0wQNjRnMLWo6NrcwCWau1dZ71GfQ5t4W +oxsCApAMelxUm0Hn67NlsiUEzTEyo0+LjBGO8o5MHQKZgzK0+A/jcHvDWqUp +QV2LdML6N2PJuGHmKjFBuVZsUsU4oywrVtJ/iCDAzae/ZozxjPuEWelhAqn8 +hRqOMhooFOVungTCLv2clq+MCXLv5sRTBHGOwdtaBxn9d2VMrwgniBzNvRjd +y7jvu6C67xqB30tB5+UeRqMc/4jiSILLV7rsJN2M3ctFy1xiCNIDNnfs6GLc +/6XbIF5O8GCd4x1lO+PGmLUHlxUQ7M47qenZzKgSRWj3FBGUbBAuFTYxfpwY +eV/wmGDMvpJ6XzMmez71caog8Hj4Kcr5FeOilXtIrIKgcH7cb6KesW+gVnQU +CbSF6ZHSOsaqFBPVJiWB7Tmvt8JaxiDVlKyxhUBgYNtkVcX4uUOerdFJcF5j +vc/nMsaauNnTnR8I4n0lnltLGVPs/CxzewkaeTIk6Amja/62Zw79BJZqFcbK +IkZzr7TwNUMEX+ZbDrQXMKrrqPYOjxCIaryimvIY68Letd78RjAlNh86kcOY +Zr1TfvgHQcbqsWzOYrw0fP+ICRHYecfbH89kFN/VFE5PE6xrf1Mfnc5oIb4w +8PIngZNLsZ5vKqOmWlde8ixBQ5hxaPNtxsHndoGSOQJFvn7q1VuMisDc7TYL +BImOupVtUsa7Rit/LS4SpEl1Va/DGf8C8N1thA== + "]], + + Line[{{0.9380675789904316, -1.205516595558206}, { + 0.9589720115575517, -1.1938106449870602`}, { + 0.959586924358851, -1.1934487978424058`}, { + 0.9608167499614495, -1.192721321539289}, { + 0.9624584926412829, -1.1917419588968952`}}]}, + "Charting`Private`Tag#3"], { + Directive[{ + Opacity[0.2], + Hue[0.67, 0.6, 0.6]}], + + Line[{{0.9624584926412829, -1.1917419588968952`}, { + 0.9627773701923035, -1.191550406223104}, { + 0.9630962477433238, -1.1913588535493125`}}], + + Line[{{0.9375569084861266, -1.2057905665275406`}, { + 0.9380675789904316, -1.205516595558206}}]}}}, {}}, "GCFlag" -> + True|>, "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>]]& )[<| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 1.}, {-1.75, 1.75}}, + "Frame" -> {{True, True}, {True, True}}, "AxesOrigin" -> {0, 0}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> Directive[ + Opacity[0], FontFamily -> "Times", FontSize -> 10], + "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxF2Xc8le//B/BIhYaRlNkgLSSEJO+GkWiIImXLahgNKjJCy2hINgmF7BXS +hQ+RbGfYq5R1jHOfQ0r1uzwev3O+f/V4Profx31f93W939d9vTbbuJy+yLlk +yZJJjiVLFv89dnGktWL0jGbEmcA/6OMM4joi6tovogwHo6+s2zs/g5QSImPz +RbRgxc3DJ4QE6OibuLSfh8wJaDzW1SEvRUf6jdS8OBFj4Pn4feNxRTqSDpZI ++bfxHDwQlVl7D+ho4ZjtS2sZC1gInlK20KejsQBStXWENZi8WxeueJaOTOzm +yp+J2MFJbReGihUdVR8RLf4v1h5emr60fOpERwpSB3IYG51AQPtfkLk7HXEP ++SedlbkK/1Dr3yh/OiodaGv8z9gVGu5H39z2iI5cT9kduxDhBjnfRvbYPqWj +3t33j4SIXIftxqcfL0+go/CEDRVbz9+AkBx/zzspdHSML13jY+xN2K/1urkk +g46KJhv2Tm28BVUZt6SSi+koNFNwu6HMXRjXiX8S0khH2hLJyaMOPrBJ4M3f +nDY6uvspmr/S2Be2cNv3FlLpSFlFNuRchB+Un79W7TxIR4nrTgY9EgmA97Xe +0mkEHd1pfFl9tjQANlgrmnL8pKOzgQOcUucDwb7/4CXtBTpaxXTzKYsNggQ3 +t7fhXATyJIV7Tmx8CEv83WPthAhk+LzL+YRMKITs5712cg+BZPWl0kRrQ0H0 +1+OVhcoE4l56+cd3hzC4xuf4kE+NQJYlf3OR8RN4ff1BYLImgdbteCJvGvEU +fpczqWf1CeTHnb/toUg4aFmaHVpnS6Cduk8f5LmGA/9y8n4ZewK1BbqMdteG +g7XEnzx5JwJJL5XNkL/5AsbcT47LuBDo059keVJbBAQPlvaW3CbQKiJi78bg +KIjM+UyEPiFQ4Z4bEUe/RoGmNp0k+5xAFq5Gc27q0dD+RApVvyBQNo2vpHok +Gk4ZZEh/jSbQ6ZEHGs7asbD8V8T+3mQCRfbePlL4Nx6KzWJUe4oJdEj8XHLf +mQT4cdn9s0wpgcbMVJdxZyaA+d/JwcsfCCQ5ccs2Uy4ReFKPT49XEMjFSWXr +kp5EmKg0cC7+TCBBu+y3KWpJUL2lMvNpF4FMz73Knp5JBvnfPPtz5gk01W3M +mySaApZnHlY7/iZQkAX3RaMjKdDue6pF8g+B8m1dRIvCU8A0a9/je0sYaM3V +A4F3VFOhTrfhhMIKBqrx7zRb7v0GJtOkMsSFGEjxncByce50OFvIcchRloE+ +y9dYNSqkg4djW22PHANZ5XqW3T2XDt4cjX4ndzNQSFG/62B6Ojz0H0zYrchA +IxWZ3anHM8DQ1p/ZpcpA8WS93D3P38GFfhmLwcMMtPKf3wVdyWyY5P2sXGPC +QJFOJhayOtlA4rPrXnKOgaRJslYCV7Mh+Veu/H4zBtJMo9p2l2eDa8SN4YwL +DFSSFlFYLJ8DKnePd3hbMxDNaOKDflMO+JlaDww7M9CZty+/XFudB/Eu2/3J +Xgy01XBy5L/HBeBv9V4gKo6BRH101R1eFcD5wMNRyfEMxJ+Z+Ji3uADeblEX +yU5goF/cRvKGQwXAUOjirnzFQC0Vxdf61ArhbXpLW0cKA91W8Pv7c7gQIlQU +7TozGaiJT0hI/mAxiLa/Or29nIE8mvZBJKMEBDt8Bg914vGWLxz+zF0KUlbJ +lBVdDJQcohD8W7wUjil672jEbjXY1mmhXQo6tkrjZ3oYaNcXoWtbI0pBwq6E +btnPQP21U6l5qmXwRnku8+wwA+lWpqxpvP0BTh2ecm2fZqD1BQJ9HBwIokkn +z+5azkQXgn8bfxNGMPiVrtmNnWQ3/OWTLIJ1uktePFrBRLLrSkoemyK4olT8 ++Ac3Ex2+afViXQ4Cw/If+dErmUgl1iQ1fHcFxDW/vPqdn4msLVLN3/ZVwBJD +/zPrxZioaOhIQ7NGFZh0Ztj8kmMiylG/mRDdKuDWuC95X56JZrM+ChucroJ+ +0z/Oa3czkeqdfdZ1DlXQIKZSvFOBid6v3T1b8awKolM2bzNWZKISLdFNeSP4 +93isCp+pMNGH1Olr4eH/AW32C6NCk4mqnOPEzk1UQ+NYVg1xnInyRy9dspit +BsXU+kDLE0yU7KheZrukBtb3uczWYwfaU82uCtXA0JI9Y4knmeiojUD0PY0a +IFl7bNYzZKLmc4EbsoJrIIT2+M8jYybqOXpl3VK5T9DgOtBGNmMipswBvswr +tfB3Ze3VZ/ZMpDl0uPaRZy1cUhezJrCD4o76ON6rBb6YuaXGDkwkLGQ8JRVV +C4UxIheEHPH4cVxqjq6uBY3etPNPnZjIszsy7IFYHZT/c632usxEC2EMPrvP +dbC78Ua4qDsTcc5n8IttrYeqhtYDXl5MJNZ4mIDd9bBvN+V5Dbbyq06y3T5s +Ff+sNd5MdFFvRUzW8XpwfmaomIhdF2Wz9fDNevBS0/OouMtEYeoi6k619WBX +vFZw1peJxL2CbIudvkDtnSQrlUB8f/+sioyyGuBXnHyuQAgTGRyv8Nd+3wCS +wqnT+ti2MRtPqlY1gLQd8ScQ+4lq3w9RSgN4Dlz1n8cedTkvMvSnAQxO+u7t +C2Wi2IEzXq7HG+GVhq9p/BMm4qg6djhkohF8pOz3/HrORBv409f4zDYCldA2 +lQ9nInkLnm7XJU1wra/5pA222a/aa8ZCTfDKSzX3M3aBonaKmEYTVOx9CxEv +mMj+NXCnP26C36cc4mReMlFDgHLTp53NoJPtPy4dzUTqfF84n+5thr2OamCC +/TbKWvX8wWboXqds8RD7XlZo4tSZZlgdYPl3YvH6jhH3DX7N4GS4pz43Bl+/ +K269M7UZ7jKakvfE4fUw6p+z/HALcIEw78pEJrol31R/SbcF/MYeMlSwc66J +DLcYtMAhMblMG2zJv9kiMSYtEMth9rAEe16w13/3lRaIcMmdsnuFr9dQPWMa +2QKfeVXu5yUx0cYn4/Npky2wGqjXd6Qw0Udrn7Q8ogV8U57WGmBbKK09V/az +Bf64K864YMdS1N83cLaC/UOHz4XYGyQe3ZwSboXjZIswSGUiwfTthDK0Qt9a +Ix/9N0y0rPriBAprBe5tF89rp+H18WI+pi68FUqHt6fZYms5hOi3RrWCz+mP +zX7Y/ryF6UOvW2EmOvJNOfbfU1xOy963QtKhV/eU0pnoZ2/S8LGBVji96dn8 ++gwmGp/r7yMrtIFbD0D1O1wPHMKqjqm0wRfzy8P92L5UzVS0vw0kR+Msf2ML +F8ddSdNpg7IOo5Y9mYv15vyC14U2GDk2lByLHc2giEg/aINTget3OGfh+W0X +9CcypA0qhZ3W38NWIO0dXP28Dda/ruuKwf6UH/52Lq4NLkrTBxqwZ9xPq37J +bwNZw+wJ2Wy83mcajd3728CHlMk3jL3W2lvtx7c22HDe6Oxv7L4WWfELY23Q +sHHpNYEcJrqe8/irNrMNer98lD+AneCi5y6ysh3OMQxzn2HP0qrDKlTaYZmb +2PTeXDyeY2X1a0Lboa88oMw8j4muvjwp5fy8Ha6ZuD+5iq2q9fVOTWQ75BXm +a/pg18fxynm9bofI5/bbErFnTp0LG33fDl7eCzsHsDWLZ42qv7aDG0ln+bl8 +JuK2e/Ru42g7HHoRctMBu41fctmdyXY4JThXcQP7orN20Z75dggpsvv2FDtY +InxDwhoSMHt4HOqwO+/t6b21jwTLY03s5Qtw/Veo2UvWJMEJ0Vd31bEv95qG +KmiRYNfadjcd7CWqvvDjBAmOZG0aNceWGWt6ZWxHgka1PPHH2NMRNr+ynUjw +wyNsdQR26ZHZ0ytdSDBTXdCZiH08ToKr6hYJjucs5yrCvn7qsv3uMBJoreRP +6sPW/PPv46NwEnxTunl1BHtF+vP136NIsH7AT5iOHb20rC42mQQ3BornuQqZ +qLKIZxdvKQlyA/pX78BeI/Fm6ts3Evyj83o4Yx88e6/36ygJHmjy+rthu4dZ +fhmaJEEYWnHVE5vCseHNwE8S3JffPBqIHf/9gUXPKjLcX1N7OQG7ZeNFg24B +MgjezfVNweY8d0i9S5gMO6QHb2Rg23+ZX9exiQyPZZS4irHlc5wb25XJcEA3 +IrcB22pUp6xtHxl0KfEtrdjPtkiltWqSwUBOsZWCPRveHdB8lAwdT8Q8BrA/ +3jLQ+HKBDMGBI2fp2NN523fWW5NBtV3l3iz2lgmuDZ/tyTC01vjpL+wgi3Li +kysZjohJGHEW4fE9Ip9RFUCGa43tV/ix73rxRlU+JEOVXUDaWuycwu9BFaH4 ++T7o1ApjC21PsPkYSQbI2pwmjq1jfedUeRwZzMuOXdmI7RltovkhiQzaLqlr +t2D3rOIXLX1HhjIP4RXbsNfoTKwoySWD6br9xjuwD/rUMYuLyDAwnndvF7b7 +++SvRWVkaBEMeiGHnTzj21pYQQbf2A8Pd2NTdpqjghoyCERYWO0pWpzP+zLz +68lwd8V1ESXsy5SZB7kkMpw1FVFSwY7na7qZ00kGCfmS56rYLUfT7bL7yBDm +OEFRw+b0Dzqd9ZUMW2dLF/ZhK5fZHMwcIcOJCtll+7HtGZry72hkcMjQm150 +pJyYeAadDA0RIh81sOvt53jS58jQfCH56gHshYT2ubcL+P/bZjg0seU7s4ff +cFBA+DOP56KtBIPbU5dTQHwlo2XRz/QdK1NWUuC45ftVgF0doJWdzE+Bjfct +di16tnxT3Ot1FFCFSdlFb59beJQkSoE94CKwaDOFTs9XGykwuG+0Y/H3gp0K +7ROlKbCt1/beoscOZj5YuZMCnFJfly1ad0NK+s3dFDj20tR+8X6Tp2IbBpUp +QKc3Ji8+D2dt+KSBOgU0RgwqF5/XKj6Y/z1QoG1Lf5k69scbAYpS2hTI1A0N +Xxwv8eNexqHHKCDPtNVfHM9b0tdvzp+kgMJ/TgOL40/5fSnS7gwFJg+nGe1d +HN9229JmMwps/bcrZfF9PUs/36NuRYFlXr9Ji+9z2s/ob8pFChxS3fx18X2f +OKe/SeASBQTfpjYvzocMhSOHvVzx/cGL6MX5Yt+vGHT6DgUC77V/kVkcv6Kd +b8t98fX0vYrS2FtCt9RvD6LAEM82j83YvRqCa/49oYCke1esGLa6EK+CcwQF +thtme29YfL/jHKfJMfj5ZlUPrMM2jp55kZFKAc0rD03XYOe5jRYLv6NAQbRd +AS82n95gp18uBXx1N0wtX5wPcy0Sph8o8Pq5HMc/vN4OnclJ4WqjQEvD6ija +Yr2QfVvrQqWA7lz6xAj2wtLE0a4eCsSMOW78hv0+L0wu9wcF/vY4b+larA/8 +LoXmfyjwzNMnoRo7+Ic9tY6TCrtjxWgfscc+WswrcVPh0smNoiXYKVdOHOBd +SwVjE1/BTGzxL3I1RdupcEo31vs59u2krT82y1Mh8JJjbTA29ZYET4gSFZou +D04v1rNn21cft9WkghksDHlg8wRNkPiMqXDB7T8ts8X6czDjm6MPFQx755aJ +YH9IHo/xCKDCkwmFb/zY/tyyRkEPqXD4VGEq92J9bXlX+fo5FRxqSzvmFuu/ +ZVZ83xsq2FxxnyFhn/XONTVuocKSsbO/H2KLD87w2ZKpoJdivtkXe0hLsdat +iwoJrzfI3sS+uip/b9g3Ksyur5myxg6KKRCs/0mFI7XpCmrYhSXFDbC5A54m +KsX34351W+LnvRMyHeDU4ylIwj7op7bffFcH8J6kXlrsZw1HS9Ju7+2AoNCf +jTnY36ilQYV6HSAX43nKF1totvzgLvcOmCz/z0gU+7pidYHwfx1wtcbZWx33 +V3vlTYlP6zpgdcsF653Ypipej1c1dcDooSgZUez96so2nJ0dYOWx5sw87u+c +h5P5Jic74MVgweEi7DDDAOca0U4Q71F12Imd7qq16bp7J/znfHr5At5PxLon +rpzy6ATV34abR7BDri/MOnl3wvToHUkStqtnQaPlg05ozXFCGdiqvtJ39OM7 +4fgLh9hz2NVhXJQt9Z3gM5dfl433M/1Z1Y9bN3fBP52R9Tp4f9RC45pQ3NYF +6+w4CXnsClltg3DZLlBW2py3HjsxvWaViWoXiOoG9Izg/ZZN6qeQHoMuuM5/ +b+gh9ve4urAfHl0QbXDl/Se8f6MFNzz/09gFdTqB+bvw/u63Mylmx+1uuNWZ +tD4Z7yeNVcyfyvt0Q5LWQakH2O84vgcpBXSDn37O+svYFpFzbgdCuyHFeEOZ +EnZljehRw6RuuP3dc6IS70/vb7JmeNZ3g0jGz4XOZLz/otAM6kR7gCvLbPon +3v/uOrTir31ZDxSX6jHo8bjeJW12yF3fB2k8uQQP3u97SFhuGbzQD+0h1CLz +R/h7deLMSurxAQiIjvKLw983a/mmuat/DYCh0A1nD1cm4kIx2VKxg5D+9nzx +L2smuqRc1smjPwSa8ukHRk7heqRnk/hxdghMbC+trsPfk8xxUf7vEV9Bzir9 +ZoYsno9GukvDtb7BZxfh0ffrmcjnaGBCwfdvIPZ1zZsZTiba3Hus43XgMOzv +4o2+TGMgddWAcBHF77DW2kntE4mBLkg2z3O0f4e7evtPPfrAQMz6kCFXvx9A +OcenPJzIQJFcFtdPS4/AcKh7bGUgA63tK0zirMZeKbul14mBlnn7Ge9zGwVt ++q0K0WMMtCTNIntIcAxeBpuEzu1kIPfo7BDBqjGYOzfy9foKBirf9YBb4Oo4 +xMqY1LwYIpDAMXdZ/zUTsPHmrNDKjwTqkWtpv/VhAvS3d/9Qfk6ghHEB76Vo +Ag7pHNAIfkYgmzQjmZDKCXj3T//g16cEGpWmeiZ+mgCG8h2jJ08INCvWJ1Hb +MgGB08IPv4fg3+edcBAanoClpw4r+j8gkM73FQtZq2mQoClbddmbQDzJeilq +/DSwjnEMKfYiUIP14xNVgjR4YMvbwYFt1LvmFXk9DQ4LSg6F3yaQFWmdzsJm +GvhFxN8r8CDQnSqpJ3oqNJBxUb5HdSNQTjxIf7OgwSo/tYBnFwlE3CZWWFvT +4IDQlZJ6OwKpmLwZ77Wlgb554wwHdhkfXz7VkQa/RtVOXrEh0CffvkNf3GkQ +/jq+X8WSQN023pZ5QTQIjVVaFWJKIEnYc2T3QxocN9yukWuC70dsWObdYxrI +cjBq288S6Hu7wWTKExq8PFGct/YMgaa1xL2jomnwNGBfp5chgZZvK4v2zaJB +Y/2M+IAegfSWutz9k0MDzz2SpP6jBAru32JzO58GIRrjaj26ePwiH+24/p4G +VscM9eq1CSTOY/beoYoGj4aPyd44RCDL4dWx36ppIBTbx2t8kEBJlZU+1rU0 +0Pbo95MDAm27vUP3fAMNLnuciGjSIJDi+E/yCQoN/Gt+/leqSqAbte9KvnTQ +IKVAet5ShUDvX1vFHe2mwdlBgaxfygQ6cKHO7vAADWaWX2heqUggXzWvo2iI +Bs93lWv5KBCoSkhBVmOYBlzqu3YOyRPoaONLYu8YDSZNLwle3kWgR2n61LwJ +GvCupR8M3kGgxsB/pbunaEDLVH4Vso1A/Db58e9maJBcr3nZaSuBTms6+O9g +0ODM5ZENwlIEeiEqZp86S4MfnHq+gZsI1DHbpCc1T4OikZ9LX0kQSLTdXy7x +Nw3MP9kcPChKIPNsFQGJvzQwoaiprxImUJHZzzUtSybB7l/UvCwfgbjEdnCs +4JyELvvS3L7l//Pynfe5diz73/WxFZ5DSgt09u9p9H+S7ifo7L8XfvfH85OT +dPb9GLQtSD4ZpbPvd1rboVFvmM5+Hkn6IU3jQTr7edPbqOTIXjp7PKbEmS48 +XXT2eHnmbA+KpdDZ47lJSNVYv53OHu9pAbUjow109vuQ6zF70fqZzn5fUVZ/ +L9V+orPfZ6KGnxqpgs5+36ueTGqMlNPZ8+Fm6/7KpWV09nwZjfazzMims+eT +2N9C9w3pdPZ8S/0bGmD0hs6ej/q3Vwk9Taaz56ujxb/mqCg6ez7bWfPGbA2n +s+e76e530Zef0tnrYTjXW6QglM5eb78zmqJW2dLZ6zH37N2aYQM6e70+EHVw +yT9EZ6/nN/E7WgU06Oz17gYf2jX30dn1gPeu6QVnFTq7vo3rSiZruc6w658r +/9jQH79pdn3k36285rTsFLt+3rjDkNXZRGPXV+EvIhzZS8fZ9bfQUau7UnCU +XZ+9rM4l/lz2g12/rxqez9wvO8yu78pv997KMf7Krv91F59/eus0yO4Pbkf+ +kBxs+9n9w+6t6vyT5m52f8k6Lnc0PqaD3X/SQrQWmq+Q2f3pqIqnZL9EG7t/ +0TikN34+2sTub8dzYjvM+evY/a+mQtjy72Aluz9O2ZaUKMe9Z/fPGbk24X3t +aez+qhNTMUnL8Gf335vj8FX7USKw+nOj4D6+C675wNofmDO8K3telANrfyJZ +rpxqv70aWPutM55Swxyhn4G1/0wWt9/XcKcJWN87JgKnbX93twLre37+ttLD +h4dIwDpPOaE70rWphQKs86WA9J9dXxw7gXVeFuEuY+DS2A2s8z/bq2Gayyz7 +gHWe2fVS2r/JcgBY56nav7p7LSMHgXWeu/km56OOsSFgnSfb9V6hlG77Bqzz +bOmT30Zd/YeBdZ7eFVib2kb7DqzzfHV+mSSy3giw8gTHt46/+NpGgZVnzG/L +MV9ydhxY+cnL1aSmXTsngJWv/KxpeqMQNQGs/KWol5kk1zYBrHxGu9vlndDU +BLDym5aqGx9e/5kAVr7TeM3ThM6F193/5z9+Dd0ftFfRgJU37RU/sOel0CSw +8qyOX6tslFImgZV3aSXPd3yemQRWHiZxZqDWSmQKWHmZV0qkxwO5KWDlaV+y +BQoKVKeAlbf9HpuvmDk0Baw8Two13PozOAWsvE9P8eoyDolpYOWBW6PIYWbK +08DKC8cFx/muHpwGVp5YIWe7JVxnGlh5o+IUSbPJYBpY+aWJZ/0o028aWPkm +zw0fLduEaWDln6UmjBV82dPAykclzaSZcsXTwMpPX+iNWN9D08DKX7dkiK9y ++zoNrHx2+UGF4Ctz08DKbzfxSkSIcM4AK9+9tNa+0Wj1DLDyYOvr3EPjW2eA +lRfvS+0MTlWaAVaeXPqpjl52eAZYeXNx6e6IEqMZYOXR+Zyt9vkOM8DKq4/d +tmp285sBVp5tWcsxXlM+A/8H4aet9Q== + "]]}}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwV13k4lU0UAHCkQos1sqUibUgSkhwpZCuyV3YRKdJCRXZfylKR7CQUsmYJ +MYhIZLuLfYuiXOW+95JSfXP/us/vufPMM3PmzJnzbnPyOn2eg42NLZidjY31 +a3B+pqdh1kIzwSL8D6pfQJzHxLzHRJVBK/nSpoPLC+hARmLqK9HjsPaG9kkh +fjqakpAJ9pU9CZ0Gg/0K0nRk2EktSxM1B+76z1LGSnQkEyWZ80/KBu6KyQqG +Ah2tGDg/cZS1g5Wo78p2hnT0NYzU7JjgCFYvN8UrWdKRlctS3SNRFzil48VQ +caCj5mNiVW9TXeGJ9RP7h+50pCh9pIQh5Q78Ov8ibH3oiGsyJMtS9jL8Qz1/ +k0LoqGa8t/OtuTd0/Jd8Y+c9OvI2cTE4l3AFSqZm9js/pKORff8dixa9BrvM +T99fk0FH8RmbG3acvQ7RJSF+t3PoyIA3X6M+9QYcPv6sq7qAjirnOw5+l7oJ +TQU3pbOr6CimUGCXqewd+Kab/iC6k450JLOzZ90CYSv/878lvXR0510yX6N5 +EGznch2poNKRsopctE1CMNSdvdrsMUFHmZtORdwTDYPXrQEyeQQd3e580mxZ +EwabHZWs2X/SkWX4OIf02XBwHdO6qLNCR+uZVwJrUyMg48qVF/GcBPIjxfvN +SUUCW4hPqosQgUzjBj1OysZA9GGeq6f2E0jOUDpPrDUGxH7dX1ehTCCuVZ5f +PrvFwlXeC5G8agSyr/5biswfwLNrd8OzNQm0afcDBeuEh/C7jkm1NCRQMNer +nZGi8XDc/szRTc4E2qP38G6ZdzzwrSEflnUlUG+41+xQazw4Sv4pU3AnkMwq +uQKFG4/hq8+pb7JeBHr3J1uB1JsAURM1I9W3CLSeSDgoFZUEiSXviZgHBKrY +fz3hxKck0NShk+TiCGTnbbZ0RT0Z+h5Io+bHBCqm8VY3zySDiVGBzKdkAp2e +uavhoZMKa34lHB7JJlDiyK1jFX/ToepMiupwFYGOSthkj1pkwBdPn/eyNQT6 +ekZ1NVdhBtj+nZ/wfEOgLXM3nQvlM4E71/jHtwYCebmr7GAbzoS5RiOPqvcE +EnApfpGjlgXN2xsLHw4SyNrmafGPhWxQ+M19uGSZQN+HzHmyxHLA3iKy+cJv +AkXYcZ03O5YDfUEm3Vv+EOiVs5dYZXwOWBcduh/KxkAbLx8Jv62aC216HScV +1zJQS8jAmTUBz2E+T7pAQoiBlF7yr5HgygfLCvajF+QY6L1Ci0OnYj74Xuht +HZZnIIdSv9o7NvkQwN4ZfGofA0VXjnlP5OdDZMhExj4lBpppKBzKNS4AU+cQ +5qAqA6WT9Uv3x72Ec2OydhPaDLTuX/A5vS3FMM/zXrnFioES3a3s5HSLgcTr +MsRmw0AyJDkH/svFkP2rVOHwGQbSzKM6D9UVg3fC9emCcwxUnZdQUaVQAip3 +jPsDHBmIZjb3xvBjCQRbO45PezCQxYsnH65uKIN0r10hZH8G2mE6P/P2fjmE +OLzmT0pjILFAPXW3p+VwNlw7KTudgfgKM+/zVJXDi+3qosUZDPSLy0zBdLIc +GIqDXI1PGai7oerqqFoFvMjv7u3PYaBbisF/f05XQIKKkstAIQN95BUSUtCq +ArG+p6d31TGQ78dDkMioBoH+wImjAzjeChXT77lqQNohm7J2kIGyoxWjfkvU +gIFSwO5O7B6jnQN2OjWg63zgm8UwA+39IHR1R0INSLpU0+3HGGis9XtumWot +PFdeKrScZiC9xpyNnbfegIn2d+++HwwkUs4/ys6OIJl0ynLvGiY6F/XbfEoY +wcQnuuYQdpbL9Id3cgg26bE9vreWieQ2VVfft0Zw6UDV/S9cTKR9w+HxphIE +pnVfXiWvYyKVVKvc+H0NkNb15PJnPiZytMu1fTHaAGymIRYi4kxUOXmso0uj +CawGCpx+yTMR5UTwQrReE3Bp/LflPwUmWiyqFzY63QRj1n88BPcxkertQ45t +bk3QIa5StUeRiV4L7ltseNQEyTnbdporMVH1cbGtZTN4Pm6HikcqTPQm98fV ++Pi3QFv8wGjQZKImjzRxm7lm6Pxa1EIYM9Gr2YsX7RabQSm3Pdz+JBNlX1Cv +dWZrAZFRr8V27HBX6pnLQi0wybb/a+YpJjrhxJ8cqtECJEffbfqmTNRlE765 +KKoFomn3/9wzZ6LhE5c2rZJ/Bx3e473kM0zElD3CW3ipFf6ua738yJWJNCe1 +W+/5tcJFdXFHAjsi7UTghdBW4E1ZWmXuxkTCQubfpZNaoSJF9JzQBRw/9otd +yc2toDGSd/ahOxP5DSXG3hVvg7p/3s3+nky0EsvgdXnfBvs6r8eL+TARx3IB +n/iOdmjq6Dni789E4p3aBOxrh0P7KHEt2MpPB8guh7BVQoo2BjDRef21KUXG +7eDxyFQpE7styWmH9o128FfT9224w0Sx6qLq7q3t4FIlKLAYxEQS/hHOVe4f +oPV2loNKOF7fP4dKs6IO+JWmUMofzURGxg0hOq87YItw7g9DbOcUqVOqTR0g +40L8Ccd+oDr6RYzSAX7jl0OWsWe9zopO/ukAo1NBB0djmCh13MLf27gTnmoE +Wac/YCL2JgPt6LlOCJR23f8rjok28+VvDFzsBCqhY60Qz0QKdtxD3mwf4epo +1ykn7DO/Wq+aC32Ep/6qpe+xy5V0csQ1PkLDwReQ8JiJXJ8BV/79j/DbxC1N +9gkTdYQpf3y3pwt0i0O+ySQzkTrvB46HB7vg4AU1sMJ+keSoelarC4Y2KdtF +YocWxWR+t+iCDWH2f+dY4/tnfDYHd4G76f720hQ8fm+aiAe1C+4wPmbvT8P3 +YTakZI12N3CCMM+6TCa6qfCx/aJeNwR/jWSoYJdcFZ3uNuqGo+LyhU7YW/4W +i6ZYdUMq+5nIauxlgZGQfZe6IcGr9LvLUzxeQ9XCOrEb3vOo/FeWxURSD74t +5813wwagXtudw0T1joF5ZUQ3BOU8bDXCtjsgaFP7sxv++CgteGGnUtRfd3D0 +gGuk2/sK7M2S9258F+4BY7JdLOQykUD+LkIZemBU0CzQ8DkTrW4+P4die4Br +5/mzOnn4fjxeTmmL74Ga6V15ztjH3aINe5J6IPB0fVcwdghPRf7ksx5YSE58 +Xof914TTffXrHsg6+jT0QD4T/RzJmjYY74HTWx8tixQw0belsVGyYi9cGQZo +fonrgVtsk4FKL3yw9Zweww6iauaiw72wZTbN/je2cFXapTzdXqjtN+veX8iq +N2dX/M/1wozBZHYqdjKDIipztxdMwkV2exTh/HaJ+JMY3QuNwu4iodiKpIMT +G+J6QeRZ22AK9rtX8S+W0nrhvAx9vAN7wee06odXvSBnWjwnV4zv+0Knuc9Y +LwSSCnmnsQUdA9S+TPXC5rNmlr+xR7vlJM597YUOqVVX+UuY6FrJ/U86zF4Y ++VCvcAQ7w0vfR3RdH9gwTEsfYS/SmmMbVPpg9RXxHwdLcTy/1rZvjOmD0bqw +WtsyJrr85JS0R1wfXLXyeXAZW/X4p9stiX1QVvFKMxC7PY1H3v9ZHyTGue7M +xF4wsYmdfd0H/gEre8axNasWzZo/9cEVku4am1dMxOVy76XUbB8cfRx9ww27 +l2/L6tvzfWAisNRwHfu8h07l/uU+iK50mXqIHSUZvzljIwmYw9xubdgDoftH +bh4iwZpUK1eFclz/FVsOkjVJcFLs6R11bM8R6xjF4yTYK9h3RRebTTUIvpwk +wbGirbO22LJfPz41dyFBp1qZxH3sHwlOv4rdSfDFN3ZDAnbNscXT67xIsNBc +PpCJbZwmydl0kwTGJWs4K7GvmXi67oslwfF1fFmj2Jp//tXfiyfB1IEbl2ew +1+bHiXxOIoHIeLAwHTt5VW1bajYJro9XLXNWMFFjJfdenhoSlIaNbdiNvVHy ++fepKRL8o/P4emBrWYaOfJolwV1NnpAr2D6x9h8m50kQi9Ze9sOmsG9+Pv6T +BP8pbJsNx07/fNdueD0Z/tvY6pmB3S113miInwwCd0qDcrA5bI6qDwqTYbfM +xPUCbNcPy5v6t5LhvuwBzipshRKPzj5lMhzRSyjtwHaY1a3tPUQGPUp6dw/2 +o+3SeT2aZDCSV+qhYC/GD4V1nSBD/wNx33Hs+ptGGh/OkSEqfMaSjv2jbNee +dkcyqPaphC5ib5/j3PzelQyTguYPf2FH2NUR77zJcExc0oyjEsf3mEJBUxgZ +rnb2XeLDvuPPk9QYSYYml7A8QeySis8RDTF4f290W4WxhXZlONUnkgGKtuVJ +YOs63japSyODba3BJSlsv2QrzTdZZNDxyhXcjj28nk+s5iUZan2F1+7E3qg7 +t7a6lAzWmw6b78bWCmxjVlWSYfxbWehebJ/X2Z8qa8nQLRDxWB47eyGop6KB +DEGpbyL3YVP22KLyFjLwJ9g57K9k5fOhwlftZLiz9proAWxPysLdUhIZLK1F +D6hgp/N+vFEyQAZJheo4VezuE/kuxaNkiL0wR1HD5giJOF30iQw7FmtWDmEr +1zppFc6Q4WSD3OrD2K4MTYWXNDK4Fej/YDlRXlyigE6GjgTReg3sdtcl7vwl +MnSdy758BHslo2/pxQr+v3eBXRNbYaB4+jk7BYTfc/ux7CAQ1Ze7hgIS6xjd +LD8yvNCYs44Cxvav1wN2c9jx4mw+Ckj9Z7eX5cW6rWnPNlFAFeblWN61tHIv +S4wC+8GLn+UzigN+T6UoMHFotp81X5R7hWumDAV2jjiHsvxVq/Duuj0U4JD+ +tJplvc05+Tf2UcDgibUra73Z31M7JpQpQKd3ZrP2w9EaP2+kTgGNGaNG1n4d +0qP4XgMFereP1apj118PU5LWoUChXkw8K14Sxv7mMQYUUGA6G7LieVPm2o3l +UxRQfOs+zoo/5ffFRBcLCsxr55kdZMW3z7mm6wwFdvzbm8M6r0f5Z4fVHSiw +2v83iXWeP4LN/uacp8BR1W2fWOd90sZwK/9FCgi8yO1i5UOB4jFtf2+8Pnic +zMoX1zGliNO3KRAe2vdBlhW/yj0v6oLwePpBJRns7THb23dFUGCSe6fvNuwR +DYGN/x5QYIvPYKo4troQj6JHAgV2mRYHbGad7zf20+QUvL9F1SObsM2TFx4X +5FJA81Kk9UbssiuzVcIvKVCe7FLOg82rPzEQXEqBIL3N39ew8mGpW9L6DQWe +xcmz/8P37ahFSQ5nLwW6OzYk0Vj1Qu5FqxeVAnpL+XMz2CurMmcHhymQ8vWC +1BT267JY+dIvFPg77LF9kFUf+LwqbP9Q4JFfYEYzdtQXV2obBxX2pYrT6rG/ +1tstH+CiwsVTUmLV2DmXTh7hEaSCuVWQQCG2xAf5lspdVDDRSw2Iw76VtePL +NgUqhF+80BqFTb0pyR19gAofPSd+sOrZo10bjJ01qXAGViZ9sbkj5ki85lQ4 +d+Xt8TOs+qNVMHUhkAqmI0urRbHfZH9L8Q2jwoM5xSk+7BAuObOISCpom1Tk +crHqa/fLxmdxVHBrrelfYtV/+6L00edUcLrks0DCtgwotTbvpgLbV8vfkdgS +Ewu8zmQq6OfYbgvCnjyu1HplkAoZzzbL3cC+vP7VwdgpKiyKtHx3xI5IKRdo +/0mFY635imrYFdVVHbCtHx5mHkgfw+/VLcmfoSdl+8F92E+AhK0VrHbYdm8/ +8JyiXmS9Zx0nqvNuHeyHiJifnSXYU9SaiAr9fpBP8TMJwhZarNPa69MP83Vv +zcSwryk1lwu/7YfLLR4B6vh9dVXemvmwrR82dJ9z3INtreJ/f/3Hfpg9miQr +hn1YXdmJY6AfHHw3Wizj951DO5t3fr4fHk+Ua1dix5qGebSIDYDEsKrbHux8 +7+Nbr/kMwFuP02tWcD+R6pO57rvvAKj+Nt02gx19bWXRPWAAfsze3kLC9vYr +77S/OwA9Je6oAFs1SOa2YfoAGD92S7XBbo7lpGxvH4DApVdtxbifGStqvt+z +bRD+6c6I6OL+qJvGOae0cxA2uXAQCtgNcjpG8XKDoHxgW5kIdmZ+y3or1UEQ +0wsbnsH9llPuu+hho0G4xhc6GYn9Oa0t9ovvICQbXXr9DvdvtKiOuD+dg9Cm +G/5qL+7vfnuQUnbfGoKbA1ki2bifNFexfagQOARZx7Wk72K/ZP8ccSBsCIIN +S0Q8se0Sl64ciRmCHPPNtQewG1vETphmDcGtz35zjbg//W+rI8OvfQhEC36u +DGTj/otCM2oTGwbOojM/fuL+d+/RtX9da4ehqkafQU/H9S5rm1upyCjkcZcS +3Ljf95W03z5xbgz6oqmVtvfw9+qcxTqq8TiEJScFp+HvG0HeH1zNv8bBVOi6 +h683E3GilGLp1AnIf3G26pcjE11Urh3gNpwETYX8IzMmuB7pO2XWL06ClfPF +DW34e5L5TYzvc8InkHfIv1Egh/PRTG9V/PEpeO8lPPtahIkCT4RnlH+eAvFP +G58vcDDRthGD/mfh03B4kCfZk8ZA6qph8aJKn0HQ0V3tHYmBzm3pWmbv+wx3 +9A+b3HvDQMz26Env4C9AseFVns5koEROu2unZWZgOsYntTGcgQRHK7I4mrHX +yW0fcWeg1QHB5oeuzIIO/WaDmAEDseXZFU8KfIUnUVYxS3sYyCe5OFqg6Sss +2cx8uraWger23uXiv/wNUmWtWh5PEojfwEcuZOMcSN1YFFpXT6Bh+e6+m2/m +wHDX0BflOAJlfOMPWIXm4KjuEY2oRwRyyjOTjW6cg5f/DLU+PSTQrAzVL/Pd +HDCUb5s9eECgRfFRydbuOQj/IRz5ORrPzzPnJjQ9B6tMtJVC7hJI9/PalaIN +NMjQlGvyDCAQd7Z+jhofDRxTLkRX+ROow/H+ySYBGtx15ulnxzYb2fiULEID +bYEtk/G3CORA2qS7so0GwQnpoeW+BLrdJP1AX4UGsl7KodQrBCpJB5kpOxqs +D1YLe3SeQMQtYq2jIw2OCF2qbnchkIrV828jzjQwtO1cYMeu5eV9Rb1Ag1+z +aqcuORHoXdDo0Q8+NIh/lj6mYk+gIacA+7IIGsSkHlgfbU2gLbD/2L5IGhib +7tIotcLrEZ+WfXmfBnLsjNY+SwJ97jOaz3lAgycnq8oELQj047hEQFIyDR6G +HRrwNyXQmp21yUFFNOhsX5AY1yeQ/iqvO39KaOC3fwtp7ASBosa2O916RYNo +jW9qw3o4fon3dl97TQMHA1P9dh0CSXCfee3WRIN70wZy148SyH56Q+pUMw2E +Ukd5zLUIlNXYGOjYSgMd37FgeSDQzlu79c520MDT92TCRw0CKX37ST5JoUFI +y8+3NaoEut76svpDPw1yymWW7VUI9PqZQ9qJIRpYTvAX/VIm0JFzbS7a4zRY +WHOua50SgYLU/E+gSRrE7a07HqhIoCYhRTmNaRpwqu/dM6lAoBOdT4iDX2kw +b31RwHMvge7lGVLL5mjAI0jXitpNoM7wfzX7vtOAVqj8NHongficXqW/XKBB +drump/sOAp3WdAvZzaCBhefMZmFpAj0WE3fNXaTBFw79oPCtBOpf/KgvvUyD +ypmfq55KEkisL0Q+8zcNbN85aWmJEci2WIVf8i8NrChq6uuFCVR55ufGbrZ5 +cPmXtCzHSyBO8d3saznmYdC1pnR0DYH+B7lNHg8= + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVlGk81fkCh62lRra6zRxZkkMphmupzMg3Q9laPkUpyR6qa+u2SEXHxZSR +qdvJEsmVJVSUpSLzQ5bKvsvJdqJwzv8sf1QSpvvi+TzvnpePlnfw/mNSEhIS +gd/5vx2OjbdXTRyw9GiQ5NVViiFjrRoyxDCFQ7hnayhLDJO7yWnFDBsUS7X7 +FfuLMarGZJ3T3YOn5YaJz53EcGzufXKH4Yzy+ld0xW9iMOPVsxc1D8M85218 +jokY3xx8krx03eF1Wo7L0xHDxfdz5X8Zvji50q/ZaYUYtdaqT1+m+WHtcvVE +hpQYRtrbiqY1j2PJdqP4wM8iyHGjMg/qBmFdgZp86HsRBgx/t77GOI1b9uNe +/yEisO/+VKVz5Aw0XJkzBk9FcFDMt/gr7SzKXaaXKhaKUCZoMhNqnseyM5E2 +PndFSHiosmGfbgRcwt5MzLBEyPjH3tg4RjSMhV2WLbtEuNCcVHuwPBpVBj7r +2DtFOBgzLKV9JAY8FZ5i0HYR5GdCIyvSYqGT0v2nq6kIYV3sML7mVdgbB8lK +qouw72b/iT26CdAmTefnR4RgyRWvv8pgY25ytkpsJcRG2xtXnoSw0VioXFKy +RYiOmOAJTgMbF7OTz10xEIIprV/w89lbUD8w3ODJEKJ+Puvnro5E2GTN9r0W +CyA/lWimGZ+Cvq/y3ibZAiQPhFuXLqTDTG3bP5NWCXDo8P8KReIssJo4L3bI +UxBynJdnqmaj+d9hLrQMhVh3uWNO1tloqznz4t48H8U+wapl7Gzs4AQ/WCXk +QyFoW8yFLTkoG5jJNOjgoy7qreuSS7n4UteSa5TCh/ED5SVqcvlIWtHVsmkj +Hz8sstxsNQoxu77oqMRBHnT2CcZf/lGCgPsBXxU7JnCuxRzJ08/xi5JuZrf9 +OH4sUR6UlCToj2nI6aA+oIxr3dRqUQPm3tGJkKgx1Jy4s+Ywvxa+A4E95etH +MaO7TfFhYAO0zkrF9U1yITVboLRG5w12fOUMeCSPYPOiZ5nToyb0JzGjWjyG +0RRt2lK/sRU+QX9aynoMQvM6bzZP0IbEU7q7gps54H0eGuw26kB0/pf+xoC3 +yJqseKOQ0Ik9tuP9a9t6oKCeKxwd7cJsuMnVq1ZdiD9e6pfB7IGL8n6fOU47 +Pm0vGA2I7EWWmp9504UWnDauLVn9sg8HwrTHJBNeY+hR7R/tWv3QqDTN8dtQ +i7kTXal64Rwcnb5U/e5WJTZZLV3wq3iHZhVzRbeQYthmavk//nEQZ3l4vyMu +A+fUPdaNuA1hZ2qVgCqIIvr8Az/07h6G2KBjtXlnHlmpKJKr/ToMoc/z56Z3 +nhEZklqonTaCuqrVHgsj1eSkacXbZY5c7C5K6zuq9IoU2Htn/PWJC0qSqfna +roXM8FSVPiS+h93mMI0h9Q5S6mQrzbYZRd41m2+tgd0k0i7mbsmHUTzabWCX +ntpHtAYc+u7FjMH3/pbZ660c8suWaDbD+ANCree7/H2GiJtG66xk5we8Onaz +/v7xETLz5ho3hPURpvfNzhc5vyfJMu6n9zPHEbTvyMNf9cfIysHSTKnacVz0 +PJzxRfYjkb3EcjYPnUBpgA2nWmWCSOS5F3JVJrG6kSFZKM0jp24XXlOpmcSZ +C9P6O9dSpHLTFTnlIB6UDE0V9usLibLDKf0oBT5ClCa58ywReWfQ1nn+BR88 +W40smxAxKUoHc9SdwvKIQ24nNtNkKnxqqZcXhVC86LQ0p8lml1zegA+F3HS9 +dmULmlQoKhb3BlC4ouofXGxFk/rLg1aNpyg8PhhRN7aLJhzvSx5PYinMFbSk +yPvQZMn6ituXH1EYe3yJUZJAE3vp4Ij5IgqHDB/c/tcNmsQPrfMOL6bg67U8 +VYdNE+XkOL3TzygEuC+2pqTQRG2Z6zP/GgqO4fKrbmTRxGNsRdpoLYWchYRo +p1yaZFZXR3o1UFizUHrqp3yarA/Xsz3SRGHiNsujoJAmxrwv3Xt6KJxt/7Va +uoImZxoePG/soyB/XWAxXkmTZ/c879hxKGRYsLZ2VdFkm9sr39+GKaR4Lpxs +qKfJ5a0X7QiXgsE711vtr2lSs8pI32KMgkh5q/VEE03smpOmzCYprF21xdmx +kyZxeY69T/gUwoo2xKb10KQ5ZrHcUPj9M2ozwcv6aaLkXZz+QEwhv6O3O3mA +Jvst/aP0pilo0FaWziM0uaW6xi/n0/f+Dv9m+zGa9H1qsdeepbCr45vG9Qma +qHZGGWTMUWBHfLy5V0CTo4WbldUXKFgM1TOHpmhS5vpFoU1CgLSqMK7JN5rI +rNGTXColwJKNv8voyU6RvwELt5GU + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJwV13c8Vm8UAHBpibIpIYmUbIkojllCIisZyQy/IgktoqWyiqysJBll7/W8 +5vvaI6XsVSiSvPe2+T3vX/fz/Xzu5z73nufcc84j6uh10oWZiYlJcg0TE+Nq +4DLbS5mz0LjuoDuSu49A63S2e48JKMEdY9VQEyDQ/tT4pGIBXXArvnCs6iiB +poXEg/0ljMEk51+kgDGBDDsHipIFzEFb0d3Ew4xA4mHCL1ZFrGGfk+Bu9lME ++mvgFHdWwh4mnZqFVWwJ9Pl2f9PZ2LNwhlPsJ7MDgaycf9Q+FnCG9xp2fHec +CNSks728MckVhuQoX9pcCSQvpl5AF3EHv49l+qPuBGKZDEm3lLgA4ilrHtz3 +IlDVeF9no7k37Ly6SpHyIZC3ibOBbexFcK7buFDgS6ARuXs64QK+sFZUY8Tr +CoFiUrdRdttchujxq6fyrhHIgCPncF2SH1iL8Lt9uEGgsq8dBxZFrsAXgSfu +f4IJFPGae6+pRCAUXRJ3i7pPID3hjIw5tyA4ZIMyLR8SKLAlkbPe/CZs0ndb +4QonkJKydLh1bDD4xGk7eUQRKI3vxN0HArchsHNJpiCWQNc645osq25D1k3j +Xpl4AlneGWcWs7kDWd7xmhkJBNpMXAyqTroLbRklddeTCBTQHxMwL3IfJmws +K6eeEcg0etDDWCIC7Nt9vlXkEkjaUCx7OzUCZpn8w8Ze4fis/W/mk1skuJZf +k2TOI9CZypVCZB4FPOOHRg8VEIhPMkr2VOwjGL0mJ3W7hEDBLMV77gvEQGfa +fy7Xawi07+ij0CLvGFhSTB4PqSVQ3x2vuSFqDLSHqB+9W4f3d610rqzfE3AX +c911m0Kgln8Zsv19saA+Qvy0a8Lvvxx7QCQsAeSD4j3i2glUqnA5Vn8qASx4 +6OK+HQSy9zb7cVEtESwlE4qNOwmUv8BR2TSbCDz1i/7/ugh0cjb0sIdeElw5 +SC/U7iNQ/MhVndKVFNjT8y7z0nsCaQlZZ4xapELpR8ofhQ84n06rrGd5nQo+ +xb6vv2LvmL/i9FomDW6yhmo5DRHIy115N9NwGlinsA+pjhKI2zk/68XBdOjn +i2l7NkWgU9bP8r8tZUBe842pGwsEWhwyZ03f/gLq30/LsXwl0F17FhcznRcw +mqMZ9Qi72Mlre1nMC6hb4o97tkgg9gvqd66pZML0uXPM5UsEag75cHrDjZdA +wkaLSoJAiq+4Ngix5ADbtfUS+/4RqFW22aFTPgeylWz3PMV2KAyoDrTOgecz +p66zrRAovGzMeyInB0yMdfd8xp6lvB7KPJ4LE6JZ2alMJEp5e6xQIfoVfLp7 +9cn8WhKxrQbbHt2RD/Fqz6r4WUkU725lL30kH/Lo36Z8sMX7pR24LuTDrr2s +4V3YGtkDTkO1+fBxi7rjbTYSVWbHlpbLFgC74S2Fz5tJtGA2X2PYVQBuqkg0 +lYNEFllx7Ze2FEGKckh1MS+JkuxCjFxFi6Dc1vniGj4STXKf7zx1oAhEC+8j +Y2yvG9rd6nZFkGsktmcW+/7Jhb6Nr4tgm8cHJf6tJKr9qz341KgYDPg0us8I +kGi36dfZxoclUN9X+OGVMIm2Bx1Vc3tWAv+Oq+rSsTlfpz1kLS+B+R0ixw7t +INFvFjNZ08kS0Ph2WpyG3UMpvzR6sBTcZ6LlR0RIdFU+eOXnx1I463NGkBAl +URcHL6+sZjmcYFvXM7abRI3q5116LcrhwUBhuKAEiSo8W8p8PcvB5eEEYYn9 +nBpgXR1bDilKgdQO7ICgkRT9hXJQqbh6rWQPiXYtZko6JVaAmO5osK8kify7 +VCGeXgkrmRGlldIkYpct/djKUgXvkq8oz2JnhMuH/RGqgg3vPf35ZUjUa7Tn +g71eFeQc9FPzwZZq5720O7YKchX9OyRkSTRGXcwsUqmGOV5F69tyJDpa/4K9 +82oNzM8kNnMrkmh0p2jpSkQNNOrrNKhi+95MspF/XgN13I/vOmA/g+is6PYa +CJj97foa+0/tTe3TQrVwT7IzTHc/ifKrbPxmamvB4/p0wjklEm0t4RpdswbB +FWoez31lEtmG/TGf5kfQM9kq8Ao73flje4s0grXNn6a7sKX5KisfnkIwJbGu +nUeFRNp+Dk/4ChC8UO4YTsRWTrLKjJGjQJaxuV7KQRJZh3ZM3FGjgM7L/pJa +7Ou+WsL+ehQgU1/MD2PXG0nFWNtQQHvdmrLtqiQy+rcSvOMeBUzOMpVGY5+1 +z7TLGqVAh6BsxDU1Et02EExImKNA3KhPQhx2pnJU/wM6BUo5mL2LsefZrxqe +Z60HVFgc9BnbDx1XVVSuh+5BkLU8RKKwnQRvTXg97LpIXxA7TKKySZ2O7sMN +8K5wTiBRnUTv9IOXwo82gNhGu2v52GReHb/RyQbwjvye14Stck31LM2tAc6b +coZ/xa7gkSMpjxugfsv4Xk0N/D/pbt9ZNNsASnP+fwexB3Os9LyXG2BBmCtq +Afs35xMP2ZUGELf+9GMVW22EozSHpxHmepp0xYFEVZfXGzzXaAR3B+o7D+ya +zG+XYmIaoWBkhVzEHt4sm3AytRGGT/0eXsX+6+NZx5nTCIYZwgkcmiRSh08s +4agRGgZMYmSx6waGku98boQSoScJHtiIhUr102wCq2Gvn4PY4xfWLSgZNoHj +mKnxLDbTWy3uZYsmeFS04zqBrfmsxtbLswls3abPcGiRiKJatOQW2wSmYZsM +tbAbPJIFreebwOlQTEsydvGcp6c92QQ8b28uvMTOOKdW7cTUDClR9O+F2Hdc +B05f4G2Gjpn6hGZsfUeuxFuHm4Ft9y7rL9iqE2NzoUeaoTLUz4+Ovc8hTzXC +pBlqHn/z+Ye92d7wQ7xzM5wSfL6JQ5tE3dZ3tuWFNUNaiGCBPDblvdm54thm +iNMspx3ELrDaVVGR1gzpllz1mtiPLZBVY0kzuKgamppgW5r+in0/3Az974aW +zmMP65/nWyvTAsc3ndZ5jt1JPeTCotICl3Z7OWRj1x1hLd2i1QKjmU72+dip +ui/Nt1m0APWY/L8qbEfNiWiZGy1Q1KFK68E2Q/lTivdaYMlW+utbbF2NwP0H +H7VAxv57xCD27sOCb7Rf4PVKK7KmsWdVLLhPdbaA4+JQOon9oUzM0W6gBexE +Jt/+xm478L3QcaIFLAr6P69g5+6PND1PtIBoBqraoIPrc5HdM5/VFlBReH+Z +FTtMQXrJfxMVeHyFedixL8i1RoUIU+FY7nk6L/aZvLjxe3uokHUo/uA2bBMZ +V/lwBSqsrZI9I4itKLW2J06PCr9+jpiJYovl9Iokn6BCShvfLnFsXsk0r3Rr +Kjx69aNPApuQUOd4fZ4KO1l+DEtha0xqUx8EUIHLMtZNFvtusn7QuVtU+B7C +1COP3XXKWOVIBBVSu/T592Pz85oviiVQ4YBjiNoBbPtu65drMqiwx4RyWAU7 +88GZM2N5VPCL4RNWxVZe49md2EQF3zTTgMPYgTXe9wK6qfDlm92yOnaLvx9Y +DlIhLLvDCLDZ91//sf8jXt+q4LYmttXX4Hyub1Rg9xV8qoWdln3PbfE3jo/D +ziht7FnncJHO9TRId+910sGW3xk9kMNJgzdUTX5d7ICh+MhQQRp4Jt98yTAl +NuWoqwQNmH+mb9XDZjmZsaqjQAPtnkpXhk225JSLHqYBD+tADMMJtHyv1SM0 +QL6b0xmevFW6Z8SUBqztnhEM74PqsSpbGlgUbzjNsM9vSly8Gw3q0Nd1DFeV +tpzw86HB4QsHIhnrM1/s2Gh+gwZNe+d/Mt7XQLoPKYTS4KyeuDbDj2cG/Dmi +aXB6w6IH4/uG0kfkFpJpoJVod4nx/eL2UzNtWTTYIxRsw4jPfwJzqVnFNMiK +9hBjxK+k/6vV3ToacBD72hnx/RtJ53BupUGA6JuTjPjrGv6mavXTYPzTpSo1 +Rv5tYLopMkYDM6YdzIz96q9ff/DfHA1+8k1KMvZT+Abbt0E6DVRqexQY++16 +kCurYpUG/QG/BRj5kLfM7xDL2gqbK70mGfmi4bGrx3RnK0hLB/JKM/Jp995Q +OalWeDulEijJyKdxGc0tyq3QKxlIY+SjvZVqAc2wFQKff1vZiR2kaxIF/q0Q +d1iK4MGmrVroC4e0wpn+jS6c2JzVNkx/wlrBvWSxdDMjHxTcvMvSW+HeGZE/ +6xj7uyPQRKarFaz3veal4/+PZfAWC9uHVgjVi45YxDZ5cp8yO4Wfz7869xl7 +gu2JfMavVjB7+1xvApv5Vy6n4O420OZteNSBLdipvQxybfDjpLc/FVvp2Ye3 +zqptcO4nXbsB2+XYxqd5x9uAJ6fgYTk2LcFxt7ZfGzhfVv2Yhh2pJqDmTm2D +mz+yGi5gZ7EXCEX0toG9pwzbOUY9nDyyWjTUBsa9oHYW+/sD36a/i23wq13N +yIxR/4a6jaO2tUPkvVpNZWyh63edyt3bwePkpcs/cL09YCJ8ZPhSO8SzLkst +YhuLl+xdE9gON7Ret37CDuqYWDB43A7k5O+qt9iTQuoBo1XtEEgV8ivCzq5d +Dlu/uQNOgLuVG7byqkOZWV4HiFaksCHcX4yOU0L0KjrA4sFWshjb6anICZWG +DtAd/daahR2lMjqz/V0HSH6fkXmMPedlIzD5D99vOzjjhJ00bnHd+3gnRAoY +Sq3FXtNgoB0+3wkPPZ/vU8D9cRtnDnsQ2QmFriGmYtiy9puGvJm6YF1UmyMf +9unf1EvmvF1AfVqq+wv34xJFvReCh7ugz7Z7AwXb9Tmw5DzsgrWe9Q+OYXfc +Vupq2dcNKqpNzUa4/6txtDM/OtANNzcmjqthZyWcVbHR7Ib2v0JTe7Fv5UWk +LVp0g81m49R1jPvfz/psC+4GrzpzogrPH1lSyVs9Brqh73GCCGMe0Z4LKdig +3QP1r0KzJvD8IhL15Vf21x7YBHdPCOB5qe5sUHbRcg8w17LLrsW2389jXf2z +B3b1SPyax/NX0ju1ig7mXpjvFrKgYG8TfuC3yN8LphMUcVds7py9y0rQC4OH +xvbk4XlufZPLPIrsBd5j+6flDpDoy4+x0bfyfdAa3W77RwHPR26RDQbKfXB/ +NkB/FPvmgEYmOtQHeRofdtRj85cnn88+0gcvF9se3lVgzIM2f6/b9sGwnoAb +J3Yi/Z2AeGgfjLKG7t0pj/v9Uqe5z1gf/JUcXJHD827G5+o29og3YGzKIcW9 +D9dn4ZeL09P9YMI828WP5/cw91LXNPF3IKX0/EI+Pj+QmrnT54IG4KWIX6YH +Pq/4KjaV8De+h0dlS8RXfD4ay2t62Cs6CMMPHmleWSbQH4/+p5JXh6AH9Ni0 +PxJISmvjimv1MOhwxfCLDBDoaLqoW+HWUVBt6JUaaiGQv/CZXRO2Y9AnbeDW +UYbP1/MWbAPHx8ElpDNDI5NAPBzfWJp+jwM3R5v62WgCrUNP88WSJoBrRlF2 +/iaBPJWqP2wynIT/HC8n/PEkUO4xx7Q6chIe7del+1sSiPiynfNT7BSsFuR+ +DNXC52Ozo2tjdKdBQvpx2KgkgYL076SWfJoGwcmdRoPcBBIdMXj//M5HCLRW +O+T6h47UVG7HCCh+Aivi09H5cTqy3dH9a82bT3D5ncZOVSodEW3hk97BM0DI +DCUfyqGj+HX2vifFZ2Fpm9F29gg64hktTWdumoWDD9fct7pIR+tvBJurXpyD +J3PvDT+b0BFTtn3+JPdneHODe9JBgY58EvPDuRs+Q0HkuGXlFjqqlQpl4brw +BV6NG3sLzS0jLgMf6RD2eagcmhxyaV5GwzI9b67UzINbOsvWjqRlVJAC4tP2 +C2AwK5/+0XcZ2eUrcwmvLMDtuZlrYYbLyDn7ev9/qwswFX/tgSL2/z9DzDY= + + "]], + Line[CompressedData[" +1:eJwVxXssFAAYAPDzqFQTtaa5CIlQyqzaFevbKIXTFpVFuN5Yo5KNkjSPOyan +hUhkeaY8K8/LV5fHtGIipPJsaMXHp7KRU/3x28/kVLD7WXWBQGDxz/+1CvtF +ZR2T8Oi5gVubzgzqVfslhc5PguFy0wQNjRnMLWo6NrcwCWau1dZ71GfQ5t4W +oxsCApAMelxUm0Hn67NlsiUEzTEyo0+LjBGO8o5MHQKZgzK0+A/jcHvDWqUp +QV2LdML6N2PJuGHmKjFBuVZsUsU4oywrVtJ/iCDAzae/ZozxjPuEWelhAqn8 +hRqOMhooFOVungTCLv2clq+MCXLv5sRTBHGOwdtaBxn9d2VMrwgniBzNvRjd +y7jvu6C67xqB30tB5+UeRqMc/4jiSILLV7rsJN2M3ctFy1xiCNIDNnfs6GLc +/6XbIF5O8GCd4x1lO+PGmLUHlxUQ7M47qenZzKgSRWj3FBGUbBAuFTYxfpwY +eV/wmGDMvpJ6XzMmez71caog8Hj4Kcr5FeOilXtIrIKgcH7cb6KesW+gVnQU +CbSF6ZHSOsaqFBPVJiWB7Tmvt8JaxiDVlKyxhUBgYNtkVcX4uUOerdFJcF5j +vc/nMsaauNnTnR8I4n0lnltLGVPs/CxzewkaeTIk6Amja/62Zw79BJZqFcbK +IkZzr7TwNUMEX+ZbDrQXMKrrqPYOjxCIaryimvIY68Letd78RjAlNh86kcOY +Zr1TfvgHQcbqsWzOYrw0fP+ICRHYecfbH89kFN/VFE5PE6xrf1Mfnc5oIb4w +8PIngZNLsZ5vKqOmWlde8ixBQ5hxaPNtxsHndoGSOQJFvn7q1VuMisDc7TYL +BImOupVtUsa7Rit/LS4SpEl1Va/DGf8C8N1thA== + "]], + + Line[{{0.9380675789904316, -1.205516595558206}, { + 0.9589720115575517, -1.1938106449870602`}, { + 0.959586924358851, -1.1934487978424058`}, { + 0.9608167499614495, -1.192721321539289}, { + 0.9624584926412829, -1.1917419588968952`}}]}, + "Charting`Private`Tag#3"], { + Directive[{ + Opacity[0.2], + Hue[0.67, 0.6, 0.6]}], + + Line[{{0.9624584926412829, -1.1917419588968952`}, { + 0.9627773701923035, -1.191550406223104}, { + 0.9630962477433238, -1.1913588535493125`}}], + + Line[{{0.9375569084861266, -1.2057905665275406`}, { + 0.9380675789904316, -1.205516595558206}}]}}}, {}}, "GCFlag" -> + True|>, "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>], + ImageSizeCache->{{4.503599627370496*^15, -4.503599627370496*^15}, { + 4.503599627370496*^15, -4.503599627370496*^15}}]}, + Annotation[{ + GraphicsComplex[CompressedData[" +1:eJx1unk81N/7/49U0mJN2ZJIiyVJtsolUYlEESq7iBZSoVIhSkUUCUkSEmWX +UjoIY9+NfU8oY5iZ57TzO26374zf7f25vf6a2/N25nme51znnOtc13V/SDu4 +Hz7JxcHB4bqAg2Pu98DJsabicXPtKPOgf+jTNPLnyd1wRzQS9GyP7V7pSEeW +Vs8zp6aTQOnPkh1Zv+ho6az/iX1rMmGSt0q13IKB1ptOjn2+lwcBdu8EYp4y +kHe9JkQz3oNgx43B3Z0MtCpPoI+TE0Fs66Gj8osI9HZoT23DzlKw6Ex3+K1I +oFK3p+JWE2VQ9y2jnH6QQITcLr43Z0kws5R07qEzgbh+pfOLr6+G0tqmXb6+ +BFKbtXt7JKMWfj9VyhYIJVBtoGp9xeYG2JsZ8F02lkBS4d9/vZpshOXQfnFT +MoG+/+jva1NuhvM9AGWvCZT07UP1ivst0FcU+ME6h0ArJF9Sv3xphVkar7db +PoFCXPOdE2TJsKHX8ab2WwIxddK/nLrRDqa9PxaK4vaLKmV5Ip874Fy52zUt +/H5/Rtm9JukumN07tmrvGwL9cWt9sulKN1zuTFyVlEIg+d2LZ5w/9EBBoQGD +Fk+gfYnSLtmr+uDVkmz6kkcE8pa0XTd4oh9aQtvfWt8lkMKE+dL2gwMQGBvj +//Q6gYT4pnjKfg+AqfAlN28PAnGjJ5kycYOQlnq84Lc9gU6rfuhcYjgE2kpp +u8ZMCJRu4JDwiTkEFo6nl1dqY3t+F+P/GjUMinZpXukKBMo/sm9BpN4XqHIX +GX+3ikA39gc9y/v6BcSHV7yc5iKQdO+BjhdBI7Cjizf2DIWBtNQDI0VVvoKQ +vatGRSsDnVjT8Iuz5StcN9hhcvcjAxHVoUMe/qNAtuJTHUlgoGhum4uHZcdg +5L5nXEkQAwn15SdyleHnpQrrel0ZaOE1fzPN8+OgT7tcLHaAgThe2WQOCX6D +xyEW939sZiDP2MxQwdJv8MNqbPjiYgYqkg/mETj3HeLkLMofDdGRwAFPhYAV +EyDlxRRe+omOehQbWy5/nADDjd2jqhF0lBUPsl9sKLDMXyPw4Uk6ss5UE5Cc +oYAFWUNrmQgd+QU99+9Jm4SA3UJydBk6Slh56NZd0UB4R7om+4pOQ9G9V/bk +z8RDwbEn6j0FdKTyWmCRBE8aHM3n3H1KgYHMUx/XXFieA/HuGwPafBmonk9Y +WEmnAMRanh/eWMRA+0qSV9Rd+QgmulSPlikGsrdJsU7tKwYO0wDzVeIE+pgy +dSEy8jNQmDWMYrw+PfvPrlygWAG1HgPNbccI9DeMwedUVQlb6i5FinkSSML3 +lmOBaw2QribaqQURiLP0gG7oRB3ckHHe+juCQLrjAVmLdBuBG0R4lyYQaGHZ +yQkU1gQ8G04e139FoP3TdWae/c1wo/UN30gmgTpvbu29rNkKi+IsnJXyCHRw +j1J6aWAbXKhrOcuP9/tu86xk7mYyNNYuj6Hg/Z7/vqAWpDvgQcK2+P5cAqV5 +6K296NkJn90OL/qL+6OE1Eb8q+uCyr1BufJpeL+SKUaVYj3AnXFs6mcigfZ+ +Xfw3YzkFnmkrlJ65RkeLNnyI9cugQF31tMSAAR2dEztT5Ws2CRkPG56Graaj +3i2394SKXoSNZofvLXpGQ8voUdulQmIgOquKfj+cjsoDOo8tuvYSJl/JpEsI +MxDlyMRHw/os8Le0HxhxY6Aryv4zP0fyIUpNxanzDQP1k6gpOeof4KXqjzdH +RxhILc4iJXJLMTxteHzuKz+B3uuJrc0Zw/5oiV3+QzUCNVgFrc4IKYdQyr1/ +d80I5NMdHRYsXglFsx5lvmcIFKYlquVKqganAiFBph+B4gbMfT0O1sHznX6W +8eEESpV/usqtvQGuM+qTtj4lkGDaRroqNEGf0JEbhi8JFMsgi8oGN4NJ0KpN +bhkE0i5gHikbboHzrXsXWWH7KmW51bWotsGufVHZtdj+zv0qtw5fJUPQzZYa +Obw+R69lW5o1tgPHt6N/7uD1CzMNdCsX6wSJHnWXzdkE+vq0MmzUuwtijc6+ +q0gn0O219gyf6m4QTf/5tzOJQAK8Ey7CIxOwwERXJSCYjrodrtnm3KLA/bht +y0It6eirkynFaNMk/OxQ7y/ioyPTiC43Y7n7ELqD98KhrXQk6JSZmqyRCGXr +St486KKj+DaD7K0Rr+FEv5zNoC4DOb8AnrR79fDHxOWp3GMCZe1UN7eMboQq +XrXbOXg//OxNHDkw0ASH1z78tQqPj0kpCytWa4GF58WntuPxXzQ547wlrBX0 +lvIn9uH5nSFPB2e3tsFRS9Ftanj+EjWK5W83toPJvrhrEdg+wswiHXnPDpgs ++nxEDNuvLIybvK66E278yK3MxPa9WioTbqBGATl31Zvt5/F5/v6zzZhMgYDy +n58L1enoR8Ot5Nc3JkHptcXfw1J0ZOH0o+ihqBMc0ndnqNnRUMW/JKXW5igI +GSzsfX+Fjlac2xV0VT0FKvfVGitj//T+VVR+gVIWqF0/2HHNnoEaiwsu9Gnk +Q2paY3NHMgPJ1whfWB9VCJJO72m2/Qyk62X3aGUWAtOi0dzYpQR6J7SFWfyw +FGKTpTeYqeDz6iAQe3NnObTae0sbmOL7jvN0Q2wZCXb2vjr+wJVAlTEO63W9 +qsFXw8C7GN8P4+7HRYf+1YLRIb/tffcJpNUx5rnavwFcTbdWZz8h0GrJu15U +kSY42GYTBvg+0vU6/tf3RDOMHRhKisP31bSJVdj4uxbwvfZ38wC+z+K/Btv0 +LGuD2ytIZ55h+16Wvej16xAZlD+7DszZX842I77vZTs4nPWcbsXrw6WbxDc5 +2QGPBvN03+L1c0ipCO0x6oKL/DeH7uD7tqRcbL9pYjdc+eozUYLvY6Z4nySp +cQKCpkTufA2lowq/vt01nhSIfBHfr2ZLR+t49WbzhLB/PrHqXxQvHfm0RvpM +SN0BjgDPOCdhOnJ3VVvP0ZMAEyVGbgVVdDRW/KY75WA6mDoGEF3qDJSnop8s +vrMeirenQhS+X38J9gZsOdsIUe7ZVKfnBJox4XZd+K4JEnc/v7kN+6tn7gae +oktbwIphmv0wC8/vW/1zM6dWqNPIkbiH59ezjF+s8HUbfPAWWbwBz1+J3z3f ++h8ZHvrceFaG7fOlvfBWvkEHKD7xMfHD+0/dT/aqYXwnHHzkEmeF/aNd68q9 +f6Up4B8VfzPPm44klhx751JKgbsjBxQu7cb78dLlAmG3SRCUu3RVVYKO7r8R +3Ggqdx2+740PD62jocNjwTvd9ONg0e+oHb1JdDTteVi9JrcZFEwzJxRw/yGS +kaufrWgFomeJSyX+/qfLRjtrTrRBSNDYURoen1ns9KP0FDJon71juQKP/9aT +PMHqn+2wh5SmrIHnN6UncS0mlgIPAjU7fU3pKA3ZdH/RnoQv2pXiLtjeKzeF +K1lGPYA/RUT7UUM6Knm7RJ63sBWyA/uXb8L9/33W8iP1bxvUNk9zzsVLS25N +tPKZtcOJ85/1juH2/XWP6du/UWDS8rTgGXk6+rg5MkLv0SSMkXckjEnTkWFd +e85TUTNY8umr1EEVGpJdoJCu5PUIvnke+i7nTke5ju5ibyOTwTJD895NDgbS +ftXu2F2UCR5Rl0bSTzDQb54jSqZDecBQ7uIpec5ATUYbOm30C2Gv47bv5j0M +pLDy/ft7lgjObiu4N8qD1+eqpn2lSynUiqsVbFYmUJBz+7FzwuUwxLH1W8Ih +AokIm1FlYkiQ/0T0hPApAp00WPwk42A1uD00VUm4RqBw9b5RMXIt+AycC/iF +48+bGfcTqOYNsDzQdmYCx59xZK13tVxN4HzHpSof73eRgqdnX+1thg8dRxq3 +4vNW/ZRX0fdFC0RHOG9IwOeNzLn65cDPVritJD0ehO3FRYqcNNIiw84xo5Id +2J4rGl+XvIhoBxdSYccPvF47tFQduDo7wM57hfkvfN4S0sqXWah3gdi+wJ4x +fN5son+c33W/G5LNVn/Yhs/7uGy7T0LFBDBUrx4Jx/fnBz6+3PZTFPg9rnHo +rAMdFZ/YxTPOMwmvv9ada1tMR8uI8zc+xN2CZ+fPp0Zy09GaicuObxQTYEnK +wanvxXQU+rbfYzAtDe4EDD7bosJAx36TLpgJ18NzX/XsqkgCrZnJFH1i0Qhx +nMfuvMfxSABvftrQiyaYjo1+WYTjkYtZ94b1iWborfmktAufNw51Pxg1boU9 +GWvHrfH8hDc+c/gU3QaQIf1KAs//XU6YYvYoGWZ63NZ1YfvU7n//6sr2Drh1 +/2ddFt7vHj55dbbBndCU5YrS8Xk40rviedsqCugKrhmKxP5aIPrupovvKGB3 +wNSgWp+OGkmexfttJ8FPaPqNpxgdvZ2s3U6Vugyl6ZdlkgpoKJPC975sLBZM +jNJlh2Oxf8qNTP3xtBlOytIGavF9ctJN/+3WXy0Q+tbpywP8fWZkd2DD/jbo +CBf3HsDj690puGI2nAxrPLvixPH4zy3L3R72pR2Yq8qp9nh+X1uMJpPDKfDY +uCBHyJyO9gtaflmtOglX6Oed1gnSke37mWxkFg4vLgYHJWnTUeyCD5VxSa1w +aaDgFzfuX/WDg86bsTYwLlZYOLc/Hm5cftBRux2Owd8hb9y+60Slk+4ABaYX +nWhYqkJHx66FxvncnYTFW+/xZa6lI56hgMSjcudgFjXNxATQkHPNr5Uda9vg +ntw27gL8/pT/kZnkk2TYrS49vAX3H1hwgOm3ZhKiHRdOaS6no4NPJblLL7fC +waxF3G/xfJKm/Zryi9vAL+7jnbn/J5813sUr1A5mFn6Cb3B/G65s2ne8lgJn +vI2j6nfSUeQ6v4yBi5Pgs2Qsq1WSjlTVFEKtovyh6PiFMrdBGrplU0Sv8GiD +PeKSR7hwf9U/GiUtP5LhRYQi5yzub9nZk81ZBpPAOdXw4h6O58sC9TKT+Mkg +ddtGHvD/D2u7BGxiUMD8zNhqERzfr+mIvcufMAnB+odOza6jo23PouNyRfVg +sZeusbAADTUHuY93kyLBXvJfjpIrHX+f5+SRPcnQ4mfSuOYfHcm2KtgJnMuE +pN/ZSjuOMRD/m4R7vAV5kLpOSzTzGQMlhSqH/JEohAMq1zbVdTFQotNITYUC +gpX7OB7dXYz3R8YnEaPDpdBv+c9NaAu21ymtD44c5bCqz51ZbYz98dP9N07d +JAHfkx8LzFzw+j7vbHPSrAZNtYCMFdjfOD6ROqReWguyTvR/QdjfpMbYqx/X +aYDulao2d7C/sdkmZPXhZyP881SZdsf+xq9dOwXtaIY1409t/2B/oK43fLU8 +ugVy8nO1b2B/4xlmWzM02QphaPE5H2zPfauT07y2kOHAY0vnXdh+ATwKR27d +aQddk/wUHtxuqeZ7b1l9B4zvjpETw+8XK+gbRSp0geo26ZxV2J+95vx6a1tg +N/gbZq06g/2Nw6sjcqElE/B61lBn+AEdqVm8/N7rSAFD67ppTic64hbfxLmY +axK6nAuz+xbR0dGgAS6Z40Hg3K9zWv8vDX07pr6Q580zsJ6ZHDzzkY7ssn0+ +XLdKg2ucdf6HtjCQks2Sbg+OerjQ13DIAfubrAuiI41GjbBbXPGNA/Y3ei6h +hk0xTXDj8KcGf+xv+hoVJE58a4ZaqQUXBLC/OdNreV9ZrxXkhVrO78X7Nyv/ +663i+zje+biXJILn/3dBwnhXDxmefDsl9QXPX8dfY4e1fAfwHmo/PXe/hl78 +y3S91glT41fXtGJ/U2t/z7hUkALBjrwdnL50FNK/zuFKLgVCd37X6NlHRwf4 +0nZ+ivOCHXovGt6n05CNx5Ef57VioSVcBpU9oiPl1u2DyyOaYdWLyq4n2L80 +869ZeHWyBUwEfxRfwt97uE7mVZN2GxgpqjSR8XjW3V9XvfEWGYaWbPCWxuMd +0lMhne9qh2cvVit44fnYiY/Ivb5HAQVOBqnlKD7vC86MfnUJgwt8p+7wadDR +4rSIVV9jWmHVgL8IDf+/cX+aU2ZfG4SdmiBr4P7aL0suCd3WDvVnBqfm7qN3 +L+ye7u+mwNFBgYzfqni8MruyGFKuIKA/e8vak4a4rHZrdYm0wSbZwUvpc/6p +xbGw4RgZ1s/KJ2/D/RXuYR5e6t4K02V5nQn4ezo3KomCt20w8D3npjxu//bJ +5tc2nnY4fUhK7D1+P7Gk5IY9iQL63v3+ikBH1yti+UvM/GAdj3NvfjsNrZvg +Xl3l3AZDQmYPfuP/8xkMdvpnk8Fv32rqItyfnWBIS8oiMkgsZTTOxSN1QbOF +W6gUoLxRfR66gY7+HnB8bC9nA39DqKo2hjT06VKgiow+Gd7sux+pif/vE2uh +/TGxDfTdU4TW4efo75yH257gdqb6rpVzz4riEuk0HO9EiX7aiZ9LhZUVdo5Q +gFtLfvOQEh15mDgdOBF1HrK+jG11fEBD6cp7dH098H0Oj2Ln5svjpPkmt7oN +ri++KDpnn40//t5NFCPDVnAXmPNfHcx6A5lfFHg79nPBc+wfufeIefSLqoJO +7NmV239No837HgTneEQC/6K2HXLOdETtNuNNFEsGW/M7Zaf+0FG0q4WNwt5M +aOVz6uawYiCxG/u0XJ7nwfEg3ZikeAZaoZQ/UsVTCDJ2SeTF2F+dCPlj9kUE +weAwTbt7EY5H9vtPh+4rBZ6dt9fcViJQ7vjp0zbMMlBJqQ6yxf5Ke0iXdNeH +BKe1xO3pzgQSr9Olwxbsr7aQI8p9CWR0sDhA/10trBFJmTLE/kqLr4brwfYG +2H5KAyywv/pkf+NVDr0R/JIfkIywv3rrElZ6QK0ZaqzPjPRjf3Xu8SEZt4gW +uGDhGX4O+xudozd7h8dbIVibN+A8Xu9vOm+Cl24mA5fM8MK59f2Y9P2Jd2A7 +hE8of+Gfy5dV1yY8qOyA5Y0n7Dfj9xsp3BMqG7pgpRMXXQn7KzM16wdKN7oh +UU9HJhj7q2ffBa4tQBOwe++unSEP6Yh+hb7Y3p4Cu4TPvq/G/urtsZ8rGjkm +wWk25pcCzoev1j0uO1oYCKvtVSw5f9LQbgmrpD7zZzB6xrNKrpCOqpTK7eqU +08D7VDOpR5GBVvOnrbjBrIN2ur6lEvZXl5Xqq0/vawT/b3cYathfJT369aQy +sgkKRza+csT+Ssj+msbol2ZYffzI0T/YvyQql29v024FY7Hn17Xw+bnuyxtT +cqcNSp0CXwnh+ccrpJLc28mw70faxBie/xXJnzeN5TrAtcdHsBX7jzjPhKVU +705Q/2MqPYb7W5JkkKzBTwH7J6dCC7C/Mljgfv1fFgV8tq5p7d+P7+dnq4vX +H78EoVkBPleTaSh/66Wo/cMxoK1Pa1WIoKOTTrf+RYc2Q4mI66qbGXP7+e5r +qfEW2P0o1MsFf89ufO+HZs022EeOb2zC4yl7uzm1yI8MgrTtKrJz+fvgNJ9j +WzsYJFtL++H5rIGte7bcocBB0407sy3oSMFQ5pUY6T6I/b63NB/7G+1/s5/u +RrbCl21e58bw/+P56r2yOttAUul9hDru70ri+lFppXYIOn2KFIK/d4n0+n1N +BwWS82R/2arh+GCPWMHnOGd4bPnY9oErDTVKnTTqFmgDwevZfsn4/+Q/p6Od +zMkwqfvqyHbc31SUw+9M11YY9Q5bHoW/t2LvxOL32W1guXKH2SbcHjLq3F7J +1Q5b4sQpn/D7tiPL476UUUA4ro/XTIeO9CWTksZdbsBagZczWc00NJWzcXO1 +fRuot6jdZOL/55wfLxB5TYa8WKc83rl8sjNz5CUnGUSqlvjM7ee7rwzbcyYo +wCtE0wnZhOOPEMnkWSkrCBaTE7oJNGQXH8L/DsjQvK7/gxb+/177qyZFT9vA ++sOBs1L4WUuYV9ktigwbTTOvrcbPzgxtpdeUNnBJN5iaixf9NHz3oyEKRMgX +6d1QpqPCgea6z2YeUHs71mvDXRoytjJcK3Aar1dqSoMi/j95szXKK28DgSgb +u61z9fCitU9frCSDOkwqzPmrR2LizilMCoxyGfgF4fjyi4Ssv7ecMdQd6OpQ +kqGhJGpc7aAqGWi0uqQ5f8kVcOtwxnAbrGcW/p3ztw/Tjvdo2ZFhoe+f1rn+ +Pd8lDb/90AaNgrcezX3/oeGpkuSlZDho+27Z3Pf4HXLjX09TIKla+4zrejr6 +FthaZh9lDxavV0aqHKUhiYO+ZvcPkEGJcDScu8+qnX8sSfvRBg0nks7NxTfH +lDt9nkuRYVBzvGPO3mItAYoJfyhgXeGgo4PzARYPsSVxfi8vmgYWD/nz7Vfx +9G4qsHiIf233R/1lFGDxkF8bsqw5jn4HFg85lXrqN1/zOLB4iBa/XGKbwRiw +eEhXECmlmfIVWDxE9tCXcY+AEWDxEKfes+TCDV+AxUOkvbjudnwbAhYP0f/d +3WsbPQgsHtL1WDag3nYAWDzE8VyY9kLbPmDxkChPOSP3um5g8ZDAtJ9dNac6 +gcVDjPeNda1tJAOLh/y6su3Ond2twOIhFgKHHf90NwGLhyRJOGvWXq0HFg8x +95EZ4bxfBSwesqZINcV5YxmweIg141pJz6MiYPGQOkFNvhMeucDiIV7fYVj/ +bgKweMjeJ8WTlPQANg+ZVmwW0Wx5xeYhVMf371WfvmPzkPJiEduZwRI2DzmY +FddhzV/J5iEUTlmpqv31bB6yX81nTb9kM5uHvArV+9twto3NQzIOKu6Pf9LB +5iFOqeq/whu62Tzk/J5/rS6O/WweUnkyoiLVdZDNQ1RTt1/OMhtm85Bzpsff +7FAYYfMQXzurhJ8LR9k8JP+UXneJ4Dibh4jUiHJmLvjO5iGXrjIU9q6lsHkI +/xbVFYcVqGwe4sH/beif/xSbh3zftyZJz2OazUN4r1uecFOjsXnIzv4K2X46 +7T95iAq1VbveaApYPGS7xK6tj4UngcVDHi9vrZffPAGsev5I9jXRvPu0/6zn +PzIYs7+JpoBVz+/4vcxhW/IksOr5P8vrXyrHTACrHv0nvT5mmSPtP+vRMqj2 +8r9BKrDquV5NO0oWfKD9Zz33tJBz3ZHl08Cq5+ol/eqomp4EVj33bS+RqNg8 +Aax6ZPbR6+UjRrT/rEcaqJxbyCk5Bax6nuGVZcIPkmj/Wc+z8KkeJ/yn4H/r +a6z62FphdTPDFtp/1scKKyppH3SngVUfkzQfINmJUoFVH9Pvdn8tTJ0AVn0n +WMzFPXc37T/rO+tj2sKOqU4Bqz5yyma2ISaG9p/1kSWXbug5PpuC/61XsOoN +MXYzp0kVtP+sN6xLl1h2fngK/reewKoPjMf626Zn0v5PfeB/831Wfr+Gtlvb +bJD2n/l9LleTc67LNLDye9/kaO9gRSqw8vvG0ksfX/ybAFZ++jJ+U5PATho7 +P120+Tb3poXz+el3we9853SmgJXfOdnzPlkfSWPnd4UWjMV8mVPAypcSdvpr +tBbT2PnSIh3lkLM/poCV34jP5HuuTqOx8xOqBOG+pIvGzk/sL/IMfV8/Daz8 +YkpAY894LY2dHxg1/10TPk5j5wcHrtg1nPefBlZ+UJMpkJenTgVWflB3wceC +xk0BVnx7Hj62aGvS2PFtXLHP0Dacf7Pi22JFx3WRe6eAFR9abnkdewbnM6z4 +cM0xWUKxYApY8day8MmdY0U0dry1llcySpRrGljxUcrM/cAjL2ns+MYna+Ot +ODKNHd9opnSGpGybBlZ8othz7FFTFY0dX0zpu9QZjNDY8UVB4Zao90emgRUP +pDW3t0X30tj3eeT10YhDkzT2fe5rp9ebvplg6xvqEs6c9P1IsPUNGeXXhq9R +CLa+IVrreaEIL5Otbyhpzu58Lclk6xtmUu7nv1dgsvUNl0kZQnfUmGx9Azl7 +XDR2F5Otb3DcEVnxdDeTrW9Yy/OjR34Pk61v0BUufVCry2TrG6TfxS9FOky2 +vkFds6zcCPfHus+XwK1DohpMtr6hKqLmxJ+tTLa+wdiUT15wM5OtbzDhGqsX +kWay9Q3yqi/OZa5isvUNL6W8UtyWMdn6hgdvp4lJDiZb39Bz94HOZfq8vqER +9JfqjszrG/YIRIpItc/rGzRLm+S7K+b1Dc0KB1xq387rG04G1CVpp8zrGwT5 +qnfZR8zrGwRGVZQm/Ob1DWccLsX8OT2vb3iwTY/hfXRe3zCblT4SvHte3yCn +8DCkb9P/T98wtNaoS3Be33DdSmuH8595fYMF8XXfxMC8vuESWXutJmle30Ao +dj/dkTavb5hebSS24v68vkHjHucdi/Pz+oZH4x2G30zm9Q0t1wSH7LbO6xuy +wgaOvl8+r294PWDsITE+r2943z3UfbJ8Xt/gksizqjZuXt9wYEw5ceTivL4h +cHz0aoghHb0Zk3yywogKWTxB97PHaOix1FJidpYKUbf5Zz5fprHv9+t104pZ +UQRb77ChkZxyoYNg6x2WXl0ot/kfMa93UAv4kCvMZOsdDi3lbuxfz2TrHSZG +Y8sFVZhsvUOtuNL9q1pMtt4hq3eGSQUmW+9wcMmxPS/wfmfpHXykWw7vxOeB +pXdwO3zh0g98Xlh6h3unX2zeit9n6R1KXgenDuL+WXoHYYNtX7ZsZ7L1Dn83 +dc1sUWIikQLb+5f+TILkEpm7CxbQ0bpA4f2LU6igmWTPbYHvK1Z8skBau9f9 +MsHWGyjfiHZ7XEOw9QZMWGz+niDYegMXTST9jI/J1hvYe9qKE/h8sfQG48Iq +VoFbmGy9QaqxmX48Pq8svYHquPffLm0mW2+QECCepYztwdIbnH7q91IP24Ol +N/D7kVp6Drez9AZhoobyC7B/YOkNmh/GSMnsZLL1Bl07+jdkYH/E0hv08QZv +XKvMRDwv+zQymybhVb7EwXocT51Si5nmvUyF619feNzsoLHjK5saz6l36QSb +97eujKx+Pkywef/XW1ceTSxgoqK/ul1PjHLhwErtBltRJlpHTdnkGPsOZPT6 +/C9uYqLMwuNeo0VF4Ob7JeaUKvY/awnhj6ElsO48gzI3XsRDInnplIFFj/vP +LjyfMXVzQcu6CnCgdicy8Xxv6JmEg3cVPN4pTwhhe7wqoocsXFYLh8DVwgXv +D5beYMHpkrsG2J5DDZ+ES2WoUEi6TVFk0lBPU1j8ghYquCwQt+7B8QUrHuzQ +tl4Z5Eiw+f6uXuKndRnB5vtfTp3iKpgm2Hx/heHNrd+wf2TxfdfRCOVeKSab +76ereNfK4f3G4vvJarU9sepMNt8vWT6wUQePj8X3l65fZ/Udj5/F9y8mmPrM +7X8W33e6pDmSgOfP4vvmJ7pGHbF9WHzf/ZMZUYjtx+L7poPFss7qTDbf79EX +deHH98FXgaYyLjQJw8ta6Q4r6ehu2PGKEAccB+1xV6oaoLHj2cHjR98PPyfY +fN0qfkW3Zh/B5uuD0qmvnuH74M5hSvPiNzmw2q1TVQTfHz43euP3UwpA/d2V +q3kbmOhPkZ/uMYkiuL2pLkRvGxN5oYOaKmol0NAFSkd3MNGn9u6nQd8+Q57E +oxg3PB8HncEIxWsVkFOrWdmI56vttq7RdG0VKChcF1bA9hiS2OXTV1gD10kS +XjnYXiy+33yiYVExtqfvnrCmJ3xUCNYtvZSG45fZzYcvBH2kwss/Y7YUnA+w +4u+cC7Iu4XcINk+/rMHI1m0mkNG/Gf81t4vBxJ4jP0KTiQovLTzwQvszuNqR +yG7Y3xw1/RXV0VMOreTu6bN4fIX5FYe8PCth57ntYXPn82h3g3H46hoIu12k +o4bb9XvJEnfCqPBs1Z6I0gYam5/3Xd0iH5hHoGLNnGmXqDIwDVliuBvP59yW +qvAASRIYpJ9lCOP+itdcN1GsrwKrzW+EGXPf86mr8h+nwpSR3OCJBBo7H9BV +cTVxO0KwebmrjPO6wGKCzcs/TYs8fk4l2Lx8ZPkuh8ClTDYv1546Jlu5hsnm +5WkaXlqeikw2Lx+W464RwvuJxcvPmvKHTuL4g8XLa0dLYsrx+Fm8fLtDgNZ2 +PH4WLxdKy7pXgMfP4uWbaKOKD/F6s3j58WXGz7hxfyxePtEgYV6szmTz8pfU +6nu38P7NNdR8Qc2bBM0p3TJrnE9KfPyYddCCCmKtogmkLzR2PlOdlPfJN45g +82o/3uDdjt0Em1ebGOtt+DaD9/c13YZd1jmQbiSzYWwlE70g+Vh9iCqAeNXr +pFo5JnoOEakRNR/BZ+y38xt8n02suGJ4lrcEUHbujW/4vtkFX3lC0WcobTeJ +VMLzeab30my1eQWQDJT/FeL5Sl5bOtXFqAT1osatc/a4UTtIOfCwBphDvwvb +sL1YvJz0JF/vF96/Btd+ZAYvpEJFYLBU9ywNhVvkWu/NpsKRxG4/gxIaO//6 +LvrI9Y8/webTQiVU73/1BCoxko+0Ol4Mutycb8Xw/tXq5ctPE/oM441lerJ4 +/z40Rxaf88rhpKahqQkeX0xlpvvs3kpAF5cl6uPx0e5eLPtLrYZfNVpGR3A7 +eYnG4gOBVIh23dCk2kpj82ihgR19O7IIpPP84wn302VwwuWLLR+eT/q2MNOz +RAVIJ6HCRbi/hK0uHm8Tq+C2rdQfbvxsmKyUp9tHhU2c2WtLU2nsfFA2nvPu +HXd839oYdkY7lYOl+IslfPj7FpP+mQJTJFhxUfzJbvz++p3iLbrJOF7If5f6 +BbfbWGhmVRpWwfUXUzNrcfu5mangMhIVOCRUyje/pbF5sudjXUe3cAJ1J/Zu +oTythN2x1hfm+lORX9D4WJ8Ev372HpHGz4NLHykn/aqCI20v9Adx/xuNzvQX +M6iw90CaiM0jGjufdMk9Z1C4j2Dz4pqAXftufSLYvLgvTSf8wSTB5sXrNvKG +1uP8gcWLJ9ZIGezA543Fixd1nPYWweeNxYsXlH/9Uo/vaxYv9gijZZTh88Hi +xfHhDFo2tjeLF9MCOBqV8fhZvPjUT4ZuKR4/ixfr9U1Vpc7FB/+PF9f8lRje +iPtj8eJ1jXK/JvB5Y/HiDO3ONSX4vMWeOiv4NXMSEux6lTtF6cjpMGV9hikV +bocVcaKvNHY+nOoRrZMUQ7B5rWfuxTeTnQSb174YtfRdis/bkODZOsvtOSCd +fQcZ4/P27nTF24unC+DkvUHiKD5vF/3ijiu/+AifBB/essPnLUUtvPUuoxjy ++bg8cvF5++t5+hN/2mcwTJKM4cPz+bSXN3/57groS3G0ycTzDVnE4SfVXwlH +ONZwaWJ7GMvmbeS8XgPXdr+p+ortxeLF3OHVDivxeVCOlZe6wUEFsBs44sFJ +R52U4eaUdCqM7syhdnyez+etpERcOq8RbF57dFNMrnEdgXwv7pb01i8G5rPk +iR4cz/3mf+SmNFMKslZff8zi85xlse7du4RySDwqUKKDx8dzOGl2z9ZK0G18 +7zx33oqH9s7mdFeDcRNo2eN2qYRTvmnXqeB5sXWHHc6HWbzWueDqJq4MAnG0 +7Rakm5fBg5w1vgSef/V2WrbDYAWYZ7V+m8Hv8384zvEnpApc86j5y3D/kTts +N73ooEIZbfLCudfz9Qevkbf7+1wJtNkuQ/O+STl8fDjl+Q/bp8LbC452kSDk +Va0R4Pf1tK9v03hQAUnbbhNduP/6AUWd5WpV0LTpeqUcbn8bKT0jW0oFFedj +tWLvaWw+u2S/y8ycHv+AQjPaGlwJ9vqyunvw/00UnZVDt5JgQaGSrfjc86M7 +xWPDOJ4TmR3/hvs/PxRnJk2lwo7jd3ZaPZmvfww5lkuqnyBQyl1b2/4MEnhF +rpScW98MuohdFG8VLHvvPjS3/zfDh/7CE5Vgnrvo2Jx9Q7YqTHsvIYHQRUmh +FXP5Nd+M9tAwFTTeHfMrT6Kx+azTp8WUrIs4vnEKlapbWAmJrk2Oc+M9Izr+ +LDW3ElIj3GTm7CG8KcE90YoED17/aJ6b/0D+Dje731T4mCz66ErofP0lyFgz +2AQINp+dVnk6EFBEsPlsSceXLTzYP7D4bAZjatgT+wcWn/13UFOPIclk81ny +08tqYwpMNp9tHKoSfY39A4vPyiy2vpqJzzOLzwq1+VFe4vVk8VmBo1EuSni8 +LD7747CHNwnbm8Vnze+uYubOxZP/j8/6LY4d0ML9sfgsV9EKpQV4f7P47J0x +n/192D/IXUoxSuGeBOLw0vIaAzoKfhpk12dMBdeD1n3vRufrR6l+xk2K0QSb +j+aPFP/Ziv0Di4++Uj2x4QnOL+OsA4ycpXOg4ITTeU7sHz7vOnuyybwA7rZn +h4pj/9C3Vjp/5v5H+Lx/T6km9g+BB8RjYsaL4XGfZ8xj7B96linFHH72GXos +f/fM4vNdR9pxkke9Ai6sd7d7heerZ/ibtLu1Ega+XijUwvbYbiK5t+dCDUTz +0uWp2F4sPpvtHGAqg99/kVp+9Pe/SVhvWKCoxUVHMxq+y9tTqfBmjdgisfL5 ++lfEwBXLjKsEm4+aCzFkL9YSyCq4djBIqxj2vGzNK8L260qz0PeglwJFUiCc +gv1DcceRU7lR5fBYp6BSA4+vOCp+n7NcJXD9TFw1t39TV2RJ3G+qBpvTiktP +zY3/O0dB11Uq2BZztHi209h8dIzDO2SOlw+c46aoGpaBQ7+p8Rhez863Mg7W +7RVgLTXU9hu/Xzlrvl8yoApsWxef5Mf9v7v1w7GljQp3bOwsFDLm63XdW4q/ +VzsTSHOwfzx4bzm8D/byYmD7XP/ocdungQTfp6zpu/D7R1DmsMrtCpg+oTDZ +hvu/tX5j8Bb5KmgbVr++Cbd39b/XMEdUWC4Wff12IY3NQ3ccRylH7xGI63zt +YrNrlVC2ceLn3HmzzXg8cHsDCVJ3RGusxs88XTd5lnZWQbB+xH0q7j9KcXuY +6QQVYgRG42lP5+uFmx3F16+wxP65weolZxIJNpgU71TH7ztrCKS+m62EVp/f +otvm8oeb+Rt6TSuBt+b0/Tn7xuVYP/ecrQD1rR2XePGz3LGoy4KDVOj9Q9rX +kEJj89C1V2aL5T0JlPDqtgv1N47P7daG6+L/y9oMj1anVsIGCf/jOvhZJq1J +6ukhEsRXr1wni5+5OVuTwn9Q4ZPP2ksVD+brlSZp/8JEjQlUb2msvvc+CZ7V +7xeZG19ryUKNf+OV8HPl0Ka58ZssTyuQ3lkJQrztkXPjXbHN98e2EXzfW2QF +zn3v4Wi7N19EJRxbRHWbG4/RY26x6WkqrGqo/nAzmsbmobb8Mj+57Ajk+bv4 +cbRLJXxCk9xz/SmvjWhP46+EFpKOyFz+ktc6aXHrUyXwEZtr5tb3o9uLLcr/ +qBCyhz+n/jYN7e3OK75UOwm0QnluOwH6/6kf/G/7xpIqX8GGSTDWSNr4mB/H +D698W8/MUmA4+updFUP6//EfXyoCLL05JmHP7/Bl3w/Q0f8Hk6RLZQ== + "], {{{}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{ + Polygon[CompressedData[" +1:eJwl1WWQllUYBuBlyQ1Kulm6lQ6xg65FQUEFsVCUVUIFC0UJ6W4EQZTusmAI +ARWRskAHAx1UupHweoYfF/d9zrvz7fudWNK6ZaT3TExISMjEsUzX82b/nJCD +5Hz5iryJEfQyzpDlOKS/KevRXO8mC7Fbn8qLelWO6DW5T09ikz6Mx3jGuBQ/ +6XOId2lsfFIuoDct4mfNFWaPPo1a3G+czGZ9OI+TmVvMnZIL6UNLatPBfApb +9BE8QRZuNXdaLqIvrahDVm7z7IxcHN+H1tQlG7d7dlYu4SXaUI/s3OHZObmU +l2lLfXJwp2fn5TL60Y4GJHGXZxfkcvqTTkOSuduzi3JF7AntaUQK93h2Sa7k +1Vjr2EdSudez/+QqXot1izWmo/lUtuojeZKcNDF3Wa7m9Vi3WFMeMJ+TL/RR +PEUumpq7ItfwRnxurCkPms/FNn003clNM3NX5VoGxOfG3pkrwl59eqw7nYxz +s10fw9Pkobm5a3JdnL/4PbGX5oqyT58Re0Nn4zzs0MfSS6/IH3Hu6KGX5oA+ +l7xx1uICsF68xfN6eX6N94k10ouxX58Z+65X4+/Ycx7S8/JlrLWsxTi9t6zE +uxw2Higb0EN/VqYxhIOxhrIOo/ggzoiswTCOGr8tGzKaG+J8x71lMB/H/sua +jGSg8QuyAkP5Lb6XrE/n2ENZnO9ir2Vt3oszK6vzT5xXHo7fxVf6eProlfkz +3p/n9DL8rM8jH62ME/kk3jnWJs6AcQm+12fFeecR43x8rU+IzyI/rc1l5lP9 +nXiHuAN0MZefnfpEelKANuay8Jk+KD437gUFaWs+K5/rg+Mz4l5QiMIUoSjF +KE4JSlKK0qRRhrKUozwVqEglKlOFqlSjOu38vmxs0IfQlSaR5grwjT6JDGqQ +bi47G/WhPBpn3rgkP+izaRrzxgXZpU+mr16Fv+K8xnroZflFHyDr8qHeX97I +cI7FmspGjIl54/YyB6fiPPlDvDHur7wsU+S/cf/lxfg5+WP8XSFZPyzPxRrr +O+URLsT3Md4f94czsZ/GW+N+sCfekZNx58xviP2J/Ys9j3MT5znuIutYyxpW +s4qVrGA5y1jKEhaziIUsYD4fxRowL+4Uc5nD+8xmVpx7ZjKD6UxjKlOYzCQm +MoEt7GB3nHlOcI3xbGY733KQ41xlXJxx9nGI03E/fO9NcR/4nbNxhs1ti/3k +fJwh413yEkn6AZmaeP3/6yuM5X+Xm+rE + "]]}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwt02WQVmUYBuClu7tZursRlEaQWkIpCVGaVUKQXKU7VcYCBpRBaYNQlJFQ +QhgMYKgBBmWA0TFIKa9nxh/X3vf7nN3zffue9yQOTE4alSohIeF1PyIb+/Gn +nCU3yEmyBgsZbZ0sy3Dx/7+pRzt9oCzACf0dXtUrc02vSTc9E9/q83mBYdYl +OKOvJTVPWP8lP2YM7eN3zQryo/4utehunZl9+gIGkYYmZn/LTxjLM9Smh3kW +9usLeZG0NDX7R25kHB2oQzqedO2m3BT/Dx2pS3qecu2W3Mx4OlGPDDRz7bbc +wgQ6U5+MNHftjtzKa3ShAZlo4dpduY2JJNGQzLR07Z7cHs+ErjQiC61c+1d+ +yuTY63iOZKW1a/flZ0yJfYs95lnzrBzQF/ES2Whj9kB+ztTYt9hTnjPPxkF9 +MYPJTluzh/ILpsV9Y0/paZ6d7/QlDCEHT5s9kjtIifvGszMrxE/6e7Hv9LLO +wff6UoaSk3Zmj+XOOH/xOfEszQrzs/5+PBt6W+fkkL6M0Xp5rsS5Y7hekrP6 +OnLFWYsXgF3iDV7Wy3Ipvk/skV6EX/QP4rnrVbgez5w+ei4Ox17LWizXx8gK +zONX6+myAcP1ETKROZyLPZR1WMyHcUZkNebzu/UM2ZAl5I7zHe8ts9kdz1/W +ZBHTrV+R5ZjL5fi/ZH16xzOURTkZz1rWZlWcWVmVG3Fe6RufxRF9BWP1ivwW +35+ReinO6x+Rhw7WqfkyvnPsTZwB62Kc0lfHeed56zwc1d+Me5GXjmZp+Eqf +Gd8h3gH6meXlB/0tRpGPTmZp2aPPivvGe0F+Opun42t9dtwj3gsKUJBCFKYI +RSlGcUpQkkRKUZoylKUc5alARSpRmSpUpYvPS883+hz60ybSLB/H9LdJphpJ +ZhnYq89lQJx56+Kc1tfQNubW+Tmur2ScXomrcV5jP/TSXNBTZF3W6xNldRbw +R+ypbMTSmFt3lRn5D8fuoPk= + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwV0FVKBFAUgOE7Y+ce3NIswXd1L3Z3d/eoGBgoBoqIgSIigtjdnw8f/znn +7d6c3IJYfiSEkEeh4ZsbMqMhHGqafugmyeZXvWSZRPuznrPPPFG3Rz1ll3WK ++OGWI7ZYYYFifrnjmG1WWaSEUsoop4JKqqimhlrqqKeBRppopoVW2ming066 +6KaHXvroZ4BBhhhmhFHGGGeCSaaYJs4Ms/8f6N33esIOayyR4P6kZ+yRYn/T +KzZIsr/oBenmTz0g1fyuWXqtGfql2TqnEX3QP5rhS30= + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJwV0nesj1cYwPEfYgS1V6lx7WurUXtvqmpvRWvWprbEnonRSku0FEUl9kzs +KEEbI6oUpZLaRWLHCJ/nj4/veZ/L9b7nnKQ+w9oOTZFIJJL9kTllIpEzVSJx +XjvpCm2l6enJcM/19Z2eoK11Fj63rqyPdR8Laeo5Naet61Hc+j/dxFT6UMvs +lR7le7KQy+xP/ZER8X9QxeyJ7mdRvAMlzG7qZqbRl6zkNr+gPzGSdjSgpPkt +3cJ0viQbecz/0pWMoj0NSTa/rVuZwVdk50Pzi7qK0XSgEaXM7+g2ZtKPHOQ1 +v6Q/M4aONKa0+V3dziz6x96Tz/xvXc03cQ5UNXuqB1hME8qY3dMdzGZA7B0f +mV/WNYylM5+YPdODLImzoazZfd3JHAZS2+y1/sYPsZfkN7uiaxkXe+k5wUnr +LlSzfq6H+JZmlDP7X3cxN/bHc0p+tx5EHes3eow21plYZt1c03I29lWzk8e6 +gF6Nb9Ff9FPNwPg4K01BN07FeWtWvqCr5+r6QrvqYf1MP6AX38X7ahq6cybO +X7PRm+aey+uD+Fbdra01I/PiHDUVf1gPpq71Wz3Oclp4Tse5uDcUtP5H1zGB +btQwe6lHWBr/hgpmD3UP8/k67hGFzK/peibSnZZUNH+ke1nAkLhHJJlf1w1M +oget4o5Q2M/+1V+ZTM/Y1zhvivjZDd3IFHrFd8c5xDfEe8Tvjt8Rf5eiFKM4 +JShJMqXinsddjfsW9yL2M74v3pmPqURlqsQ9j7sadyrOLfaGmtSKu0l/7/Ue +RfOCEQ== + "]], + + Line[{608, 591, 818, 752, 697, 653, 622, 574, 805, 739, 684, 640, + 609, 827, 761, 706, 662, 592, 819, 753, 698, 654, 838, 772, 717, + 623, 832, 766, 711, 849, 783, 667, 843, 777, 861, 722, 854, 788, + 866, 575}], + Line[{804, 738, 683, 639, 867}]}, "Charting`Private`Tag#3"], { + Directive[{ + Opacity[0.2], + Hue[0.67, 0.6, 0.6]}], + Line[{869, 870, 868}], + Line[{873, 872}]}}}], {}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 1.}, {-1.75, 1.75}}, + "Frame" -> {{True, True}, {True, True}}, "AxesOrigin" -> {0, 0}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> Directive[ + Opacity[0], FontFamily -> "Times", FontSize -> 10], "AspectRatio" -> + GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxF2Xc8le//B/BIhYaRlNkgLSSEJO+GkWiIImXLahgNKjJCy2hINgmF7BXS +hQ+RbGfYq5R1jHOfQ0r1uzwev3O+f/V4Profx31f93W939d9vTbbuJy+yLlk +yZJJjiVLFv89dnGktWL0jGbEmcA/6OMM4joi6tovogwHo6+s2zs/g5QSImPz +RbRgxc3DJ4QE6OibuLSfh8wJaDzW1SEvRUf6jdS8OBFj4Pn4feNxRTqSDpZI ++bfxHDwQlVl7D+ho4ZjtS2sZC1gInlK20KejsQBStXWENZi8WxeueJaOTOzm +yp+J2MFJbReGihUdVR8RLf4v1h5emr60fOpERwpSB3IYG51AQPtfkLk7HXEP ++SedlbkK/1Dr3yh/OiodaGv8z9gVGu5H39z2iI5cT9kduxDhBjnfRvbYPqWj +3t33j4SIXIftxqcfL0+go/CEDRVbz9+AkBx/zzspdHSML13jY+xN2K/1urkk +g46KJhv2Tm28BVUZt6SSi+koNFNwu6HMXRjXiX8S0khH2hLJyaMOPrBJ4M3f +nDY6uvspmr/S2Be2cNv3FlLpSFlFNuRchB+Un79W7TxIR4nrTgY9EgmA97Xe +0mkEHd1pfFl9tjQANlgrmnL8pKOzgQOcUucDwb7/4CXtBTpaxXTzKYsNggQ3 +t7fhXATyJIV7Tmx8CEv83WPthAhk+LzL+YRMKITs5712cg+BZPWl0kRrQ0H0 +1+OVhcoE4l56+cd3hzC4xuf4kE+NQJYlf3OR8RN4ff1BYLImgdbteCJvGvEU +fpczqWf1CeTHnb/toUg4aFmaHVpnS6Cduk8f5LmGA/9y8n4ZewK1BbqMdteG +g7XEnzx5JwJJL5XNkL/5AsbcT47LuBDo059keVJbBAQPlvaW3CbQKiJi78bg +KIjM+UyEPiFQ4Z4bEUe/RoGmNp0k+5xAFq5Gc27q0dD+RApVvyBQNo2vpHok +Gk4ZZEh/jSbQ6ZEHGs7asbD8V8T+3mQCRfbePlL4Nx6KzWJUe4oJdEj8XHLf +mQT4cdn9s0wpgcbMVJdxZyaA+d/JwcsfCCQ5ccs2Uy4ReFKPT49XEMjFSWXr +kp5EmKg0cC7+TCBBu+y3KWpJUL2lMvNpF4FMz73Knp5JBvnfPPtz5gk01W3M +mySaApZnHlY7/iZQkAX3RaMjKdDue6pF8g+B8m1dRIvCU8A0a9/je0sYaM3V +A4F3VFOhTrfhhMIKBqrx7zRb7v0GJtOkMsSFGEjxncByce50OFvIcchRloE+ +y9dYNSqkg4djW22PHANZ5XqW3T2XDt4cjX4ndzNQSFG/62B6Ojz0H0zYrchA +IxWZ3anHM8DQ1p/ZpcpA8WS93D3P38GFfhmLwcMMtPKf3wVdyWyY5P2sXGPC +QJFOJhayOtlA4rPrXnKOgaRJslYCV7Mh+Veu/H4zBtJMo9p2l2eDa8SN4YwL +DFSSFlFYLJ8DKnePd3hbMxDNaOKDflMO+JlaDww7M9CZty+/XFudB/Eu2/3J +Xgy01XBy5L/HBeBv9V4gKo6BRH101R1eFcD5wMNRyfEMxJ+Z+Ji3uADeblEX +yU5goF/cRvKGQwXAUOjirnzFQC0Vxdf61ArhbXpLW0cKA91W8Pv7c7gQIlQU +7TozGaiJT0hI/mAxiLa/Or29nIE8mvZBJKMEBDt8Bg914vGWLxz+zF0KUlbJ +lBVdDJQcohD8W7wUjil672jEbjXY1mmhXQo6tkrjZ3oYaNcXoWtbI0pBwq6E +btnPQP21U6l5qmXwRnku8+wwA+lWpqxpvP0BTh2ecm2fZqD1BQJ9HBwIokkn +z+5azkQXgn8bfxNGMPiVrtmNnWQ3/OWTLIJ1uktePFrBRLLrSkoemyK4olT8 ++Ac3Ex2+afViXQ4Cw/If+dErmUgl1iQ1fHcFxDW/vPqdn4msLVLN3/ZVwBJD +/zPrxZioaOhIQ7NGFZh0Ztj8kmMiylG/mRDdKuDWuC95X56JZrM+ChucroJ+ +0z/Oa3czkeqdfdZ1DlXQIKZSvFOBid6v3T1b8awKolM2bzNWZKISLdFNeSP4 +93isCp+pMNGH1Olr4eH/AW32C6NCk4mqnOPEzk1UQ+NYVg1xnInyRy9dspit +BsXU+kDLE0yU7KheZrukBtb3uczWYwfaU82uCtXA0JI9Y4knmeiojUD0PY0a +IFl7bNYzZKLmc4EbsoJrIIT2+M8jYybqOXpl3VK5T9DgOtBGNmMipswBvswr +tfB3Ze3VZ/ZMpDl0uPaRZy1cUhezJrCD4o76ON6rBb6YuaXGDkwkLGQ8JRVV +C4UxIheEHPH4cVxqjq6uBY3etPNPnZjIszsy7IFYHZT/c632usxEC2EMPrvP +dbC78Ua4qDsTcc5n8IttrYeqhtYDXl5MJNZ4mIDd9bBvN+V5Dbbyq06y3T5s +Ff+sNd5MdFFvRUzW8XpwfmaomIhdF2Wz9fDNevBS0/OouMtEYeoi6k619WBX +vFZw1peJxL2CbIudvkDtnSQrlUB8f/+sioyyGuBXnHyuQAgTGRyv8Nd+3wCS +wqnT+ti2MRtPqlY1gLQd8ScQ+4lq3w9RSgN4Dlz1n8cedTkvMvSnAQxO+u7t +C2Wi2IEzXq7HG+GVhq9p/BMm4qg6djhkohF8pOz3/HrORBv409f4zDYCldA2 +lQ9nInkLnm7XJU1wra/5pA222a/aa8ZCTfDKSzX3M3aBonaKmEYTVOx9CxEv +mMj+NXCnP26C36cc4mReMlFDgHLTp53NoJPtPy4dzUTqfF84n+5thr2OamCC +/TbKWvX8wWboXqds8RD7XlZo4tSZZlgdYPl3YvH6jhH3DX7N4GS4pz43Bl+/ +K269M7UZ7jKakvfE4fUw6p+z/HALcIEw78pEJrol31R/SbcF/MYeMlSwc66J +DLcYtMAhMblMG2zJv9kiMSYtEMth9rAEe16w13/3lRaIcMmdsnuFr9dQPWMa +2QKfeVXu5yUx0cYn4/Npky2wGqjXd6Qw0Udrn7Q8ogV8U57WGmBbKK09V/az +Bf64K864YMdS1N83cLaC/UOHz4XYGyQe3ZwSboXjZIswSGUiwfTthDK0Qt9a +Ix/9N0y0rPriBAprBe5tF89rp+H18WI+pi68FUqHt6fZYms5hOi3RrWCz+mP +zX7Y/ryF6UOvW2EmOvJNOfbfU1xOy963QtKhV/eU0pnoZ2/S8LGBVji96dn8 ++gwmGp/r7yMrtIFbD0D1O1wPHMKqjqm0wRfzy8P92L5UzVS0vw0kR+Msf2ML +F8ddSdNpg7IOo5Y9mYv15vyC14U2GDk2lByLHc2giEg/aINTget3OGfh+W0X +9CcypA0qhZ3W38NWIO0dXP28Dda/ruuKwf6UH/52Lq4NLkrTBxqwZ9xPq37J +bwNZw+wJ2Wy83mcajd3728CHlMk3jL3W2lvtx7c22HDe6Oxv7L4WWfELY23Q +sHHpNYEcJrqe8/irNrMNer98lD+AneCi5y6ysh3OMQxzn2HP0qrDKlTaYZmb +2PTeXDyeY2X1a0Lboa88oMw8j4muvjwp5fy8Ha6ZuD+5iq2q9fVOTWQ75BXm +a/pg18fxynm9bofI5/bbErFnTp0LG33fDl7eCzsHsDWLZ42qv7aDG0ln+bl8 +JuK2e/Ru42g7HHoRctMBu41fctmdyXY4JThXcQP7orN20Z75dggpsvv2FDtY +InxDwhoSMHt4HOqwO+/t6b21jwTLY03s5Qtw/Veo2UvWJMEJ0Vd31bEv95qG +KmiRYNfadjcd7CWqvvDjBAmOZG0aNceWGWt6ZWxHgka1PPHH2NMRNr+ynUjw +wyNsdQR26ZHZ0ytdSDBTXdCZiH08ToKr6hYJjucs5yrCvn7qsv3uMBJoreRP +6sPW/PPv46NwEnxTunl1BHtF+vP136NIsH7AT5iOHb20rC42mQQ3BornuQqZ +qLKIZxdvKQlyA/pX78BeI/Fm6ts3Evyj83o4Yx88e6/36ygJHmjy+rthu4dZ +fhmaJEEYWnHVE5vCseHNwE8S3JffPBqIHf/9gUXPKjLcX1N7OQG7ZeNFg24B +MgjezfVNweY8d0i9S5gMO6QHb2Rg23+ZX9exiQyPZZS4irHlc5wb25XJcEA3 +IrcB22pUp6xtHxl0KfEtrdjPtkiltWqSwUBOsZWCPRveHdB8lAwdT8Q8BrA/ +3jLQ+HKBDMGBI2fp2NN523fWW5NBtV3l3iz2lgmuDZ/tyTC01vjpL+wgi3Li +kysZjohJGHEW4fE9Ip9RFUCGa43tV/ix73rxRlU+JEOVXUDaWuycwu9BFaH4 ++T7o1ApjC21PsPkYSQbI2pwmjq1jfedUeRwZzMuOXdmI7RltovkhiQzaLqlr +t2D3rOIXLX1HhjIP4RXbsNfoTKwoySWD6br9xjuwD/rUMYuLyDAwnndvF7b7 +++SvRWVkaBEMeiGHnTzj21pYQQbf2A8Pd2NTdpqjghoyCERYWO0pWpzP+zLz +68lwd8V1ESXsy5SZB7kkMpw1FVFSwY7na7qZ00kGCfmS56rYLUfT7bL7yBDm +OEFRw+b0Dzqd9ZUMW2dLF/ZhK5fZHMwcIcOJCtll+7HtGZry72hkcMjQm150 +pJyYeAadDA0RIh81sOvt53jS58jQfCH56gHshYT2ubcL+P/bZjg0seU7s4ff +cFBA+DOP56KtBIPbU5dTQHwlo2XRz/QdK1NWUuC45ftVgF0doJWdzE+Bjfct +di16tnxT3Ot1FFCFSdlFb59beJQkSoE94CKwaDOFTs9XGykwuG+0Y/H3gp0K +7ROlKbCt1/beoscOZj5YuZMCnFJfly1ad0NK+s3dFDj20tR+8X6Tp2IbBpUp +QKc3Ji8+D2dt+KSBOgU0RgwqF5/XKj6Y/z1QoG1Lf5k69scbAYpS2hTI1A0N +Xxwv8eNexqHHKCDPtNVfHM9b0tdvzp+kgMJ/TgOL40/5fSnS7gwFJg+nGe1d +HN9229JmMwps/bcrZfF9PUs/36NuRYFlXr9Ji+9z2s/ob8pFChxS3fx18X2f +OKe/SeASBQTfpjYvzocMhSOHvVzx/cGL6MX5Yt+vGHT6DgUC77V/kVkcv6Kd +b8t98fX0vYrS2FtCt9RvD6LAEM82j83YvRqCa/49oYCke1esGLa6EK+CcwQF +thtme29YfL/jHKfJMfj5ZlUPrMM2jp55kZFKAc0rD03XYOe5jRYLv6NAQbRd +AS82n95gp18uBXx1N0wtX5wPcy0Sph8o8Pq5HMc/vN4OnclJ4WqjQEvD6ija +Yr2QfVvrQqWA7lz6xAj2wtLE0a4eCsSMOW78hv0+L0wu9wcF/vY4b+larA/8 +LoXmfyjwzNMnoRo7+Ic9tY6TCrtjxWgfscc+WswrcVPh0smNoiXYKVdOHOBd +SwVjE1/BTGzxL3I1RdupcEo31vs59u2krT82y1Mh8JJjbTA29ZYET4gSFZou +D04v1rNn21cft9WkghksDHlg8wRNkPiMqXDB7T8ts8X6czDjm6MPFQx755aJ +YH9IHo/xCKDCkwmFb/zY/tyyRkEPqXD4VGEq92J9bXlX+fo5FRxqSzvmFuu/ +ZVZ83xsq2FxxnyFhn/XONTVuocKSsbO/H2KLD87w2ZKpoJdivtkXe0hLsdat +iwoJrzfI3sS+uip/b9g3Ksyur5myxg6KKRCs/0mFI7XpCmrYhSXFDbC5A54m +KsX34351W+LnvRMyHeDU4ylIwj7op7bffFcH8J6kXlrsZw1HS9Ju7+2AoNCf +jTnY36ilQYV6HSAX43nKF1totvzgLvcOmCz/z0gU+7pidYHwfx1wtcbZWx33 +V3vlTYlP6zpgdcsF653Ypipej1c1dcDooSgZUez96so2nJ0dYOWx5sw87u+c +h5P5Jic74MVgweEi7DDDAOca0U4Q71F12Imd7qq16bp7J/znfHr5At5PxLon +rpzy6ATV34abR7BDri/MOnl3wvToHUkStqtnQaPlg05ozXFCGdiqvtJ39OM7 +4fgLh9hz2NVhXJQt9Z3gM5dfl433M/1Z1Y9bN3fBP52R9Tp4f9RC45pQ3NYF +6+w4CXnsClltg3DZLlBW2py3HjsxvWaViWoXiOoG9Izg/ZZN6qeQHoMuuM5/ +b+gh9ve4urAfHl0QbXDl/Se8f6MFNzz/09gFdTqB+bvw/u63Mylmx+1uuNWZ +tD4Z7yeNVcyfyvt0Q5LWQakH2O84vgcpBXSDn37O+svYFpFzbgdCuyHFeEOZ +EnZljehRw6RuuP3dc6IS70/vb7JmeNZ3g0jGz4XOZLz/otAM6kR7gCvLbPon +3v/uOrTir31ZDxSX6jHo8bjeJW12yF3fB2k8uQQP3u97SFhuGbzQD+0h1CLz +R/h7deLMSurxAQiIjvKLw983a/mmuat/DYCh0A1nD1cm4kIx2VKxg5D+9nzx +L2smuqRc1smjPwSa8ukHRk7heqRnk/hxdghMbC+trsPfk8xxUf7vEV9Bzir9 +ZoYsno9GukvDtb7BZxfh0ffrmcjnaGBCwfdvIPZ1zZsZTiba3Hus43XgMOzv +4o2+TGMgddWAcBHF77DW2kntE4mBLkg2z3O0f4e7evtPPfrAQMz6kCFXvx9A +OcenPJzIQJFcFtdPS4/AcKh7bGUgA63tK0zirMZeKbul14mBlnn7Ge9zGwVt ++q0K0WMMtCTNIntIcAxeBpuEzu1kIPfo7BDBqjGYOzfy9foKBirf9YBb4Oo4 +xMqY1LwYIpDAMXdZ/zUTsPHmrNDKjwTqkWtpv/VhAvS3d/9Qfk6ghHEB76Vo +Ag7pHNAIfkYgmzQjmZDKCXj3T//g16cEGpWmeiZ+mgCG8h2jJ08INCvWJ1Hb +MgGB08IPv4fg3+edcBAanoClpw4r+j8gkM73FQtZq2mQoClbddmbQDzJeilq +/DSwjnEMKfYiUIP14xNVgjR4YMvbwYFt1LvmFXk9DQ4LSg6F3yaQFWmdzsJm +GvhFxN8r8CDQnSqpJ3oqNJBxUb5HdSNQTjxIf7OgwSo/tYBnFwlE3CZWWFvT +4IDQlZJ6OwKpmLwZ77Wlgb554wwHdhkfXz7VkQa/RtVOXrEh0CffvkNf3GkQ +/jq+X8WSQN023pZ5QTQIjVVaFWJKIEnYc2T3QxocN9yukWuC70dsWObdYxrI +cjBq288S6Hu7wWTKExq8PFGct/YMgaa1xL2jomnwNGBfp5chgZZvK4v2zaJB +Y/2M+IAegfSWutz9k0MDzz2SpP6jBAru32JzO58GIRrjaj26ePwiH+24/p4G +VscM9eq1CSTOY/beoYoGj4aPyd44RCDL4dWx36ppIBTbx2t8kEBJlZU+1rU0 +0Pbo95MDAm27vUP3fAMNLnuciGjSIJDi+E/yCQoN/Gt+/leqSqAbte9KvnTQ +IKVAet5ShUDvX1vFHe2mwdlBgaxfygQ6cKHO7vAADWaWX2heqUggXzWvo2iI +Bs93lWv5KBCoSkhBVmOYBlzqu3YOyRPoaONLYu8YDSZNLwle3kWgR2n61LwJ +GvCupR8M3kGgxsB/pbunaEDLVH4Vso1A/Db58e9maJBcr3nZaSuBTms6+O9g +0ODM5ZENwlIEeiEqZp86S4MfnHq+gZsI1DHbpCc1T4OikZ9LX0kQSLTdXy7x +Nw3MP9kcPChKIPNsFQGJvzQwoaiprxImUJHZzzUtSybB7l/UvCwfgbjEdnCs +4JyELvvS3L7l//Pynfe5diz73/WxFZ5DSgt09u9p9H+S7ifo7L8XfvfH85OT +dPb9GLQtSD4ZpbPvd1rboVFvmM5+Hkn6IU3jQTr7edPbqOTIXjp7PKbEmS48 +XXT2eHnmbA+KpdDZ47lJSNVYv53OHu9pAbUjow109vuQ6zF70fqZzn5fUVZ/ +L9V+orPfZ6KGnxqpgs5+36ueTGqMlNPZ8+Fm6/7KpWV09nwZjfazzMims+eT +2N9C9w3pdPZ8S/0bGmD0hs6ej/q3Vwk9Taaz56ujxb/mqCg6ez7bWfPGbA2n +s+e76e530Zef0tnrYTjXW6QglM5eb78zmqJW2dLZ6zH37N2aYQM6e70+EHVw +yT9EZ6/nN/E7WgU06Oz17gYf2jX30dn1gPeu6QVnFTq7vo3rSiZruc6w658r +/9jQH79pdn3k36285rTsFLt+3rjDkNXZRGPXV+EvIhzZS8fZ9bfQUau7UnCU +XZ+9rM4l/lz2g12/rxqez9wvO8yu78pv997KMf7Krv91F59/eus0yO4Pbkf+ +kBxs+9n9w+6t6vyT5m52f8k6Lnc0PqaD3X/SQrQWmq+Q2f3pqIqnZL9EG7t/ +0TikN34+2sTub8dzYjvM+evY/a+mQtjy72Aluz9O2ZaUKMe9Z/fPGbk24X3t +aez+qhNTMUnL8Gf335vj8FX7USKw+nOj4D6+C675wNofmDO8K3telANrfyJZ +rpxqv70aWPutM55Swxyhn4G1/0wWt9/XcKcJWN87JgKnbX93twLre37+ttLD +h4dIwDpPOaE70rWphQKs86WA9J9dXxw7gXVeFuEuY+DS2A2s8z/bq2Gayyz7 +gHWe2fVS2r/JcgBY56nav7p7LSMHgXWeu/km56OOsSFgnSfb9V6hlG77Bqzz +bOmT30Zd/YeBdZ7eFVib2kb7DqzzfHV+mSSy3giw8gTHt46/+NpGgZVnzG/L +MV9ydhxY+cnL1aSmXTsngJWv/KxpeqMQNQGs/KWol5kk1zYBrHxGu9vlndDU +BLDym5aqGx9e/5kAVr7TeM3ThM6F193/5z9+Dd0ftFfRgJU37RU/sOel0CSw +8qyOX6tslFImgZV3aSXPd3yemQRWHiZxZqDWSmQKWHmZV0qkxwO5KWDlaV+y +BQoKVKeAlbf9HpuvmDk0Baw8Two13PozOAWsvE9P8eoyDolpYOWBW6PIYWbK +08DKC8cFx/muHpwGVp5YIWe7JVxnGlh5o+IUSbPJYBpY+aWJZ/0o028aWPkm +zw0fLduEaWDln6UmjBV82dPAykclzaSZcsXTwMpPX+iNWN9D08DKX7dkiK9y ++zoNrHx2+UGF4Ctz08DKbzfxSkSIcM4AK9+9tNa+0Wj1DLDyYOvr3EPjW2eA +lRfvS+0MTlWaAVaeXPqpjl52eAZYeXNx6e6IEqMZYOXR+Zyt9vkOM8DKq4/d +tmp285sBVp5tWcsxXlM+A/8H4aet9Q== + "]]}}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwV13k4lU0UAHCkQos1sqUibUgSkhwpZCuyV3YRKdJCRXZfylKR7CQUsmYJ +MYhIZLuLfYuiXOW+95JSfXP/us/vufPMM3PmzJnzbnPyOn2eg42NLZidjY31 +a3B+pqdh1kIzwSL8D6pfQJzHxLzHRJVBK/nSpoPLC+hARmLqK9HjsPaG9kkh +fjqakpAJ9pU9CZ0Gg/0K0nRk2EktSxM1B+76z1LGSnQkEyWZ80/KBu6KyQqG +Ah2tGDg/cZS1g5Wo78p2hnT0NYzU7JjgCFYvN8UrWdKRlctS3SNRFzil48VQ +caCj5mNiVW9TXeGJ9RP7h+50pCh9pIQh5Q78Ov8ibH3oiGsyJMtS9jL8Qz1/ +k0LoqGa8t/OtuTd0/Jd8Y+c9OvI2cTE4l3AFSqZm9js/pKORff8dixa9BrvM +T99fk0FH8RmbG3acvQ7RJSF+t3PoyIA3X6M+9QYcPv6sq7qAjirnOw5+l7oJ +TQU3pbOr6CimUGCXqewd+Kab/iC6k450JLOzZ90CYSv/878lvXR0510yX6N5 +EGznch2poNKRsopctE1CMNSdvdrsMUFHmZtORdwTDYPXrQEyeQQd3e580mxZ +EwabHZWs2X/SkWX4OIf02XBwHdO6qLNCR+uZVwJrUyMg48qVF/GcBPIjxfvN +SUUCW4hPqosQgUzjBj1OysZA9GGeq6f2E0jOUDpPrDUGxH7dX1ehTCCuVZ5f +PrvFwlXeC5G8agSyr/5biswfwLNrd8OzNQm0afcDBeuEh/C7jkm1NCRQMNer +nZGi8XDc/szRTc4E2qP38G6ZdzzwrSEflnUlUG+41+xQazw4Sv4pU3AnkMwq +uQKFG4/hq8+pb7JeBHr3J1uB1JsAURM1I9W3CLSeSDgoFZUEiSXviZgHBKrY +fz3hxKck0NShk+TiCGTnbbZ0RT0Z+h5Io+bHBCqm8VY3zySDiVGBzKdkAp2e +uavhoZMKa34lHB7JJlDiyK1jFX/ToepMiupwFYGOSthkj1pkwBdPn/eyNQT6 +ekZ1NVdhBtj+nZ/wfEOgLXM3nQvlM4E71/jHtwYCebmr7GAbzoS5RiOPqvcE +EnApfpGjlgXN2xsLHw4SyNrmafGPhWxQ+M19uGSZQN+HzHmyxHLA3iKy+cJv +AkXYcZ03O5YDfUEm3Vv+EOiVs5dYZXwOWBcduh/KxkAbLx8Jv62aC216HScV +1zJQS8jAmTUBz2E+T7pAQoiBlF7yr5HgygfLCvajF+QY6L1Ci0OnYj74Xuht +HZZnIIdSv9o7NvkQwN4ZfGofA0VXjnlP5OdDZMhExj4lBpppKBzKNS4AU+cQ +5qAqA6WT9Uv3x72Ec2OydhPaDLTuX/A5vS3FMM/zXrnFioES3a3s5HSLgcTr +MsRmw0AyJDkH/svFkP2rVOHwGQbSzKM6D9UVg3fC9emCcwxUnZdQUaVQAip3 +jPsDHBmIZjb3xvBjCQRbO45PezCQxYsnH65uKIN0r10hZH8G2mE6P/P2fjmE +OLzmT0pjILFAPXW3p+VwNlw7KTudgfgKM+/zVJXDi+3qosUZDPSLy0zBdLIc +GIqDXI1PGai7oerqqFoFvMjv7u3PYaBbisF/f05XQIKKkstAIQN95BUSUtCq +ArG+p6d31TGQ78dDkMioBoH+wImjAzjeChXT77lqQNohm7J2kIGyoxWjfkvU +gIFSwO5O7B6jnQN2OjWg63zgm8UwA+39IHR1R0INSLpU0+3HGGis9XtumWot +PFdeKrScZiC9xpyNnbfegIn2d+++HwwkUs4/ys6OIJl0ynLvGiY6F/XbfEoY +wcQnuuYQdpbL9Id3cgg26bE9vreWieQ2VVfft0Zw6UDV/S9cTKR9w+HxphIE +pnVfXiWvYyKVVKvc+H0NkNb15PJnPiZytMu1fTHaAGymIRYi4kxUOXmso0uj +CawGCpx+yTMR5UTwQrReE3Bp/LflPwUmWiyqFzY63QRj1n88BPcxkertQ45t +bk3QIa5StUeRiV4L7ltseNQEyTnbdporMVH1cbGtZTN4Pm6HikcqTPQm98fV ++Pi3QFv8wGjQZKImjzRxm7lm6Pxa1EIYM9Gr2YsX7RabQSm3Pdz+JBNlX1Cv +dWZrAZFRr8V27HBX6pnLQi0wybb/a+YpJjrhxJ8cqtECJEffbfqmTNRlE765 +KKoFomn3/9wzZ6LhE5c2rZJ/Bx3e473kM0zElD3CW3ipFf6ua738yJWJNCe1 +W+/5tcJFdXFHAjsi7UTghdBW4E1ZWmXuxkTCQubfpZNaoSJF9JzQBRw/9otd +yc2toDGSd/ahOxP5DSXG3hVvg7p/3s3+nky0EsvgdXnfBvs6r8eL+TARx3IB +n/iOdmjq6Dni789E4p3aBOxrh0P7KHEt2MpPB8guh7BVQoo2BjDRef21KUXG +7eDxyFQpE7styWmH9o128FfT9224w0Sx6qLq7q3t4FIlKLAYxEQS/hHOVe4f +oPV2loNKOF7fP4dKs6IO+JWmUMofzURGxg0hOq87YItw7g9DbOcUqVOqTR0g +40L8Ccd+oDr6RYzSAX7jl0OWsWe9zopO/ukAo1NBB0djmCh13MLf27gTnmoE +Wac/YCL2JgPt6LlOCJR23f8rjok28+VvDFzsBCqhY60Qz0QKdtxD3mwf4epo +1ykn7DO/Wq+aC32Ep/6qpe+xy5V0csQ1PkLDwReQ8JiJXJ8BV/79j/DbxC1N +9gkTdYQpf3y3pwt0i0O+ySQzkTrvB46HB7vg4AU1sMJ+keSoelarC4Y2KdtF +YocWxWR+t+iCDWH2f+dY4/tnfDYHd4G76f720hQ8fm+aiAe1C+4wPmbvT8P3 +YTakZI12N3CCMM+6TCa6qfCx/aJeNwR/jWSoYJdcFZ3uNuqGo+LyhU7YW/4W +i6ZYdUMq+5nIauxlgZGQfZe6IcGr9LvLUzxeQ9XCOrEb3vOo/FeWxURSD74t +5813wwagXtudw0T1joF5ZUQ3BOU8bDXCtjsgaFP7sxv++CgteGGnUtRfd3D0 +gGuk2/sK7M2S9258F+4BY7JdLOQykUD+LkIZemBU0CzQ8DkTrW4+P4die4Br +5/mzOnn4fjxeTmmL74Ga6V15ztjH3aINe5J6IPB0fVcwdghPRf7ksx5YSE58 +Xof914TTffXrHsg6+jT0QD4T/RzJmjYY74HTWx8tixQw0belsVGyYi9cGQZo +fonrgVtsk4FKL3yw9Zweww6iauaiw72wZTbN/je2cFXapTzdXqjtN+veX8iq +N2dX/M/1wozBZHYqdjKDIipztxdMwkV2exTh/HaJ+JMY3QuNwu4iodiKpIMT +G+J6QeRZ22AK9rtX8S+W0nrhvAx9vAN7wee06odXvSBnWjwnV4zv+0Knuc9Y +LwSSCnmnsQUdA9S+TPXC5rNmlr+xR7vlJM597YUOqVVX+UuY6FrJ/U86zF4Y ++VCvcAQ7w0vfR3RdH9gwTEsfYS/SmmMbVPpg9RXxHwdLcTy/1rZvjOmD0bqw +WtsyJrr85JS0R1wfXLXyeXAZW/X4p9stiX1QVvFKMxC7PY1H3v9ZHyTGue7M +xF4wsYmdfd0H/gEre8axNasWzZo/9cEVku4am1dMxOVy76XUbB8cfRx9ww27 +l2/L6tvzfWAisNRwHfu8h07l/uU+iK50mXqIHSUZvzljIwmYw9xubdgDoftH +bh4iwZpUK1eFclz/FVsOkjVJcFLs6R11bM8R6xjF4yTYK9h3RRebTTUIvpwk +wbGirbO22LJfPz41dyFBp1qZxH3sHwlOv4rdSfDFN3ZDAnbNscXT67xIsNBc +PpCJbZwmydl0kwTGJWs4K7GvmXi67oslwfF1fFmj2Jp//tXfiyfB1IEbl2ew +1+bHiXxOIoHIeLAwHTt5VW1bajYJro9XLXNWMFFjJfdenhoSlIaNbdiNvVHy ++fepKRL8o/P4emBrWYaOfJolwV1NnpAr2D6x9h8m50kQi9Ze9sOmsG9+Pv6T +BP8pbJsNx07/fNdueD0Z/tvY6pmB3S113miInwwCd0qDcrA5bI6qDwqTYbfM +xPUCbNcPy5v6t5LhvuwBzipshRKPzj5lMhzRSyjtwHaY1a3tPUQGPUp6dw/2 +o+3SeT2aZDCSV+qhYC/GD4V1nSBD/wNx33Hs+ptGGh/OkSEqfMaSjv2jbNee +dkcyqPaphC5ib5/j3PzelQyTguYPf2FH2NUR77zJcExc0oyjEsf3mEJBUxgZ +rnb2XeLDvuPPk9QYSYYml7A8QeySis8RDTF4f290W4WxhXZlONUnkgGKtuVJ +YOs63japSyODba3BJSlsv2QrzTdZZNDxyhXcjj28nk+s5iUZan2F1+7E3qg7 +t7a6lAzWmw6b78bWCmxjVlWSYfxbWehebJ/X2Z8qa8nQLRDxWB47eyGop6KB +DEGpbyL3YVP22KLyFjLwJ9g57K9k5fOhwlftZLiz9proAWxPysLdUhIZLK1F +D6hgp/N+vFEyQAZJheo4VezuE/kuxaNkiL0wR1HD5giJOF30iQw7FmtWDmEr +1zppFc6Q4WSD3OrD2K4MTYWXNDK4Fej/YDlRXlyigE6GjgTReg3sdtcl7vwl +MnSdy758BHslo2/pxQr+v3eBXRNbYaB4+jk7BYTfc/ux7CAQ1Ze7hgIS6xjd +LD8yvNCYs44Cxvav1wN2c9jx4mw+Ckj9Z7eX5cW6rWnPNlFAFeblWN61tHIv +S4wC+8GLn+UzigN+T6UoMHFotp81X5R7hWumDAV2jjiHsvxVq/Duuj0U4JD+ +tJplvc05+Tf2UcDgibUra73Z31M7JpQpQKd3ZrP2w9EaP2+kTgGNGaNG1n4d +0qP4XgMFereP1apj118PU5LWoUChXkw8K14Sxv7mMQYUUGA6G7LieVPm2o3l +UxRQfOs+zoo/5ffFRBcLCsxr55kdZMW3z7mm6wwFdvzbm8M6r0f5Z4fVHSiw +2v83iXWeP4LN/uacp8BR1W2fWOd90sZwK/9FCgi8yO1i5UOB4jFtf2+8Pnic +zMoX1zGliNO3KRAe2vdBlhW/yj0v6oLwePpBJRns7THb23dFUGCSe6fvNuwR +DYGN/x5QYIvPYKo4troQj6JHAgV2mRYHbGad7zf20+QUvL9F1SObsM2TFx4X +5FJA81Kk9UbssiuzVcIvKVCe7FLOg82rPzEQXEqBIL3N39ew8mGpW9L6DQWe +xcmz/8P37ahFSQ5nLwW6OzYk0Vj1Qu5FqxeVAnpL+XMz2CurMmcHhymQ8vWC +1BT267JY+dIvFPg77LF9kFUf+LwqbP9Q4JFfYEYzdtQXV2obBxX2pYrT6rG/ +1tstH+CiwsVTUmLV2DmXTh7hEaSCuVWQQCG2xAf5lspdVDDRSw2Iw76VtePL +NgUqhF+80BqFTb0pyR19gAofPSd+sOrZo10bjJ01qXAGViZ9sbkj5ki85lQ4 +d+Xt8TOs+qNVMHUhkAqmI0urRbHfZH9L8Q2jwoM5xSk+7BAuObOISCpom1Tk +crHqa/fLxmdxVHBrrelfYtV/+6L00edUcLrks0DCtgwotTbvpgLbV8vfkdgS +Ewu8zmQq6OfYbgvCnjyu1HplkAoZzzbL3cC+vP7VwdgpKiyKtHx3xI5IKRdo +/0mFY635imrYFdVVHbCtHx5mHkgfw+/VLcmfoSdl+8F92E+AhK0VrHbYdm8/ +8JyiXmS9Zx0nqvNuHeyHiJifnSXYU9SaiAr9fpBP8TMJwhZarNPa69MP83Vv +zcSwryk1lwu/7YfLLR4B6vh9dVXemvmwrR82dJ9z3INtreJ/f/3Hfpg9miQr +hn1YXdmJY6AfHHw3Wizj951DO5t3fr4fHk+Ua1dix5qGebSIDYDEsKrbHux8 +7+Nbr/kMwFuP02tWcD+R6pO57rvvAKj+Nt02gx19bWXRPWAAfsze3kLC9vYr +77S/OwA9Je6oAFs1SOa2YfoAGD92S7XBbo7lpGxvH4DApVdtxbifGStqvt+z +bRD+6c6I6OL+qJvGOae0cxA2uXAQCtgNcjpG8XKDoHxgW5kIdmZ+y3or1UEQ +0wsbnsH9llPuu+hho0G4xhc6GYn9Oa0t9ovvICQbXXr9DvdvtKiOuD+dg9Cm +G/5qL+7vfnuQUnbfGoKbA1ki2bifNFexfagQOARZx7Wk72K/ZP8ccSBsCIIN +S0Q8se0Sl64ciRmCHPPNtQewG1vETphmDcGtz35zjbg//W+rI8OvfQhEC36u +DGTj/otCM2oTGwbOojM/fuL+d+/RtX9da4ehqkafQU/H9S5rm1upyCjkcZcS +3Ljf95W03z5xbgz6oqmVtvfw9+qcxTqq8TiEJScFp+HvG0HeH1zNv8bBVOi6 +h683E3GilGLp1AnIf3G26pcjE11Urh3gNpwETYX8IzMmuB7pO2XWL06ClfPF +DW34e5L5TYzvc8InkHfIv1Egh/PRTG9V/PEpeO8lPPtahIkCT4RnlH+eAvFP +G58vcDDRthGD/mfh03B4kCfZk8ZA6qph8aJKn0HQ0V3tHYmBzm3pWmbv+wx3 +9A+b3HvDQMz26Env4C9AseFVns5koEROu2unZWZgOsYntTGcgQRHK7I4mrHX +yW0fcWeg1QHB5oeuzIIO/WaDmAEDseXZFU8KfIUnUVYxS3sYyCe5OFqg6Sss +2cx8uraWger23uXiv/wNUmWtWh5PEojfwEcuZOMcSN1YFFpXT6Bh+e6+m2/m +wHDX0BflOAJlfOMPWIXm4KjuEY2oRwRyyjOTjW6cg5f/DLU+PSTQrAzVL/Pd +HDCUb5s9eECgRfFRydbuOQj/IRz5ORrPzzPnJjQ9B6tMtJVC7hJI9/PalaIN +NMjQlGvyDCAQd7Z+jhofDRxTLkRX+ROow/H+ySYBGtx15ulnxzYb2fiULEID +bYEtk/G3CORA2qS7so0GwQnpoeW+BLrdJP1AX4UGsl7KodQrBCpJB5kpOxqs +D1YLe3SeQMQtYq2jIw2OCF2qbnchkIrV828jzjQwtO1cYMeu5eV9Rb1Ag1+z +aqcuORHoXdDo0Q8+NIh/lj6mYk+gIacA+7IIGsSkHlgfbU2gLbD/2L5IGhib +7tIotcLrEZ+WfXmfBnLsjNY+SwJ97jOaz3lAgycnq8oELQj047hEQFIyDR6G +HRrwNyXQmp21yUFFNOhsX5AY1yeQ/iqvO39KaOC3fwtp7ASBosa2O916RYNo +jW9qw3o4fon3dl97TQMHA1P9dh0CSXCfee3WRIN70wZy148SyH56Q+pUMw2E +Ukd5zLUIlNXYGOjYSgMd37FgeSDQzlu79c520MDT92TCRw0CKX37ST5JoUFI +y8+3NaoEut76svpDPw1yymWW7VUI9PqZQ9qJIRpYTvAX/VIm0JFzbS7a4zRY +WHOua50SgYLU/E+gSRrE7a07HqhIoCYhRTmNaRpwqu/dM6lAoBOdT4iDX2kw +b31RwHMvge7lGVLL5mjAI0jXitpNoM7wfzX7vtOAVqj8NHongficXqW/XKBB +drump/sOAp3WdAvZzaCBhefMZmFpAj0WE3fNXaTBFw79oPCtBOpf/KgvvUyD +ypmfq55KEkisL0Q+8zcNbN85aWmJEci2WIVf8i8NrChq6uuFCVR55ufGbrZ5 +cPmXtCzHSyBO8d3saznmYdC1pnR0DYH+B7lNHg8= + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVlGk81fkCh62lRra6zRxZkkMphmupzMg3Q9laPkUpyR6qa+u2SEXHxZSR +qdvJEsmVJVSUpSLzQ5bKvsvJdqJwzv8sf1QSpvvi+TzvnpePlnfw/mNSEhIS +gd/5vx2OjbdXTRyw9GiQ5NVViiFjrRoyxDCFQ7hnayhLDJO7yWnFDBsUS7X7 +FfuLMarGZJ3T3YOn5YaJz53EcGzufXKH4Yzy+ld0xW9iMOPVsxc1D8M85218 +jokY3xx8krx03eF1Wo7L0xHDxfdz5X8Zvji50q/ZaYUYtdaqT1+m+WHtcvVE +hpQYRtrbiqY1j2PJdqP4wM8iyHGjMg/qBmFdgZp86HsRBgx/t77GOI1b9uNe +/yEisO/+VKVz5Aw0XJkzBk9FcFDMt/gr7SzKXaaXKhaKUCZoMhNqnseyM5E2 +PndFSHiosmGfbgRcwt5MzLBEyPjH3tg4RjSMhV2WLbtEuNCcVHuwPBpVBj7r +2DtFOBgzLKV9JAY8FZ5i0HYR5GdCIyvSYqGT0v2nq6kIYV3sML7mVdgbB8lK +qouw72b/iT26CdAmTefnR4RgyRWvv8pgY25ytkpsJcRG2xtXnoSw0VioXFKy +RYiOmOAJTgMbF7OTz10xEIIprV/w89lbUD8w3ODJEKJ+Puvnro5E2GTN9r0W +CyA/lWimGZ+Cvq/y3ibZAiQPhFuXLqTDTG3bP5NWCXDo8P8KReIssJo4L3bI +UxBynJdnqmaj+d9hLrQMhVh3uWNO1tloqznz4t48H8U+wapl7Gzs4AQ/WCXk +QyFoW8yFLTkoG5jJNOjgoy7qreuSS7n4UteSa5TCh/ED5SVqcvlIWtHVsmkj +Hz8sstxsNQoxu77oqMRBHnT2CcZf/lGCgPsBXxU7JnCuxRzJ08/xi5JuZrf9 +OH4sUR6UlCToj2nI6aA+oIxr3dRqUQPm3tGJkKgx1Jy4s+Ywvxa+A4E95etH +MaO7TfFhYAO0zkrF9U1yITVboLRG5w12fOUMeCSPYPOiZ5nToyb0JzGjWjyG +0RRt2lK/sRU+QX9aynoMQvM6bzZP0IbEU7q7gps54H0eGuw26kB0/pf+xoC3 +yJqseKOQ0Ik9tuP9a9t6oKCeKxwd7cJsuMnVq1ZdiD9e6pfB7IGL8n6fOU47 +Pm0vGA2I7EWWmp9504UWnDauLVn9sg8HwrTHJBNeY+hR7R/tWv3QqDTN8dtQ +i7kTXal64Rwcnb5U/e5WJTZZLV3wq3iHZhVzRbeQYthmavk//nEQZ3l4vyMu +A+fUPdaNuA1hZ2qVgCqIIvr8Az/07h6G2KBjtXlnHlmpKJKr/ToMoc/z56Z3 +nhEZklqonTaCuqrVHgsj1eSkacXbZY5c7C5K6zuq9IoU2Htn/PWJC0qSqfna +roXM8FSVPiS+h93mMI0h9Q5S6mQrzbYZRd41m2+tgd0k0i7mbsmHUTzabWCX +ntpHtAYc+u7FjMH3/pbZ660c8suWaDbD+ANCree7/H2GiJtG66xk5we8Onaz +/v7xETLz5ho3hPURpvfNzhc5vyfJMu6n9zPHEbTvyMNf9cfIysHSTKnacVz0 +PJzxRfYjkb3EcjYPnUBpgA2nWmWCSOS5F3JVJrG6kSFZKM0jp24XXlOpmcSZ +C9P6O9dSpHLTFTnlIB6UDE0V9usLibLDKf0oBT5ClCa58ywReWfQ1nn+BR88 +W40smxAxKUoHc9SdwvKIQ24nNtNkKnxqqZcXhVC86LQ0p8lml1zegA+F3HS9 +dmULmlQoKhb3BlC4ouofXGxFk/rLg1aNpyg8PhhRN7aLJhzvSx5PYinMFbSk +yPvQZMn6ituXH1EYe3yJUZJAE3vp4Ij5IgqHDB/c/tcNmsQPrfMOL6bg67U8 +VYdNE+XkOL3TzygEuC+2pqTQRG2Z6zP/GgqO4fKrbmTRxGNsRdpoLYWchYRo +p1yaZFZXR3o1UFizUHrqp3yarA/Xsz3SRGHiNsujoJAmxrwv3Xt6KJxt/7Va +uoImZxoePG/soyB/XWAxXkmTZ/c879hxKGRYsLZ2VdFkm9sr39+GKaR4Lpxs +qKfJ5a0X7QiXgsE711vtr2lSs8pI32KMgkh5q/VEE03smpOmzCYprF21xdmx +kyZxeY69T/gUwoo2xKb10KQ5ZrHcUPj9M2ozwcv6aaLkXZz+QEwhv6O3O3mA +Jvst/aP0pilo0FaWziM0uaW6xi/n0/f+Dv9m+zGa9H1qsdeepbCr45vG9Qma +qHZGGWTMUWBHfLy5V0CTo4WbldUXKFgM1TOHpmhS5vpFoU1CgLSqMK7JN5rI +rNGTXColwJKNv8voyU6RvwELt5GU + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJwV13c8Vm8UAHBpibIpIYmUbIkojllCIisZyQy/IgktoqWyiqysJBll7/W8 +5vvaI6XsVSiSvPe2+T3vX/fz/Xzu5z73nufcc84j6uh10oWZiYlJcg0TE+Nq +4DLbS5mz0LjuoDuSu49A63S2e48JKMEdY9VQEyDQ/tT4pGIBXXArvnCs6iiB +poXEg/0ljMEk51+kgDGBDDsHipIFzEFb0d3Ew4xA4mHCL1ZFrGGfk+Bu9lME ++mvgFHdWwh4mnZqFVWwJ9Pl2f9PZ2LNwhlPsJ7MDgaycf9Q+FnCG9xp2fHec +CNSks728MckVhuQoX9pcCSQvpl5AF3EHv49l+qPuBGKZDEm3lLgA4ilrHtz3 +IlDVeF9no7k37Ly6SpHyIZC3ibOBbexFcK7buFDgS6ARuXs64QK+sFZUY8Tr +CoFiUrdRdttchujxq6fyrhHIgCPncF2SH1iL8Lt9uEGgsq8dBxZFrsAXgSfu +f4IJFPGae6+pRCAUXRJ3i7pPID3hjIw5tyA4ZIMyLR8SKLAlkbPe/CZs0ndb +4QonkJKydLh1bDD4xGk7eUQRKI3vxN0HArchsHNJpiCWQNc645osq25D1k3j +Xpl4AlneGWcWs7kDWd7xmhkJBNpMXAyqTroLbRklddeTCBTQHxMwL3IfJmws +K6eeEcg0etDDWCIC7Nt9vlXkEkjaUCx7OzUCZpn8w8Ze4fis/W/mk1skuJZf +k2TOI9CZypVCZB4FPOOHRg8VEIhPMkr2VOwjGL0mJ3W7hEDBLMV77gvEQGfa +fy7Xawi07+ij0CLvGFhSTB4PqSVQ3x2vuSFqDLSHqB+9W4f3d610rqzfE3AX +c911m0Kgln8Zsv19saA+Qvy0a8Lvvxx7QCQsAeSD4j3i2glUqnA5Vn8qASx4 +6OK+HQSy9zb7cVEtESwlE4qNOwmUv8BR2TSbCDz1i/7/ugh0cjb0sIdeElw5 +SC/U7iNQ/MhVndKVFNjT8y7z0nsCaQlZZ4xapELpR8ofhQ84n06rrGd5nQo+ +xb6vv2LvmL/i9FomDW6yhmo5DRHIy115N9NwGlinsA+pjhKI2zk/68XBdOjn +i2l7NkWgU9bP8r8tZUBe842pGwsEWhwyZ03f/gLq30/LsXwl0F17FhcznRcw +mqMZ9Qi72Mlre1nMC6hb4o97tkgg9gvqd66pZML0uXPM5UsEag75cHrDjZdA +wkaLSoJAiq+4Ngix5ADbtfUS+/4RqFW22aFTPgeylWz3PMV2KAyoDrTOgecz +p66zrRAovGzMeyInB0yMdfd8xp6lvB7KPJ4LE6JZ2alMJEp5e6xQIfoVfLp7 +9cn8WhKxrQbbHt2RD/Fqz6r4WUkU725lL30kH/Lo36Z8sMX7pR24LuTDrr2s +4V3YGtkDTkO1+fBxi7rjbTYSVWbHlpbLFgC74S2Fz5tJtGA2X2PYVQBuqkg0 +lYNEFllx7Ze2FEGKckh1MS+JkuxCjFxFi6Dc1vniGj4STXKf7zx1oAhEC+8j +Y2yvG9rd6nZFkGsktmcW+/7Jhb6Nr4tgm8cHJf6tJKr9qz341KgYDPg0us8I +kGi36dfZxoclUN9X+OGVMIm2Bx1Vc3tWAv+Oq+rSsTlfpz1kLS+B+R0ixw7t +INFvFjNZ08kS0Ph2WpyG3UMpvzR6sBTcZ6LlR0RIdFU+eOXnx1I463NGkBAl +URcHL6+sZjmcYFvXM7abRI3q5116LcrhwUBhuKAEiSo8W8p8PcvB5eEEYYn9 +nBpgXR1bDilKgdQO7ICgkRT9hXJQqbh6rWQPiXYtZko6JVaAmO5osK8kify7 +VCGeXgkrmRGlldIkYpct/djKUgXvkq8oz2JnhMuH/RGqgg3vPf35ZUjUa7Tn +g71eFeQc9FPzwZZq5720O7YKchX9OyRkSTRGXcwsUqmGOV5F69tyJDpa/4K9 +82oNzM8kNnMrkmh0p2jpSkQNNOrrNKhi+95MspF/XgN13I/vOmA/g+is6PYa +CJj97foa+0/tTe3TQrVwT7IzTHc/ifKrbPxmamvB4/p0wjklEm0t4RpdswbB +FWoez31lEtmG/TGf5kfQM9kq8Ao73flje4s0grXNn6a7sKX5KisfnkIwJbGu +nUeFRNp+Dk/4ChC8UO4YTsRWTrLKjJGjQJaxuV7KQRJZh3ZM3FGjgM7L/pJa +7Ou+WsL+ehQgU1/MD2PXG0nFWNtQQHvdmrLtqiQy+rcSvOMeBUzOMpVGY5+1 +z7TLGqVAh6BsxDU1Et02EExImKNA3KhPQhx2pnJU/wM6BUo5mL2LsefZrxqe +Z60HVFgc9BnbDx1XVVSuh+5BkLU8RKKwnQRvTXg97LpIXxA7TKKySZ2O7sMN +8K5wTiBRnUTv9IOXwo82gNhGu2v52GReHb/RyQbwjvye14Stck31LM2tAc6b +coZ/xa7gkSMpjxugfsv4Xk0N/D/pbt9ZNNsASnP+fwexB3Os9LyXG2BBmCtq +Afs35xMP2ZUGELf+9GMVW22EozSHpxHmepp0xYFEVZfXGzzXaAR3B+o7D+ya +zG+XYmIaoWBkhVzEHt4sm3AytRGGT/0eXsX+6+NZx5nTCIYZwgkcmiRSh08s +4agRGgZMYmSx6waGku98boQSoScJHtiIhUr102wCq2Gvn4PY4xfWLSgZNoHj +mKnxLDbTWy3uZYsmeFS04zqBrfmsxtbLswls3abPcGiRiKJatOQW2wSmYZsM +tbAbPJIFreebwOlQTEsydvGcp6c92QQ8b28uvMTOOKdW7cTUDClR9O+F2Hdc +B05f4G2Gjpn6hGZsfUeuxFuHm4Ft9y7rL9iqE2NzoUeaoTLUz4+Ovc8hTzXC +pBlqHn/z+Ye92d7wQ7xzM5wSfL6JQ5tE3dZ3tuWFNUNaiGCBPDblvdm54thm +iNMspx3ELrDaVVGR1gzpllz1mtiPLZBVY0kzuKgamppgW5r+in0/3Az974aW +zmMP65/nWyvTAsc3ndZ5jt1JPeTCotICl3Z7OWRj1x1hLd2i1QKjmU72+dip +ui/Nt1m0APWY/L8qbEfNiWiZGy1Q1KFK68E2Q/lTivdaYMlW+utbbF2NwP0H +H7VAxv57xCD27sOCb7Rf4PVKK7KmsWdVLLhPdbaA4+JQOon9oUzM0W6gBexE +Jt/+xm478L3QcaIFLAr6P69g5+6PND1PtIBoBqraoIPrc5HdM5/VFlBReH+Z +FTtMQXrJfxMVeHyFedixL8i1RoUIU+FY7nk6L/aZvLjxe3uokHUo/uA2bBMZ +V/lwBSqsrZI9I4itKLW2J06PCr9+jpiJYovl9Iokn6BCShvfLnFsXsk0r3Rr +Kjx69aNPApuQUOd4fZ4KO1l+DEtha0xqUx8EUIHLMtZNFvtusn7QuVtU+B7C +1COP3XXKWOVIBBVSu/T592Pz85oviiVQ4YBjiNoBbPtu65drMqiwx4RyWAU7 +88GZM2N5VPCL4RNWxVZe49md2EQF3zTTgMPYgTXe9wK6qfDlm92yOnaLvx9Y +DlIhLLvDCLDZ91//sf8jXt+q4LYmttXX4Hyub1Rg9xV8qoWdln3PbfE3jo/D +ziht7FnncJHO9TRId+910sGW3xk9kMNJgzdUTX5d7ICh+MhQQRp4Jt98yTAl +NuWoqwQNmH+mb9XDZjmZsaqjQAPtnkpXhk225JSLHqYBD+tADMMJtHyv1SM0 +QL6b0xmevFW6Z8SUBqztnhEM74PqsSpbGlgUbzjNsM9vSly8Gw3q0Nd1DFeV +tpzw86HB4QsHIhnrM1/s2Gh+gwZNe+d/Mt7XQLoPKYTS4KyeuDbDj2cG/Dmi +aXB6w6IH4/uG0kfkFpJpoJVod4nx/eL2UzNtWTTYIxRsw4jPfwJzqVnFNMiK +9hBjxK+k/6vV3ToacBD72hnx/RtJ53BupUGA6JuTjPjrGv6mavXTYPzTpSo1 +Rv5tYLopMkYDM6YdzIz96q9ff/DfHA1+8k1KMvZT+Abbt0E6DVRqexQY++16 +kCurYpUG/QG/BRj5kLfM7xDL2gqbK70mGfmi4bGrx3RnK0hLB/JKM/Jp995Q +OalWeDulEijJyKdxGc0tyq3QKxlIY+SjvZVqAc2wFQKff1vZiR2kaxIF/q0Q +d1iK4MGmrVroC4e0wpn+jS6c2JzVNkx/wlrBvWSxdDMjHxTcvMvSW+HeGZE/ +6xj7uyPQRKarFaz3veal4/+PZfAWC9uHVgjVi45YxDZ5cp8yO4Wfz7869xl7 +gu2JfMavVjB7+1xvApv5Vy6n4O420OZteNSBLdipvQxybfDjpLc/FVvp2Ye3 +zqptcO4nXbsB2+XYxqd5x9uAJ6fgYTk2LcFxt7ZfGzhfVv2Yhh2pJqDmTm2D +mz+yGi5gZ7EXCEX0toG9pwzbOUY9nDyyWjTUBsa9oHYW+/sD36a/i23wq13N +yIxR/4a6jaO2tUPkvVpNZWyh63edyt3bwePkpcs/cL09YCJ8ZPhSO8SzLkst +YhuLl+xdE9gON7Ret37CDuqYWDB43A7k5O+qt9iTQuoBo1XtEEgV8ivCzq5d +Dlu/uQNOgLuVG7byqkOZWV4HiFaksCHcX4yOU0L0KjrA4sFWshjb6anICZWG +DtAd/daahR2lMjqz/V0HSH6fkXmMPedlIzD5D99vOzjjhJ00bnHd+3gnRAoY +Sq3FXtNgoB0+3wkPPZ/vU8D9cRtnDnsQ2QmFriGmYtiy9puGvJm6YF1UmyMf +9unf1EvmvF1AfVqq+wv34xJFvReCh7ugz7Z7AwXb9Tmw5DzsgrWe9Q+OYXfc +Vupq2dcNKqpNzUa4/6txtDM/OtANNzcmjqthZyWcVbHR7Ib2v0JTe7Fv5UWk +LVp0g81m49R1jPvfz/psC+4GrzpzogrPH1lSyVs9Brqh73GCCGMe0Z4LKdig +3QP1r0KzJvD8IhL15Vf21x7YBHdPCOB5qe5sUHbRcg8w17LLrsW2389jXf2z +B3b1SPyax/NX0ju1ig7mXpjvFrKgYG8TfuC3yN8LphMUcVds7py9y0rQC4OH +xvbk4XlufZPLPIrsBd5j+6flDpDoy4+x0bfyfdAa3W77RwHPR26RDQbKfXB/ +NkB/FPvmgEYmOtQHeRofdtRj85cnn88+0gcvF9se3lVgzIM2f6/b9sGwnoAb +J3Yi/Z2AeGgfjLKG7t0pj/v9Uqe5z1gf/JUcXJHD827G5+o29og3YGzKIcW9 +D9dn4ZeL09P9YMI828WP5/cw91LXNPF3IKX0/EI+Pj+QmrnT54IG4KWIX6YH +Pq/4KjaV8De+h0dlS8RXfD4ay2t62Cs6CMMPHmleWSbQH4/+p5JXh6AH9Ni0 +PxJISmvjimv1MOhwxfCLDBDoaLqoW+HWUVBt6JUaaiGQv/CZXRO2Y9AnbeDW +UYbP1/MWbAPHx8ElpDNDI5NAPBzfWJp+jwM3R5v62WgCrUNP88WSJoBrRlF2 +/iaBPJWqP2wynIT/HC8n/PEkUO4xx7Q6chIe7del+1sSiPiynfNT7BSsFuR+ +DNXC52Ozo2tjdKdBQvpx2KgkgYL076SWfJoGwcmdRoPcBBIdMXj//M5HCLRW +O+T6h47UVG7HCCh+Aivi09H5cTqy3dH9a82bT3D5ncZOVSodEW3hk97BM0DI +DCUfyqGj+HX2vifFZ2Fpm9F29gg64hktTWdumoWDD9fct7pIR+tvBJurXpyD +J3PvDT+b0BFTtn3+JPdneHODe9JBgY58EvPDuRs+Q0HkuGXlFjqqlQpl4brw +BV6NG3sLzS0jLgMf6RD2eagcmhxyaV5GwzI9b67UzINbOsvWjqRlVJAC4tP2 +C2AwK5/+0XcZ2eUrcwmvLMDtuZlrYYbLyDn7ev9/qwswFX/tgSL2/z9DzDY= -Cell[BoxData[ - RowBox[{"{", - RowBox[{ - GraphicsBox[{GraphicsComplexBox[CompressedData[" -1:eJx1enk4lV/0L5pImVKihEiTJInyjSWUTCkhUhINVDKGSCiKiESSTGUeikQy -brOSIfN0jAeZjzMQFe52n9857nPvc/86z3rW+7577bX3+qzhc0TMrHWvszAx -MUmvYmJa/tW4PtJQPKqvGHn96xTT5AjyZP2825c/BDh0pwxTZKaQodG79Gly -HPwMOWFutW4asS95XlLbkQ7c1VQTYvg02nVuaqTMLwt89QgffFjJyKnuGITR -cuGKBuFh7w0y4svi7mFmRtC0ca1K+Ucy+jKgUlN/vBTqU32ZE0hkVHorcpvR -RDkUVW9+JrCLgmbEFTg/WFWBr7o0ROpQEMt8Kte2XdVgy/ls/xYnCpJdMv1y -/mMNyDZQh1NDKajGS6aucl89VJLDJsw+UZDQi/H55Kmf4OLjJiZbTUHjv3t7 -WqQa4c/Q/J/RbgqKG8uv5ghoApIzu9gQiYI4BBNJg4PNMFka99l+kYL8LbNv -xIi1QpVqSJEbGxXNKqUOWri3QXpBrBeRh4ocpMuztpS1wzp/UuoVfirq/Vju -1yDSCf2np4aYd1DR31vNb/e6dIGlx18nSWEq2n9i3eKNfAIsLtyW24Rltfci -Nz/x9cBFg+3wTJCKnASv7Oy/1AsWB8aNc7ZSkcSEPnubdh/80PGhXOamok2c -06zlf/rg2b8PBxvWUNFq9DZdNKIfLDUjSX6zFHRbJr+DTXMAjsjwuOj3UFCq -ullM0ewATGdX3g7/gv05LsA1HEqE+DW2NzuPUFDMZp0nz/i9wMdc7cf3xgkU -1u2ikr0YBd88q8u4lUhIOo177XbWFDi4WuogyWAa6Se9/mG/MRPSg6pXrWuZ -RnWcvLySSjmQ9GlGV1eejNRK4jlqXQrgH1dbmLM/GV01Sbic1FMMx6LObcv4 -SUanybV6dr2NYOLqrqv7i4I6Hh/qvn+sGfhmjmx89puCtFUkU0u9WsCET3SL -6moqOqGfEb+6sRUCyIe+PeagouzcnBoQaYdda2ODb2yhohQbVWEHuw747jpp -uLSNiib9a4IXajvBpqZd11wI+6t1UuubAAFehwm8Mcf+zrUNkfa37IZ93n1F -Iljfe6VYVT2vB6YpdXM2+P0RF+8ItX+9UBsrHXJtMxXFLOx8ph3XB+G/o78L -bqCiDb9OEuw1+2FmJkSuGd+Pr/dTy0Op/fD+neeRvHEKYkccCoMxA2DAKcH7 -uJaCfoYrGjaeIoLB5r9sEa8oqPvgU5Xn/A5gEl17fKRsHG2ghh4R8n8DUYSI -MrXyKVTxqOPiWrdEoB2+NtElNY0mz08UaNZlANtve+f+r9PIRcpzcW4oG8Zl -s9l37SSj3ipSQqZcPhTpc5kJupCRbMSFhJCDxfD7sMdRoWIyCqe18ov5NMKn -++w+uQMUpJgze76c2ARPhX551VMpSDLjVm2TTAs4rB3mtGamohu90k90XVuB -rK7WvRnv18Dtk6HezzZwa9/i9IWXigLPed2qEOiAXPYg5TIBKhqO/Bb4y6kT -HP8Zmlbg+/5U+CrNuboLBBrOvzLC/rbr0A67z9MNlo43Wj8unwczWX/BAvtb -MJk9aDsVSWnZXQlJ6wXz0RZbUT78PYcCDZ77fRApp83ejc+b6284ZZtoP/Rm -XhBxZqEikwhR+e6qfsjMrY2zIFNQ/vw19t/3BmAkaWbng1a8P7uzD77tIMLh -e23pJ5Mo6Fxw560z4gHArJcSQQudRDzX0pPij74HsdfKgRIfSCiqRf3ToeA0 -2He4Yq24yzS600r2+dTcAnrszjbUtVS0/ceBii972uCptqToNy4q4p0tVNpv -1w6hUTxHaNje8sDVrTurO0Dxj8R+Xhy/NNefrzeJdcHzmz9zuvB+o/1c4vlu -EyDoTbKSFvYH0/qumqjibsipLpS8jf2VWhR4+BV7L2wi/ujag/35U6/mzsKu -PrB0W9vkvImKLj7x/e5T3wcWUiffb8P4w9o0XfHDvh/KcsQHuv9QEM+Fwzpn -hQYgL2XLosUQBRl7fb3b8GMAZNNr+HnKKMisTEKGcJcIeX9VBarvU9CFa78L -X/Jfg1mn/GfJ82OociFOsrkxFGpvdDabuU8hjrsK3q5yCcBMMr36Zvs0yk0O -zc6RzIBI7zOLwinT6Gdxjn3P0WzgOcO39ulmMtr/g9d+V2geJD961/zWhoyU -HU1fbc5A8Kq6gOCXsywb/3twqRGe+W74ZNhHQeSzRoGjX5sgZWjEtA+fX9Sw -jwlhQwsoVQfJzS5R0H0xB8d5nVZ4MbFfqH49FYlf+RjVk9gGhDdpJg3YHyzK -cZxTU+2wef797S3YX2YJlc8JWp0QcdhGzwL7s6RC4PS5912g9N1Q/DT295nn -+zSnhwkwVz3GM4zP48M5X5MLSj1wY/spGx58Xs1ZI8V5Xr1g9d98EgWfp68U -W6qDeR+0sPSy7sLnzeNdsUDi6IfWG8/DDmA8+vSh14Epsx/S+B/arKdR0Ca+ -F3YepgPwoEHntU8nBdEuGwWUrSfC5R1fndvSKci5OcR5QsgXuLPaZjn+m0TW -lrK7mAgxYNRqM3LUmYRGij90JWingjE/warXYhoRNnAJ5KW1wExz0N0YjO+S -XNbZlxdaYUbwt2AqJxUNtuU9yVZvh4lhp4+HsL1yHmKumlEdIEi+mRiA48nG -q+4V63wnKL/ecDQO77dDSmaepEyA7lPKgpewPwiFOe6bX3WDiOHNzz+wv05y -7jlOGuiB7oDfSw3Ynzt9n0Zy8fTBVqZeWwcc7wsnWveO5/ZB7V4D83h8Husv -b2uIvtIPEYYmA8R/FHTdguRrzzYAN/SvZSaOUNDnd8SWi18GgFjJ/c3jGwXx -px851XaRCNcne6QcfSko4APPnnPiD8HytJPsRqMJpDvic/zWyQiY42buO7ma -hLZfvVYRcaoDrvYmH7uB8fjWq+ogyvtO0HL2Xc+P96PtmhgTQ+kC9VCJIjO8 -n9BdtkMsit1Alqu4Y4X19zMMtWODeiDfuIm0BvvDnHAszspvAAK37j20p5GC -nj5Ru1UrTQTnddxfE6MpaPPeF5KGoUHAKR3n7TE6iXSjB6X+zHTAqR3nRwPx -/XC2b3yzQ6cLLtin1bHh9a4PF5+DYOzPyv1zh7EsZrDIv2eiG74Wd/AwYX+u -uvl83en+ATgY6WIiWkhBaW2iO/sfEcH8xTYWWTMK0qxty4zk1wPvgtNP910f -Q2KrJFIlHV9BWMV/HxovTqHP5tYCX0LiwdoM9YnwTCPF5DbzrsJ0qF1c1Hr5 -fhr9YT0veW4gCw7PaEjzcpJRg9buDpOTeXCc85Z4xW0ykticm+tniODOZ78s -yc9ktCUn0ir5VCOYOvbyivZSUHXk+gMPYptAWuOn2uA0BbUyb03sm2sGcfX7 -ErI4/liqQqa05FvhomxI+W583hw/00pig9uA7UDiM2Mcf//Jy5ixdLSDr5yL -egGud2JSKjZckOsExchwdVm8f5Ow37YKAV0w4JplcBz75/ivsLj9DQR4PzI5 -vIDP58/9FrPVEj2wh+W2jw72r/hoLLnWphe6G2J1DHC9czHgYFe8YR9whIcZ -li3HX/XFVZ2r+2G8rSiYGcfDxS8KPDyJ/aDSIbJFZ4aCUPwu8Qr9Aaj7EPfM -kYDzbdNlvhImItSvsSHofKagDTO27vkRT+CU1O+Ir6yTaMfEffMPB2Kgp2xK -/eUlEnr+pdemPyUFUq5qaSxcmUa8e6LNisJagG/nnbtUHO9fMwMPfPrVCjcL -9Yq24virOZ2b7HKkHXRE5GRqcf1h45xVe8WnA0IR4dhpfN8++tTyPiZ2wlgm -jeyF9+u4iluUIEkAFaaYYVPsj2o+8Y6z7t0wz/to7Rz210s5B6WIuh7Qpomi -nfi+a4oOHRFg7YNmquTUJI6/uuh/RtvT+8DPUjrHnJ2K2N9ntPrq90PNNa2M -ngVcr9pq7/Ze7Id6Y04F2TEKuiJ13zc6dQAaTKdsOH5gPBKTr28+S4QLe4kH -CIEU9GWq5ghJ6D7EvIzdPcAzgdInOXPLR8LB9Z7jmbbBKWRgROP7JNUBOrYv -5zdje5LefOeyet4JjR5xV6exvWPaXtX+PV1glflH+grej5d7r6Linm4IlY+2 -CMT7rWyPO3fWrQfkRVq+aGF/cN6uv5DpMQCOB0KSjJtxPvqnSa3eQwTB+LVS -gnHY3tzFT0jvBUQtDOWdKJlEfq8dHnP0dYC5b5ryeXw/vjg1bH1zrAtUfaOm -qfj7LLmRrvvdCbAtKX7fCbw+T8Yde/PWbliz8cPM8v1Ln9mZ7986AM2cjw9J -FON4196T2uNMhMGh3TGvrCmIdeDRewPxu0AePOr12GIciVEVdlz/0gXVHnuG -z+HvmR4uIGf9I0Ba8tTXH3g9/hG9NZW8+D5RnVL3p1GQtEf9lgCOLlhgqxUr -wfrjJhfqV10ggIhI7n/6+H3FY4cKO64RQdV8ukj2EQXJyEo8Nwr1BMUdKswB -YRMoI6naMWstAew2jxy5hp9P41Lprz9OBKXX4/1t4RQkb90kEHetCxoWjc8J -Yv23TYO7zycSINkjIVEMyz+vCr0h+hOhk2L5easWBR2ODov4zK8K3dwqglfm -R1Gjt/VoV1UI7LX9sIGmNoWemLBeP68SD6L3Lt6t3zCNxJolTLnvpsPkDdvJ -oqhpxPUhxm99Thau50+8aGAno7jnUv5/t+dBW4yprJ4lGb2/NvSjUgJBTXTi -nr8ZZOTRppiA/msE3QOr557g/kJOlehaEdYEBW/8+K5jPLELvPJjYKoZDj6g -KbTg+lhta3yK48FWyHstydeE65dHrBLnn/i2QeGepVohjCeGsg/8NtS1w7tZ -GY0AjCfFEie1QiQ6oU+aaLYdn2ca8/CTw15d8Fj6YPIRvH8Z3rPn1Csxnng7 -9bJiWTjg72CnSA9cP5l10ArflzOPmZ+13eiF3oC0PU8xnqgUKtsrnu+DYtkr -27hx//Tyzq619Ut9wPldZMIS40l63kRf+Lt+sDh8yHkK48lmq2n3m2cHwMV1 -tOUm7g+LOPq60N8B6C3ZG/gni4IMvPtYRI294X4Jn6bi1AQauyi3hvVDNHAW -o913z5CQ6Sfn/IdGKSAy29/iajyNMrKHnxQHtEB8qsPGCIwn/1bFjHYSWkGS -dCy0Dte3Sp5H/7u8vx2OG9fsCcd48tzh36ylWweM/OrmE8PxU7deW6+4vRPq -Cx5ZOeD7Vn5nSa5RjACJxPhHy/mvVLXb+65DN3BSGo5sxPqvmuGlduU98H7d -BQkNHL+m05tYhFb1QeF127d7cT+TU8dJyUruA6sEHbUSjCf+qq4dD8/2g7tr -hMBFfF7m9/7NbJzH+KJ/y2se40n2bxXiiYQBYOEIrdCsoaCBKwXBTZpEyPCV -s6t7SUEanCnHiyIcoferT3TaxDgysTn/21Y+HHgCPDdlN08hO/3jJ/J3dYD7 -9qWJCVxfVG1TP5vyqBP+XmWX6MLnezTHrvdRcxf4ONxIWK5PXNNCx40Fu0FY -ks82Gu9nYqK6Sdy+B2TVFQ5aY39clw7/pOc6AHo0S+m7LRR0Vq4u57soEYoD -KYbyCTi+V935NXwzEDiThIdN0yZRUnBB2taWDujYx7VGBt+Plvmzhq2SXRB3 -+YnQCP7+2Z3+L0TuEWDvT8H5U3h9tm5RYc8f3aDRI3HzHLZvTeEk78GGAUiW -0z8bW0JBDj4p1t32RJhTl7r324GCpEQVMmhClrAlr8ZJVmYc2V2SOGyc1gVu -zWqntfH3LpjaRzWSCZB9plm2A6+3VrTtezknEZb83M1ZP+L+hEXH4MeqLqCk -RM5nYv2zqpOD81oEyLgSRjLE70s4fPZsv0KEKxJSnTPeFPSwMpyrRM8DsuXO -6fren0CL3Ll+Kf+6oJjoW7Dc34ZrVsTXyRFhXOIpr1YkBRmRdS/2G3XBg2SX -ruV5wxa3xuPqkQRY+lQeLIHlooh3lweeEmEbu4nYHiMK+qdh/vqquAnoOC3m -n/kyhrgOsl1WC+sC5XYHD2X8/J7wnRzDRwngEdlfboLltWM561v1iaDmmPe+ -2J+CIgZfZYeOdIENjSx/FeuzdNJvHPElQMN5rhb5Zft4EoZ73YggPdDkZGdJ -QTZnr2lcCrWFdTG7BTaHjaNWXx026+IukNLrW1rG0ymaRd7GqwS4FerMvIzP -Zxc/znVa4n460+f8gYc4nwDhahEXAfjOiO1bxtOOU7x3VV8QYTHJQcj9CAWt -VhGw6eWXgW/+IS8KUkfRPrUgn0ybEEg29B6/pDiFSF16698LxMNMUOpV4fXT -KMzygonEqXQgtvFJNkZMIwF3Nfmb77LgxmPzkQPryYhDMnvoO2seXBTeqSdg -QUaX/P/qDW5B8NXziUhfOhl9uRlYqiHbCGzsQa+OYny8+1pH9FZwEwRtOC67 -C+OjksHjbuJoM5hWaPrH4XgbU/rgw76vFeTztT2SMD4WxI2/dfJqgxnx8Txm -jI83ZIRjgr61w8E6GocTxsefk6snpHd3wsc5KTlOfD/1ZC8HSbp3wbpvbCLS -eP8ZKam724sJ0FTkLsSxjJdhHOKV23ugsf1StSu+/2ZnpQwIV3thl+WcQTTG -x696WujZ2T7gTtKIk8P42Fj47Kn6Qh/IrNPLiMT42Hlc9XRvVD8cq/xqozBL -QcU/W9K+ag+A/P3z23oxPspIiCjCPO6/Ldnrf2RTkGvt63KDPC9gm0vNj+ub -QCe2G8X16EdDclLLNjU1EvouWWFaK5UCZ15ITsgaTaOHD9a/KfFtgRLlCDEb -jI9REklV1m2tcMV+TiUZ46OL4NzjM+LtILLbztoD42OEXQw7yakDWFzX1HBj -POj5sYFm0tQJ4io0ym0cP3feOSrXChFg55/AHcvxoNh19NLC3W5gSRYI5MP6 -Gv0Pq0xQD0gdjUm4jPFxzGC/xTumPrh67pykGsZHiRv8isyJfXDlJFsKCeNj -zau+i/Pa/dDP5qH7Gp9XwO/zl3Vn+yFjrk/y4jgFmRrv5QqOHYAcOaF9Ixgf -jdwWZnTViRDVcvvlsRAKConeWrzL+B6wyp24Hts+jrIP3Qs9TXwDf5ykFp/W -TCGP8336SsIdUPDAe387xsdWAuv0abdOYL06Y/gTn2/f+OioW30XeHPdljTG -+5lVppSM8eF6S3XH0US8H6bf3bw8d3uAeXpUygP7gyvUvSfeeQA2WoXkz2B8 -rNLjFFAXwfVC7dF9yokUJKEpmixQFQA/b23JLXg3ib4EmZZE1neAtlfSfjF8 -PwbzuOYc9naBO+vDxX78/TmDVW+32xBAWqnog/pyvWfvPstZ2Q288vtNTbB9 -GcJNJzzqBoBmWJtrXEpB4/HjDZdscf3z6oG5jRMFlasI5JRF3AAPh3po5xtH -AkGxCgaJOL7dflZp4O81ztY5XJ0gwKqzvLm9eD03pa0SqhuJcDxl/ysyxkfT -Mo5Zy8VOyA+ISU3Feul/BVM0NQLkahx6fRG//z6IRrxwmQi1g0PKhU8p6KRg -XNzoTXeg9Pz+98ByAiWckgqN/90F0Qtz2sv3gctyLeuZI0TYUTbX8iCKgiwy -ef6463VB/nfSr+V4KfqkrqYaRoCxunEDKSwfyRtAV72JENCZffrfZQoS8xeM -XxIygrPvUhSpgWMox9zjpnJwFxTkcjwA/Hx93Zut/YcJwHPFZXi5PnZbN39H -/zwRPreKDZ4PoCAV/o3FQcQuqB32U1vuB4y9xG2lvAjws6CES3HZPqlfp6+4 -4vp4z4RDzB0KyutrrC3TswE9TZf3DR7jyK2octOt/C64I+e3Sg8//2pvXznr -JQI4/bx58Lzwcn6dvnjxJhFWF1tIHvegIDaH83dy2QnwLjlNaRkfjVR7ZK8F -EmFKcY2dixIFDW4X83QSPwN3f9j92iUzhgSP+hxo20uANU8uVCzjd9Cjv81P -O7rghIrywmUsL96y3GjiSIR5zW9LF+0o6Mfu7D2mn7pgb1h+og7WE1/WdSye -I8BdUTvxC8v5r/uEZzozAbTWdbEtr6/mbvrX7BkRhht0NO+cx/jn1Vx+NfQq -/B1pbNDpGEMe11NXjSsQwCb2p+fyerT0HalvJ3H937Nn43K9IzpzzcvUgwgR -62/eWbqO/bP++oWyzTgfuWpQls+Xzl+EsHUI1I+OAJ2/2Cssz3K1rx/o/MUB -l5rYMbwOnb/Yx+f+Mtq8Fej8Ba+sI+mBTAPQ+Ys/ZMueQVoV0PmLGuv/tJyd -C4HOX7iKP5uvL4kDOn+hTO28IPo4isFfGKl8IAt15zD4C3W2ZNFOx3IGf+FQ -LLqlSLqGwV/kB1blD39vYPAXYp1Ks+vTWxj8RXOI4Y2zRzsY/IUKR7RLAYXA -4C+atF4fvKnUx+AvbE1QQg2hn8FfLMgf7VwMIDL4i2iFHR9H+YYY/EXel+5v -wlnDDP4iPf2JNe9/Iwz+4jllj+2jt6MM/iLBKkxAd8M4g78w1Yv3tTecYPAX -9zNqincGTzL4C9Ejzj/tuqcY/EWUgpRB7uppBn9x+pnlPo/4aQZ/4SZk6pem -Tv7/8hdKddc2CYkOAp2/uNNMs3tZ2gt0/qL523/atsc6gD6fv7N204XCmWnG -fP5fSq2W1TsyYz5fkPUtz/79ENDn8+49xFe/WvuAPp+v3qlYPJaFcel/5s88 -blvPG9dOM+bPoXsrVOPtyYz5c0SXf/TjqQGgz2efvz8gt7iDzJjP8pCEBtva -yIz57BaNp+4TccNAn8++3LQmLdewH+jzWUPl2PoQpy6gzx+7rQ5zXM2dZswf -ty08ltQ1ITPmjy+LZQ+/e4Rx6H/mczvCNubL43qGPp/zsyNKT+eRGfM5f+bL -f3hbBoE+L7uuyClK9CUz5lk7eraKPPlHZsyz1lXtjfDR/wX0eZZDVM3Gkrf9 -QJ9npbqXrz3Z2QX0eU3W8cVeq/Rpxrzmw2RT1MR5MmNe02Ozs2FTA657/2ee -QR4W1qEtTTPmGcQa++7eD2TGPEPy6bO+S3JDQJ8v3NCkDb57SGb0/9bir6Ij -R8iM/t8/uPDeDP8w0Pv1blJCTMM3MqO//pg2RxPlX+mvv875hpjV/wJ6f50o -vtWuq7Af6P21jLGm4xzGWXr/qM3GbO6YMs3oH3PerDvy7QyZ0T9GRW8wiJgn -Ar2/ih86ztL2Z5rRX4nq62u8SiQz+itN8eTumNtDQO93Tuhvzdl2n8zoRyp3 -hR6h9ZIZ/UikyTDruUvDQO8fUPiW4NISMqPez9ygoN3CulLvL3zj7tSfHQZ6 -fX7L7+bJtRQyo572Fibenjy0Uk+rh4RZbBAbAXo9bSZ/6yFXfT/Q6+lYE7Wo -Udyn0uvFTYVZQn8Tpxn1osYrk3PHtMiMerHh7SyLAscg0OupL4ep+epz04x6 -av0ZQXm3ODKjnprR9dT79GQI6PVN69fRcBNHMqP+sI5ak9XaRWbUH1YHjb2/ -uA8DvV4YV/Oy0C0iM/K7EnN8uSnLSn6/rTUV+VX0F9DzcQnJvebIJJmRPye8 -+1mviq/kT4uHmx+HPP8F9PyW8DV29SEuCiMfeRezpe5SoDH49HAv4h0dJxqD -Tz/RfFp7wYfG4NOv6yskKr2kMfh0O17DvPzXNAafnuG0xWr1WxqDT6cZkzmV -ImgMPt1cqmYVG5bp+Wi2JTHGL5zGyEfmwibRh8JojHzE/obbuyCExshHXoNl -J0te0Bj5KEZY/5mcP42Rj5jjYuTin9AY+chva/fIgAeNkY9Knl94v8mFxshH -Q7lzbzvtaIx8lBR2RCLlNo2Rj2ZAOvG6OY2RjzqVf46dMKYx8tFPoaGQxXM0 -Rj7y2n3ewuk0jZGPos8lPTkMNEY+Uj9cqlMiQ2PkI6JK3OK7fTRGProZ43fR -RJjGyEebJT4pd/HSGPlIg3DhaBkbjZGPjBusso0XqIx8tN7iz5cf01Q0kT5k -M/SSCHEPRiTXD1FR9nm1VSGqg8AycrrmVBsVuZ/2js4aHoTFfWZixtVUJNKt -0R7rPQTkx8ORRoVUJC/nFcIvPQwZ7zTCOz5S0aUd9fPMTcOAQpx2UWOoaKb6 -+YCN5y84lNo87xhERWGrTRx0cdz9HpJsevOIijb1ZL9nKR8BYQupY+/tqWiN -m6feMdtRECRJqpHMcH+QbJI+wDMGRm/nlwZ0qfh+OBZZfxqDo8rzUh+Vqahw -vw8r991x+LaX7UGBJBVxa9hJPOKYAJZt029EcD9AOPCz6X7BBPwNfJdwAven -GVEgNmgyCbs+7tKvnqGgy+my3IKLk2D0g6cgqJ+CPLzfeRJSpuBQtOmtrO8U -9GFE8C2HFgkqBULzWDIo6LUQ+8zSEgmCtkWVZd5fyd+rJa2tfljQGP8/6FTm -3vnWk8b4/8Ftq40mMgE0xv8PwrycNxq+ojH+f3B0T5JTyhsa4/8HdvE77Jpw -fND/f+Ba3lyli+OhIGHaPiSkDMr0hvdZYz3htNXmVQcq4er4m+0u+P1/gTTO -a9+/gagZ6UpwKA1tf/DEPMfyB5wo9+tuxvG4JedKwL2/U6BWqCXqVEhBO714 -T69LIEG2L4umTNQKv1/O/1NJ/jKNwe/PP0xvn3xAY/D7szvXlpf50Rj8PiUl -km8omMbg91Gl2H9VOD7p/P68YPJfZ2wvnd/nXsgJ18f7yVUVEM4cKYVCO6Hs -CqyvN/Le+tG/AsiGwn/ZcLw7d4UF+mz7BktlUnWWGD8C5fnlLauqIXvmgV4d -Xk8ziol1b9MU3Jpw+fO5DPdDsm/I6++T4IRG5f24xBX+/NN/fh5kGxqDP3/+ -aLVHsDeNwZ9r7FYau4jxovCfcudbrc9gq9OpGoX9t5OUsNc8/CtwHQ6OtMD2 -pOcZO/4qLIRrukxGm7H9/sIzvAXPS8D/bHX3USwj1qoqR6VyuNuednQt3s+I -nD6PYW0lUKmjngTsj4H6It5SURLYVN65P/WFgggNgVGrmkiwhz1qb/zLFX57 -9765hVt6NAa/vdqA0nViGY/+p3468OezyJ1nNAa/7ZF+wZwf+4POb7sXcuaf -wuvR+e1+s8/cytgeOr8dYPNjVhvb+3XTwdnil6XwyIPQMIr1p824wx8fr4Ab -ERKRWni/ssy368PLq8D0jsrLeuz/b2/Mdik7VgPZ2bHzFMbbYe6GchY0BZZu -4gt5lRT0LNC40t+MBHl5vxImU1f441Drmnu7rWgM/rjE9/v+1Mc0Bn/cNLHj -5NlAGvLVnWxc9yETQPOYGxP2v7N7d9TpyRxQqRRc2ojt+VvooXxxeyF00uJM -f2N7HZH2MWnZEpgzffn+NN5PUVtXpPdYGVwzU6Ucx3ozpf7gA26VQAg8tySB -4+OBSmDDW04SSPvPuCvkUdDSPl177wISZCqulWwIW+F3WRPNAt9jPKfzuz1v -PA+auOP3X9+TCipNAjWb0IU1z2lIZPFEea/VJzh0kMs2DvtDLEbDd1PwF+AK -gjQFvF5weXUndOSD9QUD02Rsj9bCoueOp8Ww8WD17gvY3rx7azRiFXE8m9d+ -j8D6k92t230DSXBmk8v3rbEr/K54k4W/lgPOZyY2WW5quXCPuzkxD/vDPEc2 -xeFKERzOHvc+gL/3zjNi+uRQCRzht4sUXf6+c+13z1EScJ9rX016tsLf+rRy -P+zXpjH4W24RZ6VGZxqDv23kGS3I8aUx+NsdifmfPmL8oPO3zBsVXrLg+0Xn -b/tPHRQQw/bT+VudhZA6Nby+nOuxq99ulkLiqUW2P1jvfaPt4l3eClDq/R58 -B9u/hVePJPqmClx7MrmY8feuq697+1G7GhTu/WR/hP35WfNYLClrCtrc3507 -9o2CthcUZGhfIIFQYRjT4ocVfvRpRXMYB87HdH6UdkftVtIjGoMfLVO761+O -8dfaTble4XImSN4ze/8B429slbNRfmgOZFiym3Tj83oHwUnBPwpg/9jovmFs -7wSHi6bV+hLwtL13+wzejwIMsz5HZXCOTz7GAOujVRP1tupXwuBW4p/z+H11 -t9/pPmtIMJjL1UjIp6AXFz5fPvWJBApRRpOv3q7wl2nUG2/emdIY/OVs1tER -iYc0JPw8seKHUBJsp32yv4nrlXV7Xxdv3vEJEjTdTy7Hm87IkMqT41/g/KXa -j6vwesdOdSvd98kHdN32bxC2p0Rrf4iRcTGES9mJLN8v+W7O7JRNZXCdEtT2 -Cetb2Y6u0/AiwT1Tp60W8Sv85fHWM6qpuL75ncBuPT39FZh/iWe8xOfDdkyd -6yNHETRmnxHfib+3peEbi2duCbjKDYxJYlkzXjJLuYcE+8riU3kCV/hJ0hrL -VSpG+LzVWTZODOfBbFT4RRO8ftr446/1q4ohVvpswHI+O5om5pdbWAp2wRuu -tGO9/vG7BGGrQpD51LN1HdYz24js/n21BAj7WmxPYPnu4rRPeRUJ7FmiI7te -rfCTX4901enfwPv70GhNzCyGxbiRzOX8UnhETPW/jCJYsmh7IIdlCWmbnvXc -pSAwmjW/Bct7tO70FtNIUPzeLDTDe6VfulUXbb1NncbgI9d0MhlvwvFB75ca -EsM0jHF80PnIzJN8/M44Puh8pEubqFsvxks6Hzm8tsqFF++Pzkfyb727UxWv -P/uxaIuWbimEDY99ZMZynIV8vjlTBYwzBcs9wP5/Enna3eJxFayaEHHkx/Eh -866j5dqxamBy9bkSje9DuIUVz3D6FNxu2KDyG8fHNd3JXR/PkeA1070i5vQV -vo9Ps72YYklj8H1Jh1xERHF80Pk+yY031rrh+Bjgsao1PJIJBuvlpR7j+Ph6 -u/KLw+0c8L8nL1OO75uDR4SxVGwBcJQoLRHwfhJkXzQ/oxXDPgPPqrPY/n92 -t4u4UsogfeP+9eZYX3RqffbGE5Wg7cK3+yZ+Xyp8v5A7Ewksr/x4lVJAQR2T -xMaEVBKoB0vcGI1Y4eNsr1VV/DOhMfg4tnVb9wW60RDlqRKXZV0iRH36qrIF -x4dLUUmR1CzOf083c23B/rjmf/gdcH8B9ePB5UPYXxueDlM3m+ZDQOmZdG9s -zwOHE4JOJ4vBH+4FGGB7/3C9uiW5WAr7v7yayMd6oRiLBykPSaCqTHvg83/w -cSdaXkQ32OLvaRrVJFd8hVvt7WNu+HwI93XLNIYLYWHp4+Q2/L2GuR6/qFic -j36Pc8pgOeS/K3tj20lgWlXUPPpihW9L7VDa+NcA90/P2It9ivJgZt/z22fx -+rXiMo87uxD8alN3WPbnXG+ykkJSKayreAH9WL/HzSmwULUQnvkJ3FnCcsFr -uQxerRL4Ezoke3K5fwoRWRQrJYG54Du9y69X+LY+J120+joNBbWMzDFFFkOq -mXXE8v5fOB743fa0CHiY9n6UxvKw0ZObe/6WQKuDgKMglm0HIvRESCSwHpPI -e+yz0m8fKWfyvof7mZwK/8SzXggm5EQ2a+LnD/0yL9URLgHiZvWsZXntrs3s -x22LQUrogt4yHsnOwWVuuSJ4/+bRqj1YZuFcVBwgksAkpeXo1ucrfNqmd3Wa -erh/IpdZHczkL4a6wcCTevj5U6fZozqcSoC/knxeAcvbnxQMV3wrBmJjy+1l -/RhNICiqvQh0e4Mo/2G5L/u/W6Z/SKBSIEvb9Gil/3+Yhg4nnaQx+DTbIzTW -TNzP0vv//sgONwkc33Q+jdDq6XoJxzedT9P9HPypFsc3nU/7s6/ScwM+Dzqf -tvfEWnFlvH7raU/yc7VS4LO+Zr4Gy59Hb982mS0H/5PO1Mf4/igOKFc9c64C -7ycaL3fh+7qtVpkKB6vBwXHz3Ad8nzc6rdO4+mEKkjo/kvRxP+IT6W3ac4YE -so3eLx+lr/BVLFNToaY4vul8VVPj7/u9uP+g81WHBZtbDXF8R1x+pHVDJBP0 -znBkW+H4LlOwut6gnwOeiaYWOTg+e4RFshcDCsBSeUx1GY+9NLa9eTNaDMLp -+5vOYfsJGyTf6EaXwZrUbFHL5fta9d91VrlKuJSWmWSD349NqjD4szAFJ23z -WLhxf7F49MHGtiQSPNwv3R0YucInvVx3zE8IxzedT4ozX5xRxPF9ac3z7Jz4 -RMicVZCcxP2FDFubguJABkhXmKct4PrW2qRTJ+JvNlhbdAe3Yn857r6tPaiZ -D++23Tdzx/YY+dT0e8sXw1WVXOHl+92ZcuGkDbUUVFNsOouxXnWcKafTlQSJ -/q9X8f0ffFLwlufXzXB88wkyua7N+AoSour69vh8Tgm0bedvLIT+euLHrfh7 -HzfcOvshrATaFb97yi7Xz09+mze1kEAk+HFEddAKX5QZs8q1UJ+GSve94L+Q -kgchV9ZpqeP1fc5obJirQJDVtFpZB7/ffv/ONvfIUmhv8Xo5hPUvBf+N+EkV -woYKJbu/WH6TWuogeqIEIv3Jv08t76c396g+IgGLaEPP1rAVvmhp6vW4/jWc -H0ftCzlfFsNJo4nJ/41vWzLPJtgXQdb8yV1SWC634paQmy4BmrpNiDCWQw8c -CTw3QQIOERmjMt+V+dHjFBdyuQ4N2U2+l7p7F4FD66OH6vh5jr9TT0w2l8CT -oWPblvuHwaMx9Ro3imHTse5Ty/EdE1b+pXdnETSt1hLehWXxi6H3efpJoFV7 -xl4gYIUPosVe+nDuIu5/2+aoxRzFwDKg4HgePy92KdRs5E4JRPXQrADL82/U -UpsLi+FP89pty/lc71X02J3yIjjx9HvpMSyvZm6Oe/GbBLeFk46s81qZZ1mF -Jth/0cT3f+cargdLxaD2ayRu2d9Rpl8MLc4Ww0Ep5sFl//AsCf4pICNwGsh4 -vny/s0ouy1ASi2HW6ebhZb3W69UCZDIJxmuyOvc9XeF7DklaPV3SpaG93M9O -HXhQDGKhNPXl/fNmDNyKFSsGzfWX/i3jkaLdoZ05TcWQoG4Zv7y/gluxB6UW -SKD3xC05yJ2CaFu0T8mmjUHI3LfBoyr/73zj/9YX/bvK0vRxDCwE+59RsX7f -YP5c6nMiXIv4dtBm6P+d5yQzlz74EkCEavMzBGMs/y+VwnhJ - "], {{{}, {}, {}, {}, {}, {}, {}, {}, - {RGBColor[1, 0, 0], Opacity[0.2], EdgeForm[None], - GraphicsGroupBox[PolygonBox[CompressedData[" -1:eJwl1Xe8l2MYB+Bz2nvvfdp7D0TamU1NFCmEChGFSnsvpb132ntREYpISpFK -tEWkYcd1f/rjOt/7uX/V+b3Pcz9vSZ17tuyRLCEhIZGribeyoh/H5QA5RfaS -SQynk/WTMgcH1H1laWqp28gU7FQPo7s6P0fURWikvipX0ZumdNLLyF71eOK7 -VLI+IafyGLfRVi8lu9TDSaKx9TW5mldoRnIq65+U03ic2ylKE/3rcg2v0pwU -VNH/Tk6nM3dQjJRU9dkpOYMnqE1xUlHNZ9/LmXThTkqQmuo++0HOoit3UZI0 -pCUd6clARjKRmRr+3mk5O/aZOpQiCzV9dkbO4SnupjRZqeWzs3IuT1OXMtyj -f0OupQ8tyBZ7qn9OzqMb9SjLvfq/y3VxtrQke+yh/nk5n2eoTznu0/9Druc1 -WpEj9lD/glzAszSgnV4qdqtHUJ77rf+UG3idh+LM9TKxTz2BnLHv1hflQp6j -Ie31UvO+eiQVeMD6L7mRN+K7WufhkLp1zIM6M5+oJ/K8uhDfqHPFGap/lIt4 -SV2U7rHfMieD+SKeVZahkbqDTMNAPohZlCUYySjrHrIAQzlq3U+WZzQVrR+U -f8s35Sb5sizGCPrF/sm8DOFw7JMsS5uYV5mFT2OmZUneUr8gC3NMnTvmT31J -Lo7vEzNinYuD6sY8rE7LHvVoKtHU+h+5mf60jTugl5X96knkiRm1/kkuoSdN -eEQvHR+qx1CZZtb/yi0MiHkgb8yx/s9yaZxHzCxVaK5/U26NvYnzJl/Mtv5l -uSyeM2aWqjHb+v/JbQyMcyF/zLb+L3I5L8bMUi1mO152bBeDYg8oELOt96t8 -h14xo1SP2Y73IzvUg+MZKRizrXdFroiZiRnkUb30fKQeS42YbetkvKseEn+G -LtbZ+Ew9mUIx29a/yZUxC3Szzs2XMSt0VGfgY/W42HN1Qb5W14w5VyfnPXVv -WTxmL+6NzMdXcTdkOTqqu8rsDOJz6z6yVMwub8eeySIM49uYBVkh3m+Jt/7P -OBjv1XiHxr7FHYj3YjxD/Hscj/NlS9wdTsZ5sCvmNu5e3DnWxF2OvYjfEzPF -xtgv9nKE8yxnMzvjHsdzc5HVbIjzib2J5+Qcy1jKEhaziIUsYD7zmMscZjOL -mcxgOtOYypTYDyYzKe4ZE5nAeFaxPuYpzp3DnGUcK1nHtrgTHOIMY9kUZ8U+ -jnKBFexmP8e4xFoOcILLbOUUV9jDtZgRTjOG/wEht/gM - "]]]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + "]], + Line[CompressedData[" +1:eJwVxXssFAAYAPDzqFQTtaa5CIlQyqzaFevbKIXTFpVFuN5Yo5KNkjSPOyan +hUhkeaY8K8/LV5fHtGIipPJsaMXHp7KRU/3x28/kVLD7WXWBQGDxz/+1CvtF +ZR2T8Oi5gVubzgzqVfslhc5PguFy0wQNjRnMLWo6NrcwCWau1dZ71GfQ5t4W +oxsCApAMelxUm0Hn67NlsiUEzTEyo0+LjBGO8o5MHQKZgzK0+A/jcHvDWqUp +QV2LdML6N2PJuGHmKjFBuVZsUsU4oywrVtJ/iCDAzae/ZozxjPuEWelhAqn8 +hRqOMhooFOVungTCLv2clq+MCXLv5sRTBHGOwdtaBxn9d2VMrwgniBzNvRjd +y7jvu6C67xqB30tB5+UeRqMc/4jiSILLV7rsJN2M3ctFy1xiCNIDNnfs6GLc +/6XbIF5O8GCd4x1lO+PGmLUHlxUQ7M47qenZzKgSRWj3FBGUbBAuFTYxfpwY +eV/wmGDMvpJ6XzMmez71caog8Hj4Kcr5FeOilXtIrIKgcH7cb6KesW+gVnQU +CbSF6ZHSOsaqFBPVJiWB7Tmvt8JaxiDVlKyxhUBgYNtkVcX4uUOerdFJcF5j +vc/nMsaauNnTnR8I4n0lnltLGVPs/CxzewkaeTIk6Amja/62Zw79BJZqFcbK +IkZzr7TwNUMEX+ZbDrQXMKrrqPYOjxCIaryimvIY68Letd78RjAlNh86kcOY +Zr1TfvgHQcbqsWzOYrw0fP+ICRHYecfbH89kFN/VFE5PE6xrf1Mfnc5oIb4w +8PIngZNLsZ5vKqOmWlde8ixBQ5hxaPNtxsHndoGSOQJFvn7q1VuMisDc7TYL +BImOupVtUsa7Rit/LS4SpEl1Va/DGf8C8N1thA== + "]], + + Line[{{0.9380675789904316, -1.205516595558206}, { + 0.9589720115575517, -1.1938106449870602`}, { + 0.959586924358851, -1.1934487978424058`}, { + 0.9608167499614495, -1.192721321539289}, { + 0.9624584926412829, -1.1917419588968952`}}]}, + "Charting`Private`Tag#3"], { + Directive[{ + Opacity[0.2], + Hue[0.67, 0.6, 0.6]}], + + Line[{{0.9624584926412829, -1.1917419588968952`}, { + 0.9627773701923035, -1.191550406223104}, { + 0.9630962477433238, -1.1913588535493125`}}], + + Line[{{0.9375569084861266, -1.2057905665275406`}, { + 0.9380675789904316, -1.205516595558206}}]}}}, {}}, "GCFlag" -> + True|>, "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>, "DynamicHighlight"]], + AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], + Axes->{True, True}, + AxesLabel->{None, None}, + AxesOrigin->{0, 0}, + DisplayFunction->Identity, + Epilog->InsetBox[ + FormBox[ + StyleBox[ + "\"\\!\\(\\*StyleBox[\\\"s\\\",FontSlant->\\\"Italic\\\"]\\) = 8\"", + Directive[ + GrayLevel[0], FontFamily -> "Times", FontSize -> 10], StripOnInput -> + False], TraditionalForm], {0.8, 1}], + Frame->{{True, True}, {True, True}}, + FrameLabel->{{ + FormBox[ TagBox[ - {RGBColor[1, 0, 0], AbsoluteThickness[1.6], Opacity[1.], - LineBox[CompressedData[" -1:eJwl1XV41mUUBuDBBozuDhkwulsFEaUbpLuVEpVQUOkOg24MLMKglA5FAQVM -FBQMUELsABPvc/nHved5z7dd1773d74tpf/IDnenSUhImOtLZGVfPpOT5FI5 -SqYwiz7Og2UeTujjZVnq6J1lEvv0mYzQC3NSL05j/Rf5ImNpQx+zrBzWHyMt -VZzPyGX0pS5dzNKxX59FCk2cf5UvcT9tSaSq+Vm5nH7cSAmamv8mX+YB2pFE -NfPP5Qr6cxMlSUd1r30hVzKAmylFemp47Uu5ioHUI5UM1PTaV3I1g6hPaZLJ -SCYyk4WsZCM7tfzcObkm7plbKEMOanvtvFzLnTSgLDmp47Wv5RPcxa2Uo5n5 -7/IVxtGeXHGn5t/IJxlCQ8rT3Pyq3BzPlg7kjjs0vyCfYii3UYEW5tfkFh7k -DvLEHZpflE8zjNvpapaeA/psKtLS+Q+5lYfoGM/cLBtH9MfJG/fufEmuYziN -6GaWgYP6HCrRyvlPuY2H43d1LsAHeqfYBz07R/UF3KMX45SeL56hflk+w2i9 -BCPivmVepvFuvFdZjsZ6d5nMFF6PXZSpzGGuc3y4ijCDj50nyIrMo7Jza/mX -nCy3yzGyJLOZEPcnCzKdD+OeZHk6x77KHLwdOy1Ls1C/V97AaT1/7J/+rXw2 -fp/YEed8vKc3oYeekTf0eVShjfPf8lUm0iU+A2Y5eUdfRIHYUecr8jlG0pSe -Zpk4pM+nKm2d/5GvMSn2gYKxx+bfyefjecTOUo125v/KHXE38bwpFLtt/r18 -Id5n7CzVY7fNr8udTInnQuHYbfMf5Hrui52lRux2/LFjl5gad0CR2G2zH+UG -RsWOUjN2O/4+slufFu+RorHbZj/JjbEzsYP0MsvMm/oj1Irddk7LHn16fA8D -nXNxTF9Msdht55/lptgFhjjn5/3YFXrrWXhLfzTuXC/KJ3rt2HM9kb36WFkq -di8+N7IQH8VnQ1agtz5I5mYqx53HyTJp/v9/sCTuTBZnJp/GLshK/Aepw6i8 + "\"\\!\\(\\*StyleBox[\\\"E\\\",FontSlant->\\\"Italic\\\"]\\)\"", + HoldForm], TraditionalForm], None}, { + FormBox[ + TagBox[ + StyleBox["\"\[Lambda]\"", + Opacity[0], StripOnInput -> False], HoldForm], TraditionalForm], + None}}, + FrameStyle->GrayLevel[0], + FrameTicks->{{Automatic, Automatic}, {Automatic, Automatic}}, + FrameTicksStyle->{{{}, {}}, {FontOpacity -> 0, {}}}, + GridLines->{None, None}, + GridLinesStyle->Directive[ + GrayLevel[0.5, 0.4]], + ImagePadding->{{45, 10}, {Automatic, 3}}, + ImageSize->{Automatic, 110}, + LabelStyle->Directive[ + Opacity[0], FontFamily -> "Times", FontSize -> 10], + Method->{ + "DefaultBoundaryStyle" -> Automatic, + "DefaultGraphicsInteraction" -> { + "Version" -> 1.2, "TrackMousePosition" -> {True, False}, + "Effects" -> { + "Highlight" -> {"ratio" -> 2}, "HighlightPoint" -> {"ratio" -> 2}, + "Droplines" -> { + "freeformCursorMode" -> True, + "placement" -> {"x" -> "All", "y" -> "None"}}}}, + "DefaultMeshStyle" -> AbsolutePointSize[6], "ScalingFunctions" -> None, + "CoordinatesToolOptions" -> {"DisplayFunction" -> ({ + (Identity[#]& )[ + Part[#, 1]], + (Identity[#]& )[ + Part[#, 2]]}& ), "CopiedValueFunction" -> ({ + (Identity[#]& )[ + Part[#, 1]], + (Identity[#]& )[ + Part[#, 2]]}& )}, "AxesInFront" -> True}, + PlotRange->{{0., 1.}, {-1.75, 1.75}}, + PlotRangeClipping->True, + PlotRangePadding->{{0, 0}, {0, 0}}, + Ticks->{Automatic, Automatic}], ",", + GraphicsBox[ + InterpretationBox[{ + TagBox[{GraphicsComplexBox[CompressedData[" +1:eJx1mXk4VV/0/02VKEojkZQ0GKJEhCUpJWkwNsqQCiFJkQyJStJAIjRKpQwp +87BlyDzfa86coXC51z23VPy25/me4/P8vs/3r/usZ597zt5r77X2Wu+XlJXT +4dM8XFxcx3m5uKZ/9U8P1OYNmmi1zRUaetzHRL78n9bdFg0FzS01Sa8Cx5H5 +kReJo2MxwEgPCJhYzUaCU77H9VYmwoD2j65n19lo7aGRgYI7n+G6vNTZulI2 +ulylBuHjGfBxF+GdN5tAyz4vbOfmRqDx7LXBK2UCpXbvrKjWyAdY1B+oe4JA ++XbRK44MFcIunrX7qq8RiC2jKRx/vhj0Za7/yw0jEM/v9wtWrC2D1FIJoaIP +BFKZOpVqlFAB8YPcaRo5BKq4oVz1dWM1DAYlVf4rJZDk/Z+/343UQEPUJY/z +NAL95HS00xXrIDXiXqZuK4FifmSVCQXXg7534afyDgIJSbxh9PbSQKW/4kNg +F4GCzqXYPpdugExd2wXDnQQitN/3nvVuhMbbzHfsbwRy3Vz4eWlBE1zabjiy +tplAHQmFd2qlWuCwRrxsbS2B/tjRIjd4tMLD2F9BD0oIJLtjzqRtVhtY7k1X +l88lkN5LqTMfl7XDJ9fkefM+EuiyhMXqruMdcJhnC1ryikByQyaCjfs7Ydbt +F4/pIQRaJDzKXzjRCRuFgtIf+BKID0Umronqgh3tB/OHHQlkr5zVPHdfN0w1 +uPyaMifQ+71Wz3OJbtg7yleVuQP786fYgr6wHqCxU10vbyRQipEeb6huL1z4 +crgtQJhA3nv8n33u64XbIRJ+eeNsJPVNv+mV/3fg+5m7cbyJjdRVb4SKbu4D +P2QezpvBRsdXVv/mru8D/7PWAj4RbMQuu9vt7NsP8fOs5p65xEbhfCddD0sP +wOM1he6Vh9hoUXvKS57CAcgP5FESkGejWdd8jdUuDAL3gPUZgoeNuN6dTOwW ++QHHctU7rzWPI5cniXdF8n9A9ovgU7/ejaMc2Vv8Cx1/Qt/G4jkeV8bRQn0X +uetCQ/Bwjf+ZAL1x1CZfU++ePQSGURKpi4THUdJTkO49OQxdm9sdahpY6ESi +ykKJyWH4fbDxTl4kC/n4v/BtixsB0ZuPJK6YsFD8gESkkAEDuCoOFVrysdDz +JQcCAkVvgML4n9T7YuMo/JvHzpTJp0D81K7e1TmONn9YOFucPw4MHoRre5uy +kcnbx+UX5yfDZp9I49Nv2ahKePFiBe00ECsNTxH8wUZ6X14LVXpkQ4W62BH6 +SgJZnow98bY9D6Ts+7dx6RMoO3b0YmhoAZw8u/fYMbyfbXvOL+GV/wrh3CoX +OwMJ9PfeuLBNaQk8dnGdc+IlgcQ9A6zTzpWD4UuXZZdTCMSdr69zd6gS9j5Y +nM5TSCCdwetJs3Vq4H5vosGLagLNKjw9hO7Vgtlx5lWFJgLtGas0dumogyFu +a49efJ6b/ZS+uavRIELU4sY/fN7371R4n3+DDvszg3964XjYYZL0mq+uATb0 +e2dl4XhJyUirAKkmsDVcsqscx1Ocs+4qV5dmEAwtd8mjE2g4qCLkX2ULVEVZ +CV2oxOe3YdigRKwNrokwmaEFBHIUcyj1NB4By9oTFh/dWWhpmkXwpT8j8K8w +zoSpwELfNt3ceVfUFbbp5weUFbHQPFbYVsmgCLjdWidwO30cFV1vPjr72htI ++CG2s0qTjYaNhrL3VSWB2oc9N8XD2MhD0Xfy1/cUqL/j++hcCxt1FDNik1Wz +4GGwwePli3D+iDKLDd2UB02TE9XhQKAMXbFVyQP5MHDet3O2LYGqj/gvTwgq +AqeI5hTvGwS60hp+79aKEujaXrg1NYpA99RF1c8Vl4GcHPctDRy/UZ0mns77 +KyE88m1+Rh6B3spGL7NrrIaInpANPysIJBK3nqUMtWBoJV5q3UCgJ+MNotK3 +6sDKy1DmQxuBtNIIo8KeeuAaGbjvjv2vkGRXWa9MB7mbhjWPsP9tOzYHHL7a +AGq7/uqcweOm1z6aG9c0gqvOklmj+P/3Dt2wKxJrhnNLXaNuNBKoL7rkXv/l +FtC4LK70Ee//zVWW41fKWqE7+lDuqq943ObQsMGGEVA9FyzECGShfU+5+DfU +j0AiuqiitpOFDoW02BnKBENG9RaL6+bjSMQm8e3rbS9hUUhjpz0vGz2l7/2o +FPIBZBxSPI+dZ6N3OaygWfMqYLDNIMQtA8/3FfDH3amCxEsGnOXFBErSUDUx +D6+BJw4mz6XqCPTr28vv+p21MOHW6VOF8ycxXHgvT6UeVPJ7EkfacX496GC7 +6R4NYj7wHTTH63doGLv1kUaHzi2ffHdjW7xcvih1fSOctLKb/RM/v5jI0ZZ1 +aQLCOqL0ZguBCu/xNawua4awTbaNzfUE4lQHvP7gPQK6XR3LGGdYqLs6d3H+ +Ggb4Wegs+CzCQmY2nJyHojYgZHEhUuQmC339F6NAqwuDc11iZ6+9HEdCjpr+ +V1Vj4drHS5cSFNko411YSpoCPm8/BVoCgtioJi/tYvu2FHg4ny2gVcdGsuWL +L64Ny4SWxL5nXPNxPLqderQkCYHYrJrjiuoESl+0ich7mA8niazST5Y4Hq0W +PvHTKILzwonvlHB+V+G2r35SWAwv7AoaCyMIVBJhtVbHrQx+nxwO4E8k0KDT +MdHufxUgLW/ZwI0IpN404LLctxrfXys8rpYTaLlEoBtjaS0cE38s7Uif/v6x +v57H62DUzdV6Esfr2MEj9wbT6+H0/DlD6/B5etp362TbPDrEjXHdCsX+dZd2 +dft9oAHmdf4ZTMDjMhYJT9vfNML6UMEvbjhf8OjECI+MNEHg+M/YIzifWMV+ +vdtm0AL3hB5sK6oh0JcisT2HXrbCmFjyreN4/1cL6E59XjQCh2/p6NuEsFDf +wtpCHjQChmL5C68bsNAVWuiVIcnb0F6w6pfj9nHkdE5lLVfbc3DjbdI/whxH +A3nxrbH738O9ioS6NVZs1C2ueaU9sxymXl9b4ZVGoM+bd71eoVEFERJbRUOL +CPRb5Nv1TedrwHrfS7EBPJ/Jg3znZqXXwviZzCJefN6eOe11ERWsh1dzOvc6 +4fMj86PqhbENDRpzz/Iq4vW3zVsglvmBDr/j93bYYlthgVPKiX8NcHbLqkkz +nP96GzMDUvY2gXz8uLk89qeqj/TVfU+b4dbNledrcb2x+ZJ72mK7EUiPe8DV +48xCnjvv1UYKM8DU9d9+5moWCo4XWX9IxgtuKGRcPDbOQmMuh1XLP9XBRPCF +NYbYv0ESocufCdFgPutGVh72f667gUb5cTr4FbwMC8bzMX4y9uh9bANImD+U +X4rHl2y4r2Ae9gCkad+VUl3G0X4H7fgzVvXQvCq+1ArP90vqXFmBTBo4Twn4 +uuH//31Wz3n7lw7bd5t0S2B7z/ERswFVBnzK+WbVMMlE+yobk6NFjaElxjDZ ++AgLSfPKvVdwewT1c4jE6rBx9MnaSSw19DXUcdvX9G9gI613jdatOYkw9u9W +XfRNNprgN1I41P0Z3AwWnV9QxUa1BuuaT+7KBGeO4fvvc3F9syQj4445gvfz +wjwcVbH/rqpZlpzJB7G1rZneFgTyt2086ri4CApajgv6eRNo6WJjxpqIYtDV +vOmjG06g03vnRCbsLwProHM5A/EEuq/a3i/WUAH3iUnGKlxf+SUEP2eYVMOL +fX62uWU4Pzeop1fw1AIxe9NmBt6fpWnR59/troP1nTXzX+H9K4sWkPd8VQ9l +dwbX/MH+auBe/qbzFw34tjbE38f+4SkOHTFQbwCHUDuFauxvoZoPX16FNMIt +4XeKaXi/tqsrW/E0N8ED5YaePBwPz+OK5pmptsD41cNbDXA9eDKcc0EzuBWc +Iuf2cnA85B3X5B/kH4GlxxScHz1moU/71F4xPo/AgM/BplmH8H3HvuCdFRUA +jic1coJkx9HKIXfrePnnELX515sLg+PobmqHc1dcHMyXVnthcZyNvCu6hvUf +lkO3ibujZyqBjk4UXzReXAXxKS//VOB6YOVkomikWQ1sHTlpsRvHw3WBlLju +V7UgJnvB9Qmer2vSnZ5d7Dpo/i57SBbHA5eqD/Qb0mDLjRjB5Xj9i9c/s8oN +p4OT0cPRi9hOT74n/7G/AerG1s26g/1VsSfjncfWJuBeJa17DfvT+crnSotb +zZBYm8Gjj/NPTbFL3h6LEbCLnrxjeImF9l7jJN6axYBIGQtFlQ0slDpSsZUh +6Q72/kJOdu04/34KfcuJrgOoiIicxPfbabtdqUq/62GCwbr4dLoeD229Ub2H +DhXRH3RC8Hy+aYgITd1vAO35RC/gcYuMyY/I+D7YMPR3Dp8eR6vjr68MNaiH +fVx+0hvxfJ/wZpVExdCg1+xh3Fn8f+UsK+34ATp8peupbcH2kjBTFbo8A2JW +Nbx4heOBv/v6S1MZR1h6cJWL9TsWWs73dsy0qh6sagyFD+Pv2Zb/XtK0ig7G +gRc1w/D/R32NJl+fboCBoHO7gqbrqWgJvnx3GuxODXHchcdjxnxqU/LoOL8l +ZJhhO3HuOlaCKAPWM3ZUFa9gIWUVubtHwnyhcs9FYV3+cZRc0+0xT5oGAyVv +7Drx+wJO5rC+OtNBGLSrbuL/D5wzv3u5mgY2EcoNvtguvKGbGLOgAczTkdds +bHusDnD/s4MBgfF/VlVws9CWZ+FRn0R1YYVriv/ebSxU5+802FocCq9NAjTL +7o/j9/OfNtr5GuIu3VrhJsNG0jS5UwsdEyHNWdZXyp+NFsQ/vyOQ9hkc/Quu +scrZKOauYtAf8UyICDKzMOYn0Eub7+Vf5RDMkrITFlDB+5WQu9TgcD7oM6/y +W5/E6z+rnmXNVQTxs0xr3nnh9UTv8T7rVwylMQanrz/G+/GimW6jVgZ/Rv5Y +meH4to6UPKCaXwE/tDZrJeJ+722Epeox7WrwV1l95ySO75NbFh3J+lUDK6u3 +Jybg+PZp1IpF2+vg2kkZXdfp/Kzbc7UovB5eXhL80oP33+WeRXn3CA0CeQ4y +72L/6C1/Hee2qQEOz2mzb8f+vc4vZxRwuxG47yh9aMDxba7ieWdeVRPMf3g1 +kYXjJU9ul0GoXAtIMS3HgnF8f+DuC9hyoxWeX2wb0cX9ntDszHl+vCPQSz+W +/T6ChZ6cPS/SlzgCXlzCvDuMWMjUv5NnzTF/oH0pm1JeM45+HFWdxR//DA4t +mXurt3ccnfp4JcvrSBw4nRmZffEIGxlKf17P7VUOVqzYbRO43lc4ObfVmasK +vicytM7i+E66KPq9xqAG7GL27RjH9Z7umbv7aiNqgdF5qNIGz7e9Rk78+I86 +4BX9uoMfx7fDN/NgRV0abC5fJSaI15+U0heQF0wHjabfSe7T9wPv88GWtgYo +6rgT9wr7S9t32/YTsk2wNemPbwz2513Xv8S5a81gfi/I2x/Ht+QFRmH3kRG4 +eieC1/cyCyk+kZX05mKAPsQlSsmxkL5wnEZulBsE+dI2etXhcdrWrvkhdWCX +0ZVLx/Fdt2DlrKsj9eBdImQ6HS8PV695V6tFhxc59pXT9cjq4NVl6wMa4OfZ +PR1GeJyf16G/78w9aPd7rrPfYhwFVa4xi9tRD6yNxwrn4fnOiQtZ1hdBAxZt +ovIU/n/NnjibxHY6vOlykNScXl9y4Ovy9QwQLjg6y3gens8azaRxyXPw5tai +KXm8XzfifLSfF9TD8Z8ihzXx93iO7FBvWUoH+tJNb6brceV668zqow0QdPlh +TzQez9xJHBZ0ooHidmuB7Xhc27uEnZZKB5fWvmALbIfJHLN6vZgBai0rLvtJ +spDX1ycLvhj7gLJKmuDtSRaSvJxVaiJKg1v5rO81+H2rh/iWl9rSwbWl9dKd +6ft+gVJbXiENJF5EX/XE9imRoPrY2Q0gWs3lIjK9Hn3rx5YyJ4HHSYl/nwsL +qbvWXG/nocOi1piOh3j8yhMzreyXdIilPY47j22rUwXaDwUYEO5qY7dBhoWO +zgk9VXmYDu7hRooPpuudE93uRok0UMiS7nPCtvNBG/3jYRfg05XFaevTWahM +M3mMvpEOGxRE9k3nu5Ihpl/6SgYk7eofeLKMhX7UXKou9KDDl98Lnvvh8asF +3loKLTSYZbHuQQC2+XaKOXeIKsPeL5HqD1ex0Ea9B7eSnUOhXOyLctbdccRo +NRZ4KfYarLvD7mdIs1H4ObOTcrsTQeDHzeNdfmwk5q2nfubFZ9BTuH2lp4yN +hBRSvpfyZ0I+4ZwfOIdAx4P+GPcuRbAycPdv0634Pt/jO3ZXLx/O5Onx0k4Q +6NOgvf1JohCeCK9M08D5R6tbpzjwSjEEuyxqXYXzz4pKHRZsKoP2sR9mQjj/ +GOzPu74rvQKWnzu8xA3nH3Xhcp4HW6vhxNu0PQo4/+Raer9LZtXAC4EVssE4 +/6SeuZevr1IHtG+nHh/H8eL4+MAau5B64ARnVTdOx5Op37eeQRpct687FYT9 +8UM7/pbgxgbgZOjUfsf7nx3zM/LyjUa4ubZKvQ/nH1vlVc8flDQBT8z1znm4 +fq0Z5hvavK4FtEryVybh/GOscuKBgncrBMj57bPH+Sf16C+hGq4RyH5oapT6 +hIXmX56jbxk/AotQx/wTxix0tfJxoWnmDTBQ4mkdWjmOdogfiWk3eQYWbzrO +PO4eR6UKRacqFeNg1kNTwQkzNtp6UGJ328VyeLr1ZlE6zj/LF8QJeROVcPRr +behWnH/cFarK7PVq4FV2c1cZzj8xj35HloTWgsCJsYy9OP8ssry2rb+3Dlrz +V1wi8HpeKhZtpWvRgBNSac2H1+/lKRDx5TYdgiN5LKbP91O5t8VOjQ0wIR1e +lIj95SHxy89QpgmE1/Uw07A/o1yeCzIuN4P+S0uBVzj/fC2SL95kNgLhOQMX +I66w0Ku3RaYT/0Zgj8afuXflWSj02fK8tccuwYBtnQNUsNBpm4B/4XfrwN55 +hcAXnH/4bQI/SA7Ww6S4Hb8f9v+pwd1ZdWp0qG5ss5iO98LUjW9zfBog6enE +qxN4XG7fmndixcFg2/HLdPjYOPpywvXiVo16ePE4qeYfzq9a/6ZyA0NpwL9F +6dXx6fUIV7klNdPBLEW4awe2nb5If9stwwC9zmjDMmEWKtwpllYQZQvhfb11 +tvdZKPPfUL9ubj10Gsh/3oy/VyN52qB1IR20PDPWT8+n4Y99uI1JA6gmFLjH +4vHRMKuJxHM0yPmrG7kVjwvtHpqT8ZEOZcOpetbYnruVHbFKhAECwxojOlIs +tEsiJmbwjDfMsTSw9/2N76OydRcmFtHgaKb795Lp9yWv31hmSQeD3xLLpu/H +QOtov9MI59MdRx5O3w8KzYnf33A3gOOJIPXp+lA6SOL1lOQRSCoXyrhqy0L8 +28dDO/7RIHjdaON0PtltefVgTjQdVnvubHeenr/jiSvz+RkQsDz64ud1LLS+ +Ks2laj+un5Sd9KfzlU2SodSvdzQwf+J23AHbMt3BF9m4HuMa61j+4y8TZXbW +VRYYO8PsH+VRI0ksFM7XwNu4lg6Zx4xMpvORxp+grC3iDPD17C7OF2Wh9McR +XUWX6PCYj1Psj8eTlw59r6PRYNOCaL0b2O4Vl/a9LGMIQTcm8/bqs1BMyfaM +LhYNOk8ZWt6b3v9jU2E1O+lgdI9XdPo+uhUzev6gLAOOx2ZeKZuD67WHEuub +V9DBc7cd7/T3Vy//snHjMgbkXk3g/SjBQsZru2aV2NPhwv3e5Nt4fGVOobJ4 +GQ2IZT0a3tPxf4NWaBlmCT4PuAeWXGMhh/gPzt/m0EF16G/hdH0bpO1sWGFG +B3EBq/Hp+fx1C+6gbaKDqVP6yunvmbSbG2hKMWC8wZm9awm+b/9Hz19zVXTL +8+9MIPX8R1oG++/UjwKp5+c90nWS9GcAqee/6YzXHOgaBlLPryg20PK7MASk +nr9GXCn9ztQPIPV844WRD45mDAKp59vn6T2rsB0AUs9f/v3oi+S1/UDq+UiL +HtfI3Qeknh/LzNOsKO8FUs8fDDPr5UvsAVLPn2+xzV4hshtIPf8ht96+BJcu +IPX8DlNmVodFJ5B6fp/rzy23HduB1PN3lQ//OLuhDUg9f11zes8lwRYg9fwn +lyS4H+Q2Aqnnr+Kr2zwQRgdSz/+4k2dHn2Y9kHr+u82dnz1/1gCp5zfF7qzd +fqUSSD1/bfG55rCEEiD1fJdl41G71hcCqedzLZVPkDZCQOr5vpUTW7PtU4DU +84c2aku08r8FUs/P7gvkesTnAaSen5NgFX4/8gWl5/srR8ToFCRTen6jSOy7 +nqYcSs9vULhrdAsKKT1/x7ebfGXPSyk9v2hPxHHn99WUnt/PndUuW1BH6fne +9igqTqSB0vPlHzzSmnWwmdLzzyydrWUX20bp+XYvFkTc1Oyk9PxHG5Q+Zkh3 +U3r+ghffhx4e7aX0/M+z/ILrk/soPX/0YPRrlQWDlJ6fKG+0zIf+k9Lze0wl +G+eGj1B6fu6Oq3k5CaOUni/SUye+ehHz/9Tze3yPe5V4jAGp55enrwkp3DEK +pJ5vrbzzoMuHESD16QLn+GzHs2OUPp299XTp6ygmpU83OL93m/NzDEh92lhU +8N/Tm6NA6tNHJi5EZC5lAKm3njr7/ui3eWOU3npTyMuRfpRJ6a0vsh237ZMa +A1KvzAlLT9yTN0bplTeTdmsSbUxKr3RISP3iqsoEUq9UCHk9nPRhFEi98tZA +458T+xlA6m9CidV2Qu2jlP7mv1zCKE2VSelvSYXO5TIGY0DqV1bG+pl9oWOU +fuVIlw8mspiUflXCx+WVnTAGSRsV9x3oH4EyjdvpFzyZ/6eeBPoJkYIOTCD1 +JDfzXQkdaBRIPWl8VM1U9BwDSH1E7d5ZM9evo5Q+MuTxR+3JWialj7geVjL9 +aTUGpL6gFB/1vcxrjNIXQiW/rTvygUnpC0skD6y8Uz4GXjbhGzS+jUC51gr3 +ensm1e+fVHz61urXTL+/bIlFzV4hJpD9uUDn2fSGcub/2U/nSSnVXr/PBLKf +/nL8g8Tr0lEg++mKoZ/1IpcZQPaH6fRNp6IyR6n+8OuopOk5cSbVH/L8Myj7 +5DgGZH/lcepAYMzFMaq/+sYbbeEfw6T6qzfGE+h7yxjY+FaEb24aAUvRx8Gn +rJlUv7OAExYl1s+k+p0Xs/nchqSYQPYnUeVNS+kFTKqfGDJ4yHx7gAlkfS96 +mEdCksak6vfCG/18o++YQNbv76NleEOrRoGs38XYpalLvRhA1qM51rPb4z+P +UvWoTQLXtZJlTKoevTYopfDZdQzIei7IPeOmoOMYVc/ZseJMHj9nUvVc3+zX +91u7x6Dpnm1NPH0EVr7lnrPSgknVVx21az4VdzGp+ioyKVDSTo4JZD0UJdQ6 +1o2YVP3S/zLKVeEEE8h6w2DtvsjNE0yqnkjxu9k8Us2k6gW19dlPKjyZQNYD +WwJPPb00zKTuX/+xkEv6IWyKp8e7X2M4nCYonl4u++a9YwJB8fQ86fE3li0E +xdMbK8dPhP0mKJ7uaT/VnCfCoXj671Ch/kPSHIqnGx6LcLfezKF4OmN5Ln21 +Bofi6ZUNXXWKOhyKpyukHWjq38WhePpDGztRPj0OxdPFFggNSu7mUDx94aNN +m6V3ciie/rbL73G0Fofi6Wo1imuZqhyKp+9yDVXN2MShePrd0QNfX8lwKJ5O +H9olc3AFh+LpOWpfXpwV5lA8PVc+77YYN4fi6eNVFSofxmZ4+jppD/4F3TM8 +fVZjbz2teoanX2ldE/A4Z4anB/t1+TyMm+HpttW79d6FzvD0vMQcf27vGZ5+ +esAgZ4vtDE830Kk09jCY4ekujs1D6ltmeDrtnErqhaUzPJ2tuuzL1okZnv5u +XOi38rcZnu5EtzuhmD3D02VVlZ+3Rc7w9DBlxumFl2d4urzej/W/Ds/w9ACv +m88b/sPTvzxoMXHnneHpy8XTumr/w9PfvHONkY2b4elruxe/fPIfnn4o5uPx +p//h6W9CA/02/oenjz40smH+h6fznLufsiRqhqcfVNq5VNuMhb5sYSVHKDLg ++7OE8DW4/n0sKciemmLAbeLIVro7k7qPTYi6s5oKBMXXVQO56uuCCYqvX0uW +CTf7SlB8Xeg0f9LqnwTF188tvDhPYg6H4utdb3gi1olzKL7O9URNaUSWQ/F1 +iNdoSsPnk+Trp+l0Cx/gUHxdh4sz57Quh+LrFy5JPcjE55/k68pOOcmV2Cb5 +uoqanFE3fp7k6+/VNsUs3sGh+HoMcSrOZzuH4usTAo3Kc5U5VD1hpuPE3avM +QqtvLN4zJ5YBh5m51j7dM/XF3jwjDTYvQfFvmtqKi6lXCYp/C5wf6R/LJCj+ +bb5RymdRD0Hx71271VUiuTgU/xa8s0n36TIOxb9nm9X/2b2eQ/Fvc9WSDXJb +ORT/PkMTmj2gyaH4t9LGV82WOP5J/p2cKltnhf1B8m/zjv2yv7BN8m/JLwO3 +H+D8QvLvSdnRRxtw/iH59+7KV/cl8PtJ/r3ibnO2gAqHqo9Me+Yq7t3NQsv1 +PZ4W43uT5bBj29o/M/USi7VsTRuOR5JPl+YvP3XvGUHxaRslgbziGgLl/NVp +iTT4BD+jOmSfMXF/xojdYP0kHXKm5t6Umc9BiZnH3PpzciDJauG45Sqcr1ax +F2ff/QKFbz3z9uH8hfiLi920C2FT0ajGXHUOGlA1ETGv/AqixX88hrU5yFv3 +4H24XArbHtf0bMfrJXl49PrgOaPYHyQPf+7dbe2PbZKHp3Vt/yuCzw/JwzV0 +d9G+4/NI1nsinxSMJlazUFvtvae89QxAIiIj54tm6r9linYBl3rZFK92MjSI +579AULyaK9/2R8NnguLVa8ysZte0ExSvVtzu8H3DP4Li1Tr1nufUl3AoXn2L +3+OSKc7XJK/W8+h4Vr2FQ/Fq7swzawTx/pG8+u1U5cZqvL8kr5ZxN6/YgNdL +8uqQd8ZT3Ph+IXl1x8uDnW3YXySv5nNyVYnT4VC8ek/buGoXfj/Jq48NzMni +xvFL1q9Kaz/Z1RqyUOC9Y1+DrPB9Xj3U+2rWDE/u0qzb6q9FUDzZ8y/nw8XH +BMWTabe7ND6UE+j24eG6OfHJwJ5teYo5QqAr3t+e7hlOgxJrKXVFAXz/5Pjo +HBXPgQJO3BHDlRzkhvarbVb5Aq/VjEdey3NQbmNrtP+PAohgvY3r38ZBVtpd +IfLXvgLnb4+GBj4fWnaraw6tKoVj8f0DdLzfJL/WdWkIaMb+Ifn1sm3bt8dj +m+TXP8/GP/fCz5P8OkG+2NkHv4+sx88+84rilmOhqY2HL/pnM+BzgVfYX9pM +fb6xR3v59hUEOjxwS8NuVxTcCswWj/QnUGbK1wNuLiWwarBOZBDHs2lrteH9 +5eXwO91vbgj+vuGhgx2TUZVQZEH73IftO2h9+7elNSC3vfifJt6vXd8axG/f +w32F5CXUPsKk+LOF4lnezCMEylvpdVC+qhTkPXXXPsbPO5+MeyF3ogIGhkw3 +TeL3iS5Wt9/WWoX746QdptjOvFJZ6jvIgB+Gjbd3fZzpFz6KJ0idrmRT/Fl/ +UMjgmD1B8Wf34f62Dx8Jij9vTHc6+rSNoPjzuT13fG3+EBR/vmDSZc21mEPx +52166emmazkUf+6V0AlYgc83yZ/3XTl9PhzXTyR/LmPrXvbF55Pkz5Euq5hz +8fxJ/kw3Fp81XT+R/Nmt5IfTFF4/yZ83n+qfO6DDofhz2emMQ9q4fiL5s85u +6wIPfL7JfufTRqkmQSMWEs/OTtpvxgDf/ghtYcEZPpx2VvbkOxWC4sNwv2ZQ +OYSg+LBF19v9c0vx+b+mU615IhkCIqqmhIYJ9Kr4ypGssDRYvbjvUBw/B72A +kLch5dlQuNLwjKYEBw0Jeew7L/AFGOeqjCvkOEgT+vjvogLY6X0l+BA+3890 +3xgvN/kKB/Zsfd2B85XENcHRlvESeKM+t+kpPq8kj94ueFq8HPuH5NEONQY2 +udgmebSIU4D7R/w8yaMtM33Ny/H5Jvu3PVvKpS8rstB9s08ndn9kgHakkvhw +60w/51H5EvQWEChxWDijcOAJ8G9fNol8CBRRkug0tbsEnh8s74rF55sZ6Fr4 +l1EGeqYpszzx99nL6+NVL1VCvuaI1XR+Tor747qXuwakJJeVnsX71TB32xz9 +GwyoNrfxKRhnUjz541v9p65GBHqudMY59WUp/Lg41WSHn58ndGBZhHIFbP7Z +KD19/+W1Zqtd+FQF4t4tmY7Y3vda4bNOOwPMtUo3QPZMfznP5mxoKpuN5H7k +JNKfloGZ/Bx7ven64m2T/ru1lVB2eZHgdLzsPh/O49JTDvx/BWnfsT3fPr+h +27oKVlioqD/BtuPk6K3CYgZc+927P7iSSfHj3uNbz69di/O/rGzzmh3lkKJ3 +puvN9P18u3LB18JKqPpIX9OK7fqEWXJ5/hVQX2m/kQef3/UGDh154wyQNfe5 +7veSSfW3PP7zkj7iepXsbyfmHH7hcJageLFDbK3pgiSC4sW8q4TO+LYSFC+G +Flag1wRB8eL+deMHLRdxKF6c1Z/CouF+huTFbXtEphDuZ0hebHhbZfIEjkeS +F698HeF3BMcTyYtNvjrI/8b7QfJiZ65X+6fjkeTFKN6TIYzXS/Jiv9T7RrPx ++SB58ZkerU1OOB5JXowald+l4ngk+/OGc5KZMiYsZHN4eG3CIQbY3o8ezZ4/ +w3PbO0+OB2wmKJ576ZCvA/8DguK5EYG/jJKLCdQtcr7SfGsyRPw89Ft0iEDp +9l9TXe3ToCNYJboX17OuPlHHFF9lw/ZN9eKfcD0bq3KfFjieB/fdtekncDz+ +dbHPXRBXABsUYN0sHI+5uwVS5u/4CtZHosyTcDwGzebykewogTSJnXuu4/gi ++fHJy8tWFOD1k/w4wYNP7+v0+f8fflyTZC9Thp8n+XFgI6E0iuOR1BvEHUuf +J2xmoebhnrrY9wzQ5wx90+6Y0R/qfEoEDgkQ6KSzEeeC+hPQCirV2uFFIP7D +MVM7lUrALG0y/Qb2d1737qnk1jKwDFqb7IS/X+K5eImxZSWILOa9MY7tG9pl +PpND1TBr/6TdNbyfks/PesZ5MYDYsEzhDYdJ8V/hxFyLLQfx+co6xvUnqBSS +b7R+MsLPt5yWOnxgVQU8G843n35fCEw+v/usCow+3tnrge3Q7RYbXjUxwNn2 +SQbXlxk9JGae3bsHw2w0seP+jzuBZZAU19m9DT+/dJ5YctHCSjiZUK/Dhc/T +4iI7zfXVOJ6aOkY78HhnFa/W1IEqCEkLYr/Cdmqo1KR0PgNmGTfWmtUyKd67 +ue6pz/5VBLrl929Ri1w5lNqlZkbj568OC13pTqoEt+Qb67uw/XJ2k63bhQpY +uDzCcfp7F7qjjKUYDCjadmlJVNyMPvNJ3d3tXzMbZddH+UwOVsAfR1VtXvx8 +kqvwp9DYcije5/WoDr9vdcqD3StuVwKN277kJ7a1Uj3buvdUQLKDusMfbPMI +T2p19zDg34lNcUJpTIr3pgb1rlj1j42cdB/3BKlVQvhhF/Pp568s+VqcxSkH +Ha9yxen38ZltGZv7pALU16hZT3+/M2W73akJBgivjtH2ezyjFx3JXhaelMSm +eG+GRQoxgvtrUi/K6Utcp51IULz38sLorrEWguK96UVxWQI4f5C8Nzxro85C +nD9I3tvM6xUWgvMHyXs9w1XbHuD8QfLe2pdKvto4f5C8V6p0SGcXzh8k7xWI +Z4WO4vND8t69n6R3TucPkvfujBX8twyvl+S9Spd3HVyCzzPJe5+Ez3cJwPmD +5L2LEpxdaTh/kHrXfYMH4VtMWehWtP+pdkMG8HMNDi0UnuGx2b19+mcUCYrH +KhqMFY/cIygeK4qsnWbh/BF14rqBrVQyVLdciX6K++ECzfOna03SYPv3mAW+ +OH+0r5JKmQzOBqXk7dfP4/xxQ39FRMRgHozV/1wng/NH2zyFiMPPCqA8rjyq +Hc+vsnj7aX7Vr0D7VXYhHOcP3X0TxTtoJfDHTS33Is4HJP9NrtrWP31/k/w3 +evtXwTJsk/w3ZVGDQQN+nuS/8RqTl3lwP0zqd5480SGlW1hocpvn/Ma3DFj7 +asNUd+eMnqfWaMlQnEOgFKVLYXt6IiDbbMLynyeuJ8Oe6tnKlECkyaZTF7G/ +3woliQfXlsG2mByjc/j7yQope6zMKoF9td+WmI7X1C0Gyt3VcHqiKfAm3k/d +n1xpLVcZIDnbJnXJbybFbzXzncICDQlUMmWyR+J6Kewt1+zSw8/nPwh02ri0 +Alin6rWZ+H2eY09pkY+qIOC23ndvbKcHcKzr6QxYci7xsXbBjL5Y41jqtm6Q +jbrO/55zxqcMZpU4n1PCz3PlZn6vn1MJ45O+itP9z5/R+qO8ReVwszOnog2P +l/nEXJi/G9dHGivfvMV2S0fGNhPEgCP7HOwU6pkUr81cf0CgQgLXc4m2Simr +y0G+1vViOH7e5lni+9HYSlAXzP3Xg+3bm3fcOHSmAk4+cbCYwnaY/NZ7h4YY +MKX5cveihBm9U3yA9ZKfxkax/pqf53VWwIlnm5Kn4zf8+ZUap6hyWPZvdLAK +/3/emc0eG7wr4V06TXoE22u7v//O0aqAHwnLm39jW+ZomLtIFwO8UnV/pmbM +8NmsMasJ799sZE6oLovcVAm/pEW//MXPW+zY9D1suBzeHtgcMoDtoetRQt/v +VYDUHbnG6fqDj5sWc5+D65kDYfOCo2f01rOc13nlRWzkMei1YkNFBQR5jW2b +jk+WWrqy68YKSLtjd2B6/+WOunulraiENw9MVKbXn9niuDfyagWEZg0en/a/ +wWM+sbExBjzX/d1RHsuk+Cvv+v7+8x24vpH6azDKqgDPUkicno9Z4CI0x6gC +LOP126fnLyFbVq8QUwEOGU+rpv2Vbfdqk+I/Bizliz5gdI+JTL0OygS7MMD7 +Ny284B/zf+kX//+4qs3p7o2XGKC25c5Z179MNHWmVP2ULAMcPlm2+Ev+bz3N +y786106OAe9PeMM8PP7/ALXWLSw= + "], {{{}, {}, {}, {}, {}, {}, {}, {}, + {RGBColor[1, 0, 0], Opacity[0.2], EdgeForm[None], + GraphicsGroupBox[PolygonBox[CompressedData[" +1:eJwl1Xe4z2UYB+Bz7E325tibknaUtlVpGQ1OlJC9994zI4Rkz+y9i0pEEUJK +slNS2lH3c/njPp/nfb7Xdc7v+77P+ztJyW3rt0mRkJCQyJXEm1nGj1OyvZwt +m8tCdKe9dUN5C4fUrWVxaqmflGnZo55CE3Vejqtv4wH1n3ITw0nmWb1M7FfP +ID5LWevv5Bw6UJuqPKj/l9zMCF4lJeX0T8u5dKQOt1NT/2+5hZE0IxXl9b+X +8+hEXaqRmgqenZHz6Uw97iANFT07KxfQJd6XO0lLJc/OyYV05SnuIh2VPTsv +F9GNp7mb9FTx7IJcTHfqcw8ZuNWzi3IJPXiGe8kY++nZJbmUnrGP3MdD+v/I +rYyKsyNT7J/+D3IZvXiO+3lY/1+5jdG8RubYP/3L8n168zzVeUT/utzOGF4n +S+yf/o9yOX14gRo8qn9D7mAsLcgae6r/k1xBXxrEnuml41P11JgXHrP+T+5k +HG/EZ9fLzAH1TLLFOVhfkSvpRyPr7Hypbhh7rk7PXvU0mqrzcSLmisdj8PlA +jOcldS6OqlvG+6uz8HmsZTHejRmUBejGSet2snTcjzh/+bPsIFfF3srC9KC/ +dWOZg84ctn5TlqAXjWIWZAY6si/eXSbRk3esk2V+uvK1dVtZiprqJ+Iu82Gc +kSzCW+qXZW6+UreKc1Jn5Qv1LJqpC/KNOnvMqfqqXM0AXrTOyZH4/DGT6ox8 +pp4e80ct6xTsUk+gNTlirvV+kWsYGL8r5o/a+inZrZ4Y+0DOmHW9X+VaBsWZ +xPxRRz8VH6kn0YZcMf961+Q6Bse7xvyRO2bds9/keobwSswWechLPvJTgIIU +ojBFKEoSxShOCUpSitKUoSzlKE8FKlKJylT3t3+XGxhKk5g36uqn5mP15Dg/ +qlBD7w+5kWExq3Ge1NNPwyfqFrIob8e7yDx04VjshyxJb9pZN5DZ6MTBOPc4 +hzjz2BO+jbvI5vhejD3nUHwHx/zGDLE/Ziy+Q1gdZxV3IeYk7j0b2BH3Kz4D +F1jGprhTMR9x17gUd4H1bI+7HrPIeZayhMUsYiELmM885jKH2bzHrLiDzGQG +0+NeMI2pTIn9YTKTmMiEuAeMZxxjGcNoRjGSEQxnGEMZwmAGMZAB9Gcl69jG +Ho5yjn6sYC1b47zivnCWvmxkJ/s4zkWWs4sDnOQya+K8OJV483/zFk5zNWaG +axzmDH34H42x9Nc= + "]]]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + TagBox[ + {RGBColor[1, 0, 0], AbsoluteThickness[2], Opacity[1.], + LineBox[CompressedData[" +1:eJwl03V01mUUB/ANRo5mjJFj5BgwEBEsQkJwI2SKAgY4ESnpFDAAlZaQMoCj +UgYmYYGUYDdhHVHAJAxQSv3c4x+f93vv/Z2zvb/nuW9G/rC8oYkJCQl3+ojM +9PGtHCEfl7fJakxghL6XLMun6iGyFjnqbrIIb6uX0ledxhfqprRR/y1fZQb5 +XGtWgg/Uj1GA+vqD8glGksuFXGF+Wr7GTG6lIFnm38knGUVnmtHW/Ix8nVn0 +I4kG5t/LVYymCxdRiIaeHZKrGUNXmlOYRp4dlmsYG+9LC4qQ7dkRuZZxXM3F +FKWxZz/IdYynO5dQjCae/SifYgJ5XEpxLvDsJ/l03A3XcBnJcZ6e/SyfYWKc +I5fTzvysfIPZcXeUiPMz/0U+yyR60JL25ufkFubQn5Jxfua/yvVM5jpa0cH8 +vNzKXG6nVJyf+VH5HHdxPa250vwf+SYPMoDScabmx+Tz3E3PODOzoryjXhb7 +Qkf9v3Ib8xgY392sJB+ql1Mm7kF/XL7APfTWl+Mzda84c3Ux3lU/zC3qSnwZ +e0WnWHy2i/ncpK7APvWgeH91KT6KXtZkReygrMJ4vtYPl/Xi9xH3L0/IkfLF +OFtZPfH/39m9+htkecbwuf4OWZtJ9I5dkMUZxXvx7jKDiTyiz5eVGcdX+mGy +Lm3VV8VvmR1xRzKdBeqbZSr71YPjntSl+Vi9kn7qqnyjLhd7qv5NvsQUbtSn +sDe+f+ykOpn31Y/G/pGjL8BO9UKGUD722ux3+TJT42/F/pFrXpBd6ofiHEiJ +XTf7Q25gWtxJ7B+dzZN4S72IoVSI/Tf7U27kvnjX2D9SY9c9Oyk3cT99Yreo +SBqVqEwVqlKN6qRTgwxqUova1KEu9cikPlk0oCGNyKYxrfzvU3IzD9A39o0u +5oXYrV4c90cTWpv9JV9heuxq3CddzQuzRz1A1mBJvIusyFgOxHnIOkxmuL6n +LMNoPol7l/8BwhGfPw== + "]]}, + Annotation[#, "Charting`Private`Tag#1"]& ], + TagBox[ + {RGBColor[1, 0, 0], AbsoluteThickness[2], Opacity[1.], + LineBox[CompressedData[" +1:eJwNxrVNBAAAAMBnFFZiBAaAihZ3d3fX4I87BPfg7u7Qc8UlFxoeGRYREggE +ooiWW/b4ZZEvrhnng0t2GOCVMzaZp5snjlljhhFiuGOfJSYYpIdY7jlgmUmC +9BJHPAkkkkQyKaSSRjoZZJJFNjnkkkc+BRRSRDEllFJGORVUUkU1NdRSRz0N +NNJEMy200kY7HXTywCErTDFEH8+csM4so3TxyBGrTDPMG+dssUA/L5yywRyf +XLHLDzeM8c4Ff2zzzT8KR1dK + "]]}, + Annotation[#, "Charting`Private`Tag#2"]& ], + TagBox[ + {GrayLevel[0], AbsoluteThickness[2], Opacity[1.], + LineBox[CompressedData[" +1:eJwV0mdsVmUYBuDTltKWDqqi2AkFB0MFR3EBgkIFt21FMYpQHDjSgnsQBY1K +HGwViOCWEVEJSEAJamSpTI0bcEVQghIV7LCD6/lx9X7eu+d85/ve85bV1FXW +piRJMsif/8hIS5JPUpPkYvmUHCSb5ZXyOlku/5arqDCnco65p/xNvsXDnGtd +L9fSgwLrr+SL1HIJfXX75XIep97zM3XrzE9zfXwOvXR75FIeiWdRqPtazqeO +S2lwf5Z+vfkZRtE/7qdI/41cwHguo9H1HfQbzM9yAwPoTbH+W/kSE7icJtdn +6zeapzKagZxEif47+TJ3cgX/uz5Hv8k8jTGcx8mU6r+Xr3BX7C/Nrs/Vf2qe +Tk3sP6fQRf+DfJW7qaTF9Xn6z8wzGMtg+tBVv1O+xj1U0er6jvrPzTO5kfPj +PVCm3yVf516qaXN9vn6zeRY3cUHsj26vfJtJnEo33W75BvdxVfS6P+UKniDh +CN0WOZub6Wf9j1zNkNhL69/lO0yO92fdID/kNLpb/yjfjO9ubpX3c5b5oPyA +YeZ2jIh75F9xPuR78QyZUMWT8f5kU7xf+bEcLtOpJsX6SLk1zqt8LvZXtsT+ +y1vkmfLfOE/yfXmhTGNovGf5h3w39s3cJh+NM2ZulB9xOsdZ/yQX8gBnWx+S +a7g6rrE+IFcyhVSO0m2TzzOOijgnun1yGY9xBsfrfpaLeJBrSKOTfrt8gVvj +e1POCfpf5GIeYiTtOFq/Q87httjjeHekc4z/fSHncnvsYewL7ckgkyw6kE0O +ueTRkfw4F7HX8bviu8Xz4nPpzLEUUEgRxZRQShe6UhZnMM5H7Gf87rjX9/pS +zuMOLopzwon6X+USJnJt0B0GM+2NdA== + "]], LineBox[{550, 792, 724, 667, 621, 854}], + LineBox[{587, 815, 747, 690, 644, 569, 808, 740, 683, 637, 826, + 758, 701, 603, 820, 752, 695, 837, 769, 649, 831, 763, 848, 706, + 842, 774, 853, 551}]}, + Annotation[#, "Charting`Private`Tag#3"]& ], + {Hue[0.67, 0.6, 0.6], Opacity[0.2], LineBox[{856, 857, 855}], + LineBox[{860, 859}]}}}], {}}, + {"WolframDynamicHighlight", <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>}], + DynamicBox[(Charting`HighlightActionBox["DynamicHighlight", {}, + Slot["HighlightElements"], + Slot["LayoutOptions"], + Slot["Meta"], + Charting`HighlightActionFunction["DynamicHighlight", { + GraphicsComplex[CompressedData[" +1:eJx1mXk4VV/0/02VKEojkZQ0GKJEhCUpJWkwNsqQCiFJkQyJStJAIjRKpQwp +87BlyDzfa86coXC51z23VPy25/me4/P8vs/3r/usZ597zt5r77X2Wu+XlJXT +4dM8XFxcx3m5uKZ/9U8P1OYNmmi1zRUaetzHRL78n9bdFg0FzS01Sa8Cx5H5 +kReJo2MxwEgPCJhYzUaCU77H9VYmwoD2j65n19lo7aGRgYI7n+G6vNTZulI2 +ulylBuHjGfBxF+GdN5tAyz4vbOfmRqDx7LXBK2UCpXbvrKjWyAdY1B+oe4JA ++XbRK44MFcIunrX7qq8RiC2jKRx/vhj0Za7/yw0jEM/v9wtWrC2D1FIJoaIP +BFKZOpVqlFAB8YPcaRo5BKq4oVz1dWM1DAYlVf4rJZDk/Z+/343UQEPUJY/z +NAL95HS00xXrIDXiXqZuK4FifmSVCQXXg7534afyDgIJSbxh9PbSQKW/4kNg +F4GCzqXYPpdugExd2wXDnQQitN/3nvVuhMbbzHfsbwRy3Vz4eWlBE1zabjiy +tplAHQmFd2qlWuCwRrxsbS2B/tjRIjd4tMLD2F9BD0oIJLtjzqRtVhtY7k1X +l88lkN5LqTMfl7XDJ9fkefM+EuiyhMXqruMdcJhnC1ryikByQyaCjfs7Ydbt +F4/pIQRaJDzKXzjRCRuFgtIf+BKID0Umronqgh3tB/OHHQlkr5zVPHdfN0w1 +uPyaMifQ+71Wz3OJbtg7yleVuQP786fYgr6wHqCxU10vbyRQipEeb6huL1z4 +crgtQJhA3nv8n33u64XbIRJ+eeNsJPVNv+mV/3fg+5m7cbyJjdRVb4SKbu4D +P2QezpvBRsdXVv/mru8D/7PWAj4RbMQuu9vt7NsP8fOs5p65xEbhfCddD0sP +wOM1he6Vh9hoUXvKS57CAcgP5FESkGejWdd8jdUuDAL3gPUZgoeNuN6dTOwW ++QHHctU7rzWPI5cniXdF8n9A9ovgU7/ejaMc2Vv8Cx1/Qt/G4jkeV8bRQn0X +uetCQ/Bwjf+ZAL1x1CZfU++ePQSGURKpi4THUdJTkO49OQxdm9sdahpY6ESi +ykKJyWH4fbDxTl4kC/n4v/BtixsB0ZuPJK6YsFD8gESkkAEDuCoOFVrysdDz +JQcCAkVvgML4n9T7YuMo/JvHzpTJp0D81K7e1TmONn9YOFucPw4MHoRre5uy +kcnbx+UX5yfDZp9I49Nv2ahKePFiBe00ECsNTxH8wUZ6X14LVXpkQ4W62BH6 +SgJZnow98bY9D6Ts+7dx6RMoO3b0YmhoAZw8u/fYMbyfbXvOL+GV/wrh3CoX +OwMJ9PfeuLBNaQk8dnGdc+IlgcQ9A6zTzpWD4UuXZZdTCMSdr69zd6gS9j5Y +nM5TSCCdwetJs3Vq4H5vosGLagLNKjw9hO7Vgtlx5lWFJgLtGas0dumogyFu +a49efJ6b/ZS+uavRIELU4sY/fN7371R4n3+DDvszg3964XjYYZL0mq+uATb0 +e2dl4XhJyUirAKkmsDVcsqscx1Ocs+4qV5dmEAwtd8mjE2g4qCLkX2ULVEVZ +CV2oxOe3YdigRKwNrokwmaEFBHIUcyj1NB4By9oTFh/dWWhpmkXwpT8j8K8w +zoSpwELfNt3ceVfUFbbp5weUFbHQPFbYVsmgCLjdWidwO30cFV1vPjr72htI ++CG2s0qTjYaNhrL3VSWB2oc9N8XD2MhD0Xfy1/cUqL/j++hcCxt1FDNik1Wz +4GGwwePli3D+iDKLDd2UB02TE9XhQKAMXbFVyQP5MHDet3O2LYGqj/gvTwgq +AqeI5hTvGwS60hp+79aKEujaXrg1NYpA99RF1c8Vl4GcHPctDRy/UZ0mns77 +KyE88m1+Rh6B3spGL7NrrIaInpANPysIJBK3nqUMtWBoJV5q3UCgJ+MNotK3 +6sDKy1DmQxuBtNIIo8KeeuAaGbjvjv2vkGRXWa9MB7mbhjWPsP9tOzYHHL7a +AGq7/uqcweOm1z6aG9c0gqvOklmj+P/3Dt2wKxJrhnNLXaNuNBKoL7rkXv/l +FtC4LK70Ee//zVWW41fKWqE7+lDuqq943ObQsMGGEVA9FyzECGShfU+5+DfU +j0AiuqiitpOFDoW02BnKBENG9RaL6+bjSMQm8e3rbS9hUUhjpz0vGz2l7/2o +FPIBZBxSPI+dZ6N3OaygWfMqYLDNIMQtA8/3FfDH3amCxEsGnOXFBErSUDUx +D6+BJw4mz6XqCPTr28vv+p21MOHW6VOF8ycxXHgvT6UeVPJ7EkfacX496GC7 +6R4NYj7wHTTH63doGLv1kUaHzi2ffHdjW7xcvih1fSOctLKb/RM/v5jI0ZZ1 +aQLCOqL0ZguBCu/xNawua4awTbaNzfUE4lQHvP7gPQK6XR3LGGdYqLs6d3H+ +Ggb4Wegs+CzCQmY2nJyHojYgZHEhUuQmC339F6NAqwuDc11iZ6+9HEdCjpr+ +V1Vj4drHS5cSFNko411YSpoCPm8/BVoCgtioJi/tYvu2FHg4ny2gVcdGsuWL +L64Ny4SWxL5nXPNxPLqderQkCYHYrJrjiuoESl+0ich7mA8niazST5Y4Hq0W +PvHTKILzwonvlHB+V+G2r35SWAwv7AoaCyMIVBJhtVbHrQx+nxwO4E8k0KDT +MdHufxUgLW/ZwI0IpN404LLctxrfXys8rpYTaLlEoBtjaS0cE38s7Uif/v6x +v57H62DUzdV6Esfr2MEj9wbT6+H0/DlD6/B5etp362TbPDrEjXHdCsX+dZd2 +dft9oAHmdf4ZTMDjMhYJT9vfNML6UMEvbjhf8OjECI+MNEHg+M/YIzifWMV+ +vdtm0AL3hB5sK6oh0JcisT2HXrbCmFjyreN4/1cL6E59XjQCh2/p6NuEsFDf +wtpCHjQChmL5C68bsNAVWuiVIcnb0F6w6pfj9nHkdE5lLVfbc3DjbdI/whxH +A3nxrbH738O9ioS6NVZs1C2ueaU9sxymXl9b4ZVGoM+bd71eoVEFERJbRUOL +CPRb5Nv1TedrwHrfS7EBPJ/Jg3znZqXXwviZzCJefN6eOe11ERWsh1dzOvc6 +4fMj86PqhbENDRpzz/Iq4vW3zVsglvmBDr/j93bYYlthgVPKiX8NcHbLqkkz +nP96GzMDUvY2gXz8uLk89qeqj/TVfU+b4dbNledrcb2x+ZJ72mK7EUiPe8DV +48xCnjvv1UYKM8DU9d9+5moWCo4XWX9IxgtuKGRcPDbOQmMuh1XLP9XBRPCF +NYbYv0ESocufCdFgPutGVh72f667gUb5cTr4FbwMC8bzMX4y9uh9bANImD+U +X4rHl2y4r2Ae9gCkad+VUl3G0X4H7fgzVvXQvCq+1ArP90vqXFmBTBo4Twn4 +uuH//31Wz3n7lw7bd5t0S2B7z/ERswFVBnzK+WbVMMlE+yobk6NFjaElxjDZ ++AgLSfPKvVdwewT1c4jE6rBx9MnaSSw19DXUcdvX9G9gI613jdatOYkw9u9W +XfRNNprgN1I41P0Z3AwWnV9QxUa1BuuaT+7KBGeO4fvvc3F9syQj4445gvfz +wjwcVbH/rqpZlpzJB7G1rZneFgTyt2086ri4CApajgv6eRNo6WJjxpqIYtDV +vOmjG06g03vnRCbsLwProHM5A/EEuq/a3i/WUAH3iUnGKlxf+SUEP2eYVMOL +fX62uWU4Pzeop1fw1AIxe9NmBt6fpWnR59/troP1nTXzX+H9K4sWkPd8VQ9l +dwbX/MH+auBe/qbzFw34tjbE38f+4SkOHTFQbwCHUDuFauxvoZoPX16FNMIt +4XeKaXi/tqsrW/E0N8ED5YaePBwPz+OK5pmptsD41cNbDXA9eDKcc0EzuBWc +Iuf2cnA85B3X5B/kH4GlxxScHz1moU/71F4xPo/AgM/BplmH8H3HvuCdFRUA +jic1coJkx9HKIXfrePnnELX515sLg+PobmqHc1dcHMyXVnthcZyNvCu6hvUf +lkO3ibujZyqBjk4UXzReXAXxKS//VOB6YOVkomikWQ1sHTlpsRvHw3WBlLju +V7UgJnvB9Qmer2vSnZ5d7Dpo/i57SBbHA5eqD/Qb0mDLjRjB5Xj9i9c/s8oN +p4OT0cPRi9hOT74n/7G/AerG1s26g/1VsSfjncfWJuBeJa17DfvT+crnSotb +zZBYm8Gjj/NPTbFL3h6LEbCLnrxjeImF9l7jJN6axYBIGQtFlQ0slDpSsZUh +6Q72/kJOdu04/34KfcuJrgOoiIicxPfbabtdqUq/62GCwbr4dLoeD229Ub2H +DhXRH3RC8Hy+aYgITd1vAO35RC/gcYuMyY/I+D7YMPR3Dp8eR6vjr68MNaiH +fVx+0hvxfJ/wZpVExdCg1+xh3Fn8f+UsK+34ATp8peupbcH2kjBTFbo8A2JW +Nbx4heOBv/v6S1MZR1h6cJWL9TsWWs73dsy0qh6sagyFD+Pv2Zb/XtK0ig7G +gRc1w/D/R32NJl+fboCBoHO7gqbrqWgJvnx3GuxODXHchcdjxnxqU/LoOL8l +ZJhhO3HuOlaCKAPWM3ZUFa9gIWUVubtHwnyhcs9FYV3+cZRc0+0xT5oGAyVv +7Drx+wJO5rC+OtNBGLSrbuL/D5wzv3u5mgY2EcoNvtguvKGbGLOgAczTkdds +bHusDnD/s4MBgfF/VlVws9CWZ+FRn0R1YYVriv/ebSxU5+802FocCq9NAjTL +7o/j9/OfNtr5GuIu3VrhJsNG0jS5UwsdEyHNWdZXyp+NFsQ/vyOQ9hkc/Quu +scrZKOauYtAf8UyICDKzMOYn0Eub7+Vf5RDMkrITFlDB+5WQu9TgcD7oM6/y +W5/E6z+rnmXNVQTxs0xr3nnh9UTv8T7rVwylMQanrz/G+/GimW6jVgZ/Rv5Y +meH4to6UPKCaXwE/tDZrJeJ+722Epeox7WrwV1l95ySO75NbFh3J+lUDK6u3 +Jybg+PZp1IpF2+vg2kkZXdfp/Kzbc7UovB5eXhL80oP33+WeRXn3CA0CeQ4y +72L/6C1/Hee2qQEOz2mzb8f+vc4vZxRwuxG47yh9aMDxba7ieWdeVRPMf3g1 +kYXjJU9ul0GoXAtIMS3HgnF8f+DuC9hyoxWeX2wb0cX9ntDszHl+vCPQSz+W +/T6ChZ6cPS/SlzgCXlzCvDuMWMjUv5NnzTF/oH0pm1JeM45+HFWdxR//DA4t +mXurt3ccnfp4JcvrSBw4nRmZffEIGxlKf17P7VUOVqzYbRO43lc4ObfVmasK +vicytM7i+E66KPq9xqAG7GL27RjH9Z7umbv7aiNqgdF5qNIGz7e9Rk78+I86 +4BX9uoMfx7fDN/NgRV0abC5fJSaI15+U0heQF0wHjabfSe7T9wPv88GWtgYo +6rgT9wr7S9t32/YTsk2wNemPbwz2513Xv8S5a81gfi/I2x/Ht+QFRmH3kRG4 +eieC1/cyCyk+kZX05mKAPsQlSsmxkL5wnEZulBsE+dI2etXhcdrWrvkhdWCX +0ZVLx/Fdt2DlrKsj9eBdImQ6HS8PV695V6tFhxc59pXT9cjq4NVl6wMa4OfZ +PR1GeJyf16G/78w9aPd7rrPfYhwFVa4xi9tRD6yNxwrn4fnOiQtZ1hdBAxZt +ovIU/n/NnjibxHY6vOlykNScXl9y4Ovy9QwQLjg6y3gens8azaRxyXPw5tai +KXm8XzfifLSfF9TD8Z8ihzXx93iO7FBvWUoH+tJNb6brceV668zqow0QdPlh +TzQez9xJHBZ0ooHidmuB7Xhc27uEnZZKB5fWvmALbIfJHLN6vZgBai0rLvtJ +spDX1ycLvhj7gLJKmuDtSRaSvJxVaiJKg1v5rO81+H2rh/iWl9rSwbWl9dKd +6ft+gVJbXiENJF5EX/XE9imRoPrY2Q0gWs3lIjK9Hn3rx5YyJ4HHSYl/nwsL +qbvWXG/nocOi1piOh3j8yhMzreyXdIilPY47j22rUwXaDwUYEO5qY7dBhoWO +zgk9VXmYDu7hRooPpuudE93uRok0UMiS7nPCtvNBG/3jYRfg05XFaevTWahM +M3mMvpEOGxRE9k3nu5Ihpl/6SgYk7eofeLKMhX7UXKou9KDDl98Lnvvh8asF +3loKLTSYZbHuQQC2+XaKOXeIKsPeL5HqD1ex0Ea9B7eSnUOhXOyLctbdccRo +NRZ4KfYarLvD7mdIs1H4ObOTcrsTQeDHzeNdfmwk5q2nfubFZ9BTuH2lp4yN +hBRSvpfyZ0I+4ZwfOIdAx4P+GPcuRbAycPdv0634Pt/jO3ZXLx/O5Onx0k4Q +6NOgvf1JohCeCK9M08D5R6tbpzjwSjEEuyxqXYXzz4pKHRZsKoP2sR9mQjj/ +GOzPu74rvQKWnzu8xA3nH3Xhcp4HW6vhxNu0PQo4/+Raer9LZtXAC4EVssE4 +/6SeuZevr1IHtG+nHh/H8eL4+MAau5B64ARnVTdOx5Op37eeQRpct687FYT9 +8UM7/pbgxgbgZOjUfsf7nx3zM/LyjUa4ubZKvQ/nH1vlVc8flDQBT8z1znm4 +fq0Z5hvavK4FtEryVybh/GOscuKBgncrBMj57bPH+Sf16C+hGq4RyH5oapT6 +hIXmX56jbxk/AotQx/wTxix0tfJxoWnmDTBQ4mkdWjmOdogfiWk3eQYWbzrO +PO4eR6UKRacqFeNg1kNTwQkzNtp6UGJ328VyeLr1ZlE6zj/LF8QJeROVcPRr +behWnH/cFarK7PVq4FV2c1cZzj8xj35HloTWgsCJsYy9OP8ssry2rb+3Dlrz +V1wi8HpeKhZtpWvRgBNSac2H1+/lKRDx5TYdgiN5LKbP91O5t8VOjQ0wIR1e +lIj95SHxy89QpgmE1/Uw07A/o1yeCzIuN4P+S0uBVzj/fC2SL95kNgLhOQMX +I66w0Ku3RaYT/0Zgj8afuXflWSj02fK8tccuwYBtnQNUsNBpm4B/4XfrwN55 +hcAXnH/4bQI/SA7Ww6S4Hb8f9v+pwd1ZdWp0qG5ss5iO98LUjW9zfBog6enE +qxN4XG7fmndixcFg2/HLdPjYOPpywvXiVo16ePE4qeYfzq9a/6ZyA0NpwL9F +6dXx6fUIV7klNdPBLEW4awe2nb5If9stwwC9zmjDMmEWKtwpllYQZQvhfb11 +tvdZKPPfUL9ubj10Gsh/3oy/VyN52qB1IR20PDPWT8+n4Y99uI1JA6gmFLjH +4vHRMKuJxHM0yPmrG7kVjwvtHpqT8ZEOZcOpetbYnruVHbFKhAECwxojOlIs +tEsiJmbwjDfMsTSw9/2N76OydRcmFtHgaKb795Lp9yWv31hmSQeD3xLLpu/H +QOtov9MI59MdRx5O3w8KzYnf33A3gOOJIPXp+lA6SOL1lOQRSCoXyrhqy0L8 +28dDO/7RIHjdaON0PtltefVgTjQdVnvubHeenr/jiSvz+RkQsDz64ud1LLS+ +Ks2laj+un5Sd9KfzlU2SodSvdzQwf+J23AHbMt3BF9m4HuMa61j+4y8TZXbW +VRYYO8PsH+VRI0ksFM7XwNu4lg6Zx4xMpvORxp+grC3iDPD17C7OF2Wh9McR +XUWX6PCYj1Psj8eTlw59r6PRYNOCaL0b2O4Vl/a9LGMIQTcm8/bqs1BMyfaM +LhYNOk8ZWt6b3v9jU2E1O+lgdI9XdPo+uhUzev6gLAOOx2ZeKZuD67WHEuub +V9DBc7cd7/T3Vy//snHjMgbkXk3g/SjBQsZru2aV2NPhwv3e5Nt4fGVOobJ4 +GQ2IZT0a3tPxf4NWaBlmCT4PuAeWXGMhh/gPzt/m0EF16G/hdH0bpO1sWGFG +B3EBq/Hp+fx1C+6gbaKDqVP6yunvmbSbG2hKMWC8wZm9awm+b/9Hz19zVXTL +8+9MIPX8R1oG++/UjwKp5+c90nWS9GcAqee/6YzXHOgaBlLPryg20PK7MASk +nr9GXCn9ztQPIPV844WRD45mDAKp59vn6T2rsB0AUs9f/v3oi+S1/UDq+UiL +HtfI3Qeknh/LzNOsKO8FUs8fDDPr5UvsAVLPn2+xzV4hshtIPf8ht96+BJcu +IPX8DlNmVodFJ5B6fp/rzy23HduB1PN3lQ//OLuhDUg9f11zes8lwRYg9fwn +lyS4H+Q2Aqnnr+Kr2zwQRgdSz/+4k2dHn2Y9kHr+u82dnz1/1gCp5zfF7qzd +fqUSSD1/bfG55rCEEiD1fJdl41G71hcCqedzLZVPkDZCQOr5vpUTW7PtU4DU +84c2aku08r8FUs/P7gvkesTnAaSen5NgFX4/8gWl5/srR8ToFCRTen6jSOy7 +nqYcSs9vULhrdAsKKT1/x7ebfGXPSyk9v2hPxHHn99WUnt/PndUuW1BH6fne +9igqTqSB0vPlHzzSmnWwmdLzzyydrWUX20bp+XYvFkTc1Oyk9PxHG5Q+Zkh3 +U3r+ghffhx4e7aX0/M+z/ILrk/soPX/0YPRrlQWDlJ6fKG+0zIf+k9Lze0wl +G+eGj1B6fu6Oq3k5CaOUni/SUye+ehHz/9Tze3yPe5V4jAGp55enrwkp3DEK +pJ5vrbzzoMuHESD16QLn+GzHs2OUPp299XTp6ygmpU83OL93m/NzDEh92lhU +8N/Tm6NA6tNHJi5EZC5lAKm3njr7/ui3eWOU3npTyMuRfpRJ6a0vsh237ZMa +A1KvzAlLT9yTN0bplTeTdmsSbUxKr3RISP3iqsoEUq9UCHk9nPRhFEi98tZA +458T+xlA6m9CidV2Qu2jlP7mv1zCKE2VSelvSYXO5TIGY0DqV1bG+pl9oWOU +fuVIlw8mspiUflXCx+WVnTAGSRsV9x3oH4EyjdvpFzyZ/6eeBPoJkYIOTCD1 +JDfzXQkdaBRIPWl8VM1U9BwDSH1E7d5ZM9evo5Q+MuTxR+3JWialj7geVjL9 +aTUGpL6gFB/1vcxrjNIXQiW/rTvygUnpC0skD6y8Uz4GXjbhGzS+jUC51gr3 +ensm1e+fVHz61urXTL+/bIlFzV4hJpD9uUDn2fSGcub/2U/nSSnVXr/PBLKf +/nL8g8Tr0lEg++mKoZ/1IpcZQPaH6fRNp6IyR6n+8OuopOk5cSbVH/L8Myj7 +5DgGZH/lcepAYMzFMaq/+sYbbeEfw6T6qzfGE+h7yxjY+FaEb24aAUvRx8Gn +rJlUv7OAExYl1s+k+p0Xs/nchqSYQPYnUeVNS+kFTKqfGDJ4yHx7gAlkfS96 +mEdCksak6vfCG/18o++YQNbv76NleEOrRoGs38XYpalLvRhA1qM51rPb4z+P +UvWoTQLXtZJlTKoevTYopfDZdQzIei7IPeOmoOMYVc/ZseJMHj9nUvVc3+zX +91u7x6Dpnm1NPH0EVr7lnrPSgknVVx21az4VdzGp+ioyKVDSTo4JZD0UJdQ6 +1o2YVP3S/zLKVeEEE8h6w2DtvsjNE0yqnkjxu9k8Us2k6gW19dlPKjyZQNYD +WwJPPb00zKTuX/+xkEv6IWyKp8e7X2M4nCYonl4u++a9YwJB8fQ86fE3li0E +xdMbK8dPhP0mKJ7uaT/VnCfCoXj671Ch/kPSHIqnGx6LcLfezKF4OmN5Ln21 +Bofi6ZUNXXWKOhyKpyukHWjq38WhePpDGztRPj0OxdPFFggNSu7mUDx94aNN +m6V3ciie/rbL73G0Fofi6Wo1imuZqhyKp+9yDVXN2MShePrd0QNfX8lwKJ5O +H9olc3AFh+LpOWpfXpwV5lA8PVc+77YYN4fi6eNVFSofxmZ4+jppD/4F3TM8 +fVZjbz2teoanX2ldE/A4Z4anB/t1+TyMm+HpttW79d6FzvD0vMQcf27vGZ5+ +esAgZ4vtDE830Kk09jCY4ekujs1D6ltmeDrtnErqhaUzPJ2tuuzL1okZnv5u +XOi38rcZnu5EtzuhmD3D02VVlZ+3Rc7w9DBlxumFl2d4urzej/W/Ds/w9ACv +m88b/sPTvzxoMXHnneHpy8XTumr/w9PfvHONkY2b4elruxe/fPIfnn4o5uPx +p//h6W9CA/02/oenjz40smH+h6fznLufsiRqhqcfVNq5VNuMhb5sYSVHKDLg ++7OE8DW4/n0sKciemmLAbeLIVro7k7qPTYi6s5oKBMXXVQO56uuCCYqvX0uW +CTf7SlB8Xeg0f9LqnwTF188tvDhPYg6H4utdb3gi1olzKL7O9URNaUSWQ/F1 +iNdoSsPnk+Trp+l0Cx/gUHxdh4sz57Quh+LrFy5JPcjE55/k68pOOcmV2Cb5 +uoqanFE3fp7k6+/VNsUs3sGh+HoMcSrOZzuH4usTAo3Kc5U5VD1hpuPE3avM +QqtvLN4zJ5YBh5m51j7dM/XF3jwjDTYvQfFvmtqKi6lXCYp/C5wf6R/LJCj+ +bb5RymdRD0Hx71271VUiuTgU/xa8s0n36TIOxb9nm9X/2b2eQ/Fvc9WSDXJb +ORT/PkMTmj2gyaH4t9LGV82WOP5J/p2cKltnhf1B8m/zjv2yv7BN8m/JLwO3 +H+D8QvLvSdnRRxtw/iH59+7KV/cl8PtJ/r3ibnO2gAqHqo9Me+Yq7t3NQsv1 +PZ4W43uT5bBj29o/M/USi7VsTRuOR5JPl+YvP3XvGUHxaRslgbziGgLl/NVp +iTT4BD+jOmSfMXF/xojdYP0kHXKm5t6Umc9BiZnH3PpzciDJauG45Sqcr1ax +F2ff/QKFbz3z9uH8hfiLi920C2FT0ajGXHUOGlA1ETGv/AqixX88hrU5yFv3 +4H24XArbHtf0bMfrJXl49PrgOaPYHyQPf+7dbe2PbZKHp3Vt/yuCzw/JwzV0 +d9G+4/NI1nsinxSMJlazUFvtvae89QxAIiIj54tm6r9linYBl3rZFK92MjSI +579AULyaK9/2R8NnguLVa8ysZte0ExSvVtzu8H3DP4Li1Tr1nufUl3AoXn2L +3+OSKc7XJK/W8+h4Vr2FQ/Fq7swzawTx/pG8+u1U5cZqvL8kr5ZxN6/YgNdL +8uqQd8ZT3Ph+IXl1x8uDnW3YXySv5nNyVYnT4VC8ek/buGoXfj/Jq48NzMni +xvFL1q9Kaz/Z1RqyUOC9Y1+DrPB9Xj3U+2rWDE/u0qzb6q9FUDzZ8y/nw8XH +BMWTabe7ND6UE+j24eG6OfHJwJ5teYo5QqAr3t+e7hlOgxJrKXVFAXz/5Pjo +HBXPgQJO3BHDlRzkhvarbVb5Aq/VjEdey3NQbmNrtP+PAohgvY3r38ZBVtpd +IfLXvgLnb4+GBj4fWnaraw6tKoVj8f0DdLzfJL/WdWkIaMb+Ifn1sm3bt8dj +m+TXP8/GP/fCz5P8OkG+2NkHv4+sx88+84rilmOhqY2HL/pnM+BzgVfYX9pM +fb6xR3v59hUEOjxwS8NuVxTcCswWj/QnUGbK1wNuLiWwarBOZBDHs2lrteH9 +5eXwO91vbgj+vuGhgx2TUZVQZEH73IftO2h9+7elNSC3vfifJt6vXd8axG/f +w32F5CXUPsKk+LOF4lnezCMEylvpdVC+qhTkPXXXPsbPO5+MeyF3ogIGhkw3 +TeL3iS5Wt9/WWoX746QdptjOvFJZ6jvIgB+Gjbd3fZzpFz6KJ0idrmRT/Fl/ +UMjgmD1B8Wf34f62Dx8Jij9vTHc6+rSNoPjzuT13fG3+EBR/vmDSZc21mEPx +52166emmazkUf+6V0AlYgc83yZ/3XTl9PhzXTyR/LmPrXvbF55Pkz5Euq5hz +8fxJ/kw3Fp81XT+R/Nmt5IfTFF4/yZ83n+qfO6DDofhz2emMQ9q4fiL5s85u +6wIPfL7JfufTRqkmQSMWEs/OTtpvxgDf/ghtYcEZPpx2VvbkOxWC4sNwv2ZQ +OYSg+LBF19v9c0vx+b+mU615IhkCIqqmhIYJ9Kr4ypGssDRYvbjvUBw/B72A +kLch5dlQuNLwjKYEBw0Jeew7L/AFGOeqjCvkOEgT+vjvogLY6X0l+BA+3890 +3xgvN/kKB/Zsfd2B85XENcHRlvESeKM+t+kpPq8kj94ueFq8HPuH5NEONQY2 +udgmebSIU4D7R/w8yaMtM33Ny/H5Jvu3PVvKpS8rstB9s08ndn9kgHakkvhw +60w/51H5EvQWEChxWDijcOAJ8G9fNol8CBRRkug0tbsEnh8s74rF55sZ6Fr4 +l1EGeqYpszzx99nL6+NVL1VCvuaI1XR+Tor747qXuwakJJeVnsX71TB32xz9 +GwyoNrfxKRhnUjz541v9p65GBHqudMY59WUp/Lg41WSHn58ndGBZhHIFbP7Z +KD19/+W1Zqtd+FQF4t4tmY7Y3vda4bNOOwPMtUo3QPZMfznP5mxoKpuN5H7k +JNKfloGZ/Bx7ven64m2T/ru1lVB2eZHgdLzsPh/O49JTDvx/BWnfsT3fPr+h +27oKVlioqD/BtuPk6K3CYgZc+927P7iSSfHj3uNbz69di/O/rGzzmh3lkKJ3 +puvN9P18u3LB18JKqPpIX9OK7fqEWXJ5/hVQX2m/kQef3/UGDh154wyQNfe5 +7veSSfW3PP7zkj7iepXsbyfmHH7hcJageLFDbK3pgiSC4sW8q4TO+LYSFC+G +Flag1wRB8eL+deMHLRdxKF6c1Z/CouF+huTFbXtEphDuZ0hebHhbZfIEjkeS +F698HeF3BMcTyYtNvjrI/8b7QfJiZ65X+6fjkeTFKN6TIYzXS/Jiv9T7RrPx ++SB58ZkerU1OOB5JXowald+l4ngk+/OGc5KZMiYsZHN4eG3CIQbY3o8ezZ4/ +w3PbO0+OB2wmKJ576ZCvA/8DguK5EYG/jJKLCdQtcr7SfGsyRPw89Ft0iEDp +9l9TXe3ToCNYJboX17OuPlHHFF9lw/ZN9eKfcD0bq3KfFjieB/fdtekncDz+ +dbHPXRBXABsUYN0sHI+5uwVS5u/4CtZHosyTcDwGzebykewogTSJnXuu4/gi ++fHJy8tWFOD1k/w4wYNP7+v0+f8fflyTZC9Thp8n+XFgI6E0iuOR1BvEHUuf +J2xmoebhnrrY9wzQ5wx90+6Y0R/qfEoEDgkQ6KSzEeeC+hPQCirV2uFFIP7D +MVM7lUrALG0y/Qb2d1737qnk1jKwDFqb7IS/X+K5eImxZSWILOa9MY7tG9pl +PpND1TBr/6TdNbyfks/PesZ5MYDYsEzhDYdJ8V/hxFyLLQfx+co6xvUnqBSS +b7R+MsLPt5yWOnxgVQU8G843n35fCEw+v/usCow+3tnrge3Q7RYbXjUxwNn2 +SQbXlxk9JGae3bsHw2w0seP+jzuBZZAU19m9DT+/dJ5YctHCSjiZUK/Dhc/T +4iI7zfXVOJ6aOkY78HhnFa/W1IEqCEkLYr/Cdmqo1KR0PgNmGTfWmtUyKd67 +ue6pz/5VBLrl929Ri1w5lNqlZkbj568OC13pTqoEt+Qb67uw/XJ2k63bhQpY +uDzCcfp7F7qjjKUYDCjadmlJVNyMPvNJ3d3tXzMbZddH+UwOVsAfR1VtXvx8 +kqvwp9DYcije5/WoDr9vdcqD3StuVwKN277kJ7a1Uj3buvdUQLKDusMfbPMI +T2p19zDg34lNcUJpTIr3pgb1rlj1j42cdB/3BKlVQvhhF/Pp568s+VqcxSkH +Ha9yxen38ZltGZv7pALU16hZT3+/M2W73akJBgivjtH2ezyjFx3JXhaelMSm +eG+GRQoxgvtrUi/K6Utcp51IULz38sLorrEWguK96UVxWQI4f5C8Nzxro85C +nD9I3tvM6xUWgvMHyXs9w1XbHuD8QfLe2pdKvto4f5C8V6p0SGcXzh8k7xWI +Z4WO4vND8t69n6R3TucPkvfujBX8twyvl+S9Spd3HVyCzzPJe5+Ez3cJwPmD +5L2LEpxdaTh/kHrXfYMH4VtMWehWtP+pdkMG8HMNDi0UnuGx2b19+mcUCYrH +KhqMFY/cIygeK4qsnWbh/BF14rqBrVQyVLdciX6K++ECzfOna03SYPv3mAW+ +OH+0r5JKmQzOBqXk7dfP4/xxQ39FRMRgHozV/1wng/NH2zyFiMPPCqA8rjyq +Hc+vsnj7aX7Vr0D7VXYhHOcP3X0TxTtoJfDHTS33Is4HJP9NrtrWP31/k/w3 +evtXwTJsk/w3ZVGDQQN+nuS/8RqTl3lwP0zqd5480SGlW1hocpvn/Ma3DFj7 +asNUd+eMnqfWaMlQnEOgFKVLYXt6IiDbbMLynyeuJ8Oe6tnKlECkyaZTF7G/ +3woliQfXlsG2mByjc/j7yQope6zMKoF9td+WmI7X1C0Gyt3VcHqiKfAm3k/d +n1xpLVcZIDnbJnXJbybFbzXzncICDQlUMmWyR+J6Kewt1+zSw8/nPwh02ri0 +Alin6rWZ+H2eY09pkY+qIOC23ndvbKcHcKzr6QxYci7xsXbBjL5Y41jqtm6Q +jbrO/55zxqcMZpU4n1PCz3PlZn6vn1MJ45O+itP9z5/R+qO8ReVwszOnog2P +l/nEXJi/G9dHGivfvMV2S0fGNhPEgCP7HOwU6pkUr81cf0CgQgLXc4m2Simr +y0G+1vViOH7e5lni+9HYSlAXzP3Xg+3bm3fcOHSmAk4+cbCYwnaY/NZ7h4YY +MKX5cveihBm9U3yA9ZKfxkax/pqf53VWwIlnm5Kn4zf8+ZUap6hyWPZvdLAK +/3/emc0eG7wr4V06TXoE22u7v//O0aqAHwnLm39jW+ZomLtIFwO8UnV/pmbM +8NmsMasJ799sZE6oLovcVAm/pEW//MXPW+zY9D1suBzeHtgcMoDtoetRQt/v +VYDUHbnG6fqDj5sWc5+D65kDYfOCo2f01rOc13nlRWzkMei1YkNFBQR5jW2b +jk+WWrqy68YKSLtjd2B6/+WOunulraiENw9MVKbXn9niuDfyagWEZg0en/a/ +wWM+sbExBjzX/d1RHsuk+Cvv+v7+8x24vpH6azDKqgDPUkicno9Z4CI0x6gC +LOP126fnLyFbVq8QUwEOGU+rpv2Vbfdqk+I/Bizliz5gdI+JTL0OygS7MMD7 +Ny284B/zf+kX//+4qs3p7o2XGKC25c5Z179MNHWmVP2ULAMcPlm2+Ev+bz3N +y786106OAe9PeMM8PP7/ALXWLSw= + "], {{{}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{ + Polygon[CompressedData[" +1:eJwl1Xe4z2UYB+Bz7E325tibknaUtlVpGQ1OlJC9994zI4Rkz+y9i0pEEUJK +slNS2lH3c/njPp/nfb7Xdc7v+77P+ztJyW3rt0mRkJCQyJXEm1nGj1OyvZwt +m8tCdKe9dUN5C4fUrWVxaqmflGnZo55CE3Vejqtv4wH1n3ITw0nmWb1M7FfP +ID5LWevv5Bw6UJuqPKj/l9zMCF4lJeX0T8u5dKQOt1NT/2+5hZE0IxXl9b+X +8+hEXaqRmgqenZHz6Uw97iANFT07KxfQJd6XO0lLJc/OyYV05SnuIh2VPTsv +F9GNp7mb9FTx7IJcTHfqcw8ZuNWzi3IJPXiGe8kY++nZJbmUnrGP3MdD+v/I +rYyKsyNT7J/+D3IZvXiO+3lY/1+5jdG8RubYP/3L8n168zzVeUT/utzOGF4n +S+yf/o9yOX14gRo8qn9D7mAsLcgae6r/k1xBXxrEnuml41P11JgXHrP+T+5k +HG/EZ9fLzAH1TLLFOVhfkSvpRyPr7Hypbhh7rk7PXvU0mqrzcSLmisdj8PlA +jOcldS6OqlvG+6uz8HmsZTHejRmUBejGSet2snTcjzh/+bPsIFfF3srC9KC/ +dWOZg84ctn5TlqAXjWIWZAY6si/eXSbRk3esk2V+uvK1dVtZiprqJ+Iu82Gc +kSzCW+qXZW6+UreKc1Jn5Qv1LJqpC/KNOnvMqfqqXM0AXrTOyZH4/DGT6ox8 +pp4e80ct6xTsUk+gNTlirvV+kWsYGL8r5o/a+inZrZ4Y+0DOmHW9X+VaBsWZ +xPxRRz8VH6kn0YZcMf961+Q6Bse7xvyRO2bds9/keobwSswWechLPvJTgIIU +ojBFKEoSxShOCUpSitKUoSzlKE8FKlKJylT3t3+XGxhKk5g36uqn5mP15Dg/ +qlBD7w+5kWExq3Ge1NNPwyfqFrIob8e7yDx04VjshyxJb9pZN5DZ6MTBOPc4 +hzjz2BO+jbvI5vhejD3nUHwHx/zGDLE/Ziy+Q1gdZxV3IeYk7j0b2BH3Kz4D +F1jGprhTMR9x17gUd4H1bI+7HrPIeZayhMUsYiELmM885jKH2bzHrLiDzGQG +0+NeMI2pTIn9YTKTmMiEuAeMZxxjGcNoRjGSEQxnGEMZwmAGMZAB9Gcl69jG +Ho5yjn6sYC1b47zivnCWvmxkJ/s4zkWWs4sDnOQya+K8OJV483/zFk5zNWaG +axzmDH34H42x9Nc= + "]]}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwl03V01mUUB/ANRo5mjJFj5BgwEBEsQkJwI2SKAgY4ESnpFDAAlZaQMoCj +UgYmYYGUYDdhHVHAJAxQSv3c4x+f93vv/Z2zvb/nuW9G/rC8oYkJCQl3+ojM +9PGtHCEfl7fJakxghL6XLMun6iGyFjnqbrIIb6uX0ledxhfqprRR/y1fZQb5 +XGtWgg/Uj1GA+vqD8glGksuFXGF+Wr7GTG6lIFnm38knGUVnmtHW/Ix8nVn0 +I4kG5t/LVYymCxdRiIaeHZKrGUNXmlOYRp4dlmsYG+9LC4qQ7dkRuZZxXM3F +FKWxZz/IdYynO5dQjCae/SifYgJ5XEpxLvDsJ/l03A3XcBnJcZ6e/SyfYWKc +I5fTzvysfIPZcXeUiPMz/0U+yyR60JL25ufkFubQn5Jxfua/yvVM5jpa0cH8 +vNzKXG6nVJyf+VH5HHdxPa250vwf+SYPMoDScabmx+Tz3E3PODOzoryjXhb7 +Qkf9v3Ib8xgY392sJB+ql1Mm7kF/XL7APfTWl+Mzda84c3Ux3lU/zC3qSnwZ +e0WnWHy2i/ncpK7APvWgeH91KT6KXtZkReygrMJ4vtYPl/Xi9xH3L0/IkfLF +OFtZPfH/39m9+htkecbwuf4OWZtJ9I5dkMUZxXvx7jKDiTyiz5eVGcdX+mGy +Lm3VV8VvmR1xRzKdBeqbZSr71YPjntSl+Vi9kn7qqnyjLhd7qv5NvsQUbtSn +sDe+f+ykOpn31Y/G/pGjL8BO9UKGUD722ux3+TJT42/F/pFrXpBd6ofiHEiJ +XTf7Q25gWtxJ7B+dzZN4S72IoVSI/Tf7U27kvnjX2D9SY9c9Oyk3cT99Yreo +SBqVqEwVqlKN6qRTgwxqUova1KEu9cikPlk0oCGNyKYxrfzvU3IzD9A39o0u +5oXYrV4c90cTWpv9JV9heuxq3CddzQuzRz1A1mBJvIusyFgOxHnIOkxmuL6n +LMNoPol7l/8BwhGfPw== + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwNxrVNBAAAAMBnFFZiBAaAihZ3d3fX4I87BPfg7u7Qc8UlFxoeGRYREggE +ooiWW/b4ZZEvrhnng0t2GOCVMzaZp5snjlljhhFiuGOfJSYYpIdY7jlgmUmC +9BJHPAkkkkQyKaSSRjoZZJJFNjnkkkc+BRRSRDEllFJGORVUUkU1NdRSRz0N +NNJEMy200kY7HXTywCErTDFEH8+csM4so3TxyBGrTDPMG+dssUA/L5yywRyf +XLHLDzeM8c4Ff2zzzT8KR1dK + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJwV0mdsVmUYBuDTltKWDqqi2AkFB0MFR3EBgkIFt21FMYpQHDjSgnsQBY1K +HGwViOCWEVEJSEAJamSpTI0bcEVQghIV7LCD6/lx9X7eu+d85/ve85bV1FXW +piRJMsif/8hIS5JPUpPkYvmUHCSb5ZXyOlku/5arqDCnco65p/xNvsXDnGtd +L9fSgwLrr+SL1HIJfXX75XIep97zM3XrzE9zfXwOvXR75FIeiWdRqPtazqeO +S2lwf5Z+vfkZRtE/7qdI/41cwHguo9H1HfQbzM9yAwPoTbH+W/kSE7icJtdn +6zeapzKagZxEif47+TJ3cgX/uz5Hv8k8jTGcx8mU6r+Xr3BX7C/Nrs/Vf2qe +Tk3sP6fQRf+DfJW7qaTF9Xn6z8wzGMtg+tBVv1O+xj1U0er6jvrPzTO5kfPj +PVCm3yVf516qaXN9vn6zeRY3cUHsj26vfJtJnEo33W75BvdxVfS6P+UKniDh +CN0WOZub6Wf9j1zNkNhL69/lO0yO92fdID/kNLpb/yjfjO9ubpX3c5b5oPyA +YeZ2jIh75F9xPuR78QyZUMWT8f5kU7xf+bEcLtOpJsX6SLk1zqt8LvZXtsT+ +y1vkmfLfOE/yfXmhTGNovGf5h3w39s3cJh+NM2ZulB9xOsdZ/yQX8gBnWx+S +a7g6rrE+IFcyhVSO0m2TzzOOijgnun1yGY9xBsfrfpaLeJBrSKOTfrt8gVvj +e1POCfpf5GIeYiTtOFq/Q87httjjeHekc4z/fSHncnvsYewL7ckgkyw6kE0O +ueTRkfw4F7HX8bviu8Xz4nPpzLEUUEgRxZRQShe6UhZnMM5H7Gf87rjX9/pS +zuMOLopzwon6X+USJnJt0B0GM+2NdA== + "]], + Line[{550, 792, 724, 667, 621, 854}], + + Line[{587, 815, 747, 690, 644, 569, 808, 740, 683, 637, 826, + 758, 701, 603, 820, 752, 695, 837, 769, 649, 831, 763, 848, + 706, 842, 774, 853, 551}]}, "Charting`Private`Tag#3"], { + Directive[{ + Opacity[0.2], + Hue[0.67, 0.6, 0.6]}], + Line[{856, 857, 855}], + Line[{860, 859}]}}}], {}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 1.}, {-1.75, 1.75}}, + "Frame" -> {{True, True}, {True, True}}, "AxesOrigin" -> {0, 0}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> Directive[ + Opacity[0], FontFamily -> "Times", FontSize -> 10], + "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxF2Xc41e//B3CzRCEtlFSkSYs08GpoIZ+KjJKdQiGkpJItREm2SqHIStlx +m9n7nGNm73EOZ7wrrd/tur7n/P7qelyX6/R+3+/7fr3u+35uNLe/cJWHi4uL +xs3FtfCvxtXxlpKJi2o9S4SnI0bpiO+4pEOfhCKcKY05FLqBgfa9ioz9JKEO +a52zfc4cYKDhdbIed+S0Icj7b8kZDQbSbGjPipPQha4E7SxdQwaSDZJK/Cdt +CJl1wvluVgz0W8MiwkzOGHjs9whoOjLQpDepwizcDB494x5f9YCB9C2/F4VK +WIKwya0YMT8GqjgumVseawWRo8OtVk8ZaLeMaiZT2hre+a/4Jx/FQAKDnm/0 +5Oxg9bkNjhbJDFTQ39pQrusAiybrYqmZDORwzlLDKPwWfLq7MndrHgN92+V3 +/ImEMxzQKPOtrWSgsFfiJZsv34Zxq9YbUM9AGiIpKsWxLhDkQdr+sJWBcqj1 +SjRpV7D1Eba36WWg4DSxreflHoK3Qr7TZSYDnZBKSJi45g6LzbRsPX4y0MOv +0aKluo9AcX+u0OO/DKS4f+cTw3APaDjtJKIuwESvV/3nGyDhDQrMXzlPJZnI +rSGiQq/AG7T28HRPr2ciPZ9+HpnLPkAqrf2nKMNES1m33AtjfcHOWKUoaAcT +3SWF3Z2Wfgy95Rt+2B1movPPu2y05YIhv2mfiacBE+3UlEmWrAoGq74fejOX +mUiA98bY6LUQ6PV6feysCROZ5P/9iHSfgiVN4/jMVSZate2pgkH4M5AljezJ +cWQiD4FPWx5LhIHqvubMtwFMtP3UM/8shzCokyxVLHzCRK0+9hPdVWGQeNFX +tfYpE8ny7vyg4PIC2hYTGU3hTPT1T4ICqTUcrAckrz94g5+fEa4kHRQFj7tb +BR/nMVHkt3vHs/++BGLqSNOJfiY6us4woffiKzB513ctYpCJJi8p8wukvYLz +q5b4Dw8z0fppV4s0+dcQu/fHu1sTTGRvvX8zV89rcOHt0DCkM5GYZcb7xANv +YMXz9n5bXhYyMIzPmJ1LAFqer+/8JhaidesKvpFMBIvB8Kf5sizkayxwVed4 +IqTc9l/rIsdCnyzsJXPCEqGV27Z5bBsLCdup+rgpJ8GDj7dvp+9moUrPzkuL +HryD9EnJ442qLLQ3dfmidQIpoPUs8oi7HgvVKFSaNuxOAf5QPaF5fRYy/Xi3 +8KFhCthfoy5yMmShJzl9DgMpKbBM9mC8iRELjZekdSed/QAh9emtMuYs9JJ8 +5uOe56kgdyP7/uWbLCT0z8Po1PoMGD8yOfDKk4UirfWNd57MAMFJP6MBLxaS +Je00XW6XAbkOOzw2+rCQWnK7RXdRBsz98W+N82Oh/OTw7FyFTDg4JdjlG8RC +MzrTXzQbsVNP+60LZ6GL7yPqnJZlwd5HMbpX37PQ5vPU8fLAz+Apv/F6aw0L +SbqfOnQt/jOcUnh8d6iWhUTTXgcK5n4GO5/yB4w6FpoX0FE4P/gZXLRW3BRt +ZKHmklyn3gPZELqMJajWykL3dnv8/TGSDW2BHi+su1ioUWTlSoUjuSBZE5kt +NMlCdxoPQiQzHz6eINxLFhFIWCF7pEagAMoIh7KAxQRKeLI76Ne6AogK0jfR +FSBQi9aWTuMTBeDwXfvDyBIC7ahb6bQ5vAC6MkZfcS0jUF8VLSlLuRBCg7Ui +xFcQ6FRponDDvS9Qf0jSkLyeQGs+L+/l5kag8ipR660igYyCfukOr0awPuDk +Tz0lAr2xHKn7uhMB/0YbEcH9BNq5Kj8/0ADBh6Xh9+yUCXTMxfTFqkwEkvzN +RrsPEWh/rH5S2K4S6Pg73xQJBDIzTrryvrcENtqOHeDSIFDO4PH6JpUygBVj +AepXCEQ57TH35FQZXCs5xUvCJtKLV2tdKAMNupuAhTGBlN0OmlVfKwPJzd0F +7iYEyluxiygJLQNjorDmkxmB8tUlN2SNl8H4TY/+RVYE+pI06xQWVg7G189c +vmxHoDKbuLWG0xVwgmezZtMDAn2asLU1JiogWmR9rspDPJ7XDxVacFVCGr9e +czK2j1X7JbuVlVDeZSTk5U6g0+bLo71UKuGmSEbyHg8CNRn6iKcHVYJ9VGe2 +uzeBek7fXMUr/xUiufc79QcQiCWnKpJ2swo05Dz/FIcTSG3wWFXA3SoIdlzR +vSGCQL5xp92ve1VBTYLWVU/s1St1aTJRVaCu6vdIPRKPH7dtU3RFFcTblLdX +RBHobndkiP/aahg4XKGUE0ug3yFMEcuaaohwdF585Q2BeH5+EF27uRZyaqSE +K1MJtLbhGAN21ULv3KS+cBqBFOM7yZYHa+EX9Ze5PvbVM4tj0s/WgkWQddE4 +dnWU+eZjLrXw03jGVyCDQCGHJA5ZV9XCzp3c/iofCbTuvq9FrnUdaL9xXHMn +m0BK56RO9jjVwUslv8o8bG3Zz1u5H9aBOSPpwDy2e/3AjEZoHQxedLW7n0Og +wXWqd3sL6uBf4oO1D3MJlFzECOJfWg8TPVrPXfLx+/4zzdFJr4e0Ce5clSIC +aZ0t8TyRVw/i1hdWuWBbxEj/p1xWD5Nqe9UysJ8q945JUurhKfGXtqGYQBP2 +lyUG/9SDrLwZhRsRKLb/4n2Hsw0QGfO+LL+EQNxlGseeTDfAmWcr83gqCCQu +miLsTjTApa8tYUrYCsZLuh24GmEkg6Z2HfvSfJWT7spGSMt+86se+/PeE4lr +VRohSkpJIqySQFZvQSAlsBEybmt9F68iUL23YuPX7U0wEZTZ8KeGQIdE6nie +KTXBlfe5pxVqCfQ+ykz58pEm8Nm/KdAY2ys9+DXtYhPEa3pZFWMf6hh3FPdo +gv1ja++51eG/3xG3xqa9CaKGnm+bqsfra8Izc9GxZng6nKEV30QgV4XGWttT +zfD2S+dALXamk8RIs1Yz2CRoHmVir/+bIRGj3wxKVGOTk80E+in2zXPXzWaw +0HwjOY6dqaJ80SCyGaJvXHy9sZVA0k+nfiZTm4ESe/veTRKBis3ck7MYzRAv +uHZHMLbxvhWGhT+aYX3T4Yx07FjKobx6nhYgFu3aS8MWlwpwoa1ugcvrImTt +yAQSS9nKUIQW0DZfV2NBIRB/xdVpFNIC+kZ0N4UOvN5e/IypDmsBwStz+Wew +1a890WyJagFa//kGS2xPweyUwbctILnjlnM09t9zfNb8eS3AvFZQydtJoB/f +3oxo9LfAvEv/o0bsqe99veTdrZATFVKg3o3ry7WQMo39rUD6ZhphhP2oXS0J +HW6FB8Zy6s7Yq3PjbiafbIWt/c3L3nYv1K/Lv+8btcKsi7PFX+xoJkVC1r8V +zB9qy6X24PVi6fsn8kkr2DqsFSzF3k1SGlj2vBVs8geKydhfP4W9/x7XClAf +FfMXe87xgnLdp1aYD74lo/0N14+5Bl3HvlaY5ra4N4y9wuzBgbHhVuguW3ub +wO5t3rnOaLIVeCW+HhXoJZBzZuDQCVYrdI7sOL8D+5X9GUcJoTZ4u7j/jD02 +MVMRUrK/DfaXDWVQsUuvODspqbRBfERm8x/soAYZ/ZSjbcDYfrliaR+BNqV5 +rg/TagNNLi/Z7dhnbxxJu2beBp0b0mrMsRMmC2uFg9tAw73iUx22XcR/MjbP +2+B7cGFTO7ay+pBbZWQbvLktVDqEXRsnKH//bRvUBk7I/MKeO2cYMpHXBleX +LZ7e0k+ggj/TY+rFbdCvJf95L7Z3yqMjr8vbwGhK7IIqtjjf+zm9xjYwb9YW +uYCtlkvoVAy1ARd1/KkrtoBlQKr0RBv8XWcj4IXdKrqe343aBu7VwnpB2Fdt +TuTs+dkG8zSG00vsIKkw8VfCJFjG8C4swdar3XJrfgUJLhW4jlRjS98prLko +QQL/MsZIM3ZW8+C9pbIkGK9+Z9OP3em155vrQRJESZh4/8F+s7tSiaxGgu/P +Gyz4Bgh045tB8G51Euyt2yAphM2l/AjGtEmwzztBSBxbbrIxXteSBO3F13l3 +Y8+Gm89nWJOg6Ld6jBJ2wXHigpA9CXYfthA8jH02ToqvzJUEJ3Oe253Adj53 +w2pXCAkSUvnOGWCr/flXHBBGAoF9e94aYS9Oeb5mNIoEDNJ8gyl2NG9hdWwC +CYb1Q1OuY1tmam/8kUwCg2gXoxvYClcGXXUySKBQKDtqj12as2SHYAEJHP4J +erhgB1jEeV1F+PeOGoa6YuuK7ukpqSCBVHyc233s9UUViutqSUCsGVJxxx63 +Nnhyp4kEllGKFA/srNXTI60kEuwSjTvlje1W7q6m0EUCfpMtz3yxhaXe0YaH +Sbie1acGYB/R8/o2NEECT9tW0yBsxxCTukEqCQJ4ztGfYCdUH84fYJCg31Tb +LASbwi3+rv8HCfiUKGlPsQUOM8P6/pAgeMts+zPsQ87Nnr08ZFjRndAXuvB9 +0lIdvi0mg/L074rn2C9H/Y17lpIhZY7LPwy7WfqqVvdyMqjdz9/6ApvH8Oih +rtVkIK/e9W7BiqFSWzvXkuH+SRvecGyrup+rOjaQQTfASXXBkXwU3vbNZCi4 +rHNxwbWqWXPk7WTYpiCmueDfLsF9pF1k0LPPW79ghUybhjZFMuz0025e+H3T +iZOFrQfJ0NTeY7Lg0E0yyS1qZIgvsm1YeL6Ky//Cm4+TQSeEV2LBRFi3d9Np +MtTHpR5beJ+tjbmOjWfx8yjaayy876XFYaYNF8jgGqmze2E8go44aNfrk2Gd +oDlzYfyKXbVU6ozI4FX+Jjx4YT5mbd1ea0YGrZ9SaxbGe9M0n3iNFRmcu7pv +By58/80D/NW2ZLj1dDjrMbavcRHjqwMZROBIox92XkTUQOVtMkTwfa/ywZ5s +vt1UcY8MpT9FX3stzOfjCh/KvMlwtiB46iH2w/uCUaWPyRAcw2OyMJ8ys0d9 +S4LJoNLxM3Nhvq3c+sq8OJIM9jqhs07YJ83czhXFkWHT/eO9Dth3o/XVvrwh +QxIpIuUmds9SUcmCVDL8TDvTZ7Uwv05OL87/SIbamZxTFgvzy72alZtDBsfu +0WCThfk096glu4SMzz/p+foL84My5/+RRIb+fZ88Ti7MD5FGl8xOMuhniwwc +XZgfp1MsM3rJ8G7ghrTqwnwoND+SNk6Gr+RTB/ctfN9Xbd/f/ybD4ZMXB6UW +vm9nxsg7bgrYXQk6tLD+TcWC2pIWUUCiictRbOF7eqtnJIhSwCAPPVy08H2s +s61ey1KgQN1KdAbXl8kjaf5C2ynwPf9Yywj2KfHEFJddFLiwuMe2F5unKoyq +dYgCN8JsFJqwXWWdXX7+R4Gl/b8m0rEpv2wjLS9SQDm93DUJW7HNoqDpEgWC +7oQOxWHPeuj8TbxKgfEg6xML9dKqb6/vBTcKHDzx+9g17Iqc7e+LHlEg8+X8 +2yvYm4I31W71pcDU9dN9OtjfVMSE/z2lwJFlxDBg60bPvfiQRAEpg1D51dhH +L2Ym8rVSYNuYe2Ehrv8vd76vsm+nwLxsZGUG9m/e1xNdPRSo7AtMeYudlxUi +/3GMAq1zW/gDsRVE7bOv/KHA9X0b/upjr6uTr8zZ2g7G5jaLphb625EPw9fd +26H9MT2Zhfvjl4SpmDve7eC3ufHQKLanwE4d38ftwB24J5WCLdycWvr2eTv4 +iyTvzsWWM0l/2fuuHbaGCZW6YOs9+Gig29wOzsdW8c/i/pydn1sPGzvASnvV +iTrc7+9J/fDSlusAkS1D9FzsIx4HDl/Z0QFKmb88ErDrT+cn31PqAO4NsuoP +sIfbC3yzz3SAfBrTQB57JVF0ZIdjBxAWUTV+Xbie7634vLq8A24f1qZuxvsT +K8UNr59VdwBPgmf/UmyD/fcDlzZ2wLJQtwwG3t8cPqRoztPZAc8UKUMl2DzH +EkSo1A4IYE4lGWKHnPe2qZTsBOvVzrHe7QRKcVDf4OzYCUJhdY4leL8V6/ha +iHanEzTemAm+xX7i/JuwftAJBiFB7j7YDnc/N5j4d0JGSz6PBrbyI1k3zZed +4O+3/mYL3r9VhPBRNtV2Qvguq/bONnxeTK8IbNnYBRdU0na0tOD1McM3vXdL +F6hVl63PxC7ZeUIrbGcXbKSbzQVjv06pXKqv3AVMtwtKWtjmSV+f9Gh1QYjw +swOVeL85GlcdMnanC1TurNvzEe9PZ4Lqn/9p6ILGWHPhWw0E+mVDitl2rxtC +k34EPavG823/lWcK7t3gu9NL0xY7lXvUd593N7x26qGqYxtHfr+lGtwN9jFL +hr/j/XdppeTp82+6YU4yy98I22+DGfNubTcMxp0v3vAV77coM1rVkj3wQIxO +DyvH5+Oji/9aFfaA2Zm8Q/L4/HDqzcZrH9f0wifnrKVL8XnnjpTJpgGjPrjA +sw+teovPu9MXhdrP9gP/4/gI8nP8eyKzAhXz/bBdOCjvGT4P8qGYDJnYATja +e65sBp83bRULO5doDsI/iuOPfwYE+nDG/HUxMQhnZvkaC47i8+GUpOho+BCQ +WDnOd7bj+ahzijdMfRhulV7o8RXB56fTPq8+jw7D4+dSXiVMFtr4TaPjrc8I +8E0Vb2d2sNAhZe8wib2j4IUMInnzWchofdNP7rZR8LluIfgoioVYtU8GHTzG +IG2p+ZJrt1m4fxk7X5AdhwiZCteG8yy0ojf7DU/FOJQF8OwRlGch/gceugdv +TQD3uMU1goeFuJKNMwbFJuFy8aH+B51M5Bid8USsbBK+xAeb/khmoqId/gLL +7aZgdHvV4nt3mWi5huNOT+FpCJXxueZ7iol65JvbXL9Mg3asVM4KESbKfAmy +w8YzMLC390YzhYGuZOxfLvV3Bn6eaw8siWGgnEs/hJu5qPAlVE8nJ5qBhBcV +LPXipcIw+fKXD1EMVGKkKjAhQIXVlxUcXkQw0CZB9X+fV1Dhgv8xDcvnDDRq +eX5GaxsVlK2DhWkBDGQneaPmvi4VzFqumHx0ZaCvlfJVu/SpEFk07hR1l4Gk +b9EqBg2p4BYYxetxh4GaqxxLTptQwSbub6D2bQbae9s1d6UNFfJSnnENOTDQ +9ybfxFR3KqgP9K2hXWOgRz7xHj0pVJDweyF19yIDLbuzWMMsjQorUN+yK7oM +FH39pthoBhUeconwHtVhoE+aB9/SPlNh/NG5Dv7z+HmXt1TwICpoS5Yt99Ri +IM2XXALb2qiQgZz2HzzOwOcTk+Dbv6jwpyLlIl2Bgd6+r9Sb/0OF0yq/ljyR +Z6Dd0Tuk3blooAEpGRt3MtCZB98z/PlpECNnsnv/Ngai2F25u0yABr7icU6f +tzCQuWn5kVBBGkQ6W9psk2Og+8dDWmJEaKDn/OcsfRMDLVFiRW0Qo4HgjAr1 +2EYGCpe7bJ64kgYHu9be8ZLG4y1eun37GhoUu6XzfpRioIwlWxjpEjTYSjva +WLWWgVR+BRXuW0cDj/uDVWUSDFQ9TffKW0+DzBNj49FrGOhir4GW6kYaMCkO +rBOrGGiwqXhlmQwNvEyOiX4WYyD7UtlvJ+VocKo/TrtWhIF+ZwUk1m2lgUj5 +JX7dpQzknzB789wOGhglFdytXcxAq8L19pPlaZCwgRL/9i8dyQ0GO7Gwueb6 +xCd//7+1NmvG7J2nc/7eePfL9+Y/6Jzf2xdg+vL2DJ3z/4l+D4+VHKNznqev +ReZT1QCd87x+mSdViR465/0kLvBISZPonPfP9vLrpDbROeMj2H89j1JH54xn +bF3HanI5nTPescLdc4OIzvkedmT5YKKQzvmeYdLfthim0jnf+xtvnIlPAp0z +H2wYKRcjXtM58+WL0tWaxFg6yty+W/O/MSrUqjzOu3Wfjh5aRm5T+UaFOrW1 +rm22dGTpUR+5twOvD4mIYFMLOuoIsWpOI1Nh/XvuxetN6Jz56Cf80I58ic6Z +rz7iUjq5ynTOfJ6+9+tg9GY6Z75/nZXWs15H56wHy3SuB9Vr6Jz1IjbUum7T +CjpnPRWF52WcLpnjrDdzXY2C0bA5znrckxY7UvtwjrNe75n+F5DgNMdZz0Gu ++X5CdnOc9V7ukPbF7vocpx6YXv9w6dvSOU69EM5oshHuneXUk4Mh1/Wdv85y +6k0eeZdpbMEspx4VWSzqTfs8y6lXxUfdSorSZzn1bEhPun1JJJVT7zLkddY8 +Ik9x6uHsubjE/aITnHr5md8ruC1rlFNPReNHpkMvDXPq7Yttez7myw5y6rFN +vGiUn2o/p15fW71IzSaph1PP5Z+9UOM/18mp9+62KDZFjMLpB2Pchb07yls5 +/aLydJSRw4cmTj85+s2Pr/Z1DaffUBSe6PhDBacftYslJQ91FHH6lY9iVMKx +8ixOPytKN498GhPP6XdfRgO4XvDdA3Y/nN5+RKpb4D2w+6VHw7zSF9tsYPdT +rtXy6bI6CNj91nENM/bE1gpg9+PNVdad4enVwO7XHUnHWw7fbQD2fiF5b//n ++1PNwN6vfDzOc3RUtQ3Y+68NfK17x8PJwN5PRt+W4n5W3A7s/fiWzryh20Jd +wD6vnqibmby+rQfY9yGjzlP7Htv1Avt+qU+PXthn0g/s+7JQ7lOa6Y4DwL7/ +W2ZywFYhZhDY95kT4frDfBlDwL6fTaKXqNbXDQP7fhipkVPauUeBfT8tPnIp +PmvzGLDvx21LTr2qtxoH9v287vKYZ5fyJ4CdD8is25MX+G8S2PlEfZWWmtet +aWDnI+/601THB2aAncdYKB4/55hKBXZeYzh/K6pgNQ3YeY7/ePuvK2dpwM57 +mLMH9SSscR/5Xx5UPz3VJnaHBuy8SJJVk7P6IQ3YeVLJC3V7aR/cZ/6XX9Xl +yTyvODoL7HxLV0Loz0u/WWDnXwrPE2cyU2eBnY+5GJxI70OzwM7PSo1SpRJr +ZoGdr32Ik+MNa5wFdv72Qk3rbGDbLLDzvfgvdgc0N84BO//LrHCok9OaA3Y+ +6Hxhj96U+Ryw80OeP1q1n+zmgJ0vPpjYqPDZeQ7Y+eOQh9HD6ntzwM4zq/m4 +Hn5JnwN23rlK+r/1gXVzwM5D3+nOo5GuOWDnpaOLEp92D84BO0+lOHxwWTw1 +B+w8ds0qk+YzwnRg57Xxi/hcpjfSgZ3nxmQGSNvspAM7772RnlPqrEwHdj48 +rRVKf/8fHdj58dibWGeFK3Rg58ugkR4jdIMO7Pz54NYv0fX36cDOp0s27mnx +fEoHdn5d4T3GN5tMB3a+LeMmse/1CB3+DzBu7NY= + "]]}}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwV13k4lN8XAHBrSaK0IaSSNqSylNRRKSX5tVgjskQoZCupZE3Wwteu7EUx +WuzlWiPrGDNjzZaEmEnvzNuu352/5vk8zzz3ue895557zgY797OXBPj4+G7y +8/Hxfg0uTXXXTpscHFoiPps0+Q0JHZHxGJFWhxN1adpxCgTa8zg5/ZW0Hqzz +Lg09sZdAE7KKgdeVjCAqZKH2hAGBTnb0vsyQNoaBXKOXxhYEUoySy/u33gJK +2sQr/R0J9MfAPslWyRoE3HeJnPQk0EwIvdE20RbuPuSfWn2bQGYO39/GSTuA +uM21NMl7BGo8IlPekO4IyZMTNMcHBFLbdKCEs94ZnoSv/KeSQiCR8aBsUyU3 +WHNawdO+gEBVo7SOBmMPWDTTls4qIZDHaQcDq8Rr8OrGqvKtFQT6sPPekWhp +b9hrUB/W2kSghMdStZstfWDKkXYF2glkIFGoU5PuC1GB9O13aAQqY7VrsNf7 +gWuouLvLMIFiiiS3nlG6AyGqlV6WHAIdlcvNnXYKgMW2hq6BPwl0513q8jrj +u6CuWb70/gKB1DWVoy0SA6HjuJeEnggHZa7+X1iEdAiocn6XPZDhIP+OpEbT +qhAw3CUwOCvPQaahowKbLEOBXtf6T30TB4lxrwVUp4eBm7XO26gdHHSDnnBj +dv19GG5Q+OG2n4POxA+4GCnFQGXXHpsgcw5SPrmpQKY5BhxHfpjOWXKQiOCV +z5NOsTAcnHn4lA0H2VQuvEDGD8CBbXBk7hIHrd72QNU88SEo0j/tKvPkoECR +V1vuSyfAgT3UkpwIDtqu/zD8pUcCtMnUqVdHcxAt1H16sDkB8kzCDrQ+4CBF +QeVnqr7/Qc9iktKVyEHv/uaq0mmJ4Dwmc/l2Nt4/kaixPioF7g/SRO9XcFDy +h5tHShceAflFt+voKAcdkrXIHTZ5DDZPRpySxjlo5ryWsEjRYzizekn4xAQH +yc/62RepZEL67h9Prk1zkLuz5ma+oUzwFewzsPjGQZIOlKd5e7NhZXzvqKsg +F5lbZFG+zucCuyIs7NdGLmIPGotmy+SB/Xjig0pFLgqzFrl07kgeFPqEr/NV +4qJX9u4yZQl5QON3pX7exkXibgdC/bXy4fYLH59iNS5qCuo/v+j2EyiekTnS +eYCLdj9fsUhWpBAMHybrBphy0XvVposdaoUgHGe69JcZF118caP6jkUhuDux +FnlZcFF02YjHWGEhLFPcl2VjxUVTtUWD+aeeQWx7MW2THRc9Ypx4sSv+OShd +Kb1leZWLlv4LtNKXp8CU7szY4yAuSnY2s1Y+RgHRmXtWY8FcpEhXvrjCjQLl +HjsCN4Ry0cGCXvvBtxSY/xtOy7jHRZUFiaXlqiWw74voQFgUF82dm31zshP7 ++fF7solcZPI0qc1r2UvYfTfN+NJTLtp8hjXVEPkaglQ2XKa95yKZAH1tp6zX +oK96/8bHVi5aXpQZKVr+GtxCG24TbVz0S+Sc6pnx1+BruPLq8k4uotaWew3v +LYW4ZVzRgzQuuqkWuPDjUyn0RAb+5zzARZ0Sq1ap6paDzPvk0qUzXHS9cx8k +cyrhxVEyoHYRicRVSz+9F6mCetKjPmIxiXKj1aJ+y1ZBSpSZjbEIiboNt/Rb +H60Cj+9Gzz4tIdGOtlVemxOrYIAy+ZhvGYlGmtn5L7WqIS7GMElqJYn06/LE +O26+gXZtGQuGPInWvl4xzM+PQOdxnmGOOomson4bT6xBIB9x7KepBomyHT61 +vVNGILzBRUJUk0TKqysrI80RPBNLvOmmRaLDvhf/W12CQEaYaqWmTSLNdLP8 +hJ210LfwqysZSGRrnX/h6XAtbHD9vJfPgERl40fau3TqAVZ+jtC7QCLm8cD5 +aP16cKrVF6Rjk8U1awzP1oPBN38Re2sSafnvs21xqgeZzYNVATYkqli5k6yN +qwdrsvr9K1sSVerJKLycqoepq4GjixxJ9Cb/q1dCQgNYXz5haelGonqXjHUW +s41wVGDzya7bJHo17epqTTZCqoR8uc4dfJ6Xtavt+ZqgSNiUWoAd6th73m1V +EzQMWC0NDiDRcbsVqcE6TXBVglKwK5BEXRahUsVRTeCe0l8aEEKioeNXVwuq +vINkfk2v0QgScZUOSBRdbQYDpaC/NYkkOjh+uDniRjPEeK4cVEgiUVjG8YDL +wc3wPtfwUhD2mlXG7E0pzaB34N5dvWR8fvyuXamNzZDl0tDbmEKiG4PJseHr +WmBsf6NGWTqJ/sRyJBzet0CSp/fiC9kkEvj5bPm6za1Q9l5OvOk5idZ1HCZg +ZysMz8+YiReRSD2rn+GwrxV+s37bmWFfOrE4rfhUK9hHOb+dwm5Jsdt82LcV +flrPhYlQSBSrLa3t3NwKysr84TovSCR7K8y+3LkNjLI9114vJZHGabljQ15t +8EjjXlMFtpHi6638d9rAjsjf+ws7oH1sziCuDcZN/NxulZFoXPbAjeGqNviX +d3vdnXISFbwlooTF2mF6yDDetxJ/77+LZeeK26Fomr9c5y2JDE/VBh2taAcp +57OrfbHt09b/T6u+HWYO7j5IwX6gNfxZhtkOD8gFtkINiabdLaXH/7aDooot +kx+RKH3U5JbHqQ5ITntaX1lLIv56g8PRsx1w4uGqCoFGEkktLxQPIDvg/Lvu +BA1sVeslgx58nfCJwj54Gfv8r2Yv41WdUFSa/bsd+/Xuo3nrdDohRU5DOqGJ +RI45IFIY2QkUH8PvUs0kag9R73y3vQumo0o6/r4nkbZEm8BDjS648LT8uGor +iZ6m2GpZ6nZBqObGSGvs4OKYTLZJF2SdDHaswdbum/KUCuwCzc/rbvq34f/v +yFjr0tsFKR/jt31px/drOqhk0WEqPJigGGZ1kchPtbPVVZ8KOW/6x1qxS7yk +P1ENqeCSe/IQB1t+gSKdZkYFDZa1zTEqiX5KfgjaeZUK9iezZaawS3S0TMyT +qZB6xSRzA41E6x98+VnAogIz3efmVTqJamwDCl4SVMgSXbcjBtt6z0qL6h9U +kO/aTynGTmdqV7QLdAO5aOduNraUXIQve003WMomKboxSCRZuJVQh24wspN9 +b88kkXDjpVkU2w1mVt/8VfvwffvvZ1pLQjeIXpivPIGt5xR9sjulG9ijZzoc +sINESwvHc7pBZsc171TshdNCzsIV3cBxqmoS7CfRjw/ZnwxGu+GX7+jdTuwv +30eGGWo0KEuJrdIbxPXFKbbeQJMG9A8Xk6yw7/YezEf7aXDbWknPG3tNecbV +gmM02DpKXZYzyKtfln9uWdHgq6+3/QJ2KocprRhOA7s7RkrPh/B9cQj7mxxN +A1ePdaJ12Gp0jbFl8TRwqRyrYWC/e5Xw9HsGDaA9JW0Be97zrFbbKxr8irm2 +yegDrh/zHcaeIzSY5be/OYG90vb23s8TNBisX+dDYg9TlWWtZmggKP3ukMgw +ibxLIj8e5dKg/9OOMzuwH7uf8JRe2gM5i0dPuGOTc42xtZo9oFn/kcLCrrvg +7aWh0wNZSSXUv9hRHZvMCg/1ALHdslFshEQbi4LkEwx74CRfsOJ27FNXdIuc +7HqgX6HovR127kx1q3hMDxgENL5qw3ZL+t8ml/ge+B5T3dWLraX30b8puQey +fZbWfcRuzRBVuZXTA62R05t+Y8+ftoidruiBS8sWz24ZJVHV39nPejU9MGqo +8no3dkjhXd3Mhh6w+iJ59gC2lNDTedPOHrCjGkmcxT5YTp5r/NgDfKypB37Y +Ig4Rz9dP98CCrItIMDZtubywP6sHAlrETaOwL7kcLdv1swd+sQmvR9hRcglS +j8XpsIwIqa7FNm3dcu3XSjqcr/L71IK9/nr1exNpOoTXE5+o2C+p4zfFFOkw +1fLEZRS7P3jXB799dEiRtgn5i52t1qTBOEiH7/Ed9kJjJLrywTxGTY8Ou9sU +ZJZi82ndhc9GdNgTkrtUCltppjPL2IEOvTWXBdWwvyba/aI40+HtH700Deyq +I+TZpe50UNtvL7of+1SGnFC9Hx2OlcW7HcX2Pn3FcWcsHXKfC502xz74919N +RAIdRPbsyrHCXlwYv3YyhQ4E/VfHRexUweqW9Fw6TJjFFV7Gdigx2vCjgA7m +qb5WV7BVL4z7naPQQbVacdIdu65syQ7RKjp4/BMN9MWOsM8IvoTweocs4vyw +jZfvGqptpINcVob/LWz5t43qsq10INd+1AnAnnI2j77eRQeHFHVmIPbLNbOf +aHQ67FyeoR+C7d8QcFB1gA7CNlsehmGLyz1hT0zQcT1rfx6BrWsa/OHjNB2C +XGkXo7A9Y23axll0iBA4/S0aO7dlf+UYQYfRi0a2sdhMfqknoz/oIKTBLHqA +LbKfkzDylw4xW772PsTW9qYGDQswYOVg7kgcLz5Fzz0+LGaA1uyfxnjsR5Ph +1kNiDCic5wtPwKauv2Q4uIIBB29Vbv0PW8DikPbAGgYw1ux8wrN6nNzW/nUM +uHXMRTAR27Ht5+o+BQYYR3gd4DlZiCnYu5kBVZbnTHhuPfBynrGdAdtUJU/y +/Mc3ZoS+kwGm7hXyPKuWuHT0qDNA+Z4Rlbf+xelj1bR9DOjqHbLhOW7jpoLu +gwzIeuvawdtfo+W/ROoRBpyLFZTmmUwYDOk6zoD2jOeHed+ztbPcs/MU3o+6 +uwHve88vTrjYcZYBfsnn1HjnEaXrYdRuxgBZUTsO7/xq/Ax12qwYENyQnRjD +y8eXW7e32jLA8KfcWt55b5wVknrvyADvgUGfSF78N48Jt7gy4NqDiZf3scOs +3xLvPBggAbqd97ArklLGmnwYkCT0vTkUe4bq09V4kwF1P5dnBvPy+Yjqs/oQ +BpyqivlyB/vOLdGUuvsMiEkTsOHlU0npZFhtDAN0+n6W8PJt1dbHdjXJDHA/ +F/fVC/uYrf/ptxkM2HjryLAH9o1Us4NvshmQT08qvIo9JLZcpuo5A34WnRhx +5OXXsdnFlS8Y0DpXpm/Py6+AFm55GQM8BydjbHj5NH+3u7SWgeef4kozXn4w +58Nf0BkwuudV4DFefkh0+pb0M8CsVGLsEC8/jhc6UIYZ8GTsyvoDvHyottMt +mmLAO4b+vj28+D7u+f70DwP2HzMZl+PFt5/y6Qk/E9wuRGnz7v9Fyaie/EVM +kO7i85TkxTNEj5K7nAnmFejOIl58nEsdMxWZUKXnuHwO15cZ3aLwpduZ8L3y +cPcnbH2pvELfnUw4u3jIdRhboDmBZajNhCsJLqpd2H6K3r4//8cEsdHf08XY +zN+uyQ4mTNAqbvDLx1bvsa/qOs+EqOtxHzOwvwaeW8i7xISpKOejvHrpOLI7 +7Kw/E/Yd/XPYCbuxbPvTt3eZUPLoV84F7I0xG1u3hjHhy+XjI+ewP+hIiv97 +wATdZeQEYBunzv/3LJ8JcuZxKmuwD5mU5AnRmLDtc0B1Na7/j5SfNrv3MuGX +YnITBfuPYOb0wBATmkYiC3OwK17Gqrz4zATa/BbhSGzV5e6lF/4y4fIehQUz +bNk2laayrb1gbeey6AvvfdN9NnE5oBd6738r4OL38U3ul7TrIb1wb3On9iR2 +kIjyubD7vcAfues5E1uc+rwuJ74XwiUK1MqxlWyKHw0/6YWtCUvrfLFNb78w +N6b2gvfh1cJf8ftcWlneDhv6wNFo9dE2/N7flPsRbKTUBxJbPn4rx9YN3Lv/ +wo4+0Cj5HZiL3X68suCmRh/wKyjq3cae6K0KKz3RBypFHHMV7FXkW90dnn1A +2qe8vzeA6/nuxtdrGvrAZ78RazPuTxzVFTIftvSBQG7QqBi2ueatSLHOPlgW +508hcH+zX1vdTqC/Dx6qMz/WYgsczpVgsfoggvMl3wI79kyIS5NMPziv8U4P +6SVRoYeegrdnPyxNaPOsxf1WumfmUvb1fjDIthXNwY72/kM63+4H89iogFBs +jxuvO2zC+4HSXSlggK11V9H/5KN+CL8nf7Ub92+NsULMja39kLjTsbe/B8+L +xY2R3RsG4KxO0Y7ubnw/5oRmd28ZgIMt9fIl2LXKRw0TlAdgwzfb+RjszMIm +MTOtAeD4n9UwxLbLfxc9ZDgAseIP9zbhfnMyoyX28/UB0Lkuu+sF7k/notrj +/3YMQGe6nfi1DhL9dqGnbbs5CHH5P6IetuB807zwUDVgEMKUg0+6Yj/nnwzb +EzIImV5DLD1s6+Tv1w7EDIJ72pKJ77j/rmuSOX4mexDmZV6GW2HfU7Dl3Ggd +hPGMMzUK73C/xZwzbJEZgtuS374lNOD5+NDiBcfqIbA9UaGtgucH/ewNTi/W +DsMr75diYnjeuS5ns3HMagTOCuxBq3PwvDtrsrT31CgI389KYsTj9SS+ijT+ +GoXt4lEVD/E8KITSKJvSx+DQ8On6OTxvuqpX9y85OQ7/mJ4//pmT6NkJu8wa +chxOfBXqrDqE58MvMssnEz8CnVvmfX07zsdz+oIJehNwre7sUJgEnp+Ohz5+ +PTkB9+Plgms5XLThg0FfTugnEPpSs53Tx0XaWiEJ0rsnIRiZJwtWcpGVfNdP +/p5JCL1sL3o3hYu4rdHjHoGfoUjMbomTDxe/X9beZxWnIGlTo1/HGS5aOVya +LdA4BfURArtEVbhI+Hag8b5r08A/Ze9ECnARX4E1ZVxyBixrtEdv93OQZyol +WrJ+Bt5kxVz8UcBBb3eEi6xw+wKT25sX37zBQSsMPJWDxGchblOoU5g+Bw2p +UHv83syCUbpc2UoJDip5BIoT1nMwtnv4CpVJoAsUzRVyC3Pw83RvZG0agcrO +/xCn8rHgTZzpubJUAokvqhILFmTBBMPyzbMUAtVaHRCZFmHBGktVj/+SCLRR +VO/f65UsOBt+2MAhnkCTDmfmDLexQMs5RpwdQSA3mSvvbxmzwLb7gs0LPwK9 +a1Jp3mnGguS3U14pNwi0/hq7cdyCBf6RKYKB1wlEbfasPW7DApeMhUgjHwLt +9vErX+XCgorCh3wfPQj0vSss73kAC/TGRtaynQh0NzQrcKiQBdL3/pO7YUKg +ZdcXG9gWsWAlGll2wZhAqZevSk5SWHCHT0Lw0DkCvTq5L4f9mgVTd0/3CZ/B ++13R3SiAWGAkU78iyJBAJx/xiWzrYQEFeWnuO0Lg+cQmxuc3C/42Fpp8UyVQ +ztMm019/WXBc5/eSaBUCqaXuWB/AxwYDKKRsUCbQidvfKeHCbEhTslHT3EYg +ptuFG8tE2BAmleH1eguB7C426MaJsiHZ28FlmxKBbh2J7U6TYIOp999T3zYS +aIkGN0VBkg2iczqswxsIlKhkaZe3ig37BtZdD16Pz1uqbvv2tWyo8S8WfCFH +IMqSLUSxNBu2sg91Nq8jkM7vqOo9smwIvDXeXC9NoJbZb8EV8mwoOfp5KnUt +gUyGzQ0PbGADh+nBPbqaQONdNavqN7Eh2Obw8teSBHKvU/xwTIkN+qMZRq0S +BPrzMiKvbSsbJBrOCxuLESg89+vV0zvYYJVfdaN1MYFWJ5pqMlTYkKvAzMpZ ++IaUxmO8uNh88yNSM3++of8DC5If+g== + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVjnk81PkDh5GktBMqGmFWmFJR6Vq78d5+ahXaDtKSkNSKEpYOFauaSKVr +yDGJMh2OGblS6KNMKZQrIWeDQcb3O/MttWmr7ffH83pez3+Pie++TTvVVFRU +wr/zfzvuHGyoGNpsZ3qYvTi9n4G6vUFwN3sJJCcG1BW3GSy+miQoYK9Chcmi +hmPnGfQZmkUf4P4OmzllKbVHGDg9b8m/wnYFHEWpWnsYmJ0xEn7juGPgmiDM +ahuDfx13XN7O9YLc+SJzaz2DLX4fyy+y/bBHVPwwbDkDib3B3UrBLqTmxXEC +5jNYaGqb956zGxka6vvlJgw0pceuuXGDoD/du34ti0Hnghj7s+wwvArO3j9h +WAn+1RkV5lvDIdMQnm+XKuE4JWvFA8F+3HQdI/2vlSimapfSnEOYzllvfLpG +ifhc3TkbuZF4qq4SWSZSIn36+pNx7BPojfaMfBqhxOHnlyVu90/g6JCJVWGY +Em68HjXTrTyofXGuLghSYvJoSFSp4CTCNi1yG/ZV4uBL/kE55xTyJME1XGcl +Nl56HfA7Nx4ZZUE/OZkoEa1ZMPsUm48EO+d1p5sUmOtwITY/mI/sK9xx/BcK +NPL2DbVX8fHQM8dI+EwBs3Hzs632J2D/H6tF3USBJ18yrV42JsLqknAkL0eB +ye8Sl3LOJMOVrfUlLUaBpM4I+6KvaagpMb0kWanAH+4ZYoUyExUJq/ZxeDTo +dtdJ1wyEMBh9VqwXSeOkl+ZOF3shauXDTboHaBTs2GdQzBfivcLGjb2bBivI +lnd4+Q3EDrZ83raOxuNjbR4aR2/CfSwk+b4eDescHQ1DzSzsWGK/ITSHgta3 +aE8HYzFu9uTaDr4ZgflGarDydCFqq5ztjofIceCFDZLe34Op4aKS09/eQr9Q +p0tVlcBVJ/WCx70hFEvta+tWPEJghcPV2l2DeBRwZaa7XIIZ/R4Z+eYDGOXa +TsndWwVi15zVoiqD2qds7Znm1bjBVNjW1vRh2TefYhdRLYYSt/Spi3tRe2LJ +iydz6/CD90+BVqlScM4Pf7pN1eOiqoOTKPQNhj92dzUvbES3G1Pa7d2DzLel +1az4JsjChhefCuoCy+gm3df3EqtrRt76W3TgzO6iXelmrzC7raQ3XOs1Pvya +3ecf1YKUcCPVCw9aEGYtKdSrbMWP6o3Wg4nN6BZJTjeYvMYde7WVMtsmfA54 +mWoR0Y7b1j2FR4brMW/lhK+7SjvQesO+4ZeDz+FwzeTPO/pdMK/a3ZYoeooD +Rt6z3nh2I1T/vWD1HAnmyzdrtazrgYqepcjMhWDqFIWmZKwH0c/HlpYFFkGd +pIpNBW8gn/urUbvmLQQuKW2b6CRFmSxOJUE9AtlrfdMffJCiXOSbdD41g4wO +G2jLEnvBW5Kc+b/KfFLk4jCOv6oPLbo3bve2lpOoNbyrhbI+vLI66xILCTHp +dGy9zuvHys4Y9er0Z+Tn5Sf4bGsZHq9J9gzOriOexnWfVJtkGFAt7ZpX2UhG +q89Kg6MHEBVIBFm6r0iSulfYJrNBWF5IsBu/oY1M7Sq6piYZxJ96GnYBNzrI ++KPRrjYhQwjI0E6Ose0hKre9xFLdt0iwWHTnnpmUhKaIz+o+egvtjH75RY8+ +Uj4vVlMnaBiF44/HN+XLiI5j6PxjLDkUG64Il2kPkQ7L+qZDZXKILV30/24e +JnlpMOvzGkGvG6dlYhJFtomX6Rh9HcGDlYcrykUKUuzxD6tehUL5Do2u3EIF +YWncn3x8HIWS5gU+gvsKUuFpqzmkScHmnP+WsCcKMmvSqm+FUymwxHUBrC4F +kfltHHG2oODjn+3ROVlJggz2PDviSqEyOLcsyF9Jnjy2rFqwhcKZQ/ditIKU +hBNCS6TuFCJ81sdl/qUk9VWhFWu8KSzKFfRXRyqJdfihu9MCKPi6Ot6X8ZXk +Y91JYU7U97/EEvGaCiX5m5cR3ZFFQbe30XDWVIb8cGCC4/ZcCn4ilaNP9RmS +4r9XVyam8ETBcdttyJACJ5vrdCEFecRnmxRzhsh0GiRqhAJvhpHL3eUMcUpT +0bRoohDDigxq9mBI67ld9bnNFIxvqU4w9maIX3RtknUrhe3sy/E+OxgS6Zdk +saKTQo3dzENNgQzJm7vQaf0AheoVp0pCjjBE7653fPhnCmVLdz4TChhy/dZj +t7EvFALeZW2+nM6QhSnzOFEqNDrHXfHmZTJk7dGP4tjxNPicztnuOQw5Yn+u +IXUKjaBmy/gPpQyZuHQ0+UddGgJWu1JKGJLI3eornPa9a1r1misZIp44+52I +TWNSj3/JqxqGrPh8pnSxIY2i4zFtVB1DnsqZ4yXGNNib1Iw4LxkirXsw7ZEp +jZi832w/dDBk30Ozzt+4NLobTAuq3jDk3/w4Yc0cGtofEwUGAwyJzVTs3TCP +xuI4n7TwEYZMT3Rb1mxJw2th2i3ffxjClcb/Nfq9nc2dUq3HGPIf3a3Oig== - "]]}, - Annotation[#, "Charting`Private`Tag$63378#1"]& ], - TagBox[ - {RGBColor[1, 0, 0], AbsoluteThickness[1.6], Opacity[1.], - LineBox[CompressedData[" -1:eJwNxkVWQgEAAMDvUbySR3DlSk9iC9iFivgwsLsFW7G7u4u9s5j3Jje/KK8w -JwiCAorllh3+WOSbK8b44JwtkrxywjpzJHjikBWmGaaEO3ZZYpx+uinlnj1S -TDBAD2WUU0ElVYQIE6GaGmqpo54GGmmimRZaidJGOx3E6CTOA/ukmWSQXp45 -YpUZRujikQOWmWKIN07ZYJ4+XjhmjVk+uWCbUd45Y5Mfrlngi0uyZPjlhn8G -dlEC - "]]}, - Annotation[#, "Charting`Private`Tag$63378#2"]& ], - TagBox[ - {GrayLevel[0], AbsoluteThickness[1.6], Opacity[1.], - LineBox[CompressedData[" -1:eJwV0nWIFUEAwOG9MM4OLCzOwE6wC2wFu8D2wJY7xS6wFWwxMDGwC2wFWwxM -DCws7ALzzrO/+ePjN/Pe7nu7M5OYlNIxOSaKonRSDZ7HRtFmEuOiaKTm07ta -Rttogl7WlRQ2fqQ1+MVx5tKfXL67qespyyf2MYXuNCbeNed1Kcmk+f8XuoVR -tKUmvznBPAZQjs/sZyo9aMIP97/UrYymHbX4w0nmM5DyfOEA0+hJU9Ld/0q3 -MYb21OYvp1jAICrwlYNMpxfN+On+17qdsXSgDv84zUIGU5FvHGIGvWlOButx -QZeREtbU773RHYyjI1lcc0VXUZfI/IwuYgiV+M5hZtKH7K65rmtpQUbzi7qc -QsYPdTh5jG/rRoobPwtr7xne6k7Gk9/n97QTWY2vaildrUX0cdgfracxelZL -6mItqA/CedChmltvaWndoMX0adgfraypHKGE+SwtoPe1LzmMb+g6iho/0ZZk -Mr6kKxhBXvM7uimcA+/yTncxgc5k8/01XUN9Ys3P6RKGUYU0jjKbfrQKZ8Jv -vdfdTKQLDagaziHHmEMSrcMZcP0H3cMkutKQamEP+cheJtONRlQPaxieiTji -wxkJ+xfek8wkhDMR9iK8S9jrsDbkJFdY47CvYR3Cs3m3/xB1eu4= - "]], - LineBox[{532, 533, 534, 535, 536, 537, 538, 539, 540, 541, 542, - 812}], LineBox[{543, 544, 545, 546, 547, 548, 549, 750, 688, 638, - 600, 576, 563, 761, 699, 649, 611, 587, 550, 751, 689, 639, 601, - 577, 771, 709, 659, 621, 564, 762, 700, 650, 612, 783, 721, 671, - 588, 776, 714, 664, 794, 732, 626, 788, 726, 806, 676, 800, 738, - 811, 551}]}, - Annotation[#, "Charting`Private`Tag$63378#3"]& ], - {Hue[0.67, 0.6, 0.6], Opacity[0.2], LineBox[{814, 815, 813}], - LineBox[{818, 817}]}}}], {}}, - AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], - Axes->{True, True}, - AxesLabel->{None, None}, - AxesOrigin->{0, 0}, - DisplayFunction->Identity, - Epilog->InsetBox[ - FormBox[ - StyleBox[ - "\"\\!\\(\\*StyleBox[\\\"s\\\",FontSlant->\\\"Italic\\\"]\\) = 5\"", - Directive[ - GrayLevel[0], FontFamily -> "Times", FontSize -> 10], StripOnInput -> - False], TraditionalForm], {0.8, 1}], - Frame->{{True, True}, {True, True}}, - FrameLabel->{{ - FormBox[ - TagBox[ - "\"\\!\\(\\*StyleBox[\\\"E\\\",FontSlant->\\\"Italic\\\"]\\)\"", - HoldForm], TraditionalForm], None}, { - FormBox[ - TagBox[ - StyleBox["\"\[Lambda]\"", - Opacity[0], StripOnInput -> False], HoldForm], TraditionalForm], - None}}, - FrameStyle->GrayLevel[0], - FrameTicks->{{Automatic, Automatic}, {Automatic, Automatic}}, - FrameTicksStyle->{{{}, {}}, {FontOpacity -> 0, {}}}, - GridLines->{None, None}, - GridLinesStyle->Directive[ - GrayLevel[0.5, 0.4]], - ImagePadding->{{45, 10}, {Automatic, 3}}, - ImageSize->{Automatic, 110}, - LabelStyle->Directive[ - GrayLevel[0], FontFamily -> "Times", FontSize -> 10], - Method->{ - "DefaultBoundaryStyle" -> Automatic, - "DefaultGraphicsInteraction" -> { - "Version" -> 1.2, "TrackMousePosition" -> {True, False}, - "Effects" -> { - "Highlight" -> {"ratio" -> 2}, "HighlightPoint" -> {"ratio" -> 2}, - "Droplines" -> { - "freeformCursorMode" -> True, - "placement" -> {"x" -> "All", "y" -> "None"}}}}, - "DefaultMeshStyle" -> AbsolutePointSize[6], "ScalingFunctions" -> None, - "CoordinatesToolOptions" -> {"DisplayFunction" -> ({ - (Identity[#]& )[ - Part[#, 1]], - (Identity[#]& )[ - Part[#, 2]]}& ), "CopiedValueFunction" -> ({ - (Identity[#]& )[ - Part[#, 1]], - (Identity[#]& )[ - Part[#, 2]]}& )}, "AxesInFront" -> True}, - PlotRange->{{0., 1.}, {-1.75, 1.75}}, - PlotRangeClipping->True, - PlotRangePadding->{{0, 0}, {0, 0}}, - Ticks->{Automatic, Automatic}], ",", - GraphicsBox[{GraphicsComplexBox[CompressedData[" -1:eJx1unk81N/7/49U0mJN2ZJIiyVJtsolUYlEESq7iBZSoVIhSkUUCUkSEmWX -UjoIY9+NfU8oY5iZ57TzO26374zf7f25vf6a2/N25nme51znnOtc13V/SDu4 -Hz7JxcHB4bqAg2Pu98DJsabicXPtKPOgf+jTNPLnyd1wRzQS9GyP7V7pSEeW -Vs8zp6aTQOnPkh1Zv+ho6az/iX1rMmGSt0q13IKB1ptOjn2+lwcBdu8EYp4y -kHe9JkQz3oNgx43B3Z0MtCpPoI+TE0Fs66Gj8osI9HZoT23DzlKw6Ex3+K1I -oFK3p+JWE2VQ9y2jnH6QQITcLr43Z0kws5R07qEzgbh+pfOLr6+G0tqmXb6+ -BFKbtXt7JKMWfj9VyhYIJVBtoGp9xeYG2JsZ8F02lkBS4d9/vZpshOXQfnFT -MoG+/+jva1NuhvM9AGWvCZT07UP1ivst0FcU+ME6h0ArJF9Sv3xphVkar7db -PoFCXPOdE2TJsKHX8ab2WwIxddK/nLrRDqa9PxaK4vaLKmV5Ip874Fy52zUt -/H5/Rtm9JukumN07tmrvGwL9cWt9sulKN1zuTFyVlEIg+d2LZ5w/9EBBoQGD -Fk+gfYnSLtmr+uDVkmz6kkcE8pa0XTd4oh9aQtvfWt8lkMKE+dL2gwMQGBvj -//Q6gYT4pnjKfg+AqfAlN28PAnGjJ5kycYOQlnq84Lc9gU6rfuhcYjgE2kpp -u8ZMCJRu4JDwiTkEFo6nl1dqY3t+F+P/GjUMinZpXukKBMo/sm9BpN4XqHIX -GX+3ikA39gc9y/v6BcSHV7yc5iKQdO+BjhdBI7Cjizf2DIWBtNQDI0VVvoKQ -vatGRSsDnVjT8Iuz5StcN9hhcvcjAxHVoUMe/qNAtuJTHUlgoGhum4uHZcdg -5L5nXEkQAwn15SdyleHnpQrrel0ZaOE1fzPN8+OgT7tcLHaAgThe2WQOCX6D -xyEW939sZiDP2MxQwdJv8MNqbPjiYgYqkg/mETj3HeLkLMofDdGRwAFPhYAV -EyDlxRRe+omOehQbWy5/nADDjd2jqhF0lBUPsl9sKLDMXyPw4Uk6ss5UE5Cc -oYAFWUNrmQgd+QU99+9Jm4SA3UJydBk6Slh56NZd0UB4R7om+4pOQ9G9V/bk -z8RDwbEn6j0FdKTyWmCRBE8aHM3n3H1KgYHMUx/XXFieA/HuGwPafBmonk9Y -WEmnAMRanh/eWMRA+0qSV9Rd+QgmulSPlikGsrdJsU7tKwYO0wDzVeIE+pgy -dSEy8jNQmDWMYrw+PfvPrlygWAG1HgPNbccI9DeMwedUVQlb6i5FinkSSML3 -lmOBaw2QribaqQURiLP0gG7oRB3ckHHe+juCQLrjAVmLdBuBG0R4lyYQaGHZ -yQkU1gQ8G04e139FoP3TdWae/c1wo/UN30gmgTpvbu29rNkKi+IsnJXyCHRw -j1J6aWAbXKhrOcuP9/tu86xk7mYyNNYuj6Hg/Z7/vqAWpDvgQcK2+P5cAqV5 -6K296NkJn90OL/qL+6OE1Eb8q+uCyr1BufJpeL+SKUaVYj3AnXFs6mcigfZ+ -Xfw3YzkFnmkrlJ65RkeLNnyI9cugQF31tMSAAR2dEztT5Ws2CRkPG56Graaj -3i2394SKXoSNZofvLXpGQ8voUdulQmIgOquKfj+cjsoDOo8tuvYSJl/JpEsI -MxDlyMRHw/os8Le0HxhxY6Aryv4zP0fyIUpNxanzDQP1k6gpOeof4KXqjzdH -RxhILc4iJXJLMTxteHzuKz+B3uuJrc0Zw/5oiV3+QzUCNVgFrc4IKYdQyr1/ -d80I5NMdHRYsXglFsx5lvmcIFKYlquVKqganAiFBph+B4gbMfT0O1sHznX6W -8eEESpV/usqtvQGuM+qTtj4lkGDaRroqNEGf0JEbhi8JFMsgi8oGN4NJ0KpN -bhkE0i5gHikbboHzrXsXWWH7KmW51bWotsGufVHZtdj+zv0qtw5fJUPQzZYa -Obw+R69lW5o1tgPHt6N/7uD1CzMNdCsX6wSJHnWXzdkE+vq0MmzUuwtijc6+ -q0gn0O219gyf6m4QTf/5tzOJQAK8Ey7CIxOwwERXJSCYjrodrtnm3KLA/bht -y0It6eirkynFaNMk/OxQ7y/ioyPTiC43Y7n7ELqD98KhrXQk6JSZmqyRCGXr -St486KKj+DaD7K0Rr+FEv5zNoC4DOb8AnrR79fDHxOWp3GMCZe1UN7eMboQq -XrXbOXg//OxNHDkw0ASH1z78tQqPj0kpCytWa4GF58WntuPxXzQ547wlrBX0 -lvIn9uH5nSFPB2e3tsFRS9Ftanj+EjWK5W83toPJvrhrEdg+wswiHXnPDpgs -+nxEDNuvLIybvK66E278yK3MxPa9WioTbqBGATl31Zvt5/F5/v6zzZhMgYDy -n58L1enoR8Ot5Nc3JkHptcXfw1J0ZOH0o+ihqBMc0ndnqNnRUMW/JKXW5igI -GSzsfX+Fjlac2xV0VT0FKvfVGitj//T+VVR+gVIWqF0/2HHNnoEaiwsu9Gnk -Q2paY3NHMgPJ1whfWB9VCJJO72m2/Qyk62X3aGUWAtOi0dzYpQR6J7SFWfyw -FGKTpTeYqeDz6iAQe3NnObTae0sbmOL7jvN0Q2wZCXb2vjr+wJVAlTEO63W9 -qsFXw8C7GN8P4+7HRYf+1YLRIb/tffcJpNUx5rnavwFcTbdWZz8h0GrJu15U -kSY42GYTBvg+0vU6/tf3RDOMHRhKisP31bSJVdj4uxbwvfZ38wC+z+K/Btv0 -LGuD2ytIZ55h+16Wvej16xAZlD+7DszZX842I77vZTs4nPWcbsXrw6WbxDc5 -2QGPBvN03+L1c0ipCO0x6oKL/DeH7uD7tqRcbL9pYjdc+eozUYLvY6Z4nySp -cQKCpkTufA2lowq/vt01nhSIfBHfr2ZLR+t49WbzhLB/PrHqXxQvHfm0RvpM -SN0BjgDPOCdhOnJ3VVvP0ZMAEyVGbgVVdDRW/KY75WA6mDoGEF3qDJSnop8s -vrMeirenQhS+X38J9gZsOdsIUe7ZVKfnBJox4XZd+K4JEnc/v7kN+6tn7gae -oktbwIphmv0wC8/vW/1zM6dWqNPIkbiH59ezjF+s8HUbfPAWWbwBz1+J3z3f -+h8ZHvrceFaG7fOlvfBWvkEHKD7xMfHD+0/dT/aqYXwnHHzkEmeF/aNd68q9 -f6Up4B8VfzPPm44klhx751JKgbsjBxQu7cb78dLlAmG3SRCUu3RVVYKO7r8R -3Ggqdx2+740PD62jocNjwTvd9ONg0e+oHb1JdDTteVi9JrcZFEwzJxRw/yGS -kaufrWgFomeJSyX+/qfLRjtrTrRBSNDYURoen1ns9KP0FDJon71juQKP/9aT -PMHqn+2wh5SmrIHnN6UncS0mlgIPAjU7fU3pKA3ZdH/RnoQv2pXiLtjeKzeF -K1lGPYA/RUT7UUM6Knm7RJ63sBWyA/uXb8L9/33W8iP1bxvUNk9zzsVLS25N -tPKZtcOJ85/1juH2/XWP6du/UWDS8rTgGXk6+rg5MkLv0SSMkXckjEnTkWFd -e85TUTNY8umr1EEVGpJdoJCu5PUIvnke+i7nTke5ju5ibyOTwTJD895NDgbS -ftXu2F2UCR5Rl0bSTzDQb54jSqZDecBQ7uIpec5ATUYbOm30C2Gv47bv5j0M -pLDy/ft7lgjObiu4N8qD1+eqpn2lSynUiqsVbFYmUJBz+7FzwuUwxLH1W8Ih -AokIm1FlYkiQ/0T0hPApAp00WPwk42A1uD00VUm4RqBw9b5RMXIt+AycC/iF -48+bGfcTqOYNsDzQdmYCx59xZK13tVxN4HzHpSof73eRgqdnX+1thg8dRxq3 -4vNW/ZRX0fdFC0RHOG9IwOeNzLn65cDPVritJD0ehO3FRYqcNNIiw84xo5Id -2J4rGl+XvIhoBxdSYccPvF47tFQduDo7wM57hfkvfN4S0sqXWah3gdi+wJ4x -fN5son+c33W/G5LNVn/Yhs/7uGy7T0LFBDBUrx4Jx/fnBz6+3PZTFPg9rnHo -rAMdFZ/YxTPOMwmvv9ada1tMR8uI8zc+xN2CZ+fPp0Zy09GaicuObxQTYEnK -wanvxXQU+rbfYzAtDe4EDD7bosJAx36TLpgJ18NzX/XsqkgCrZnJFH1i0Qhx -nMfuvMfxSABvftrQiyaYjo1+WYTjkYtZ94b1iWborfmktAufNw51Pxg1boU9 -GWvHrfH8hDc+c/gU3QaQIf1KAs//XU6YYvYoGWZ63NZ1YfvU7n//6sr2Drh1 -/2ddFt7vHj55dbbBndCU5YrS8Xk40rviedsqCugKrhmKxP5aIPrupovvKGB3 -wNSgWp+OGkmexfttJ8FPaPqNpxgdvZ2s3U6Vugyl6ZdlkgpoKJPC975sLBZM -jNJlh2Oxf8qNTP3xtBlOytIGavF9ctJN/+3WXy0Q+tbpywP8fWZkd2DD/jbo -CBf3HsDj690puGI2nAxrPLvixPH4zy3L3R72pR2Yq8qp9nh+X1uMJpPDKfDY -uCBHyJyO9gtaflmtOglX6Oed1gnSke37mWxkFg4vLgYHJWnTUeyCD5VxSa1w -aaDgFzfuX/WDg86bsTYwLlZYOLc/Hm5cftBRux2Owd8hb9y+60Slk+4ABaYX -nWhYqkJHx66FxvncnYTFW+/xZa6lI56hgMSjcudgFjXNxATQkHPNr5Uda9vg -ntw27gL8/pT/kZnkk2TYrS49vAX3H1hwgOm3ZhKiHRdOaS6no4NPJblLL7fC -waxF3G/xfJKm/Zryi9vAL+7jnbn/J5813sUr1A5mFn6Cb3B/G65s2ne8lgJn -vI2j6nfSUeQ6v4yBi5Pgs2Qsq1WSjlTVFEKtovyh6PiFMrdBGrplU0Sv8GiD -PeKSR7hwf9U/GiUtP5LhRYQi5yzub9nZk81ZBpPAOdXw4h6O58sC9TKT+Mkg -ddtGHvD/D2u7BGxiUMD8zNhqERzfr+mIvcufMAnB+odOza6jo23PouNyRfVg -sZeusbAADTUHuY93kyLBXvJfjpIrHX+f5+SRPcnQ4mfSuOYfHcm2KtgJnMuE -pN/ZSjuOMRD/m4R7vAV5kLpOSzTzGQMlhSqH/JEohAMq1zbVdTFQotNITYUC -gpX7OB7dXYz3R8YnEaPDpdBv+c9NaAu21ymtD44c5bCqz51ZbYz98dP9N07d -JAHfkx8LzFzw+j7vbHPSrAZNtYCMFdjfOD6ROqReWguyTvR/QdjfpMbYqx/X -aYDulao2d7C/sdkmZPXhZyP881SZdsf+xq9dOwXtaIY1409t/2B/oK43fLU8 -ugVy8nO1b2B/4xlmWzM02QphaPE5H2zPfauT07y2kOHAY0vnXdh+ATwKR27d -aQddk/wUHtxuqeZ7b1l9B4zvjpETw+8XK+gbRSp0geo26ZxV2J+95vx6a1tg -N/gbZq06g/2Nw6sjcqElE/B61lBn+AEdqVm8/N7rSAFD67ppTic64hbfxLmY -axK6nAuz+xbR0dGgAS6Z40Hg3K9zWv8vDX07pr6Q580zsJ6ZHDzzkY7ssn0+ -XLdKg2ucdf6HtjCQks2Sbg+OerjQ13DIAfubrAuiI41GjbBbXPGNA/Y3ei6h -hk0xTXDj8KcGf+xv+hoVJE58a4ZaqQUXBLC/OdNreV9ZrxXkhVrO78X7Nyv/ -663i+zje+biXJILn/3dBwnhXDxmefDsl9QXPX8dfY4e1fAfwHmo/PXe/hl78 -y3S91glT41fXtGJ/U2t/z7hUkALBjrwdnL50FNK/zuFKLgVCd37X6NlHRwf4 -0nZ+ivOCHXovGt6n05CNx5Ef57VioSVcBpU9oiPl1u2DyyOaYdWLyq4n2L80 -869ZeHWyBUwEfxRfwt97uE7mVZN2GxgpqjSR8XjW3V9XvfEWGYaWbPCWxuMd -0lMhne9qh2cvVit44fnYiY/Ivb5HAQVOBqnlKD7vC86MfnUJgwt8p+7wadDR -4rSIVV9jWmHVgL8IDf+/cX+aU2ZfG4SdmiBr4P7aL0suCd3WDvVnBqfm7qN3 -L+ye7u+mwNFBgYzfqni8MruyGFKuIKA/e8vak4a4rHZrdYm0wSbZwUvpc/6p -xbGw4RgZ1s/KJ2/D/RXuYR5e6t4K02V5nQn4ezo3KomCt20w8D3npjxu//bJ -5tc2nnY4fUhK7D1+P7Gk5IY9iQL63v3+ikBH1yti+UvM/GAdj3NvfjsNrZvg -Xl3l3AZDQmYPfuP/8xkMdvpnk8Fv32rqItyfnWBIS8oiMkgsZTTOxSN1QbOF -W6gUoLxRfR66gY7+HnB8bC9nA39DqKo2hjT06VKgiow+Gd7sux+pif/vE2uh -/TGxDfTdU4TW4efo75yH257gdqb6rpVzz4riEuk0HO9EiX7aiZ9LhZUVdo5Q -gFtLfvOQEh15mDgdOBF1HrK+jG11fEBD6cp7dH098H0Oj2Ln5svjpPkmt7oN -ri++KDpnn40//t5NFCPDVnAXmPNfHcx6A5lfFHg79nPBc+wfufeIefSLqoJO -7NmV239No837HgTneEQC/6K2HXLOdETtNuNNFEsGW/M7Zaf+0FG0q4WNwt5M -aOVz6uawYiCxG/u0XJ7nwfEg3ZikeAZaoZQ/UsVTCDJ2SeTF2F+dCPlj9kUE -weAwTbt7EY5H9vtPh+4rBZ6dt9fcViJQ7vjp0zbMMlBJqQ6yxf5Ke0iXdNeH -BKe1xO3pzgQSr9Olwxbsr7aQI8p9CWR0sDhA/10trBFJmTLE/kqLr4brwfYG -2H5KAyywv/pkf+NVDr0R/JIfkIywv3rrElZ6QK0ZaqzPjPRjf3Xu8SEZt4gW -uGDhGX4O+xudozd7h8dbIVibN+A8Xu9vOm+Cl24mA5fM8MK59f2Y9P2Jd2A7 -hE8of+Gfy5dV1yY8qOyA5Y0n7Dfj9xsp3BMqG7pgpRMXXQn7KzM16wdKN7oh -UU9HJhj7q2ffBa4tQBOwe++unSEP6Yh+hb7Y3p4Cu4TPvq/G/urtsZ8rGjkm -wWk25pcCzoev1j0uO1oYCKvtVSw5f9LQbgmrpD7zZzB6xrNKrpCOqpTK7eqU -08D7VDOpR5GBVvOnrbjBrIN2ur6lEvZXl5Xqq0/vawT/b3cYathfJT369aQy -sgkKRza+csT+Ssj+msbol2ZYffzI0T/YvyQql29v024FY7Hn17Xw+bnuyxtT -cqcNSp0CXwnh+ccrpJLc28mw70faxBie/xXJnzeN5TrAtcdHsBX7jzjPhKVU -705Q/2MqPYb7W5JkkKzBTwH7J6dCC7C/Mljgfv1fFgV8tq5p7d+P7+dnq4vX -H78EoVkBPleTaSh/66Wo/cMxoK1Pa1WIoKOTTrf+RYc2Q4mI66qbGXP7+e5r -qfEW2P0o1MsFf89ufO+HZs022EeOb2zC4yl7uzm1yI8MgrTtKrJz+fvgNJ9j -WzsYJFtL++H5rIGte7bcocBB0407sy3oSMFQ5pUY6T6I/b63NB/7G+1/s5/u -RrbCl21e58bw/+P56r2yOttAUul9hDru70ri+lFppXYIOn2KFIK/d4n0+n1N -BwWS82R/2arh+GCPWMHnOGd4bPnY9oErDTVKnTTqFmgDwevZfsn4/+Q/p6Od -zMkwqfvqyHbc31SUw+9M11YY9Q5bHoW/t2LvxOL32W1guXKH2SbcHjLq3F7J -1Q5b4sQpn/D7tiPL476UUUA4ro/XTIeO9CWTksZdbsBagZczWc00NJWzcXO1 -fRuot6jdZOL/55wfLxB5TYa8WKc83rl8sjNz5CUnGUSqlvjM7ee7rwzbcyYo -wCtE0wnZhOOPEMnkWSkrCBaTE7oJNGQXH8L/DsjQvK7/gxb+/177qyZFT9vA -+sOBs1L4WUuYV9ktigwbTTOvrcbPzgxtpdeUNnBJN5iaixf9NHz3oyEKRMgX -6d1QpqPCgea6z2YeUHs71mvDXRoytjJcK3Aar1dqSoMi/j95szXKK28DgSgb -u61z9fCitU9frCSDOkwqzPmrR2LizilMCoxyGfgF4fjyi4Ssv7ecMdQd6OpQ -kqGhJGpc7aAqGWi0uqQ5f8kVcOtwxnAbrGcW/p3ztw/Tjvdo2ZFhoe+f1rn+ -Pd8lDb/90AaNgrcezX3/oeGpkuSlZDho+27Z3Pf4HXLjX09TIKla+4zrejr6 -FthaZh9lDxavV0aqHKUhiYO+ZvcPkEGJcDScu8+qnX8sSfvRBg0nks7NxTfH -lDt9nkuRYVBzvGPO3mItAYoJfyhgXeGgo4PzARYPsSVxfi8vmgYWD/nz7Vfx -9G4qsHiIf233R/1lFGDxkF8bsqw5jn4HFg85lXrqN1/zOLB4iBa/XGKbwRiw -eEhXECmlmfIVWDxE9tCXcY+AEWDxEKfes+TCDV+AxUOkvbjudnwbAhYP0f/d -3WsbPQgsHtL1WDag3nYAWDzE8VyY9kLbPmDxkChPOSP3um5g8ZDAtJ9dNac6 -gcVDjPeNda1tJAOLh/y6su3Ond2twOIhFgKHHf90NwGLhyRJOGvWXq0HFg8x -95EZ4bxfBSwesqZINcV5YxmweIg141pJz6MiYPGQOkFNvhMeucDiIV7fYVj/ -bgKweMjeJ8WTlPQANg+ZVmwW0Wx5xeYhVMf371WfvmPzkPJiEduZwRI2DzmY -FddhzV/J5iEUTlmpqv31bB6yX81nTb9kM5uHvArV+9twto3NQzIOKu6Pf9LB -5iFOqeq/whu62Tzk/J5/rS6O/WweUnkyoiLVdZDNQ1RTt1/OMhtm85Bzpsff -7FAYYfMQXzurhJ8LR9k8JP+UXneJ4Dibh4jUiHJmLvjO5iGXrjIU9q6lsHkI -/xbVFYcVqGwe4sH/beif/xSbh3zftyZJz2OazUN4r1uecFOjsXnIzv4K2X46 -7T95iAq1VbveaApYPGS7xK6tj4UngcVDHi9vrZffPAGsev5I9jXRvPu0/6zn -PzIYs7+JpoBVz+/4vcxhW/IksOr5P8vrXyrHTACrHv0nvT5mmSPtP+vRMqj2 -8r9BKrDquV5NO0oWfKD9Zz33tJBz3ZHl08Cq5+ol/eqomp4EVj33bS+RqNg8 -Aax6ZPbR6+UjRrT/rEcaqJxbyCk5Bax6nuGVZcIPkmj/Wc+z8KkeJ/yn4H/r -a6z62FphdTPDFtp/1scKKyppH3SngVUfkzQfINmJUoFVH9Pvdn8tTJ0AVn0n -WMzFPXc37T/rO+tj2sKOqU4Bqz5yyma2ISaG9p/1kSWXbug5PpuC/61XsOoN -MXYzp0kVtP+sN6xLl1h2fngK/reewKoPjMf626Zn0v5PfeB/831Wfr+Gtlvb -bJD2n/l9LleTc67LNLDye9/kaO9gRSqw8vvG0ksfX/ybAFZ++jJ+U5PATho7 -P120+Tb3poXz+el3we9853SmgJXfOdnzPlkfSWPnd4UWjMV8mVPAypcSdvpr -tBbT2PnSIh3lkLM/poCV34jP5HuuTqOx8xOqBOG+pIvGzk/sL/IMfV8/Daz8 -YkpAY894LY2dHxg1/10TPk5j5wcHrtg1nPefBlZ+UJMpkJenTgVWflB3wceC -xk0BVnx7Hj62aGvS2PFtXLHP0Dacf7Pi22JFx3WRe6eAFR9abnkdewbnM6z4 -cM0xWUKxYApY8day8MmdY0U0dry1llcySpRrGljxUcrM/cAjL2ns+MYna+Ot -ODKNHd9opnSGpGybBlZ8othz7FFTFY0dX0zpu9QZjNDY8UVB4Zao90emgRUP -pDW3t0X30tj3eeT10YhDkzT2fe5rp9ebvplg6xvqEs6c9P1IsPUNGeXXhq9R -CLa+IVrreaEIL5Otbyhpzu58Lclk6xtmUu7nv1dgsvUNl0kZQnfUmGx9Azl7 -XDR2F5Otb3DcEVnxdDeTrW9Yy/OjR34Pk61v0BUufVCry2TrG6TfxS9FOky2 -vkFds6zcCPfHus+XwK1DohpMtr6hKqLmxJ+tTLa+wdiUT15wM5OtbzDhGqsX -kWay9Q3yqi/OZa5isvUNL6W8UtyWMdn6hgdvp4lJDiZb39Bz94HOZfq8vqER -9JfqjszrG/YIRIpItc/rGzRLm+S7K+b1Dc0KB1xq387rG04G1CVpp8zrGwT5 -qnfZR8zrGwRGVZQm/Ob1DWccLsX8OT2vb3iwTY/hfXRe3zCblT4SvHte3yCn -8DCkb9P/T98wtNaoS3Be33DdSmuH8595fYMF8XXfxMC8vuESWXutJmle30Ao -dj/dkTavb5hebSS24v68vkHjHucdi/Pz+oZH4x2G30zm9Q0t1wSH7LbO6xuy -wgaOvl8+r294PWDsITE+r2943z3UfbJ8Xt/gksizqjZuXt9wYEw5ceTivL4h -cHz0aoghHb0Zk3yywogKWTxB97PHaOix1FJidpYKUbf5Zz5fprHv9+t104pZ -UQRb77ChkZxyoYNg6x2WXl0ot/kfMa93UAv4kCvMZOsdDi3lbuxfz2TrHSZG -Y8sFVZhsvUOtuNL9q1pMtt4hq3eGSQUmW+9wcMmxPS/wfmfpHXykWw7vxOeB -pXdwO3zh0g98Xlh6h3unX2zeit9n6R1KXgenDuL+WXoHYYNtX7ZsZ7L1Dn83 -dc1sUWIikQLb+5f+TILkEpm7CxbQ0bpA4f2LU6igmWTPbYHvK1Z8skBau9f9 -MsHWGyjfiHZ7XEOw9QZMWGz+niDYegMXTST9jI/J1hvYe9qKE/h8sfQG48Iq -VoFbmGy9QaqxmX48Pq8svYHquPffLm0mW2+QECCepYztwdIbnH7q91IP24Ol -N/D7kVp6Drez9AZhoobyC7B/YOkNmh/GSMnsZLL1Bl07+jdkYH/E0hv08QZv -XKvMRDwv+zQymybhVb7EwXocT51Si5nmvUyF619feNzsoLHjK5saz6l36QSb -97eujKx+Pkywef/XW1ceTSxgoqK/ul1PjHLhwErtBltRJlpHTdnkGPsOZPT6 -/C9uYqLMwuNeo0VF4Ob7JeaUKvY/awnhj6ElsO48gzI3XsRDInnplIFFj/vP -LjyfMXVzQcu6CnCgdicy8Xxv6JmEg3cVPN4pTwhhe7wqoocsXFYLh8DVwgXv -D5beYMHpkrsG2J5DDZ+ES2WoUEi6TVFk0lBPU1j8ghYquCwQt+7B8QUrHuzQ -tl4Z5Eiw+f6uXuKndRnB5vtfTp3iKpgm2Hx/heHNrd+wf2TxfdfRCOVeKSab -76ereNfK4f3G4vvJarU9sepMNt8vWT6wUQePj8X3l65fZ/Udj5/F9y8mmPrM -7X8W33e6pDmSgOfP4vvmJ7pGHbF9WHzf/ZMZUYjtx+L7poPFss7qTDbf79EX -deHH98FXgaYyLjQJw8ta6Q4r6ehu2PGKEAccB+1xV6oaoLHj2cHjR98PPyfY -fN0qfkW3Zh/B5uuD0qmvnuH74M5hSvPiNzmw2q1TVQTfHz43euP3UwpA/d2V -q3kbmOhPkZ/uMYkiuL2pLkRvGxN5oYOaKmol0NAFSkd3MNGn9u6nQd8+Q57E -oxg3PB8HncEIxWsVkFOrWdmI56vttq7RdG0VKChcF1bA9hiS2OXTV1gD10kS -XjnYXiy+33yiYVExtqfvnrCmJ3xUCNYtvZSG45fZzYcvBH2kwss/Y7YUnA+w -4u+cC7Iu4XcINk+/rMHI1m0mkNG/Gf81t4vBxJ4jP0KTiQovLTzwQvszuNqR -yG7Y3xw1/RXV0VMOreTu6bN4fIX5FYe8PCth57ntYXPn82h3g3H46hoIu12k -o4bb9XvJEnfCqPBs1Z6I0gYam5/3Xd0iH5hHoGLNnGmXqDIwDVliuBvP59yW -qvAASRIYpJ9lCOP+itdcN1GsrwKrzW+EGXPf86mr8h+nwpSR3OCJBBo7H9BV -cTVxO0KwebmrjPO6wGKCzcs/TYs8fk4l2Lx8ZPkuh8ClTDYv1546Jlu5hsnm -5WkaXlqeikw2Lx+W464RwvuJxcvPmvKHTuL4g8XLa0dLYsrx+Fm8fLtDgNZ2 -PH4WLxdKy7pXgMfP4uWbaKOKD/F6s3j58WXGz7hxfyxePtEgYV6szmTz8pfU -6nu38P7NNdR8Qc2bBM0p3TJrnE9KfPyYddCCCmKtogmkLzR2PlOdlPfJN45g -82o/3uDdjt0Em1ebGOtt+DaD9/c13YZd1jmQbiSzYWwlE70g+Vh9iCqAeNXr -pFo5JnoOEakRNR/BZ+y38xt8n02suGJ4lrcEUHbujW/4vtkFX3lC0WcobTeJ -VMLzeab30my1eQWQDJT/FeL5Sl5bOtXFqAT1osatc/a4UTtIOfCwBphDvwvb -sL1YvJz0JF/vF96/Btd+ZAYvpEJFYLBU9ywNhVvkWu/NpsKRxG4/gxIaO//6 -LvrI9Y8/webTQiVU73/1BCoxko+0Ol4Mutycb8Xw/tXq5ctPE/oM441lerJ4 -/z40Rxaf88rhpKahqQkeX0xlpvvs3kpAF5cl6uPx0e5eLPtLrYZfNVpGR3A7 -eYnG4gOBVIh23dCk2kpj82ihgR19O7IIpPP84wn302VwwuWLLR+eT/q2MNOz -RAVIJ6HCRbi/hK0uHm8Tq+C2rdQfbvxsmKyUp9tHhU2c2WtLU2nsfFA2nvPu -HXd839oYdkY7lYOl+IslfPj7FpP+mQJTJFhxUfzJbvz++p3iLbrJOF7If5f6 -BbfbWGhmVRpWwfUXUzNrcfu5mangMhIVOCRUyje/pbF5sudjXUe3cAJ1J/Zu -oTythN2x1hfm+lORX9D4WJ8Ev372HpHGz4NLHykn/aqCI20v9Adx/xuNzvQX -M6iw90CaiM0jGjufdMk9Z1C4j2Dz4pqAXftufSLYvLgvTSf8wSTB5sXrNvKG -1uP8gcWLJ9ZIGezA543Fixd1nPYWweeNxYsXlH/9Uo/vaxYv9gijZZTh88Hi -xfHhDFo2tjeLF9MCOBqV8fhZvPjUT4ZuKR4/ixfr9U1Vpc7FB/+PF9f8lRje -iPtj8eJ1jXK/JvB5Y/HiDO3ONSX4vMWeOiv4NXMSEux6lTtF6cjpMGV9hikV -bocVcaKvNHY+nOoRrZMUQ7B5rWfuxTeTnQSb174YtfRdis/bkODZOsvtOSCd -fQcZ4/P27nTF24unC+DkvUHiKD5vF/3ijiu/+AifBB/essPnLUUtvPUuoxjy -+bg8cvF5++t5+hN/2mcwTJKM4cPz+bSXN3/57groS3G0ycTzDVnE4SfVXwlH -ONZwaWJ7GMvmbeS8XgPXdr+p+ortxeLF3OHVDivxeVCOlZe6wUEFsBs44sFJ -R52U4eaUdCqM7syhdnyez+etpERcOq8RbF57dFNMrnEdgXwv7pb01i8G5rPk -iR4cz/3mf+SmNFMKslZff8zi85xlse7du4RySDwqUKKDx8dzOGl2z9ZK0G18 -7zx33oqH9s7mdFeDcRNo2eN2qYRTvmnXqeB5sXWHHc6HWbzWueDqJq4MAnG0 -7Rakm5fBg5w1vgSef/V2WrbDYAWYZ7V+m8Hv8384zvEnpApc86j5y3D/kTts -N73ooEIZbfLCudfz9Qevkbf7+1wJtNkuQ/O+STl8fDjl+Q/bp8LbC452kSDk -Va0R4Pf1tK9v03hQAUnbbhNduP/6AUWd5WpV0LTpeqUcbn8bKT0jW0oFFedj -tWLvaWw+u2S/y8ycHv+AQjPaGlwJ9vqyunvw/00UnZVDt5JgQaGSrfjc86M7 -xWPDOJ4TmR3/hvs/PxRnJk2lwo7jd3ZaPZmvfww5lkuqnyBQyl1b2/4MEnhF -rpScW98MuohdFG8VLHvvPjS3/zfDh/7CE5Vgnrvo2Jx9Q7YqTHsvIYHQRUmh -FXP5Nd+M9tAwFTTeHfMrT6Kx+azTp8WUrIs4vnEKlapbWAmJrk2Oc+M9Izr+ -LDW3ElIj3GTm7CG8KcE90YoED17/aJ6b/0D+Dje731T4mCz66ErofP0lyFgz -2AQINp+dVnk6EFBEsPlsSceXLTzYP7D4bAZjatgT+wcWn/13UFOPIclk81ny -08tqYwpMNp9tHKoSfY39A4vPyiy2vpqJzzOLzwq1+VFe4vVk8VmBo1EuSni8 -LD7747CHNwnbm8Vnze+uYubOxZP/j8/6LY4d0ML9sfgsV9EKpQV4f7P47J0x -n/192D/IXUoxSuGeBOLw0vIaAzoKfhpk12dMBdeD1n3vRufrR6l+xk2K0QSb -j+aPFP/Ziv0Di4++Uj2x4QnOL+OsA4ycpXOg4ITTeU7sHz7vOnuyybwA7rZn -h4pj/9C3Vjp/5v5H+Lx/T6km9g+BB8RjYsaL4XGfZ8xj7B96linFHH72GXos -f/fM4vNdR9pxkke9Ai6sd7d7heerZ/ibtLu1Ega+XijUwvbYbiK5t+dCDUTz -0uWp2F4sPpvtHGAqg99/kVp+9Pe/SVhvWKCoxUVHMxq+y9tTqfBmjdgisfL5 -+lfEwBXLjKsEm4+aCzFkL9YSyCq4djBIqxj2vGzNK8L260qz0PeglwJFUiCc -gv1DcceRU7lR5fBYp6BSA4+vOCp+n7NcJXD9TFw1t39TV2RJ3G+qBpvTiktP -zY3/O0dB11Uq2BZztHi209h8dIzDO2SOlw+c46aoGpaBQ7+p8Rhez863Mg7W -7RVgLTXU9hu/Xzlrvl8yoApsWxef5Mf9v7v1w7GljQp3bOwsFDLm63XdW4q/ -VzsTSHOwfzx4bzm8D/byYmD7XP/ocdungQTfp6zpu/D7R1DmsMrtCpg+oTDZ -hvu/tX5j8Bb5KmgbVr++Cbd39b/XMEdUWC4Wff12IY3NQ3ccRylH7xGI63zt -YrNrlVC2ceLn3HmzzXg8cHsDCVJ3RGusxs88XTd5lnZWQbB+xH0q7j9KcXuY -6QQVYgRG42lP5+uFmx3F16+wxP65weolZxIJNpgU71TH7ztrCKS+m62EVp/f -otvm8oeb+Rt6TSuBt+b0/Tn7xuVYP/ecrQD1rR2XePGz3LGoy4KDVOj9Q9rX -kEJj89C1V2aL5T0JlPDqtgv1N47P7daG6+L/y9oMj1anVsIGCf/jOvhZJq1J -6ukhEsRXr1wni5+5OVuTwn9Q4ZPP2ksVD+brlSZp/8JEjQlUb2msvvc+CZ7V -7xeZG19ryUKNf+OV8HPl0Ka58ZssTyuQ3lkJQrztkXPjXbHN98e2EXzfW2QF -zn3v4Wi7N19EJRxbRHWbG4/RY26x6WkqrGqo/nAzmsbmobb8Mj+57Ajk+bv4 -cbRLJXxCk9xz/SmvjWhP46+EFpKOyFz+ktc6aXHrUyXwEZtr5tb3o9uLLcr/ -qBCyhz+n/jYN7e3OK75UOwm0QnluOwH6/6kf/G/7xpIqX8GGSTDWSNr4mB/H -D698W8/MUmA4+updFUP6//EfXyoCLL05JmHP7/Bl3w/Q0f8Hk6RLZQ== - "], {{{}, {}, {}, {}, {}, {}, {}, {}, - {RGBColor[1, 0, 0], Opacity[0.2], EdgeForm[None], - GraphicsGroupBox[PolygonBox[CompressedData[" -1:eJwl1WWQllUYBuBlyQ1Kulm6lQ6xg65FQUEFsVCUVUIFC0UJ6W4EQZTusmAI -ARWRskAHAx1UupHweoYfF/d9zrvz7fudWNK6ZaT3TExISMjEsUzX82b/nJCD -5Hz5iryJEfQyzpDlOKS/KevRXO8mC7Fbn8qLelWO6DW5T09ikz6Mx3jGuBQ/ -6XOId2lsfFIuoDct4mfNFWaPPo1a3G+czGZ9OI+TmVvMnZIL6UNLatPBfApb -9BE8QRZuNXdaLqIvrahDVm7z7IxcHN+H1tQlG7d7dlYu4SXaUI/s3OHZObmU -l2lLfXJwp2fn5TL60Y4GJHGXZxfkcvqTTkOSuduzi3JF7AntaUQK93h2Sa7k -1Vjr2EdSudez/+QqXot1izWmo/lUtuojeZKcNDF3Wa7m9Vi3WFMeMJ+TL/RR -PEUumpq7ItfwRnxurCkPms/FNn003clNM3NX5VoGxOfG3pkrwl59eqw7nYxz -s10fw9Pkobm5a3JdnL/4PbGX5oqyT58Re0Nn4zzs0MfSS6/IH3Hu6KGX5oA+ -l7xx1uICsF68xfN6eX6N94k10ouxX58Z+65X4+/Ycx7S8/JlrLWsxTi9t6zE -uxw2Higb0EN/VqYxhIOxhrIOo/ggzoiswTCOGr8tGzKaG+J8x71lMB/H/sua -jGSg8QuyAkP5Lb6XrE/n2ENZnO9ir2Vt3oszK6vzT5xXHo7fxVf6eProlfkz -3p/n9DL8rM8jH62ME/kk3jnWJs6AcQm+12fFeecR43x8rU+IzyI/rc1l5lP9 -nXiHuAN0MZefnfpEelKANuay8Jk+KD437gUFaWs+K5/rg+Mz4l5QiMIUoSjF -KE4JSlKK0qRRhrKUozwVqEglKlOFqlSjOu38vmxs0IfQlSaR5grwjT6JDGqQ -bi47G/WhPBpn3rgkP+izaRrzxgXZpU+mr16Fv+K8xnroZflFHyDr8qHeX97I -cI7FmspGjIl54/YyB6fiPPlDvDHur7wsU+S/cf/lxfg5+WP8XSFZPyzPxRrr -O+URLsT3Md4f94czsZ/GW+N+sCfekZNx58xviP2J/Ys9j3MT5znuIutYyxpW -s4qVrGA5y1jKEhaziIUsYD4fxRowL+4Uc5nD+8xmVpx7ZjKD6UxjKlOYzCQm -MoEt7GB3nHlOcI3xbGY733KQ41xlXJxx9nGI03E/fO9NcR/4nbNxhs1ti/3k -fJwh413yEkn6AZmaeP3/6yuM5X+Xm+rE - "]]]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, - TagBox[ - {RGBColor[1, 0, 0], AbsoluteThickness[1.6], Opacity[1.], - LineBox[CompressedData[" -1:eJwt02WQVmUYBuClu7tZursRlEaQWkIpCVGaVUKQXKU7VcYCBpRBaYNQlJFQ -QhgMYKgBBmWA0TFIKa9nxh/X3vf7nN3zffue9yQOTE4alSohIeF1PyIb+/Gn -nCU3yEmyBgsZbZ0sy3Dx/7+pRzt9oCzACf0dXtUrc02vSTc9E9/q83mBYdYl -OKOvJTVPWP8lP2YM7eN3zQryo/4utehunZl9+gIGkYYmZn/LTxjLM9Smh3kW -9usLeZG0NDX7R25kHB2oQzqedO2m3BT/Dx2pS3qecu2W3Mx4OlGPDDRz7bbc -wgQ6U5+MNHftjtzKa3ShAZlo4dpduY2JJNGQzLR07Z7cHs+ErjQiC61c+1d+ -yuTY63iOZKW1a/flZ0yJfYs95lnzrBzQF/ES2Whj9kB+ztTYt9hTnjPPxkF9 -MYPJTluzh/ILpsV9Y0/paZ6d7/QlDCEHT5s9kjtIifvGszMrxE/6e7Hv9LLO -wff6UoaSk3Zmj+XOOH/xOfEszQrzs/5+PBt6W+fkkL6M0Xp5rsS5Y7hekrP6 -OnLFWYsXgF3iDV7Wy3Ipvk/skV6EX/QP4rnrVbgez5w+ei4Ox17LWizXx8gK -zONX6+myAcP1ETKROZyLPZR1WMyHcUZkNebzu/UM2ZAl5I7zHe8ts9kdz1/W -ZBHTrV+R5ZjL5fi/ZH16xzOURTkZz1rWZlWcWVmVG3Fe6RufxRF9BWP1ivwW -35+ReinO6x+Rhw7WqfkyvnPsTZwB62Kc0lfHeed56zwc1d+Me5GXjmZp+Eqf -Gd8h3gH6meXlB/0tRpGPTmZp2aPPivvGe0F+Opun42t9dtwj3gsKUJBCFKYI -RSlGcUpQkkRKUZoylKUc5alARSpRmSpUpYvPS883+hz60ybSLB/H9LdJphpJ -ZhnYq89lQJx56+Kc1tfQNubW+Tmur2ScXomrcV5jP/TSXNBTZF3W6xNldRbw -R+ypbMTSmFt3lRn5D8fuoPk= - "]]}, - Annotation[#, "Charting`Private`Tag$63445#1"]& ], - TagBox[ - {RGBColor[1, 0, 0], AbsoluteThickness[1.6], Opacity[1.], - LineBox[CompressedData[" -1:eJwV0FVKBFAUgOE7Y+ce3NIswXd1L3Z3d/eoGBgoBoqIgSIigtjdnw8f/znn -7d6c3IJYfiSEkEeh4ZsbMqMhHGqafugmyeZXvWSZRPuznrPPPFG3Rz1ll3WK -+OGWI7ZYYYFifrnjmG1WWaSEUsoop4JKqqimhlrqqKeBRppopoVW2ming066 -6KaHXvroZ4BBhhhmhFHGGGeCSaaYJs4Ms/8f6N33esIOayyR4P6kZ+yRYn/T -KzZIsr/oBenmTz0g1fyuWXqtGfql2TqnEX3QP5rhS30= - "]]}, - Annotation[#, "Charting`Private`Tag$63445#2"]& ], - TagBox[ - {GrayLevel[0], AbsoluteThickness[1.6], Opacity[1.], - LineBox[CompressedData[" -1:eJwV0nesj1cYwPEfYgS1V6lx7WurUXtvqmpvRWvWprbEnonRSku0FEUl9kzs -KEEbI6oUpZLaRWLHCJ/nj4/veZ/L9b7nnKQ+w9oOTZFIJJL9kTllIpEzVSJx -XjvpCm2l6enJcM/19Z2eoK11Fj63rqyPdR8Laeo5Naet61Hc+j/dxFT6UMvs -lR7le7KQy+xP/ZER8X9QxeyJ7mdRvAMlzG7qZqbRl6zkNr+gPzGSdjSgpPkt -3cJ0viQbecz/0pWMoj0NSTa/rVuZwVdk50Pzi7qK0XSgEaXM7+g2ZtKPHOQ1 -v6Q/M4aONKa0+V3dziz6x96Tz/xvXc03cQ5UNXuqB1hME8qY3dMdzGZA7B0f -mV/WNYylM5+YPdODLImzoazZfd3JHAZS2+y1/sYPsZfkN7uiaxkXe+k5wUnr -LlSzfq6H+JZmlDP7X3cxN/bHc0p+tx5EHes3eow21plYZt1c03I29lWzk8e6 -gF6Nb9Ff9FPNwPg4K01BN07FeWtWvqCr5+r6QrvqYf1MP6AX38X7ahq6cybO -X7PRm+aey+uD+Fbdra01I/PiHDUVf1gPpq71Wz3Oclp4Tse5uDcUtP5H1zGB -btQwe6lHWBr/hgpmD3UP8/k67hGFzK/peibSnZZUNH+ke1nAkLhHJJlf1w1M -oget4o5Q2M/+1V+ZTM/Y1zhvivjZDd3IFHrFd8c5xDfEe8Tvjt8Rf5eiFKM4 -JShJMqXinsddjfsW9yL2M74v3pmPqURlqsQ9j7sadyrOLfaGmtSKu0l/7/Ue -RfOCEQ== - "]], - LineBox[{608, 591, 818, 752, 697, 653, 622, 574, 805, 739, 684, 640, - 609, 827, 761, 706, 662, 592, 819, 753, 698, 654, 838, 772, 717, - 623, 832, 766, 711, 849, 783, 667, 843, 777, 861, 722, 854, 788, - 866, 575}], LineBox[{804, 738, 683, 639, 867}]}, - Annotation[#, "Charting`Private`Tag$63445#3"]& ], - {Hue[0.67, 0.6, 0.6], Opacity[0.2], LineBox[{869, 870, 868}], - LineBox[{873, 872}]}}}], {}}, - AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], - Axes->{True, True}, - AxesLabel->{None, None}, - AxesOrigin->{0, 0}, - DisplayFunction->Identity, - Epilog->InsetBox[ - FormBox[ - StyleBox[ - "\"\\!\\(\\*StyleBox[\\\"s\\\",FontSlant->\\\"Italic\\\"]\\) = 8\"", - Directive[ - GrayLevel[0], FontFamily -> "Times", FontSize -> 10], StripOnInput -> - False], TraditionalForm], {0.8, 1}], - Frame->{{True, True}, {True, True}}, - FrameLabel->{{ - FormBox[ - TagBox[ - "\"\\!\\(\\*StyleBox[\\\"E\\\",FontSlant->\\\"Italic\\\"]\\)\"", - HoldForm], TraditionalForm], None}, { - FormBox[ - TagBox[ - StyleBox["\"\[Lambda]\"", - Opacity[0], StripOnInput -> False], HoldForm], TraditionalForm], - None}}, - FrameStyle->GrayLevel[0], - FrameTicks->{{Automatic, Automatic}, {Automatic, Automatic}}, - FrameTicksStyle->{{{}, {}}, {FontOpacity -> 0, {}}}, - GridLines->{None, None}, - GridLinesStyle->Directive[ - GrayLevel[0.5, 0.4]], - ImagePadding->{{45, 10}, {Automatic, 3}}, - ImageSize->{Automatic, 110}, - LabelStyle->Directive[ - Opacity[0], FontFamily -> "Times", FontSize -> 10], - Method->{ - "DefaultBoundaryStyle" -> Automatic, - "DefaultGraphicsInteraction" -> { - "Version" -> 1.2, "TrackMousePosition" -> {True, False}, - "Effects" -> { - "Highlight" -> {"ratio" -> 2}, "HighlightPoint" -> {"ratio" -> 2}, - "Droplines" -> { - "freeformCursorMode" -> True, - "placement" -> {"x" -> "All", "y" -> "None"}}}}, - "DefaultMeshStyle" -> AbsolutePointSize[6], "ScalingFunctions" -> None, - "CoordinatesToolOptions" -> {"DisplayFunction" -> ({ - (Identity[#]& )[ - Part[#, 1]], - (Identity[#]& )[ - Part[#, 2]]}& ), "CopiedValueFunction" -> ({ - (Identity[#]& )[ - Part[#, 1]], - (Identity[#]& )[ - Part[#, 2]]}& )}, "AxesInFront" -> True}, - PlotRange->{{0., 1.}, {-1.75, 1.75}}, - PlotRangeClipping->True, - PlotRangePadding->{{0, 0}, {0, 0}}, - Ticks->{Automatic, Automatic}], ",", - GraphicsBox[{GraphicsComplexBox[CompressedData[" + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJwV13k8VF0YB3BLIhWRQkiytNglsj5CSCpCaFGyk6VISJaKt0TpJdlJEnot +kSXbkZ2ZsUciIkJZG3MnKt4zf83n+5n7ufec55xzn98Vu+J1xpGFiYlJh5mJ +ifFr7DjdXTdjqR2+FHvDOJaGNujt8h4VVAabav6EoiIaOpSekFIiqA8s4VuK +3lTT0ISwRNhNqVPgQn9ZR2qioROUgeJUQQt4I1wg5kihIYkokZfrojYgPE3N +5OijoT/G9s/spGyhRD3A7+8gDX2/19doF28HrPunpjxGacjKgV7zr6AD8Cu4 +RdyYoKFGvV3lDSlO0OXZ5rdvhoYUxLWKlkVdIWuLW+6TORriGL+TeVbKE7Y4 +uMSV0Wio8ksPpcHCG6qWrqyGrNCQt6mD8YX4a1AWNSG05y8NfZb/Ry9a0BeO +15lr0lgJFJcuUCd5/gaoDdgtKLATyJg7T7M2xQ96Qls5zTgJVDZPPrwgGgCB +lEww3EagR/m8+82kguHgVx0BDSECHRPJyppxDoHK/ac5ySIECm5O2vbeIhSU +etJCT+4hkLKKTLRNfBhMXDjsISlJoIwdpyMiBe+BJdHjoiVHoFuUZ41nK+9B +9cQ3Y2cFAp0N/8Iifj4cRr7YLkcoEWgL7VpIVUoElLtI2+aqEMi/L85/VvQB +jGn1HA7XJpBZ7Ce3U1KPgErlFx82IZDMCfHcXS2PQKveKz7yFIE4WK9OfXN+ +DNyFtZcOmRLo0ru1N8giBt7kGKf5mhNox4EYOev4J3BJwYW10oZAYRwl+x4I +xkF+wO2Fq44EOmj45H6xdxy8u1RKzDsRqCfca2aoJQ5W2c88v+pCIAlWmddy +fk/BeIbL5Lw7gZr/Zsn19cSD1ymTfI5rePzU+MOiUYnQpybkU3aLQKWKN+KN +viZCtdWq3d8gAtl6m9OvqSeBdlSb9tFgAhXOcb9rnE4CDg3+NRRKoDPT9zXd +jqXA/chq4eRwAiV8DtQrXUsD1Uim3p5HBDoqbJM1YpkOCiZLLfOPCfT9nCob +R3463DALu8rxhEC7ZwPs82UzAGK6ZpRjCeTlqiLJNJwBQX/o//k8IxCvQ2HO +yyOZ0FYvcPlxOoGsbZ4XLi5lAUn61WvPAgItDFlwZu56CTXfCvfpFBIowpbD +0VzvJVzN7j67rYhAJfZeu8riXkLA3NTwf28IxOWpFX5LNRuY6p2+978lUNOd +wXMbb78CTo/5qaVKAin9x7NRmCMPbhdLJVg1E6hNrukyRSEPBJG9F1sLgS6/ +8a8KtsmDxMhf5sXY0WWj3mN5eXBpLOfkpjYCTdflD2WffA19D8Y0/yMRKO3D +8TeKsf+BgyJnXUsXgTavh10w3F0IdRLLr+w+4Xq5WtnKGBTCTZ7UsSVsiT6Z +yzyehcC6h8s5bIhA2rkD9kM1hXCwwutc2jCB3uXGl5bLFYG41ZWNXSMEmjOf +rT7RUQTWB8VCt38lkGXOM5LP1mLgcuQo2vuDQCkX75g4iRVD5yf/1DTscV4P +ivXhYkj8YbYiOIvrfVu3U+tiMUQkdqxzzRHowZm5Hvb8YqBttLv8c55ANX90 +PyWblMCPlFHp9J8EkjSbn254+BYGKMsX41cItCvEUN35+VuoaMqr4lwl0Lb8 +jIec5W8BPlEjg7FXOczlzMbfgqvRwzCH3wTqqiv3GTlSCgoaVycP/CVQoELY +2q/JUjhmoK6SzERHHdx8fHI65eDK47NFhJ2OGrQ8HLsty0FjMmtbGHaFe3OZ +r3s5jD5SSZ3AftHib1MVXw57+b6Z5XHQkX/I5zSjuXJotRdTV+Cko70L2Qfs +kyqgZn3TP1Jb6ehmhxokLL+DIPf1wTpeOuKSK51s46iEhKqDujzb6SgrWiHq +t3AlTO1bNrXD7jbZN2h7rBKuWY7ZM/HRkTSJz0cyvhJ0e4Nc1XfQ0WjLQnax +ahVsfiivn8ZPR4bvX3JRAqth7BVL4j5hOhrZI1a69qgaFIs17nhg+4amnFd4 +UQ0a8r3CJdjPITYnllQNjbtPOWuJ0NHvmlDdc8I10EDPszm1m44KK8/7TdXU +QNEVnmW7PXTE/5ZnhJkZwUoc15SZBB1diPptMbETwSBrcHwsdqbDJKlZBkHV +VCm1D1tmx7t3D60RHDGsqDgrSUe6fpef7ihCcJ8j8MZZKTpSSbHKjpOvg41W +vb8N9tORnW32xZyROmBKUlOcl6aje8ZCiYkzdbDU+2OflAwdZavE9EUu10FM +gM6Hi9izXIEnPDjfw4JrhwUZ2w+dVFNSeQ8v1SzmX8rSUdQeGl919HtozAmq +OyFPR2XjeuROzXo4dT4xwF6JjvqNwpaiDeshKEF1+Ak2UVC70+RMPQwb8a4j +bNVbanatzvUwIaIbIXQIr/92eaLu33owDBxN78R+p79rT/F0PVirth6QOUxH +1dmLPnFxDQD5mh/LVeloeItc4pn0BiDlkVJGsP9cd6/dltcAB+RgH9sROtKC +bxzRqAH0QvwfmWHXDgylhn9vgERqTt4UNuJoafHTaQT5pkXNTep0VO+WKmQz +2wgLArUf9mrSUcmMu7st0QjdmYphOthZLupV9kxNcOqBytpF7HCngXOefE1w +wt/RIwHb6ApP0l3NJmCudBbfrEVHnTbhAgVRTeDcx7VxGnvYyGMHq2wzOH74 +cCkU6IjSouHIodoMfb/aryVg1xpwlm492gz2NinWRdjp+q8sBCyb4bTR4Zej +2Fd0xmJlbzcD/c9XTU0dOppWteS1pjSDYMvvwDlsmpQWd75HC1D6x3oUdOlI +e1y3JdK/BcTaZnWPYUekGoW43G2B3S8T79pg7+SzWBBPbIF2mv7NMGwVZvfO +pMYWyFmnHOzE9h9KeHxfqBUUD74YtNOjo7r4NEMnqVZItpS/7IPNcSZrXU+x +FazK1yruYSe2FnqtG7RChilpLBu7srT5tN/1Vtgz08M7g/3n8TK3Q1sr6DLR +2R316Uj/xGrL0b5W+O2nVuuDHbWRKVR0tBXKRfSM7mCL3N68+Gm5FV6pb/qY +hq3ttrfLbE8bnM+fmv6AHaJvGgM32+DIs66vGsfoqHXd0kjkThscJ2mNGWJv +qzrP9DuqDYrvDZWYY2coOnuXZbbBd5/1j27YdbuDTWU72kA2SF/yGTbLyutt +QpLtIFd++uMUthBFlwry7cCZT41bxFZ+PvjBQa0dLJuvyq5gOx5nTy442Q7J +1/f83GSAn594RVLXrx2kAqzJB7DHPFbYnUPbga3V21URe/VozPeHke1QlPdl +/Ai2zPeawg9p7WAly+5uiP1YXVDdtaUdisuke65g53AVCT/qbocjWTXmrth1 +4wbrxUPtYBclWeyF/TPSt/HPQjsYni1lC8I+O9R5KkaABCsVdzfFYnsVOimW +7iWBbLevTwL2/bt/t3+SIUGbW1llKvY7aelB8aMkKDV0HnuFLRwUYV/uSoJr +N8SeVGIfNhUxGPYhQXHHkala7FMSb/czB5PA9ia/UAN2CHlszvhfEmhsdhQm +YSdk+Hd5pZCA/+/iTAd2kS93SVw2CVpOBD/twR4X1vIfqSSB/vX+iEHs34u9 +51ibSPDPlxryMDZfk5vW/k48no+ji6PYBh4JLNe/koDjz+a+SexLR+Un4+dI +kHNaKXYa239Hc0sVnQS6wSSFH9i5NdQoti1kSN3/iH0Ru/5JpNfBnWSgXu7V ++Yn9yVHszOk9ZEifq7dexqaqVSj7HiRD+UO30wT2Fq7T/InKZFD6MSDxC1ty +fHKlRpsM3wsEBlewtcuChseNyFB8Vf3qb2yryO2I3ZwMdvnGI3+wvW3znstc +JMP07Fn5NewHSkfvmTmTwTbp6qV17MyNH538rpGBRyDRk8kQn49PnseTb5Eh +rmrmAjN2bwGbTF04GXop7gdZsGfvpHBNPiaD2EOZAYY3WB1a2pREBnVxNXtW +bBHp9l65LDJcfZfWwbDK+uUy8wIy/OvgJrgB2+Rk3Z1jFWQ4XiKhx7B9suhp +1XoyeDO9OMlw4Eyw0AEyGaKCl44wHKM6MrWrnwwfLITZGM4O13q75QsZLqbL +FzPuX92bEro2Q4bfnqo6DPeI/TFZpJIhqA0KGeOb8TovOP6XDLG5FuuM+TDV +Vk72slNgeS1MgeGdW3YVN/FQwLagV5cxf5lzAcHlQhR49cRShVEf3ZyPxrmS +FGi/uX0zo37WhCp/sjwFfkkIvmfU10v/2dcoNQoknLluzah/yhfLIO+TFLAe +PSnNWK9iuVKjK1YUoN2acmKsZ2sQ3w4LOwrw8rHeY6w3TaA3X/UGBeq15q8w +9scWZ6XAAyEUyK3ok5jH3lv6xEDoAQX6mN1bGfvplJnp6FoKBZou9b39hu2Q +Xvh6MZsC6ptr/37FvjXH5T9eRAG/4nv7xxjn9QFlW3MjBTrefBAfwmauN9aN +nqWAsldNMQVbYFseVwhBgVSN5s3t2HK2m4a8mTqgIHCDYTP2udUWHwu+Drja +ZeLAOG9vlY69FNLsAP4jGhr52O2hWde2GuD/NXe/ysH+0sGqvX66A2LLo2gv +sLe61/eP23eA0CUV9SRspxfAkfewAzJCxu3DsYOW0vqSn3ZAxAPDyRDsWFjL +iE7vAPM3D48HMt4vQ9Vq10o6QDjkU6UntiCfuvuRoQ64zl909Cw2+Z5yR/PB +Tti1jWtGFFudm8Ty5HAn6GVv/svPmH+inep5nU5A+UEL3Nh3Cx5lLFh2gl/r +d691/L5U/zh9XSCsE0YzTb8MY+dIp/K7DXSC6PvpB0+wd5YdMlEe7wTH1Y+R +/2Df02kPXZvtBLaTa263sYvyfvseZ+4CMVH+Nhfsh2j/yOedXSCj0fJXC1t3 +5k7RRt0uUFGTMR/H/SFArqPd3bALSrf3m/RjF/kITnaZdEFXkbtUO/butULB +ZKsu4PWKCHiDvcL7+Y68Rxf8cMnPCGZcr6lqaZ3QBeVjGn94sUVjfqzkzncB +z1N5JQncz2rtQnKLqV2gePOY6Q5s20Pbbap+dcHdshjzjdgp/eoVZJZuULo8 +tWka91MBkUi/hZ3dsMHLVyUPmzdvP1UZumFNevHpAWy2RsdZ9LgbXqvJZ/Ed +xXnj6Upya1w3jjtrN1mw9Z2jT3QndkPkAKG4iPv9Hc7SvPEX3WBXGWZNwl4z +3eDKVtENBbIt3qHYvz5nThp/6QZN/WN9kzg//KCPjnxQ6IGcsbvPUrVxXnN+ +XG+s0gNJCVuvR2CHDmhnI40ecP6qLe+FvbM81SPXoAfaHd+Z6Wgz8uX5P0EX +esBoeFl1DOeZpOV+QYn7PWBAeREjgm20RLG4PtoDWcTlvFANPP7vVe1cj3pB +rUtB8ifOZ57PTou7xfbC9gJv3z5sVf2vt5oSegENKOeWYbencsoGvegFXQP7 +hkDsJVObxzMVvXB+mr2KGVu7nDBv/NoLQtGD1ZwqdDR4V/FzgFofrHIOKG9S +xnlf5NXCxEQfHPONU32H82iUa6lThkQ/RC+ebn6B8zCh83rCJWQAPswekzIV +wnldqfHtzoaPUKP2/rkLN877BY0Pu8U+Qa1s3YNdzLg/ufUlHwgcguUOssp/ +SwSSPsq+5lQ1DPskAjm2jRPIMFPM+Q3/CLANTPT2dRLopsilvWMXRsF/SDzi +WQ2BZGYtNw+c/AKP7o6F/ptHoO3cixyNq1/AqdPAMDeOQBtQcqF4yhjUFdaE +M4cQyF25anDTiXFwnDapOYS/918fv5JRS4yDiS7FItCEQLQfu7Z9i/8K1z0H +Z9UP4e95c0PWOP0J6HNVKbu2k0AhRuHpb79NAE2V//3hVRoS+2z88UX4JOQu +c60of6YhddV7cYJK38Drg9tFhWoaurC7c4W59xtIqypnDCfTEK09etw7bAri +lRcceW7SUMIGW98zEtMga/h9/68zNLR9pDSTpXEaIoL/yeiXpSG222EWatdm +4P2TT5YBrDTElGtbOM77HQSEy8e6B5fR9aTCaN767/Aq1zdLOm8Z1Ujf5+Dx +/AGS43yZSf7LiMf4uswdrlkwy3pzIc1wGQ3LdvUGVM/Cq7jIuwe5l1FRGkhM +2M7B4r/mDj/7qehioQqPyNocsLjGlO5IoaLQ8Odhw3nzYKqot1PHioq23mQ3 +tsufhxiTJwmHzlJRkosH77fCeeh3Fa2UsqSikhNqLxbezkPJQbGPm82p6BtP +dyMLmgdFyRK37lNUdCKNieNA7zyc/bpJ4bgBFe//S49u/J4HK10v5gllKnqR +03R29e88BLGkxrYdoiKFJGnREKYFEPZsyyhQoqLjt+mF99kWwOgQSeKmAhUF +6T3uTuZeAJf04BRmGSoa76zlqxdfAN4SOfPVvVS07tymfll6Aa6W2H0KF6Wi +/wFhgqew + "]], + + Line[{{0.9746826644055703, -1.1946140255113218`}, { + 0.9783775220102351, -1.1911792043974874`}, { + 0.9787207356226415, -1.1908540152590148`}, { + 0.9794071628474543, -1.1902000926479277`}, { + 0.9807800172970798, -1.1888770048508155`}, { + 0.9827337978676478, -1.1869541139600128`}}], + Line[CompressedData[" +1:eJwB0QEu/iFib1JlAgAAABwAAAACAAAAGEp6nsd37z/zbEE4Jfvyv0TrALfa +e+8/HwZkthX58r8foGh8qn7vP/YpFy6n9/K/1gk4B0qE7z/PWGSAw/Tyv0Xd +1hyJj+8/Ih91v97u8r8ihBRIB6bvP1LyvmOA4vK//jh8Ddeo7z8loyn/4uDy +v9nt49Kmq+8/Svfs3UDf8r+QV7NdRrHvP0CbMRzt2/K//ypSc4W87z+0w36W +/NTyv9rfuThVv+8/WUtsai7T8r+2lCH+JMLvPwVU19FX0fK/bv7wiMTH7z99 ++eRNjs3yv9zRj54D0+8/vxIS7m3F8r+4hvdj09XvPxVpr5dAw/K/lDtfKaPY +7z9wZpq5AMHyv0ulLrRC3u8/WD7JKT+88r8mWpZ5EuHvP362ROu2ufK/Ag/+ +PuLj7z/9XS+qDrfyv7p4zcmB6e8/6k7XiUWx8r+WLTWPUezvP/89okYTrvK/ +ceKcVCHv7z/FOHUVnKryv0yXBBrx8e8/oET538um8r8oTGzfwPTvPytYgIKD +ovK/BAHUpJD37z99T5YMjp3yv+C1O2pg+u8/DyKkQIOX8r+8aqMvMP3vPxYE +nU9Tj/K/lx8L9f//7z+J9lk11Xnyv0R0BL0= + "]]}, "Charting`Private`Tag#3"], { + Directive[{ + Opacity[0.2], + Hue[0.67, 0.6, 0.6]}], + + Line[{{0.9827337978676478, -1.1869541139600128`}, { + 0.9830526754186683, -1.186634453176522}, { + 0.9833715529696887, -1.1863147923930313`}}], + + Line[{{0.9741719939012652, -1.1950800400135033`}, { + 0.9746826644055703, -1.1946140255113218`}}]}}}, {}}, + "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>]]& )[<| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 1.}, {-1.75, 1.75}}, + "Frame" -> {{True, True}, {True, True}}, "AxesOrigin" -> {0, 0}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> Directive[ + Opacity[0], FontFamily -> "Times", FontSize -> 10], + "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxF2Xc41e//B3CzRCEtlFSkSYs08GpoIZ+KjJKdQiGkpJItREm2SqHIStlx +m9n7nGNm73EOZ7wrrd/tur7n/P7qelyX6/R+3+/7fr3u+35uNLe/cJWHi4uL +xs3FtfCvxtXxlpKJi2o9S4SnI0bpiO+4pEOfhCKcKY05FLqBgfa9ioz9JKEO +a52zfc4cYKDhdbIed+S0Icj7b8kZDQbSbGjPipPQha4E7SxdQwaSDZJK/Cdt +CJl1wvluVgz0W8MiwkzOGHjs9whoOjLQpDepwizcDB494x5f9YCB9C2/F4VK +WIKwya0YMT8GqjgumVseawWRo8OtVk8ZaLeMaiZT2hre+a/4Jx/FQAKDnm/0 +5Oxg9bkNjhbJDFTQ39pQrusAiybrYqmZDORwzlLDKPwWfLq7MndrHgN92+V3 +/ImEMxzQKPOtrWSgsFfiJZsv34Zxq9YbUM9AGiIpKsWxLhDkQdr+sJWBcqj1 +SjRpV7D1Eba36WWg4DSxreflHoK3Qr7TZSYDnZBKSJi45g6LzbRsPX4y0MOv +0aKluo9AcX+u0OO/DKS4f+cTw3APaDjtJKIuwESvV/3nGyDhDQrMXzlPJZnI +rSGiQq/AG7T28HRPr2ciPZ9+HpnLPkAqrf2nKMNES1m33AtjfcHOWKUoaAcT +3SWF3Z2Wfgy95Rt+2B1movPPu2y05YIhv2mfiacBE+3UlEmWrAoGq74fejOX +mUiA98bY6LUQ6PV6feysCROZ5P/9iHSfgiVN4/jMVSZate2pgkH4M5AljezJ +cWQiD4FPWx5LhIHqvubMtwFMtP3UM/8shzCokyxVLHzCRK0+9hPdVWGQeNFX +tfYpE8ny7vyg4PIC2hYTGU3hTPT1T4ICqTUcrAckrz94g5+fEa4kHRQFj7tb +BR/nMVHkt3vHs/++BGLqSNOJfiY6us4woffiKzB513ctYpCJJi8p8wukvYLz +q5b4Dw8z0fppV4s0+dcQu/fHu1sTTGRvvX8zV89rcOHt0DCkM5GYZcb7xANv +YMXz9n5bXhYyMIzPmJ1LAFqer+/8JhaidesKvpFMBIvB8Kf5sizkayxwVed4 +IqTc9l/rIsdCnyzsJXPCEqGV27Z5bBsLCdup+rgpJ8GDj7dvp+9moUrPzkuL +HryD9EnJ442qLLQ3dfmidQIpoPUs8oi7HgvVKFSaNuxOAf5QPaF5fRYy/Xi3 +8KFhCthfoy5yMmShJzl9DgMpKbBM9mC8iRELjZekdSed/QAh9emtMuYs9JJ8 +5uOe56kgdyP7/uWbLCT0z8Po1PoMGD8yOfDKk4UirfWNd57MAMFJP6MBLxaS +Je00XW6XAbkOOzw2+rCQWnK7RXdRBsz98W+N82Oh/OTw7FyFTDg4JdjlG8RC +MzrTXzQbsVNP+60LZ6GL7yPqnJZlwd5HMbpX37PQ5vPU8fLAz+Apv/F6aw0L +SbqfOnQt/jOcUnh8d6iWhUTTXgcK5n4GO5/yB4w6FpoX0FE4P/gZXLRW3BRt +ZKHmklyn3gPZELqMJajWykL3dnv8/TGSDW2BHi+su1ioUWTlSoUjuSBZE5kt +NMlCdxoPQiQzHz6eINxLFhFIWCF7pEagAMoIh7KAxQRKeLI76Ne6AogK0jfR +FSBQi9aWTuMTBeDwXfvDyBIC7ahb6bQ5vAC6MkZfcS0jUF8VLSlLuRBCg7Ui +xFcQ6FRponDDvS9Qf0jSkLyeQGs+L+/l5kag8ipR660igYyCfukOr0awPuDk +Tz0lAr2xHKn7uhMB/0YbEcH9BNq5Kj8/0ADBh6Xh9+yUCXTMxfTFqkwEkvzN +RrsPEWh/rH5S2K4S6Pg73xQJBDIzTrryvrcENtqOHeDSIFDO4PH6JpUygBVj +AepXCEQ57TH35FQZXCs5xUvCJtKLV2tdKAMNupuAhTGBlN0OmlVfKwPJzd0F +7iYEyluxiygJLQNjorDmkxmB8tUlN2SNl8H4TY/+RVYE+pI06xQWVg7G189c +vmxHoDKbuLWG0xVwgmezZtMDAn2asLU1JiogWmR9rspDPJ7XDxVacFVCGr9e +czK2j1X7JbuVlVDeZSTk5U6g0+bLo71UKuGmSEbyHg8CNRn6iKcHVYJ9VGe2 +uzeBek7fXMUr/xUiufc79QcQiCWnKpJ2swo05Dz/FIcTSG3wWFXA3SoIdlzR +vSGCQL5xp92ve1VBTYLWVU/s1St1aTJRVaCu6vdIPRKPH7dtU3RFFcTblLdX +RBHobndkiP/aahg4XKGUE0ug3yFMEcuaaohwdF585Q2BeH5+EF27uRZyaqSE +K1MJtLbhGAN21ULv3KS+cBqBFOM7yZYHa+EX9Ze5PvbVM4tj0s/WgkWQddE4 +dnWU+eZjLrXw03jGVyCDQCGHJA5ZV9XCzp3c/iofCbTuvq9FrnUdaL9xXHMn +m0BK56RO9jjVwUslv8o8bG3Zz1u5H9aBOSPpwDy2e/3AjEZoHQxedLW7n0Og +wXWqd3sL6uBf4oO1D3MJlFzECOJfWg8TPVrPXfLx+/4zzdFJr4e0Ce5clSIC +aZ0t8TyRVw/i1hdWuWBbxEj/p1xWD5Nqe9UysJ8q945JUurhKfGXtqGYQBP2 +lyUG/9SDrLwZhRsRKLb/4n2Hsw0QGfO+LL+EQNxlGseeTDfAmWcr83gqCCQu +miLsTjTApa8tYUrYCsZLuh24GmEkg6Z2HfvSfJWT7spGSMt+86se+/PeE4lr +VRohSkpJIqySQFZvQSAlsBEybmt9F68iUL23YuPX7U0wEZTZ8KeGQIdE6nie +KTXBlfe5pxVqCfQ+ykz58pEm8Nm/KdAY2ys9+DXtYhPEa3pZFWMf6hh3FPdo +gv1ja++51eG/3xG3xqa9CaKGnm+bqsfra8Izc9GxZng6nKEV30QgV4XGWttT +zfD2S+dALXamk8RIs1Yz2CRoHmVir/+bIRGj3wxKVGOTk80E+in2zXPXzWaw +0HwjOY6dqaJ80SCyGaJvXHy9sZVA0k+nfiZTm4ESe/veTRKBis3ck7MYzRAv +uHZHMLbxvhWGhT+aYX3T4Yx07FjKobx6nhYgFu3aS8MWlwpwoa1ugcvrImTt +yAQSS9nKUIQW0DZfV2NBIRB/xdVpFNIC+kZ0N4UOvN5e/IypDmsBwStz+Wew +1a890WyJagFa//kGS2xPweyUwbctILnjlnM09t9zfNb8eS3AvFZQydtJoB/f +3oxo9LfAvEv/o0bsqe99veTdrZATFVKg3o3ry7WQMo39rUD6ZhphhP2oXS0J +HW6FB8Zy6s7Yq3PjbiafbIWt/c3L3nYv1K/Lv+8btcKsi7PFX+xoJkVC1r8V +zB9qy6X24PVi6fsn8kkr2DqsFSzF3k1SGlj2vBVs8geKydhfP4W9/x7XClAf +FfMXe87xgnLdp1aYD74lo/0N14+5Bl3HvlaY5ra4N4y9wuzBgbHhVuguW3ub +wO5t3rnOaLIVeCW+HhXoJZBzZuDQCVYrdI7sOL8D+5X9GUcJoTZ4u7j/jD02 +MVMRUrK/DfaXDWVQsUuvODspqbRBfERm8x/soAYZ/ZSjbcDYfrliaR+BNqV5 +rg/TagNNLi/Z7dhnbxxJu2beBp0b0mrMsRMmC2uFg9tAw73iUx22XcR/MjbP +2+B7cGFTO7ay+pBbZWQbvLktVDqEXRsnKH//bRvUBk7I/MKeO2cYMpHXBleX +LZ7e0k+ggj/TY+rFbdCvJf95L7Z3yqMjr8vbwGhK7IIqtjjf+zm9xjYwb9YW +uYCtlkvoVAy1ARd1/KkrtoBlQKr0RBv8XWcj4IXdKrqe343aBu7VwnpB2Fdt +TuTs+dkG8zSG00vsIKkw8VfCJFjG8C4swdar3XJrfgUJLhW4jlRjS98prLko +QQL/MsZIM3ZW8+C9pbIkGK9+Z9OP3em155vrQRJESZh4/8F+s7tSiaxGgu/P +Gyz4Bgh045tB8G51Euyt2yAphM2l/AjGtEmwzztBSBxbbrIxXteSBO3F13l3 +Y8+Gm89nWJOg6Ld6jBJ2wXHigpA9CXYfthA8jH02ToqvzJUEJ3Oe253Adj53 +w2pXCAkSUvnOGWCr/flXHBBGAoF9e94aYS9Oeb5mNIoEDNJ8gyl2NG9hdWwC +CYb1Q1OuY1tmam/8kUwCg2gXoxvYClcGXXUySKBQKDtqj12as2SHYAEJHP4J +erhgB1jEeV1F+PeOGoa6YuuK7ukpqSCBVHyc233s9UUViutqSUCsGVJxxx63 +Nnhyp4kEllGKFA/srNXTI60kEuwSjTvlje1W7q6m0EUCfpMtz3yxhaXe0YaH +Sbie1acGYB/R8/o2NEECT9tW0yBsxxCTukEqCQJ4ztGfYCdUH84fYJCg31Tb +LASbwi3+rv8HCfiUKGlPsQUOM8P6/pAgeMts+zPsQ87Nnr08ZFjRndAXuvB9 +0lIdvi0mg/L074rn2C9H/Y17lpIhZY7LPwy7WfqqVvdyMqjdz9/6ApvH8Oih +rtVkIK/e9W7BiqFSWzvXkuH+SRvecGyrup+rOjaQQTfASXXBkXwU3vbNZCi4 +rHNxwbWqWXPk7WTYpiCmueDfLsF9pF1k0LPPW79ghUybhjZFMuz0025e+H3T +iZOFrQfJ0NTeY7Lg0E0yyS1qZIgvsm1YeL6Ky//Cm4+TQSeEV2LBRFi3d9Np +MtTHpR5beJ+tjbmOjWfx8yjaayy876XFYaYNF8jgGqmze2E8go44aNfrk2Gd +oDlzYfyKXbVU6ozI4FX+Jjx4YT5mbd1ea0YGrZ9SaxbGe9M0n3iNFRmcu7pv +By58/80D/NW2ZLj1dDjrMbavcRHjqwMZROBIox92XkTUQOVtMkTwfa/ywZ5s +vt1UcY8MpT9FX3stzOfjCh/KvMlwtiB46iH2w/uCUaWPyRAcw2OyMJ8ys0d9 +S4LJoNLxM3Nhvq3c+sq8OJIM9jqhs07YJ83czhXFkWHT/eO9Dth3o/XVvrwh +QxIpIuUmds9SUcmCVDL8TDvTZ7Uwv05OL87/SIbamZxTFgvzy72alZtDBsfu +0WCThfk096glu4SMzz/p+foL84My5/+RRIb+fZ88Ti7MD5FGl8xOMuhniwwc +XZgfp1MsM3rJ8G7ghrTqwnwoND+SNk6Gr+RTB/ctfN9Xbd/f/ybD4ZMXB6UW +vm9nxsg7bgrYXQk6tLD+TcWC2pIWUUCiictRbOF7eqtnJIhSwCAPPVy08H2s +s61ey1KgQN1KdAbXl8kjaf5C2ynwPf9Yywj2KfHEFJddFLiwuMe2F5unKoyq +dYgCN8JsFJqwXWWdXX7+R4Gl/b8m0rEpv2wjLS9SQDm93DUJW7HNoqDpEgWC +7oQOxWHPeuj8TbxKgfEg6xML9dKqb6/vBTcKHDzx+9g17Iqc7e+LHlEg8+X8 +2yvYm4I31W71pcDU9dN9OtjfVMSE/z2lwJFlxDBg60bPvfiQRAEpg1D51dhH +L2Ym8rVSYNuYe2Ehrv8vd76vsm+nwLxsZGUG9m/e1xNdPRSo7AtMeYudlxUi +/3GMAq1zW/gDsRVE7bOv/KHA9X0b/upjr6uTr8zZ2g7G5jaLphb625EPw9fd +26H9MT2Zhfvjl4SpmDve7eC3ufHQKLanwE4d38ftwB24J5WCLdycWvr2eTv4 +iyTvzsWWM0l/2fuuHbaGCZW6YOs9+Gig29wOzsdW8c/i/pydn1sPGzvASnvV +iTrc7+9J/fDSlusAkS1D9FzsIx4HDl/Z0QFKmb88ErDrT+cn31PqAO4NsuoP +sIfbC3yzz3SAfBrTQB57JVF0ZIdjBxAWUTV+Xbie7634vLq8A24f1qZuxvsT +K8UNr59VdwBPgmf/UmyD/fcDlzZ2wLJQtwwG3t8cPqRoztPZAc8UKUMl2DzH +EkSo1A4IYE4lGWKHnPe2qZTsBOvVzrHe7QRKcVDf4OzYCUJhdY4leL8V6/ha +iHanEzTemAm+xX7i/JuwftAJBiFB7j7YDnc/N5j4d0JGSz6PBrbyI1k3zZed +4O+3/mYL3r9VhPBRNtV2Qvguq/bONnxeTK8IbNnYBRdU0na0tOD1McM3vXdL +F6hVl63PxC7ZeUIrbGcXbKSbzQVjv06pXKqv3AVMtwtKWtjmSV+f9Gh1QYjw +swOVeL85GlcdMnanC1TurNvzEe9PZ4Lqn/9p6ILGWHPhWw0E+mVDitl2rxtC +k34EPavG823/lWcK7t3gu9NL0xY7lXvUd593N7x26qGqYxtHfr+lGtwN9jFL +hr/j/XdppeTp82+6YU4yy98I22+DGfNubTcMxp0v3vAV77coM1rVkj3wQIxO +DyvH5+Oji/9aFfaA2Zm8Q/L4/HDqzcZrH9f0wifnrKVL8XnnjpTJpgGjPrjA +sw+teovPu9MXhdrP9gP/4/gI8nP8eyKzAhXz/bBdOCjvGT4P8qGYDJnYATja +e65sBp83bRULO5doDsI/iuOPfwYE+nDG/HUxMQhnZvkaC47i8+GUpOho+BCQ +WDnOd7bj+ahzijdMfRhulV7o8RXB56fTPq8+jw7D4+dSXiVMFtr4TaPjrc8I +8E0Vb2d2sNAhZe8wib2j4IUMInnzWchofdNP7rZR8LluIfgoioVYtU8GHTzG +IG2p+ZJrt1m4fxk7X5AdhwiZCteG8yy0ojf7DU/FOJQF8OwRlGch/gceugdv +TQD3uMU1goeFuJKNMwbFJuFy8aH+B51M5Bid8USsbBK+xAeb/khmoqId/gLL +7aZgdHvV4nt3mWi5huNOT+FpCJXxueZ7iol65JvbXL9Mg3asVM4KESbKfAmy +w8YzMLC390YzhYGuZOxfLvV3Bn6eaw8siWGgnEs/hJu5qPAlVE8nJ5qBhBcV +LPXipcIw+fKXD1EMVGKkKjAhQIXVlxUcXkQw0CZB9X+fV1Dhgv8xDcvnDDRq +eX5GaxsVlK2DhWkBDGQneaPmvi4VzFqumHx0ZaCvlfJVu/SpEFk07hR1l4Gk +b9EqBg2p4BYYxetxh4GaqxxLTptQwSbub6D2bQbae9s1d6UNFfJSnnENOTDQ +9ybfxFR3KqgP9K2hXWOgRz7xHj0pVJDweyF19yIDLbuzWMMsjQorUN+yK7oM +FH39pthoBhUeconwHtVhoE+aB9/SPlNh/NG5Dv7z+HmXt1TwICpoS5Yt99Ri +IM2XXALb2qiQgZz2HzzOwOcTk+Dbv6jwpyLlIl2Bgd6+r9Sb/0OF0yq/ljyR +Z6Dd0Tuk3blooAEpGRt3MtCZB98z/PlpECNnsnv/Ngai2F25u0yABr7icU6f +tzCQuWn5kVBBGkQ6W9psk2Og+8dDWmJEaKDn/OcsfRMDLVFiRW0Qo4HgjAr1 +2EYGCpe7bJ64kgYHu9be8ZLG4y1eun37GhoUu6XzfpRioIwlWxjpEjTYSjva +WLWWgVR+BRXuW0cDj/uDVWUSDFQ9TffKW0+DzBNj49FrGOhir4GW6kYaMCkO +rBOrGGiwqXhlmQwNvEyOiX4WYyD7UtlvJ+VocKo/TrtWhIF+ZwUk1m2lgUj5 +JX7dpQzknzB789wOGhglFdytXcxAq8L19pPlaZCwgRL/9i8dyQ0GO7Gwueb6 +xCd//7+1NmvG7J2nc/7eePfL9+Y/6Jzf2xdg+vL2DJ3z/4l+D4+VHKNznqev +ReZT1QCd87x+mSdViR465/0kLvBISZPonPfP9vLrpDbROeMj2H89j1JH54xn +bF3HanI5nTPescLdc4OIzvkedmT5YKKQzvmeYdLfthim0jnf+xtvnIlPAp0z +H2wYKRcjXtM58+WL0tWaxFg6yty+W/O/MSrUqjzOu3Wfjh5aRm5T+UaFOrW1 +rm22dGTpUR+5twOvD4mIYFMLOuoIsWpOI1Nh/XvuxetN6Jz56Cf80I58ic6Z +rz7iUjq5ynTOfJ6+9+tg9GY6Z75/nZXWs15H56wHy3SuB9Vr6Jz1IjbUum7T +CjpnPRWF52WcLpnjrDdzXY2C0bA5znrckxY7UvtwjrNe75n+F5DgNMdZz0Gu ++X5CdnOc9V7ukPbF7vocpx6YXv9w6dvSOU69EM5oshHuneXUk4Mh1/Wdv85y +6k0eeZdpbMEspx4VWSzqTfs8y6lXxUfdSorSZzn1bEhPun1JJJVT7zLkddY8 +Ik9x6uHsubjE/aITnHr5md8ruC1rlFNPReNHpkMvDXPq7Yttez7myw5y6rFN +vGiUn2o/p15fW71IzSaph1PP5Z+9UOM/18mp9+62KDZFjMLpB2Pchb07yls5 +/aLydJSRw4cmTj85+s2Pr/Z1DaffUBSe6PhDBacftYslJQ91FHH6lY9iVMKx +8ixOPytKN498GhPP6XdfRgO4XvDdA3Y/nN5+RKpb4D2w+6VHw7zSF9tsYPdT +rtXy6bI6CNj91nENM/bE1gpg9+PNVdad4enVwO7XHUnHWw7fbQD2fiF5b//n ++1PNwN6vfDzOc3RUtQ3Y+68NfK17x8PJwN5PRt+W4n5W3A7s/fiWzryh20Jd +wD6vnqibmby+rQfY9yGjzlP7Htv1Avt+qU+PXthn0g/s+7JQ7lOa6Y4DwL7/ +W2ZywFYhZhDY95kT4frDfBlDwL6fTaKXqNbXDQP7fhipkVPauUeBfT8tPnIp +PmvzGLDvx21LTr2qtxoH9v287vKYZ5fyJ4CdD8is25MX+G8S2PlEfZWWmtet +aWDnI+/601THB2aAncdYKB4/55hKBXZeYzh/K6pgNQ3YeY7/ePuvK2dpwM57 +mLMH9SSscR/5Xx5UPz3VJnaHBuy8SJJVk7P6IQ3YeVLJC3V7aR/cZ/6XX9Xl +yTyvODoL7HxLV0Loz0u/WWDnXwrPE2cyU2eBnY+5GJxI70OzwM7PSo1SpRJr +ZoGdr32Ik+MNa5wFdv72Qk3rbGDbLLDzvfgvdgc0N84BO//LrHCok9OaA3Y+ +6Hxhj96U+Ryw80OeP1q1n+zmgJ0vPpjYqPDZeQ7Y+eOQh9HD6ntzwM4zq/m4 +Hn5JnwN23rlK+r/1gXVzwM5D3+nOo5GuOWDnpaOLEp92D84BO0+lOHxwWTw1 +B+w8ds0qk+YzwnRg57Xxi/hcpjfSgZ3nxmQGSNvspAM7772RnlPqrEwHdj48 +rRVKf/8fHdj58dibWGeFK3Rg58ugkR4jdIMO7Pz54NYv0fX36cDOp0s27mnx +fEoHdn5d4T3GN5tMB3a+LeMmse/1CB3+DzBu7NY= + "]]}}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwV13k4lN8XAHBrSaK0IaSSNqSylNRRKSX5tVgjskQoZCupZE3Wwteu7EUx +WuzlWiPrGDNjzZaEmEnvzNuu352/5vk8zzz3ue895557zgY797OXBPj4+G7y +8/Hxfg0uTXXXTpscHFoiPps0+Q0JHZHxGJFWhxN1adpxCgTa8zg5/ZW0Hqzz +Lg09sZdAE7KKgdeVjCAqZKH2hAGBTnb0vsyQNoaBXKOXxhYEUoySy/u33gJK +2sQr/R0J9MfAPslWyRoE3HeJnPQk0EwIvdE20RbuPuSfWn2bQGYO39/GSTuA +uM21NMl7BGo8IlPekO4IyZMTNMcHBFLbdKCEs94ZnoSv/KeSQiCR8aBsUyU3 +WHNawdO+gEBVo7SOBmMPWDTTls4qIZDHaQcDq8Rr8OrGqvKtFQT6sPPekWhp +b9hrUB/W2kSghMdStZstfWDKkXYF2glkIFGoU5PuC1GB9O13aAQqY7VrsNf7 +gWuouLvLMIFiiiS3nlG6AyGqlV6WHAIdlcvNnXYKgMW2hq6BPwl0513q8jrj +u6CuWb70/gKB1DWVoy0SA6HjuJeEnggHZa7+X1iEdAiocn6XPZDhIP+OpEbT +qhAw3CUwOCvPQaahowKbLEOBXtf6T30TB4lxrwVUp4eBm7XO26gdHHSDnnBj +dv19GG5Q+OG2n4POxA+4GCnFQGXXHpsgcw5SPrmpQKY5BhxHfpjOWXKQiOCV +z5NOsTAcnHn4lA0H2VQuvEDGD8CBbXBk7hIHrd72QNU88SEo0j/tKvPkoECR +V1vuSyfAgT3UkpwIDtqu/zD8pUcCtMnUqVdHcxAt1H16sDkB8kzCDrQ+4CBF +QeVnqr7/Qc9iktKVyEHv/uaq0mmJ4Dwmc/l2Nt4/kaixPioF7g/SRO9XcFDy +h5tHShceAflFt+voKAcdkrXIHTZ5DDZPRpySxjlo5ryWsEjRYzizekn4xAQH +yc/62RepZEL67h9Prk1zkLuz5ma+oUzwFewzsPjGQZIOlKd5e7NhZXzvqKsg +F5lbZFG+zucCuyIs7NdGLmIPGotmy+SB/Xjig0pFLgqzFrl07kgeFPqEr/NV +4qJX9u4yZQl5QON3pX7exkXibgdC/bXy4fYLH59iNS5qCuo/v+j2EyiekTnS +eYCLdj9fsUhWpBAMHybrBphy0XvVposdaoUgHGe69JcZF118caP6jkUhuDux +FnlZcFF02YjHWGEhLFPcl2VjxUVTtUWD+aeeQWx7MW2THRc9Ypx4sSv+OShd +Kb1leZWLlv4LtNKXp8CU7szY4yAuSnY2s1Y+RgHRmXtWY8FcpEhXvrjCjQLl +HjsCN4Ry0cGCXvvBtxSY/xtOy7jHRZUFiaXlqiWw74voQFgUF82dm31zshP7 ++fF7solcZPI0qc1r2UvYfTfN+NJTLtp8hjXVEPkaglQ2XKa95yKZAH1tp6zX +oK96/8bHVi5aXpQZKVr+GtxCG24TbVz0S+Sc6pnx1+BruPLq8k4uotaWew3v +LYW4ZVzRgzQuuqkWuPDjUyn0RAb+5zzARZ0Sq1ap6paDzPvk0qUzXHS9cx8k +cyrhxVEyoHYRicRVSz+9F6mCetKjPmIxiXKj1aJ+y1ZBSpSZjbEIiboNt/Rb +H60Cj+9Gzz4tIdGOtlVemxOrYIAy+ZhvGYlGmtn5L7WqIS7GMElqJYn06/LE +O26+gXZtGQuGPInWvl4xzM+PQOdxnmGOOomson4bT6xBIB9x7KepBomyHT61 +vVNGILzBRUJUk0TKqysrI80RPBNLvOmmRaLDvhf/W12CQEaYaqWmTSLNdLP8 +hJ210LfwqysZSGRrnX/h6XAtbHD9vJfPgERl40fau3TqAVZ+jtC7QCLm8cD5 +aP16cKrVF6Rjk8U1awzP1oPBN38Re2sSafnvs21xqgeZzYNVATYkqli5k6yN +qwdrsvr9K1sSVerJKLycqoepq4GjixxJ9Cb/q1dCQgNYXz5haelGonqXjHUW +s41wVGDzya7bJHo17epqTTZCqoR8uc4dfJ6Xtavt+ZqgSNiUWoAd6th73m1V +EzQMWC0NDiDRcbsVqcE6TXBVglKwK5BEXRahUsVRTeCe0l8aEEKioeNXVwuq +vINkfk2v0QgScZUOSBRdbQYDpaC/NYkkOjh+uDniRjPEeK4cVEgiUVjG8YDL +wc3wPtfwUhD2mlXG7E0pzaB34N5dvWR8fvyuXamNzZDl0tDbmEKiG4PJseHr +WmBsf6NGWTqJ/sRyJBzet0CSp/fiC9kkEvj5bPm6za1Q9l5OvOk5idZ1HCZg +ZysMz8+YiReRSD2rn+GwrxV+s37bmWFfOrE4rfhUK9hHOb+dwm5Jsdt82LcV +flrPhYlQSBSrLa3t3NwKysr84TovSCR7K8y+3LkNjLI9114vJZHGabljQ15t +8EjjXlMFtpHi6638d9rAjsjf+ws7oH1sziCuDcZN/NxulZFoXPbAjeGqNviX +d3vdnXISFbwlooTF2mF6yDDetxJ/77+LZeeK26Fomr9c5y2JDE/VBh2taAcp +57OrfbHt09b/T6u+HWYO7j5IwX6gNfxZhtkOD8gFtkINiabdLaXH/7aDooot +kx+RKH3U5JbHqQ5ITntaX1lLIv56g8PRsx1w4uGqCoFGEkktLxQPIDvg/Lvu +BA1sVeslgx58nfCJwj54Gfv8r2Yv41WdUFSa/bsd+/Xuo3nrdDohRU5DOqGJ +RI45IFIY2QkUH8PvUs0kag9R73y3vQumo0o6/r4nkbZEm8BDjS648LT8uGor +iZ6m2GpZ6nZBqObGSGvs4OKYTLZJF2SdDHaswdbum/KUCuwCzc/rbvq34f/v +yFjr0tsFKR/jt31px/drOqhk0WEqPJigGGZ1kchPtbPVVZ8KOW/6x1qxS7yk +P1ENqeCSe/IQB1t+gSKdZkYFDZa1zTEqiX5KfgjaeZUK9iezZaawS3S0TMyT +qZB6xSRzA41E6x98+VnAogIz3efmVTqJamwDCl4SVMgSXbcjBtt6z0qL6h9U +kO/aTynGTmdqV7QLdAO5aOduNraUXIQve003WMomKboxSCRZuJVQh24wspN9 +b88kkXDjpVkU2w1mVt/8VfvwffvvZ1pLQjeIXpivPIGt5xR9sjulG9ijZzoc +sINESwvHc7pBZsc171TshdNCzsIV3cBxqmoS7CfRjw/ZnwxGu+GX7+jdTuwv +30eGGWo0KEuJrdIbxPXFKbbeQJMG9A8Xk6yw7/YezEf7aXDbWknPG3tNecbV +gmM02DpKXZYzyKtfln9uWdHgq6+3/QJ2KocprRhOA7s7RkrPh/B9cQj7mxxN +A1ePdaJ12Gp0jbFl8TRwqRyrYWC/e5Xw9HsGDaA9JW0Be97zrFbbKxr8irm2 +yegDrh/zHcaeIzSY5be/OYG90vb23s8TNBisX+dDYg9TlWWtZmggKP3ukMgw +ibxLIj8e5dKg/9OOMzuwH7uf8JRe2gM5i0dPuGOTc42xtZo9oFn/kcLCrrvg +7aWh0wNZSSXUv9hRHZvMCg/1ALHdslFshEQbi4LkEwx74CRfsOJ27FNXdIuc +7HqgX6HovR127kx1q3hMDxgENL5qw3ZL+t8ml/ge+B5T3dWLraX30b8puQey +fZbWfcRuzRBVuZXTA62R05t+Y8+ftoidruiBS8sWz24ZJVHV39nPejU9MGqo +8no3dkjhXd3Mhh6w+iJ59gC2lNDTedPOHrCjGkmcxT5YTp5r/NgDfKypB37Y +Ig4Rz9dP98CCrItIMDZtubywP6sHAlrETaOwL7kcLdv1swd+sQmvR9hRcglS +j8XpsIwIqa7FNm3dcu3XSjqcr/L71IK9/nr1exNpOoTXE5+o2C+p4zfFFOkw +1fLEZRS7P3jXB799dEiRtgn5i52t1qTBOEiH7/Ed9kJjJLrywTxGTY8Ou9sU +ZJZi82ndhc9GdNgTkrtUCltppjPL2IEOvTWXBdWwvyba/aI40+HtH700Deyq +I+TZpe50UNtvL7of+1SGnFC9Hx2OlcW7HcX2Pn3FcWcsHXKfC502xz74919N +RAIdRPbsyrHCXlwYv3YyhQ4E/VfHRexUweqW9Fw6TJjFFV7Gdigx2vCjgA7m +qb5WV7BVL4z7naPQQbVacdIdu65syQ7RKjp4/BMN9MWOsM8IvoTweocs4vyw +jZfvGqptpINcVob/LWz5t43qsq10INd+1AnAnnI2j77eRQeHFHVmIPbLNbOf +aHQ67FyeoR+C7d8QcFB1gA7CNlsehmGLyz1hT0zQcT1rfx6BrWsa/OHjNB2C +XGkXo7A9Y23axll0iBA4/S0aO7dlf+UYQYfRi0a2sdhMfqknoz/oIKTBLHqA +LbKfkzDylw4xW772PsTW9qYGDQswYOVg7kgcLz5Fzz0+LGaA1uyfxnjsR5Ph +1kNiDCic5wtPwKauv2Q4uIIBB29Vbv0PW8DikPbAGgYw1ux8wrN6nNzW/nUM +uHXMRTAR27Ht5+o+BQYYR3gd4DlZiCnYu5kBVZbnTHhuPfBynrGdAdtUJU/y +/Mc3ZoS+kwGm7hXyPKuWuHT0qDNA+Z4Rlbf+xelj1bR9DOjqHbLhOW7jpoLu +gwzIeuvawdtfo+W/ROoRBpyLFZTmmUwYDOk6zoD2jOeHed+ztbPcs/MU3o+6 +uwHve88vTrjYcZYBfsnn1HjnEaXrYdRuxgBZUTsO7/xq/Ax12qwYENyQnRjD +y8eXW7e32jLA8KfcWt55b5wVknrvyADvgUGfSF78N48Jt7gy4NqDiZf3scOs +3xLvPBggAbqd97ArklLGmnwYkCT0vTkUe4bq09V4kwF1P5dnBvPy+Yjqs/oQ +BpyqivlyB/vOLdGUuvsMiEkTsOHlU0npZFhtDAN0+n6W8PJt1dbHdjXJDHA/ +F/fVC/uYrf/ptxkM2HjryLAH9o1Us4NvshmQT08qvIo9JLZcpuo5A34WnRhx +5OXXsdnFlS8Y0DpXpm/Py6+AFm55GQM8BydjbHj5NH+3u7SWgeef4kozXn4w +58Nf0BkwuudV4DFefkh0+pb0M8CsVGLsEC8/jhc6UIYZ8GTsyvoDvHyottMt +mmLAO4b+vj28+D7u+f70DwP2HzMZl+PFt5/y6Qk/E9wuRGnz7v9Fyaie/EVM +kO7i85TkxTNEj5K7nAnmFejOIl58nEsdMxWZUKXnuHwO15cZ3aLwpduZ8L3y +cPcnbH2pvELfnUw4u3jIdRhboDmBZajNhCsJLqpd2H6K3r4//8cEsdHf08XY +zN+uyQ4mTNAqbvDLx1bvsa/qOs+EqOtxHzOwvwaeW8i7xISpKOejvHrpOLI7 +7Kw/E/Yd/XPYCbuxbPvTt3eZUPLoV84F7I0xG1u3hjHhy+XjI+ewP+hIiv97 +wATdZeQEYBunzv/3LJ8JcuZxKmuwD5mU5AnRmLDtc0B1Na7/j5SfNrv3MuGX +YnITBfuPYOb0wBATmkYiC3OwK17Gqrz4zATa/BbhSGzV5e6lF/4y4fIehQUz +bNk2laayrb1gbeey6AvvfdN9NnE5oBd6738r4OL38U3ul7TrIb1wb3On9iR2 +kIjyubD7vcAfues5E1uc+rwuJ74XwiUK1MqxlWyKHw0/6YWtCUvrfLFNb78w +N6b2gvfh1cJf8ftcWlneDhv6wNFo9dE2/N7flPsRbKTUBxJbPn4rx9YN3Lv/ +wo4+0Cj5HZiL3X68suCmRh/wKyjq3cae6K0KKz3RBypFHHMV7FXkW90dnn1A +2qe8vzeA6/nuxtdrGvrAZ78RazPuTxzVFTIftvSBQG7QqBi2ueatSLHOPlgW +508hcH+zX1vdTqC/Dx6qMz/WYgsczpVgsfoggvMl3wI79kyIS5NMPziv8U4P +6SVRoYeegrdnPyxNaPOsxf1WumfmUvb1fjDIthXNwY72/kM63+4H89iogFBs +jxuvO2zC+4HSXSlggK11V9H/5KN+CL8nf7Ub92+NsULMja39kLjTsbe/B8+L +xY2R3RsG4KxO0Y7ubnw/5oRmd28ZgIMt9fIl2LXKRw0TlAdgwzfb+RjszMIm +MTOtAeD4n9UwxLbLfxc9ZDgAseIP9zbhfnMyoyX28/UB0Lkuu+sF7k/notrj +/3YMQGe6nfi1DhL9dqGnbbs5CHH5P6IetuB807zwUDVgEMKUg0+6Yj/nnwzb +EzIImV5DLD1s6+Tv1w7EDIJ72pKJ77j/rmuSOX4mexDmZV6GW2HfU7Dl3Ggd +hPGMMzUK73C/xZwzbJEZgtuS374lNOD5+NDiBcfqIbA9UaGtgucH/ewNTi/W +DsMr75diYnjeuS5ns3HMagTOCuxBq3PwvDtrsrT31CgI389KYsTj9SS+ijT+ +GoXt4lEVD/E8KITSKJvSx+DQ8On6OTxvuqpX9y85OQ7/mJ4//pmT6NkJu8wa +chxOfBXqrDqE58MvMssnEz8CnVvmfX07zsdz+oIJehNwre7sUJgEnp+Ohz5+ +PTkB9+Plgms5XLThg0FfTugnEPpSs53Tx0XaWiEJ0rsnIRiZJwtWcpGVfNdP +/p5JCL1sL3o3hYu4rdHjHoGfoUjMbomTDxe/X9beZxWnIGlTo1/HGS5aOVya +LdA4BfURArtEVbhI+Hag8b5r08A/Ze9ECnARX4E1ZVxyBixrtEdv93OQZyol +WrJ+Bt5kxVz8UcBBb3eEi6xw+wKT25sX37zBQSsMPJWDxGchblOoU5g+Bw2p +UHv83syCUbpc2UoJDip5BIoT1nMwtnv4CpVJoAsUzRVyC3Pw83RvZG0agcrO +/xCn8rHgTZzpubJUAokvqhILFmTBBMPyzbMUAtVaHRCZFmHBGktVj/+SCLRR +VO/f65UsOBt+2MAhnkCTDmfmDLexQMs5RpwdQSA3mSvvbxmzwLb7gs0LPwK9 +a1Jp3mnGguS3U14pNwi0/hq7cdyCBf6RKYKB1wlEbfasPW7DApeMhUgjHwLt +9vErX+XCgorCh3wfPQj0vSss73kAC/TGRtaynQh0NzQrcKiQBdL3/pO7YUKg +ZdcXG9gWsWAlGll2wZhAqZevSk5SWHCHT0Lw0DkCvTq5L4f9mgVTd0/3CZ/B ++13R3SiAWGAkU78iyJBAJx/xiWzrYQEFeWnuO0Lg+cQmxuc3C/42Fpp8UyVQ +ztMm019/WXBc5/eSaBUCqaXuWB/AxwYDKKRsUCbQidvfKeHCbEhTslHT3EYg +ptuFG8tE2BAmleH1eguB7C426MaJsiHZ28FlmxKBbh2J7U6TYIOp999T3zYS +aIkGN0VBkg2iczqswxsIlKhkaZe3ig37BtZdD16Pz1uqbvv2tWyo8S8WfCFH +IMqSLUSxNBu2sg91Nq8jkM7vqOo9smwIvDXeXC9NoJbZb8EV8mwoOfp5KnUt +gUyGzQ0PbGADh+nBPbqaQONdNavqN7Eh2Obw8teSBHKvU/xwTIkN+qMZRq0S +BPrzMiKvbSsbJBrOCxuLESg89+vV0zvYYJVfdaN1MYFWJ5pqMlTYkKvAzMpZ ++IaUxmO8uNh88yNSM3++of8DC5If+g== + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVjnk81PkDh5GktBMqGmFWmFJR6Vq78d5+ahXaDtKSkNSKEpYOFauaSKVr +yDGJMh2OGblS6KNMKZQrIWeDQcb3O/MttWmr7ffH83pez3+Pie++TTvVVFRU +wr/zfzvuHGyoGNpsZ3qYvTi9n4G6vUFwN3sJJCcG1BW3GSy+miQoYK9Chcmi +hmPnGfQZmkUf4P4OmzllKbVHGDg9b8m/wnYFHEWpWnsYmJ0xEn7juGPgmiDM +ahuDfx13XN7O9YLc+SJzaz2DLX4fyy+y/bBHVPwwbDkDib3B3UrBLqTmxXEC +5jNYaGqb956zGxka6vvlJgw0pceuuXGDoD/du34ti0Hnghj7s+wwvArO3j9h +WAn+1RkV5lvDIdMQnm+XKuE4JWvFA8F+3HQdI/2vlSimapfSnEOYzllvfLpG +ifhc3TkbuZF4qq4SWSZSIn36+pNx7BPojfaMfBqhxOHnlyVu90/g6JCJVWGY +Em68HjXTrTyofXGuLghSYvJoSFSp4CTCNi1yG/ZV4uBL/kE55xTyJME1XGcl +Nl56HfA7Nx4ZZUE/OZkoEa1ZMPsUm48EO+d1p5sUmOtwITY/mI/sK9xx/BcK +NPL2DbVX8fHQM8dI+EwBs3Hzs632J2D/H6tF3USBJ18yrV42JsLqknAkL0eB +ye8Sl3LOJMOVrfUlLUaBpM4I+6KvaagpMb0kWanAH+4ZYoUyExUJq/ZxeDTo +dtdJ1wyEMBh9VqwXSeOkl+ZOF3shauXDTboHaBTs2GdQzBfivcLGjb2bBivI +lnd4+Q3EDrZ83raOxuNjbR4aR2/CfSwk+b4eDescHQ1DzSzsWGK/ITSHgta3 +aE8HYzFu9uTaDr4ZgflGarDydCFqq5ztjofIceCFDZLe34Op4aKS09/eQr9Q +p0tVlcBVJ/WCx70hFEvta+tWPEJghcPV2l2DeBRwZaa7XIIZ/R4Z+eYDGOXa +TsndWwVi15zVoiqD2qds7Znm1bjBVNjW1vRh2TefYhdRLYYSt/Spi3tRe2LJ +iydz6/CD90+BVqlScM4Pf7pN1eOiqoOTKPQNhj92dzUvbES3G1Pa7d2DzLel +1az4JsjChhefCuoCy+gm3df3EqtrRt76W3TgzO6iXelmrzC7raQ3XOs1Pvya +3ecf1YKUcCPVCw9aEGYtKdSrbMWP6o3Wg4nN6BZJTjeYvMYde7WVMtsmfA54 +mWoR0Y7b1j2FR4brMW/lhK+7SjvQesO+4ZeDz+FwzeTPO/pdMK/a3ZYoeooD +Rt6z3nh2I1T/vWD1HAnmyzdrtazrgYqepcjMhWDqFIWmZKwH0c/HlpYFFkGd +pIpNBW8gn/urUbvmLQQuKW2b6CRFmSxOJUE9AtlrfdMffJCiXOSbdD41g4wO +G2jLEnvBW5Kc+b/KfFLk4jCOv6oPLbo3bve2lpOoNbyrhbI+vLI66xILCTHp +dGy9zuvHys4Y9er0Z+Tn5Sf4bGsZHq9J9gzOriOexnWfVJtkGFAt7ZpX2UhG +q89Kg6MHEBVIBFm6r0iSulfYJrNBWF5IsBu/oY1M7Sq6piYZxJ96GnYBNzrI ++KPRrjYhQwjI0E6Ose0hKre9xFLdt0iwWHTnnpmUhKaIz+o+egvtjH75RY8+ +Uj4vVlMnaBiF44/HN+XLiI5j6PxjLDkUG64Il2kPkQ7L+qZDZXKILV30/24e +JnlpMOvzGkGvG6dlYhJFtomX6Rh9HcGDlYcrykUKUuzxD6tehUL5Do2u3EIF +YWncn3x8HIWS5gU+gvsKUuFpqzmkScHmnP+WsCcKMmvSqm+FUymwxHUBrC4F +kfltHHG2oODjn+3ROVlJggz2PDviSqEyOLcsyF9Jnjy2rFqwhcKZQ/ditIKU +hBNCS6TuFCJ81sdl/qUk9VWhFWu8KSzKFfRXRyqJdfihu9MCKPi6Ot6X8ZXk +Y91JYU7U97/EEvGaCiX5m5cR3ZFFQbe30XDWVIb8cGCC4/ZcCn4ilaNP9RmS +4r9XVyam8ETBcdttyJACJ5vrdCEFecRnmxRzhsh0GiRqhAJvhpHL3eUMcUpT +0bRoohDDigxq9mBI67ld9bnNFIxvqU4w9maIX3RtknUrhe3sy/E+OxgS6Zdk +saKTQo3dzENNgQzJm7vQaf0AheoVp0pCjjBE7653fPhnCmVLdz4TChhy/dZj +t7EvFALeZW2+nM6QhSnzOFEqNDrHXfHmZTJk7dGP4tjxNPicztnuOQw5Yn+u +IXUKjaBmy/gPpQyZuHQ0+UddGgJWu1JKGJLI3eornPa9a1r1misZIp44+52I +TWNSj3/JqxqGrPh8pnSxIY2i4zFtVB1DnsqZ4yXGNNib1Iw4LxkirXsw7ZEp +jZi832w/dDBk30Ozzt+4NLobTAuq3jDk3/w4Yc0cGtofEwUGAwyJzVTs3TCP +xuI4n7TwEYZMT3Rb1mxJw2th2i3ffxjClcb/Nfq9nc2dUq3HGPIf3a3Oig== + + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJwV13k8VF0YB3BLIhWRQkiytNglsj5CSCpCaFGyk6VISJaKt0TpJdlJEnot +kSXbkZ2ZsUciIkJZG3MnKt4zf83n+5n7ufec55xzn98Vu+J1xpGFiYlJh5mJ +ifFr7DjdXTdjqR2+FHvDOJaGNujt8h4VVAabav6EoiIaOpSekFIiqA8s4VuK +3lTT0ISwRNhNqVPgQn9ZR2qioROUgeJUQQt4I1wg5kihIYkokZfrojYgPE3N +5OijoT/G9s/spGyhRD3A7+8gDX2/19doF28HrPunpjxGacjKgV7zr6AD8Cu4 +RdyYoKFGvV3lDSlO0OXZ5rdvhoYUxLWKlkVdIWuLW+6TORriGL+TeVbKE7Y4 +uMSV0Wio8ksPpcHCG6qWrqyGrNCQt6mD8YX4a1AWNSG05y8NfZb/Ry9a0BeO +15lr0lgJFJcuUCd5/gaoDdgtKLATyJg7T7M2xQ96Qls5zTgJVDZPPrwgGgCB +lEww3EagR/m8+82kguHgVx0BDSECHRPJyppxDoHK/ac5ySIECm5O2vbeIhSU +etJCT+4hkLKKTLRNfBhMXDjsISlJoIwdpyMiBe+BJdHjoiVHoFuUZ41nK+9B +9cQ3Y2cFAp0N/8Iifj4cRr7YLkcoEWgL7VpIVUoElLtI2+aqEMi/L85/VvQB +jGn1HA7XJpBZ7Ce3U1KPgErlFx82IZDMCfHcXS2PQKveKz7yFIE4WK9OfXN+ +DNyFtZcOmRLo0ru1N8giBt7kGKf5mhNox4EYOev4J3BJwYW10oZAYRwl+x4I +xkF+wO2Fq44EOmj45H6xdxy8u1RKzDsRqCfca2aoJQ5W2c88v+pCIAlWmddy +fk/BeIbL5Lw7gZr/Zsn19cSD1ymTfI5rePzU+MOiUYnQpybkU3aLQKWKN+KN +viZCtdWq3d8gAtl6m9OvqSeBdlSb9tFgAhXOcb9rnE4CDg3+NRRKoDPT9zXd +jqXA/chq4eRwAiV8DtQrXUsD1Uim3p5HBDoqbJM1YpkOCiZLLfOPCfT9nCob +R3463DALu8rxhEC7ZwPs82UzAGK6ZpRjCeTlqiLJNJwBQX/o//k8IxCvQ2HO +yyOZ0FYvcPlxOoGsbZ4XLi5lAUn61WvPAgItDFlwZu56CTXfCvfpFBIowpbD +0VzvJVzN7j67rYhAJfZeu8riXkLA3NTwf28IxOWpFX5LNRuY6p2+978lUNOd +wXMbb78CTo/5qaVKAin9x7NRmCMPbhdLJVg1E6hNrukyRSEPBJG9F1sLgS6/ +8a8KtsmDxMhf5sXY0WWj3mN5eXBpLOfkpjYCTdflD2WffA19D8Y0/yMRKO3D +8TeKsf+BgyJnXUsXgTavh10w3F0IdRLLr+w+4Xq5WtnKGBTCTZ7UsSVsiT6Z +yzyehcC6h8s5bIhA2rkD9kM1hXCwwutc2jCB3uXGl5bLFYG41ZWNXSMEmjOf +rT7RUQTWB8VCt38lkGXOM5LP1mLgcuQo2vuDQCkX75g4iRVD5yf/1DTscV4P +ivXhYkj8YbYiOIvrfVu3U+tiMUQkdqxzzRHowZm5Hvb8YqBttLv8c55ANX90 +PyWblMCPlFHp9J8EkjSbn254+BYGKMsX41cItCvEUN35+VuoaMqr4lwl0Lb8 +jIec5W8BPlEjg7FXOczlzMbfgqvRwzCH3wTqqiv3GTlSCgoaVycP/CVQoELY +2q/JUjhmoK6SzERHHdx8fHI65eDK47NFhJ2OGrQ8HLsty0FjMmtbGHaFe3OZ +r3s5jD5SSZ3AftHib1MVXw57+b6Z5XHQkX/I5zSjuXJotRdTV+Cko70L2Qfs +kyqgZn3TP1Jb6ehmhxokLL+DIPf1wTpeOuKSK51s46iEhKqDujzb6SgrWiHq +t3AlTO1bNrXD7jbZN2h7rBKuWY7ZM/HRkTSJz0cyvhJ0e4Nc1XfQ0WjLQnax +ahVsfiivn8ZPR4bvX3JRAqth7BVL4j5hOhrZI1a69qgaFIs17nhg+4amnFd4 +UQ0a8r3CJdjPITYnllQNjbtPOWuJ0NHvmlDdc8I10EDPszm1m44KK8/7TdXU +QNEVnmW7PXTE/5ZnhJkZwUoc15SZBB1diPptMbETwSBrcHwsdqbDJKlZBkHV +VCm1D1tmx7t3D60RHDGsqDgrSUe6fpef7ihCcJ8j8MZZKTpSSbHKjpOvg41W +vb8N9tORnW32xZyROmBKUlOcl6aje8ZCiYkzdbDU+2OflAwdZavE9EUu10FM +gM6Hi9izXIEnPDjfw4JrhwUZ2w+dVFNSeQ8v1SzmX8rSUdQeGl919HtozAmq +OyFPR2XjeuROzXo4dT4xwF6JjvqNwpaiDeshKEF1+Ak2UVC70+RMPQwb8a4j +bNVbanatzvUwIaIbIXQIr/92eaLu33owDBxN78R+p79rT/F0PVirth6QOUxH +1dmLPnFxDQD5mh/LVeloeItc4pn0BiDlkVJGsP9cd6/dltcAB+RgH9sROtKC +bxzRqAH0QvwfmWHXDgylhn9vgERqTt4UNuJoafHTaQT5pkXNTep0VO+WKmQz +2wgLArUf9mrSUcmMu7st0QjdmYphOthZLupV9kxNcOqBytpF7HCngXOefE1w +wt/RIwHb6ApP0l3NJmCudBbfrEVHnTbhAgVRTeDcx7VxGnvYyGMHq2wzOH74 +cCkU6IjSouHIodoMfb/aryVg1xpwlm492gz2NinWRdjp+q8sBCyb4bTR4Zej +2Fd0xmJlbzcD/c9XTU0dOppWteS1pjSDYMvvwDlsmpQWd75HC1D6x3oUdOlI +e1y3JdK/BcTaZnWPYUekGoW43G2B3S8T79pg7+SzWBBPbIF2mv7NMGwVZvfO +pMYWyFmnHOzE9h9KeHxfqBUUD74YtNOjo7r4NEMnqVZItpS/7IPNcSZrXU+x +FazK1yruYSe2FnqtG7RChilpLBu7srT5tN/1Vtgz08M7g/3n8TK3Q1sr6DLR +2R316Uj/xGrL0b5W+O2nVuuDHbWRKVR0tBXKRfSM7mCL3N68+Gm5FV6pb/qY +hq3ttrfLbE8bnM+fmv6AHaJvGgM32+DIs66vGsfoqHXd0kjkThscJ2mNGWJv +qzrP9DuqDYrvDZWYY2coOnuXZbbBd5/1j27YdbuDTWU72kA2SF/yGTbLyutt +QpLtIFd++uMUthBFlwry7cCZT41bxFZ+PvjBQa0dLJuvyq5gOx5nTy442Q7J +1/f83GSAn594RVLXrx2kAqzJB7DHPFbYnUPbga3V21URe/VozPeHke1QlPdl +/Ai2zPeawg9p7WAly+5uiP1YXVDdtaUdisuke65g53AVCT/qbocjWTXmrth1 +4wbrxUPtYBclWeyF/TPSt/HPQjsYni1lC8I+O9R5KkaABCsVdzfFYnsVOimW +7iWBbLevTwL2/bt/t3+SIUGbW1llKvY7aelB8aMkKDV0HnuFLRwUYV/uSoJr +N8SeVGIfNhUxGPYhQXHHkala7FMSb/czB5PA9ia/UAN2CHlszvhfEmhsdhQm +YSdk+Hd5pZCA/+/iTAd2kS93SVw2CVpOBD/twR4X1vIfqSSB/vX+iEHs34u9 +51ibSPDPlxryMDZfk5vW/k48no+ji6PYBh4JLNe/koDjz+a+SexLR+Un4+dI +kHNaKXYa239Hc0sVnQS6wSSFH9i5NdQoti1kSN3/iH0Ru/5JpNfBnWSgXu7V ++Yn9yVHszOk9ZEifq7dexqaqVSj7HiRD+UO30wT2Fq7T/InKZFD6MSDxC1ty +fHKlRpsM3wsEBlewtcuChseNyFB8Vf3qb2yryO2I3ZwMdvnGI3+wvW3znstc +JMP07Fn5NewHSkfvmTmTwTbp6qV17MyNH538rpGBRyDRk8kQn49PnseTb5Eh +rmrmAjN2bwGbTF04GXop7gdZsGfvpHBNPiaD2EOZAYY3WB1a2pREBnVxNXtW +bBHp9l65LDJcfZfWwbDK+uUy8wIy/OvgJrgB2+Rk3Z1jFWQ4XiKhx7B9suhp +1XoyeDO9OMlw4Eyw0AEyGaKCl44wHKM6MrWrnwwfLITZGM4O13q75QsZLqbL +FzPuX92bEro2Q4bfnqo6DPeI/TFZpJIhqA0KGeOb8TovOP6XDLG5FuuM+TDV +Vk72slNgeS1MgeGdW3YVN/FQwLagV5cxf5lzAcHlQhR49cRShVEf3ZyPxrmS +FGi/uX0zo37WhCp/sjwFfkkIvmfU10v/2dcoNQoknLluzah/yhfLIO+TFLAe +PSnNWK9iuVKjK1YUoN2acmKsZ2sQ3w4LOwrw8rHeY6w3TaA3X/UGBeq15q8w +9scWZ6XAAyEUyK3ok5jH3lv6xEDoAQX6mN1bGfvplJnp6FoKBZou9b39hu2Q +Xvh6MZsC6ptr/37FvjXH5T9eRAG/4nv7xxjn9QFlW3MjBTrefBAfwmauN9aN +nqWAsldNMQVbYFseVwhBgVSN5s3t2HK2m4a8mTqgIHCDYTP2udUWHwu+Drja +ZeLAOG9vlY69FNLsAP4jGhr52O2hWde2GuD/NXe/ysH+0sGqvX66A2LLo2gv +sLe61/eP23eA0CUV9SRspxfAkfewAzJCxu3DsYOW0vqSn3ZAxAPDyRDsWFjL +iE7vAPM3D48HMt4vQ9Vq10o6QDjkU6UntiCfuvuRoQ64zl909Cw2+Z5yR/PB +Tti1jWtGFFudm8Ty5HAn6GVv/svPmH+inep5nU5A+UEL3Nh3Cx5lLFh2gl/r +d691/L5U/zh9XSCsE0YzTb8MY+dIp/K7DXSC6PvpB0+wd5YdMlEe7wTH1Y+R +/2Df02kPXZvtBLaTa263sYvyfvseZ+4CMVH+Nhfsh2j/yOedXSCj0fJXC1t3 +5k7RRt0uUFGTMR/H/SFArqPd3bALSrf3m/RjF/kITnaZdEFXkbtUO/butULB +ZKsu4PWKCHiDvcL7+Y68Rxf8cMnPCGZcr6lqaZ3QBeVjGn94sUVjfqzkzncB +z1N5JQncz2rtQnKLqV2gePOY6Q5s20Pbbap+dcHdshjzjdgp/eoVZJZuULo8 +tWka91MBkUi/hZ3dsMHLVyUPmzdvP1UZumFNevHpAWy2RsdZ9LgbXqvJZ/Ed +xXnj6Upya1w3jjtrN1mw9Z2jT3QndkPkAKG4iPv9Hc7SvPEX3WBXGWZNwl4z +3eDKVtENBbIt3qHYvz5nThp/6QZN/WN9kzg//KCPjnxQ6IGcsbvPUrVxXnN+ +XG+s0gNJCVuvR2CHDmhnI40ecP6qLe+FvbM81SPXoAfaHd+Z6Wgz8uX5P0EX +esBoeFl1DOeZpOV+QYn7PWBAeREjgm20RLG4PtoDWcTlvFANPP7vVe1cj3pB +rUtB8ifOZ57PTou7xfbC9gJv3z5sVf2vt5oSegENKOeWYbencsoGvegFXQP7 +hkDsJVObxzMVvXB+mr2KGVu7nDBv/NoLQtGD1ZwqdDR4V/FzgFofrHIOKG9S +xnlf5NXCxEQfHPONU32H82iUa6lThkQ/RC+ebn6B8zCh83rCJWQAPswekzIV +wnldqfHtzoaPUKP2/rkLN877BY0Pu8U+Qa1s3YNdzLg/ufUlHwgcguUOssp/ +SwSSPsq+5lQ1DPskAjm2jRPIMFPM+Q3/CLANTPT2dRLopsilvWMXRsF/SDzi +WQ2BZGYtNw+c/AKP7o6F/ptHoO3cixyNq1/AqdPAMDeOQBtQcqF4yhjUFdaE +M4cQyF25anDTiXFwnDapOYS/918fv5JRS4yDiS7FItCEQLQfu7Z9i/8K1z0H +Z9UP4e95c0PWOP0J6HNVKbu2k0AhRuHpb79NAE2V//3hVRoS+2z88UX4JOQu +c60of6YhddV7cYJK38Drg9tFhWoaurC7c4W59xtIqypnDCfTEK09etw7bAri +lRcceW7SUMIGW98zEtMga/h9/68zNLR9pDSTpXEaIoL/yeiXpSG222EWatdm +4P2TT5YBrDTElGtbOM77HQSEy8e6B5fR9aTCaN767/Aq1zdLOm8Z1Ujf5+Dx +/AGS43yZSf7LiMf4uswdrlkwy3pzIc1wGQ3LdvUGVM/Cq7jIuwe5l1FRGkhM +2M7B4r/mDj/7qehioQqPyNocsLjGlO5IoaLQ8Odhw3nzYKqot1PHioq23mQ3 +tsufhxiTJwmHzlJRkosH77fCeeh3Fa2UsqSikhNqLxbezkPJQbGPm82p6BtP +dyMLmgdFyRK37lNUdCKNieNA7zyc/bpJ4bgBFe//S49u/J4HK10v5gllKnqR +03R29e88BLGkxrYdoiKFJGnREKYFEPZsyyhQoqLjt+mF99kWwOgQSeKmAhUF +6T3uTuZeAJf04BRmGSoa76zlqxdfAN4SOfPVvVS07tymfll6Aa6W2H0KF6Wi +/wFhgqew + "]], + + Line[{{0.9746826644055703, -1.1946140255113218`}, { + 0.9783775220102351, -1.1911792043974874`}, { + 0.9787207356226415, -1.1908540152590148`}, { + 0.9794071628474543, -1.1902000926479277`}, { + 0.9807800172970798, -1.1888770048508155`}, { + 0.9827337978676478, -1.1869541139600128`}}], + Line[CompressedData[" +1:eJwB0QEu/iFib1JlAgAAABwAAAACAAAAGEp6nsd37z/zbEE4Jfvyv0TrALfa +e+8/HwZkthX58r8foGh8qn7vP/YpFy6n9/K/1gk4B0qE7z/PWGSAw/Tyv0Xd +1hyJj+8/Ih91v97u8r8ihBRIB6bvP1LyvmOA4vK//jh8Ddeo7z8loyn/4uDy +v9nt49Kmq+8/Svfs3UDf8r+QV7NdRrHvP0CbMRzt2/K//ypSc4W87z+0w36W +/NTyv9rfuThVv+8/WUtsai7T8r+2lCH+JMLvPwVU19FX0fK/bv7wiMTH7z99 ++eRNjs3yv9zRj54D0+8/vxIS7m3F8r+4hvdj09XvPxVpr5dAw/K/lDtfKaPY +7z9wZpq5AMHyv0ulLrRC3u8/WD7JKT+88r8mWpZ5EuHvP362ROu2ufK/Ag/+ +PuLj7z/9XS+qDrfyv7p4zcmB6e8/6k7XiUWx8r+WLTWPUezvP/89okYTrvK/ +ceKcVCHv7z/FOHUVnKryv0yXBBrx8e8/oET538um8r8oTGzfwPTvPytYgIKD +ovK/BAHUpJD37z99T5YMjp3yv+C1O2pg+u8/DyKkQIOX8r+8aqMvMP3vPxYE +nU9Tj/K/lx8L9f//7z+J9lk11Xnyv0R0BL0= + "]]}, "Charting`Private`Tag#3"], { + Directive[{ + Opacity[0.2], + Hue[0.67, 0.6, 0.6]}], + + Line[{{0.9827337978676478, -1.1869541139600128`}, { + 0.9830526754186683, -1.186634453176522}, { + 0.9833715529696887, -1.1863147923930313`}}], + + Line[{{0.9741719939012652, -1.1950800400135033`}, { + 0.9746826644055703, -1.1946140255113218`}}]}}}, {}}, + "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>], + ImageSizeCache->{{4.503599627370496*^15, -4.503599627370496*^15}, { + 4.503599627370496*^15, -4.503599627370496*^15}}]}, + Annotation[{ + GraphicsComplex[CompressedData[" 1:eJx1mXk4VV/0/02VKEojkZQ0GKJEhCUpJWkwNsqQCiFJkQyJStJAIjRKpQwp 87BlyDzfa86coXC51z23VPy25/me4/P8vs/3r/usZ597zt5r77X2Wu+XlJXT 4dM8XFxcx3m5uKZ/9U8P1OYNmmi1zRUaetzHRL78n9bdFg0FzS01Sa8Cx5H5 @@ -241555,9 +289894,13 @@ wWM+sbExBjzX/d1RHsuk+Cvv+v7+8x24vpH6azDKqgDPUkicno9Z4CI0x6gC LOP126fnLyFbVq8QUwEOGU+rpv2Vbfdqk+I/Bizliz5gdI+JTL0OygS7MMD7 Ny284B/zf+kX//+4qs3p7o2XGKC25c5Z179MNHWmVP2ULAMcPlm2+Ev+bz3N y786106OAe9PeMM8PP7/ALXWLSw= - "], {{{}, {}, {}, {}, {}, {}, {}, {}, - {RGBColor[1, 0, 0], Opacity[0.2], EdgeForm[None], - GraphicsGroupBox[PolygonBox[CompressedData[" + "], {{{}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{ + Polygon[CompressedData[" 1:eJwl1Xe4z2UYB+Bz7E325tibknaUtlVpGQ1OlJC9994zI4Rkz+y9i0pEEUJK slNS2lH3c/njPp/nfb7Xdc7v+77P+ztJyW3rt0mRkJCQyJXEm1nGj1OyvZwt m8tCdKe9dUN5C4fUrWVxaqmflGnZo55CE3Vejqtv4wH1n3ITw0nmWb1M7FfP @@ -241579,10 +289922,13 @@ F1jGprhTMR9x17gUd4H1bI+7HrPIeZayhMUsYiELmM885jKH2bzHrLiDzGQG 0+NeMI2pTIn9YTKTmMiEuAeMZxxjGcNoRjGSEQxnGEMZwmAGMZAB9Gcl69jG Ho5yjn6sYC1b47zivnCWvmxkJ/s4zkWWs4sDnOQya+K8OJV483/zFk5zNWaG axzmDH34H42x9Nc= - "]]]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, - TagBox[ - {RGBColor[1, 0, 0], AbsoluteThickness[1.6], Opacity[1.], - LineBox[CompressedData[" + "]]}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" 1:eJwl03V01mUUB/ANRo5mjJFj5BgwEBEsQkJwI2SKAgY4ESnpFDAAlZaQMoCj UgYmYYGUYDdhHVHAJAxQSv3c4x+f93vv/Z2zvb/nuW9G/rC8oYkJCQl3+ojM 9PGtHCEfl7fJakxghL6XLMun6iGyFjnqbrIIb6uX0ledxhfqprRR/y1fZQb5 @@ -241600,21 +289946,25 @@ XTf7Q25gWtxJ7B+dzZN4S72IoVSI/Tf7U27kvnjX2D9SY9c9Oyk3cT99Yreo SBqVqEwVqlKN6qRTgwxqUova1KEu9cikPlk0oCGNyKYxrfzvU3IzD9A39o0u 5oXYrV4c90cTWpv9JV9heuxq3CddzQuzRz1A1mBJvIusyFgOxHnIOkxmuL6n LMNoPol7l/8BwhGfPw== - "]]}, - Annotation[#, "Charting`Private`Tag$63512#1"]& ], - TagBox[ - {RGBColor[1, 0, 0], AbsoluteThickness[1.6], Opacity[1.], - LineBox[CompressedData[" + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" 1:eJwNxrVNBAAAAMBnFFZiBAaAihZ3d3fX4I87BPfg7u7Qc8UlFxoeGRYREggE ooiWW/b4ZZEvrhnng0t2GOCVMzaZp5snjlljhhFiuGOfJSYYpIdY7jlgmUmC 9BJHPAkkkkQyKaSSRjoZZJJFNjnkkkc+BRRSRDEllFJGORVUUkU1NdRSRz0N NNJEMy200kY7HXTywCErTDFEH8+csM4so3TxyBGrTDPMG+dssUA/L5yywRyf XLHLDzeM8c4Ff2zzzT8KR1dK - "]]}, - Annotation[#, "Charting`Private`Tag$63512#2"]& ], - TagBox[ - {GrayLevel[0], AbsoluteThickness[1.6], Opacity[1.], - LineBox[CompressedData[" + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" 1:eJwV0mdsVmUYBuDTltKWDqqi2AkFB0MFR3EBgkIFt21FMYpQHDjSgnsQBY1K HGwViOCWEVEJSEAJamSpTI0bcEVQghIV7LCD6/lx9X7eu+d85/ve85bV1FXW piRJMsif/8hIS5JPUpPkYvmUHCSb5ZXyOlku/5arqDCnco65p/xNvsXDnGtd @@ -241630,13 +289980,532 @@ a7g6rrE+IFcyhVSO0m2TzzOOijgnun1yGY9xBsfrfpaLeJBrSKOTfrt8gVvj e1POCfpf5GIeYiTtOFq/Q87httjjeHekc4z/fSHncnvsYewL7ckgkyw6kE0O ueTRkfw4F7HX8bviu8Xz4nPpzLEUUEgRxZRQShe6UhZnMM5H7Gf87rjX9/pS zuMOLopzwon6X+USJnJt0B0GM+2NdA== - "]], LineBox[{550, 792, 724, 667, 621, 854}], - LineBox[{587, 815, 747, 690, 644, 569, 808, 740, 683, 637, 826, 758, - 701, 603, 820, 752, 695, 837, 769, 649, 831, 763, 848, 706, 842, - 774, 853, 551}]}, - Annotation[#, "Charting`Private`Tag$63512#3"]& ], - {Hue[0.67, 0.6, 0.6], Opacity[0.2], LineBox[{856, 857, 855}], - LineBox[{860, 859}]}}}], {}}, + "]], + Line[{550, 792, 724, 667, 621, 854}], + + Line[{587, 815, 747, 690, 644, 569, 808, 740, 683, 637, 826, 758, + 701, 603, 820, 752, 695, 837, 769, 649, 831, 763, 848, 706, 842, + 774, 853, 551}]}, "Charting`Private`Tag#3"], { + Directive[{ + Opacity[0.2], + Hue[0.67, 0.6, 0.6]}], + Line[{856, 857, 855}], + Line[{860, 859}]}}}], {}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 1.}, {-1.75, 1.75}}, + "Frame" -> {{True, True}, {True, True}}, "AxesOrigin" -> {0, 0}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> Directive[ + Opacity[0], FontFamily -> "Times", FontSize -> 10], "AspectRatio" -> + GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxF2Xc41e//B3CzRCEtlFSkSYs08GpoIZ+KjJKdQiGkpJItREm2SqHIStlx +m9n7nGNm73EOZ7wrrd/tur7n/P7qelyX6/R+3+/7fr3u+35uNLe/cJWHi4uL +xs3FtfCvxtXxlpKJi2o9S4SnI0bpiO+4pEOfhCKcKY05FLqBgfa9ioz9JKEO +a52zfc4cYKDhdbIed+S0Icj7b8kZDQbSbGjPipPQha4E7SxdQwaSDZJK/Cdt +CJl1wvluVgz0W8MiwkzOGHjs9whoOjLQpDepwizcDB494x5f9YCB9C2/F4VK +WIKwya0YMT8GqjgumVseawWRo8OtVk8ZaLeMaiZT2hre+a/4Jx/FQAKDnm/0 +5Oxg9bkNjhbJDFTQ39pQrusAiybrYqmZDORwzlLDKPwWfLq7MndrHgN92+V3 +/ImEMxzQKPOtrWSgsFfiJZsv34Zxq9YbUM9AGiIpKsWxLhDkQdr+sJWBcqj1 +SjRpV7D1Eba36WWg4DSxreflHoK3Qr7TZSYDnZBKSJi45g6LzbRsPX4y0MOv +0aKluo9AcX+u0OO/DKS4f+cTw3APaDjtJKIuwESvV/3nGyDhDQrMXzlPJZnI +rSGiQq/AG7T28HRPr2ciPZ9+HpnLPkAqrf2nKMNES1m33AtjfcHOWKUoaAcT +3SWF3Z2Wfgy95Rt+2B1movPPu2y05YIhv2mfiacBE+3UlEmWrAoGq74fejOX +mUiA98bY6LUQ6PV6feysCROZ5P/9iHSfgiVN4/jMVSZate2pgkH4M5AljezJ +cWQiD4FPWx5LhIHqvubMtwFMtP3UM/8shzCokyxVLHzCRK0+9hPdVWGQeNFX +tfYpE8ny7vyg4PIC2hYTGU3hTPT1T4ICqTUcrAckrz94g5+fEa4kHRQFj7tb +BR/nMVHkt3vHs/++BGLqSNOJfiY6us4woffiKzB513ctYpCJJi8p8wukvYLz +q5b4Dw8z0fppV4s0+dcQu/fHu1sTTGRvvX8zV89rcOHt0DCkM5GYZcb7xANv +YMXz9n5bXhYyMIzPmJ1LAFqer+/8JhaidesKvpFMBIvB8Kf5sizkayxwVed4 +IqTc9l/rIsdCnyzsJXPCEqGV27Z5bBsLCdup+rgpJ8GDj7dvp+9moUrPzkuL +HryD9EnJ442qLLQ3dfmidQIpoPUs8oi7HgvVKFSaNuxOAf5QPaF5fRYy/Xi3 +8KFhCthfoy5yMmShJzl9DgMpKbBM9mC8iRELjZekdSed/QAh9emtMuYs9JJ8 +5uOe56kgdyP7/uWbLCT0z8Po1PoMGD8yOfDKk4UirfWNd57MAMFJP6MBLxaS +Je00XW6XAbkOOzw2+rCQWnK7RXdRBsz98W+N82Oh/OTw7FyFTDg4JdjlG8RC +MzrTXzQbsVNP+60LZ6GL7yPqnJZlwd5HMbpX37PQ5vPU8fLAz+Apv/F6aw0L +SbqfOnQt/jOcUnh8d6iWhUTTXgcK5n4GO5/yB4w6FpoX0FE4P/gZXLRW3BRt +ZKHmklyn3gPZELqMJajWykL3dnv8/TGSDW2BHi+su1ioUWTlSoUjuSBZE5kt +NMlCdxoPQiQzHz6eINxLFhFIWCF7pEagAMoIh7KAxQRKeLI76Ne6AogK0jfR +FSBQi9aWTuMTBeDwXfvDyBIC7ahb6bQ5vAC6MkZfcS0jUF8VLSlLuRBCg7Ui +xFcQ6FRponDDvS9Qf0jSkLyeQGs+L+/l5kag8ipR660igYyCfukOr0awPuDk +Tz0lAr2xHKn7uhMB/0YbEcH9BNq5Kj8/0ADBh6Xh9+yUCXTMxfTFqkwEkvzN +RrsPEWh/rH5S2K4S6Pg73xQJBDIzTrryvrcENtqOHeDSIFDO4PH6JpUygBVj +AepXCEQ57TH35FQZXCs5xUvCJtKLV2tdKAMNupuAhTGBlN0OmlVfKwPJzd0F +7iYEyluxiygJLQNjorDmkxmB8tUlN2SNl8H4TY/+RVYE+pI06xQWVg7G189c +vmxHoDKbuLWG0xVwgmezZtMDAn2asLU1JiogWmR9rspDPJ7XDxVacFVCGr9e +czK2j1X7JbuVlVDeZSTk5U6g0+bLo71UKuGmSEbyHg8CNRn6iKcHVYJ9VGe2 +uzeBek7fXMUr/xUiufc79QcQiCWnKpJ2swo05Dz/FIcTSG3wWFXA3SoIdlzR +vSGCQL5xp92ve1VBTYLWVU/s1St1aTJRVaCu6vdIPRKPH7dtU3RFFcTblLdX +RBHobndkiP/aahg4XKGUE0ug3yFMEcuaaohwdF585Q2BeH5+EF27uRZyaqSE +K1MJtLbhGAN21ULv3KS+cBqBFOM7yZYHa+EX9Ze5PvbVM4tj0s/WgkWQddE4 +dnWU+eZjLrXw03jGVyCDQCGHJA5ZV9XCzp3c/iofCbTuvq9FrnUdaL9xXHMn +m0BK56RO9jjVwUslv8o8bG3Zz1u5H9aBOSPpwDy2e/3AjEZoHQxedLW7n0Og +wXWqd3sL6uBf4oO1D3MJlFzECOJfWg8TPVrPXfLx+/4zzdFJr4e0Ce5clSIC +aZ0t8TyRVw/i1hdWuWBbxEj/p1xWD5Nqe9UysJ8q945JUurhKfGXtqGYQBP2 +lyUG/9SDrLwZhRsRKLb/4n2Hsw0QGfO+LL+EQNxlGseeTDfAmWcr83gqCCQu +miLsTjTApa8tYUrYCsZLuh24GmEkg6Z2HfvSfJWT7spGSMt+86se+/PeE4lr +VRohSkpJIqySQFZvQSAlsBEybmt9F68iUL23YuPX7U0wEZTZ8KeGQIdE6nie +KTXBlfe5pxVqCfQ+ykz58pEm8Nm/KdAY2ys9+DXtYhPEa3pZFWMf6hh3FPdo +gv1ja++51eG/3xG3xqa9CaKGnm+bqsfra8Izc9GxZng6nKEV30QgV4XGWttT +zfD2S+dALXamk8RIs1Yz2CRoHmVir/+bIRGj3wxKVGOTk80E+in2zXPXzWaw +0HwjOY6dqaJ80SCyGaJvXHy9sZVA0k+nfiZTm4ESe/veTRKBis3ck7MYzRAv +uHZHMLbxvhWGhT+aYX3T4Yx07FjKobx6nhYgFu3aS8MWlwpwoa1ugcvrImTt +yAQSS9nKUIQW0DZfV2NBIRB/xdVpFNIC+kZ0N4UOvN5e/IypDmsBwStz+Wew +1a890WyJagFa//kGS2xPweyUwbctILnjlnM09t9zfNb8eS3AvFZQydtJoB/f +3oxo9LfAvEv/o0bsqe99veTdrZATFVKg3o3ry7WQMo39rUD6ZhphhP2oXS0J +HW6FB8Zy6s7Yq3PjbiafbIWt/c3L3nYv1K/Lv+8btcKsi7PFX+xoJkVC1r8V +zB9qy6X24PVi6fsn8kkr2DqsFSzF3k1SGlj2vBVs8geKydhfP4W9/x7XClAf +FfMXe87xgnLdp1aYD74lo/0N14+5Bl3HvlaY5ra4N4y9wuzBgbHhVuguW3ub +wO5t3rnOaLIVeCW+HhXoJZBzZuDQCVYrdI7sOL8D+5X9GUcJoTZ4u7j/jD02 +MVMRUrK/DfaXDWVQsUuvODspqbRBfERm8x/soAYZ/ZSjbcDYfrliaR+BNqV5 +rg/TagNNLi/Z7dhnbxxJu2beBp0b0mrMsRMmC2uFg9tAw73iUx22XcR/MjbP +2+B7cGFTO7ay+pBbZWQbvLktVDqEXRsnKH//bRvUBk7I/MKeO2cYMpHXBleX +LZ7e0k+ggj/TY+rFbdCvJf95L7Z3yqMjr8vbwGhK7IIqtjjf+zm9xjYwb9YW +uYCtlkvoVAy1ARd1/KkrtoBlQKr0RBv8XWcj4IXdKrqe343aBu7VwnpB2Fdt +TuTs+dkG8zSG00vsIKkw8VfCJFjG8C4swdar3XJrfgUJLhW4jlRjS98prLko +QQL/MsZIM3ZW8+C9pbIkGK9+Z9OP3em155vrQRJESZh4/8F+s7tSiaxGgu/P +Gyz4Bgh045tB8G51Euyt2yAphM2l/AjGtEmwzztBSBxbbrIxXteSBO3F13l3 +Y8+Gm89nWJOg6Ld6jBJ2wXHigpA9CXYfthA8jH02ToqvzJUEJ3Oe253Adj53 +w2pXCAkSUvnOGWCr/flXHBBGAoF9e94aYS9Oeb5mNIoEDNJ8gyl2NG9hdWwC +CYb1Q1OuY1tmam/8kUwCg2gXoxvYClcGXXUySKBQKDtqj12as2SHYAEJHP4J +erhgB1jEeV1F+PeOGoa6YuuK7ukpqSCBVHyc233s9UUViutqSUCsGVJxxx63 +Nnhyp4kEllGKFA/srNXTI60kEuwSjTvlje1W7q6m0EUCfpMtz3yxhaXe0YaH +Sbie1acGYB/R8/o2NEECT9tW0yBsxxCTukEqCQJ4ztGfYCdUH84fYJCg31Tb +LASbwi3+rv8HCfiUKGlPsQUOM8P6/pAgeMts+zPsQ87Nnr08ZFjRndAXuvB9 +0lIdvi0mg/L074rn2C9H/Y17lpIhZY7LPwy7WfqqVvdyMqjdz9/6ApvH8Oih +rtVkIK/e9W7BiqFSWzvXkuH+SRvecGyrup+rOjaQQTfASXXBkXwU3vbNZCi4 +rHNxwbWqWXPk7WTYpiCmueDfLsF9pF1k0LPPW79ghUybhjZFMuz0025e+H3T +iZOFrQfJ0NTeY7Lg0E0yyS1qZIgvsm1YeL6Ky//Cm4+TQSeEV2LBRFi3d9Np +MtTHpR5beJ+tjbmOjWfx8yjaayy876XFYaYNF8jgGqmze2E8go44aNfrk2Gd +oDlzYfyKXbVU6ozI4FX+Jjx4YT5mbd1ea0YGrZ9SaxbGe9M0n3iNFRmcu7pv +By58/80D/NW2ZLj1dDjrMbavcRHjqwMZROBIox92XkTUQOVtMkTwfa/ywZ5s +vt1UcY8MpT9FX3stzOfjCh/KvMlwtiB46iH2w/uCUaWPyRAcw2OyMJ8ys0d9 +S4LJoNLxM3Nhvq3c+sq8OJIM9jqhs07YJ83czhXFkWHT/eO9Dth3o/XVvrwh +QxIpIuUmds9SUcmCVDL8TDvTZ7Uwv05OL87/SIbamZxTFgvzy72alZtDBsfu +0WCThfk096glu4SMzz/p+foL84My5/+RRIb+fZ88Ti7MD5FGl8xOMuhniwwc +XZgfp1MsM3rJ8G7ghrTqwnwoND+SNk6Gr+RTB/ctfN9Xbd/f/ybD4ZMXB6UW +vm9nxsg7bgrYXQk6tLD+TcWC2pIWUUCiictRbOF7eqtnJIhSwCAPPVy08H2s +s61ey1KgQN1KdAbXl8kjaf5C2ynwPf9Yywj2KfHEFJddFLiwuMe2F5unKoyq +dYgCN8JsFJqwXWWdXX7+R4Gl/b8m0rEpv2wjLS9SQDm93DUJW7HNoqDpEgWC +7oQOxWHPeuj8TbxKgfEg6xML9dKqb6/vBTcKHDzx+9g17Iqc7e+LHlEg8+X8 +2yvYm4I31W71pcDU9dN9OtjfVMSE/z2lwJFlxDBg60bPvfiQRAEpg1D51dhH +L2Ym8rVSYNuYe2Ehrv8vd76vsm+nwLxsZGUG9m/e1xNdPRSo7AtMeYudlxUi +/3GMAq1zW/gDsRVE7bOv/KHA9X0b/upjr6uTr8zZ2g7G5jaLphb625EPw9fd +26H9MT2Zhfvjl4SpmDve7eC3ufHQKLanwE4d38ftwB24J5WCLdycWvr2eTv4 +iyTvzsWWM0l/2fuuHbaGCZW6YOs9+Gig29wOzsdW8c/i/pydn1sPGzvASnvV +iTrc7+9J/fDSlusAkS1D9FzsIx4HDl/Z0QFKmb88ErDrT+cn31PqAO4NsuoP +sIfbC3yzz3SAfBrTQB57JVF0ZIdjBxAWUTV+Xbie7634vLq8A24f1qZuxvsT +K8UNr59VdwBPgmf/UmyD/fcDlzZ2wLJQtwwG3t8cPqRoztPZAc8UKUMl2DzH +EkSo1A4IYE4lGWKHnPe2qZTsBOvVzrHe7QRKcVDf4OzYCUJhdY4leL8V6/ha +iHanEzTemAm+xX7i/JuwftAJBiFB7j7YDnc/N5j4d0JGSz6PBrbyI1k3zZed +4O+3/mYL3r9VhPBRNtV2Qvguq/bONnxeTK8IbNnYBRdU0na0tOD1McM3vXdL +F6hVl63PxC7ZeUIrbGcXbKSbzQVjv06pXKqv3AVMtwtKWtjmSV+f9Gh1QYjw +swOVeL85GlcdMnanC1TurNvzEe9PZ4Lqn/9p6ILGWHPhWw0E+mVDitl2rxtC +k34EPavG823/lWcK7t3gu9NL0xY7lXvUd593N7x26qGqYxtHfr+lGtwN9jFL +hr/j/XdppeTp82+6YU4yy98I22+DGfNubTcMxp0v3vAV77coM1rVkj3wQIxO +DyvH5+Oji/9aFfaA2Zm8Q/L4/HDqzcZrH9f0wifnrKVL8XnnjpTJpgGjPrjA +sw+teovPu9MXhdrP9gP/4/gI8nP8eyKzAhXz/bBdOCjvGT4P8qGYDJnYATja +e65sBp83bRULO5doDsI/iuOPfwYE+nDG/HUxMQhnZvkaC47i8+GUpOho+BCQ +WDnOd7bj+ahzijdMfRhulV7o8RXB56fTPq8+jw7D4+dSXiVMFtr4TaPjrc8I +8E0Vb2d2sNAhZe8wib2j4IUMInnzWchofdNP7rZR8LluIfgoioVYtU8GHTzG +IG2p+ZJrt1m4fxk7X5AdhwiZCteG8yy0ojf7DU/FOJQF8OwRlGch/gceugdv +TQD3uMU1goeFuJKNMwbFJuFy8aH+B51M5Bid8USsbBK+xAeb/khmoqId/gLL +7aZgdHvV4nt3mWi5huNOT+FpCJXxueZ7iol65JvbXL9Mg3asVM4KESbKfAmy +w8YzMLC390YzhYGuZOxfLvV3Bn6eaw8siWGgnEs/hJu5qPAlVE8nJ5qBhBcV +LPXipcIw+fKXD1EMVGKkKjAhQIXVlxUcXkQw0CZB9X+fV1Dhgv8xDcvnDDRq +eX5GaxsVlK2DhWkBDGQneaPmvi4VzFqumHx0ZaCvlfJVu/SpEFk07hR1l4Gk +b9EqBg2p4BYYxetxh4GaqxxLTptQwSbub6D2bQbae9s1d6UNFfJSnnENOTDQ +9ybfxFR3KqgP9K2hXWOgRz7xHj0pVJDweyF19yIDLbuzWMMsjQorUN+yK7oM +FH39pthoBhUeconwHtVhoE+aB9/SPlNh/NG5Dv7z+HmXt1TwICpoS5Yt99Ri +IM2XXALb2qiQgZz2HzzOwOcTk+Dbv6jwpyLlIl2Bgd6+r9Sb/0OF0yq/ljyR +Z6Dd0Tuk3blooAEpGRt3MtCZB98z/PlpECNnsnv/Ngai2F25u0yABr7icU6f +tzCQuWn5kVBBGkQ6W9psk2Og+8dDWmJEaKDn/OcsfRMDLVFiRW0Qo4HgjAr1 +2EYGCpe7bJ64kgYHu9be8ZLG4y1eun37GhoUu6XzfpRioIwlWxjpEjTYSjva +WLWWgVR+BRXuW0cDj/uDVWUSDFQ9TffKW0+DzBNj49FrGOhir4GW6kYaMCkO +rBOrGGiwqXhlmQwNvEyOiX4WYyD7UtlvJ+VocKo/TrtWhIF+ZwUk1m2lgUj5 +JX7dpQzknzB789wOGhglFdytXcxAq8L19pPlaZCwgRL/9i8dyQ0GO7Gwueb6 +xCd//7+1NmvG7J2nc/7eePfL9+Y/6Jzf2xdg+vL2DJ3z/4l+D4+VHKNznqev +ReZT1QCd87x+mSdViR465/0kLvBISZPonPfP9vLrpDbROeMj2H89j1JH54xn +bF3HanI5nTPescLdc4OIzvkedmT5YKKQzvmeYdLfthim0jnf+xtvnIlPAp0z +H2wYKRcjXtM58+WL0tWaxFg6yty+W/O/MSrUqjzOu3Wfjh5aRm5T+UaFOrW1 +rm22dGTpUR+5twOvD4mIYFMLOuoIsWpOI1Nh/XvuxetN6Jz56Cf80I58ic6Z +rz7iUjq5ynTOfJ6+9+tg9GY6Z75/nZXWs15H56wHy3SuB9Vr6Jz1IjbUum7T +CjpnPRWF52WcLpnjrDdzXY2C0bA5znrckxY7UvtwjrNe75n+F5DgNMdZz0Gu ++X5CdnOc9V7ukPbF7vocpx6YXv9w6dvSOU69EM5oshHuneXUk4Mh1/Wdv85y +6k0eeZdpbMEspx4VWSzqTfs8y6lXxUfdSorSZzn1bEhPun1JJJVT7zLkddY8 +Ik9x6uHsubjE/aITnHr5md8ruC1rlFNPReNHpkMvDXPq7Yttez7myw5y6rFN +vGiUn2o/p15fW71IzSaph1PP5Z+9UOM/18mp9+62KDZFjMLpB2Pchb07yls5 +/aLydJSRw4cmTj85+s2Pr/Z1DaffUBSe6PhDBacftYslJQ91FHH6lY9iVMKx +8ixOPytKN498GhPP6XdfRgO4XvDdA3Y/nN5+RKpb4D2w+6VHw7zSF9tsYPdT +rtXy6bI6CNj91nENM/bE1gpg9+PNVdad4enVwO7XHUnHWw7fbQD2fiF5b//n ++1PNwN6vfDzOc3RUtQ3Y+68NfK17x8PJwN5PRt+W4n5W3A7s/fiWzryh20Jd +wD6vnqibmby+rQfY9yGjzlP7Htv1Avt+qU+PXthn0g/s+7JQ7lOa6Y4DwL7/ +W2ZywFYhZhDY95kT4frDfBlDwL6fTaKXqNbXDQP7fhipkVPauUeBfT8tPnIp +PmvzGLDvx21LTr2qtxoH9v287vKYZ5fyJ4CdD8is25MX+G8S2PlEfZWWmtet +aWDnI+/601THB2aAncdYKB4/55hKBXZeYzh/K6pgNQ3YeY7/ePuvK2dpwM57 +mLMH9SSscR/5Xx5UPz3VJnaHBuy8SJJVk7P6IQ3YeVLJC3V7aR/cZ/6XX9Xl +yTyvODoL7HxLV0Loz0u/WWDnXwrPE2cyU2eBnY+5GJxI70OzwM7PSo1SpRJr +ZoGdr32Ik+MNa5wFdv72Qk3rbGDbLLDzvfgvdgc0N84BO//LrHCok9OaA3Y+ +6Hxhj96U+Ryw80OeP1q1n+zmgJ0vPpjYqPDZeQ7Y+eOQh9HD6ntzwM4zq/m4 +Hn5JnwN23rlK+r/1gXVzwM5D3+nOo5GuOWDnpaOLEp92D84BO0+lOHxwWTw1 +B+w8ds0qk+YzwnRg57Xxi/hcpjfSgZ3nxmQGSNvspAM7772RnlPqrEwHdj48 +rRVKf/8fHdj58dibWGeFK3Rg58ugkR4jdIMO7Pz54NYv0fX36cDOp0s27mnx +fEoHdn5d4T3GN5tMB3a+LeMmse/1CB3+DzBu7NY= + "]]}}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwV13k4lN8XAHBrSaK0IaSSNqSylNRRKSX5tVgjskQoZCupZE3Wwteu7EUx +WuzlWiPrGDNjzZaEmEnvzNuu352/5vk8zzz3ue895557zgY797OXBPj4+G7y +8/Hxfg0uTXXXTpscHFoiPps0+Q0JHZHxGJFWhxN1adpxCgTa8zg5/ZW0Hqzz +Lg09sZdAE7KKgdeVjCAqZKH2hAGBTnb0vsyQNoaBXKOXxhYEUoySy/u33gJK +2sQr/R0J9MfAPslWyRoE3HeJnPQk0EwIvdE20RbuPuSfWn2bQGYO39/GSTuA +uM21NMl7BGo8IlPekO4IyZMTNMcHBFLbdKCEs94ZnoSv/KeSQiCR8aBsUyU3 +WHNawdO+gEBVo7SOBmMPWDTTls4qIZDHaQcDq8Rr8OrGqvKtFQT6sPPekWhp +b9hrUB/W2kSghMdStZstfWDKkXYF2glkIFGoU5PuC1GB9O13aAQqY7VrsNf7 +gWuouLvLMIFiiiS3nlG6AyGqlV6WHAIdlcvNnXYKgMW2hq6BPwl0513q8jrj +u6CuWb70/gKB1DWVoy0SA6HjuJeEnggHZa7+X1iEdAiocn6XPZDhIP+OpEbT +qhAw3CUwOCvPQaahowKbLEOBXtf6T30TB4lxrwVUp4eBm7XO26gdHHSDnnBj +dv19GG5Q+OG2n4POxA+4GCnFQGXXHpsgcw5SPrmpQKY5BhxHfpjOWXKQiOCV +z5NOsTAcnHn4lA0H2VQuvEDGD8CBbXBk7hIHrd72QNU88SEo0j/tKvPkoECR +V1vuSyfAgT3UkpwIDtqu/zD8pUcCtMnUqVdHcxAt1H16sDkB8kzCDrQ+4CBF +QeVnqr7/Qc9iktKVyEHv/uaq0mmJ4Dwmc/l2Nt4/kaixPioF7g/SRO9XcFDy +h5tHShceAflFt+voKAcdkrXIHTZ5DDZPRpySxjlo5ryWsEjRYzizekn4xAQH +yc/62RepZEL67h9Prk1zkLuz5ma+oUzwFewzsPjGQZIOlKd5e7NhZXzvqKsg +F5lbZFG+zucCuyIs7NdGLmIPGotmy+SB/Xjig0pFLgqzFrl07kgeFPqEr/NV +4qJX9u4yZQl5QON3pX7exkXibgdC/bXy4fYLH59iNS5qCuo/v+j2EyiekTnS +eYCLdj9fsUhWpBAMHybrBphy0XvVposdaoUgHGe69JcZF118caP6jkUhuDux +FnlZcFF02YjHWGEhLFPcl2VjxUVTtUWD+aeeQWx7MW2THRc9Ypx4sSv+OShd +Kb1leZWLlv4LtNKXp8CU7szY4yAuSnY2s1Y+RgHRmXtWY8FcpEhXvrjCjQLl +HjsCN4Ry0cGCXvvBtxSY/xtOy7jHRZUFiaXlqiWw74voQFgUF82dm31zshP7 ++fF7solcZPI0qc1r2UvYfTfN+NJTLtp8hjXVEPkaglQ2XKa95yKZAH1tp6zX +oK96/8bHVi5aXpQZKVr+GtxCG24TbVz0S+Sc6pnx1+BruPLq8k4uotaWew3v +LYW4ZVzRgzQuuqkWuPDjUyn0RAb+5zzARZ0Sq1ap6paDzPvk0qUzXHS9cx8k +cyrhxVEyoHYRicRVSz+9F6mCetKjPmIxiXKj1aJ+y1ZBSpSZjbEIiboNt/Rb +H60Cj+9Gzz4tIdGOtlVemxOrYIAy+ZhvGYlGmtn5L7WqIS7GMElqJYn06/LE +O26+gXZtGQuGPInWvl4xzM+PQOdxnmGOOomson4bT6xBIB9x7KepBomyHT61 +vVNGILzBRUJUk0TKqysrI80RPBNLvOmmRaLDvhf/W12CQEaYaqWmTSLNdLP8 +hJ210LfwqysZSGRrnX/h6XAtbHD9vJfPgERl40fau3TqAVZ+jtC7QCLm8cD5 +aP16cKrVF6Rjk8U1awzP1oPBN38Re2sSafnvs21xqgeZzYNVATYkqli5k6yN +qwdrsvr9K1sSVerJKLycqoepq4GjixxJ9Cb/q1dCQgNYXz5haelGonqXjHUW +s41wVGDzya7bJHo17epqTTZCqoR8uc4dfJ6Xtavt+ZqgSNiUWoAd6th73m1V +EzQMWC0NDiDRcbsVqcE6TXBVglKwK5BEXRahUsVRTeCe0l8aEEKioeNXVwuq +vINkfk2v0QgScZUOSBRdbQYDpaC/NYkkOjh+uDniRjPEeK4cVEgiUVjG8YDL +wc3wPtfwUhD2mlXG7E0pzaB34N5dvWR8fvyuXamNzZDl0tDbmEKiG4PJseHr +WmBsf6NGWTqJ/sRyJBzet0CSp/fiC9kkEvj5bPm6za1Q9l5OvOk5idZ1HCZg +ZysMz8+YiReRSD2rn+GwrxV+s37bmWFfOrE4rfhUK9hHOb+dwm5Jsdt82LcV +flrPhYlQSBSrLa3t3NwKysr84TovSCR7K8y+3LkNjLI9114vJZHGabljQ15t +8EjjXlMFtpHi6638d9rAjsjf+ws7oH1sziCuDcZN/NxulZFoXPbAjeGqNviX +d3vdnXISFbwlooTF2mF6yDDetxJ/77+LZeeK26Fomr9c5y2JDE/VBh2taAcp +57OrfbHt09b/T6u+HWYO7j5IwX6gNfxZhtkOD8gFtkINiabdLaXH/7aDooot +kx+RKH3U5JbHqQ5ITntaX1lLIv56g8PRsx1w4uGqCoFGEkktLxQPIDvg/Lvu +BA1sVeslgx58nfCJwj54Gfv8r2Yv41WdUFSa/bsd+/Xuo3nrdDohRU5DOqGJ +RI45IFIY2QkUH8PvUs0kag9R73y3vQumo0o6/r4nkbZEm8BDjS648LT8uGor +iZ6m2GpZ6nZBqObGSGvs4OKYTLZJF2SdDHaswdbum/KUCuwCzc/rbvq34f/v +yFjr0tsFKR/jt31px/drOqhk0WEqPJigGGZ1kchPtbPVVZ8KOW/6x1qxS7yk +P1ENqeCSe/IQB1t+gSKdZkYFDZa1zTEqiX5KfgjaeZUK9iezZaawS3S0TMyT +qZB6xSRzA41E6x98+VnAogIz3efmVTqJamwDCl4SVMgSXbcjBtt6z0qL6h9U +kO/aTynGTmdqV7QLdAO5aOduNraUXIQve003WMomKboxSCRZuJVQh24wspN9 +b88kkXDjpVkU2w1mVt/8VfvwffvvZ1pLQjeIXpivPIGt5xR9sjulG9ijZzoc +sINESwvHc7pBZsc171TshdNCzsIV3cBxqmoS7CfRjw/ZnwxGu+GX7+jdTuwv +30eGGWo0KEuJrdIbxPXFKbbeQJMG9A8Xk6yw7/YezEf7aXDbWknPG3tNecbV +gmM02DpKXZYzyKtfln9uWdHgq6+3/QJ2KocprRhOA7s7RkrPh/B9cQj7mxxN +A1ePdaJ12Gp0jbFl8TRwqRyrYWC/e5Xw9HsGDaA9JW0Be97zrFbbKxr8irm2 +yegDrh/zHcaeIzSY5be/OYG90vb23s8TNBisX+dDYg9TlWWtZmggKP3ukMgw +ibxLIj8e5dKg/9OOMzuwH7uf8JRe2gM5i0dPuGOTc42xtZo9oFn/kcLCrrvg +7aWh0wNZSSXUv9hRHZvMCg/1ALHdslFshEQbi4LkEwx74CRfsOJ27FNXdIuc +7HqgX6HovR127kx1q3hMDxgENL5qw3ZL+t8ml/ge+B5T3dWLraX30b8puQey +fZbWfcRuzRBVuZXTA62R05t+Y8+ftoidruiBS8sWz24ZJVHV39nPejU9MGqo +8no3dkjhXd3Mhh6w+iJ59gC2lNDTedPOHrCjGkmcxT5YTp5r/NgDfKypB37Y +Ig4Rz9dP98CCrItIMDZtubywP6sHAlrETaOwL7kcLdv1swd+sQmvR9hRcglS +j8XpsIwIqa7FNm3dcu3XSjqcr/L71IK9/nr1exNpOoTXE5+o2C+p4zfFFOkw +1fLEZRS7P3jXB799dEiRtgn5i52t1qTBOEiH7/Ed9kJjJLrywTxGTY8Ou9sU +ZJZi82ndhc9GdNgTkrtUCltppjPL2IEOvTWXBdWwvyba/aI40+HtH700Deyq +I+TZpe50UNtvL7of+1SGnFC9Hx2OlcW7HcX2Pn3FcWcsHXKfC502xz74919N +RAIdRPbsyrHCXlwYv3YyhQ4E/VfHRexUweqW9Fw6TJjFFV7Gdigx2vCjgA7m +qb5WV7BVL4z7naPQQbVacdIdu65syQ7RKjp4/BMN9MWOsM8IvoTweocs4vyw +jZfvGqptpINcVob/LWz5t43qsq10INd+1AnAnnI2j77eRQeHFHVmIPbLNbOf +aHQ67FyeoR+C7d8QcFB1gA7CNlsehmGLyz1hT0zQcT1rfx6BrWsa/OHjNB2C +XGkXo7A9Y23axll0iBA4/S0aO7dlf+UYQYfRi0a2sdhMfqknoz/oIKTBLHqA +LbKfkzDylw4xW772PsTW9qYGDQswYOVg7kgcLz5Fzz0+LGaA1uyfxnjsR5Ph +1kNiDCic5wtPwKauv2Q4uIIBB29Vbv0PW8DikPbAGgYw1ux8wrN6nNzW/nUM +uHXMRTAR27Ht5+o+BQYYR3gd4DlZiCnYu5kBVZbnTHhuPfBynrGdAdtUJU/y +/Mc3ZoS+kwGm7hXyPKuWuHT0qDNA+Z4Rlbf+xelj1bR9DOjqHbLhOW7jpoLu +gwzIeuvawdtfo+W/ROoRBpyLFZTmmUwYDOk6zoD2jOeHed+ztbPcs/MU3o+6 +uwHve88vTrjYcZYBfsnn1HjnEaXrYdRuxgBZUTsO7/xq/Ax12qwYENyQnRjD +y8eXW7e32jLA8KfcWt55b5wVknrvyADvgUGfSF78N48Jt7gy4NqDiZf3scOs +3xLvPBggAbqd97ArklLGmnwYkCT0vTkUe4bq09V4kwF1P5dnBvPy+Yjqs/oQ +BpyqivlyB/vOLdGUuvsMiEkTsOHlU0npZFhtDAN0+n6W8PJt1dbHdjXJDHA/ +F/fVC/uYrf/ptxkM2HjryLAH9o1Us4NvshmQT08qvIo9JLZcpuo5A34WnRhx +5OXXsdnFlS8Y0DpXpm/Py6+AFm55GQM8BydjbHj5NH+3u7SWgeef4kozXn4w +58Nf0BkwuudV4DFefkh0+pb0M8CsVGLsEC8/jhc6UIYZ8GTsyvoDvHyottMt +mmLAO4b+vj28+D7u+f70DwP2HzMZl+PFt5/y6Qk/E9wuRGnz7v9Fyaie/EVM +kO7i85TkxTNEj5K7nAnmFejOIl58nEsdMxWZUKXnuHwO15cZ3aLwpduZ8L3y +cPcnbH2pvELfnUw4u3jIdRhboDmBZajNhCsJLqpd2H6K3r4//8cEsdHf08XY +zN+uyQ4mTNAqbvDLx1bvsa/qOs+EqOtxHzOwvwaeW8i7xISpKOejvHrpOLI7 +7Kw/E/Yd/XPYCbuxbPvTt3eZUPLoV84F7I0xG1u3hjHhy+XjI+ewP+hIiv97 +wATdZeQEYBunzv/3LJ8JcuZxKmuwD5mU5AnRmLDtc0B1Na7/j5SfNrv3MuGX +YnITBfuPYOb0wBATmkYiC3OwK17Gqrz4zATa/BbhSGzV5e6lF/4y4fIehQUz +bNk2laayrb1gbeey6AvvfdN9NnE5oBd6738r4OL38U3ul7TrIb1wb3On9iR2 +kIjyubD7vcAfues5E1uc+rwuJ74XwiUK1MqxlWyKHw0/6YWtCUvrfLFNb78w +N6b2gvfh1cJf8ftcWlneDhv6wNFo9dE2/N7flPsRbKTUBxJbPn4rx9YN3Lv/ +wo4+0Cj5HZiL3X68suCmRh/wKyjq3cae6K0KKz3RBypFHHMV7FXkW90dnn1A +2qe8vzeA6/nuxtdrGvrAZ78RazPuTxzVFTIftvSBQG7QqBi2ueatSLHOPlgW +508hcH+zX1vdTqC/Dx6qMz/WYgsczpVgsfoggvMl3wI79kyIS5NMPziv8U4P +6SVRoYeegrdnPyxNaPOsxf1WumfmUvb1fjDIthXNwY72/kM63+4H89iogFBs +jxuvO2zC+4HSXSlggK11V9H/5KN+CL8nf7Ub92+NsULMja39kLjTsbe/B8+L +xY2R3RsG4KxO0Y7ubnw/5oRmd28ZgIMt9fIl2LXKRw0TlAdgwzfb+RjszMIm +MTOtAeD4n9UwxLbLfxc9ZDgAseIP9zbhfnMyoyX28/UB0Lkuu+sF7k/notrj +/3YMQGe6nfi1DhL9dqGnbbs5CHH5P6IetuB807zwUDVgEMKUg0+6Yj/nnwzb +EzIImV5DLD1s6+Tv1w7EDIJ72pKJ77j/rmuSOX4mexDmZV6GW2HfU7Dl3Ggd +hPGMMzUK73C/xZwzbJEZgtuS374lNOD5+NDiBcfqIbA9UaGtgucH/ewNTi/W +DsMr75diYnjeuS5ns3HMagTOCuxBq3PwvDtrsrT31CgI389KYsTj9SS+ijT+ +GoXt4lEVD/E8KITSKJvSx+DQ8On6OTxvuqpX9y85OQ7/mJ4//pmT6NkJu8wa +chxOfBXqrDqE58MvMssnEz8CnVvmfX07zsdz+oIJehNwre7sUJgEnp+Ohz5+ +PTkB9+Plgms5XLThg0FfTugnEPpSs53Tx0XaWiEJ0rsnIRiZJwtWcpGVfNdP +/p5JCL1sL3o3hYu4rdHjHoGfoUjMbomTDxe/X9beZxWnIGlTo1/HGS5aOVya +LdA4BfURArtEVbhI+Hag8b5r08A/Ze9ECnARX4E1ZVxyBixrtEdv93OQZyol +WrJ+Bt5kxVz8UcBBb3eEi6xw+wKT25sX37zBQSsMPJWDxGchblOoU5g+Bw2p +UHv83syCUbpc2UoJDip5BIoT1nMwtnv4CpVJoAsUzRVyC3Pw83RvZG0agcrO +/xCn8rHgTZzpubJUAokvqhILFmTBBMPyzbMUAtVaHRCZFmHBGktVj/+SCLRR +VO/f65UsOBt+2MAhnkCTDmfmDLexQMs5RpwdQSA3mSvvbxmzwLb7gs0LPwK9 +a1Jp3mnGguS3U14pNwi0/hq7cdyCBf6RKYKB1wlEbfasPW7DApeMhUgjHwLt +9vErX+XCgorCh3wfPQj0vSss73kAC/TGRtaynQh0NzQrcKiQBdL3/pO7YUKg +ZdcXG9gWsWAlGll2wZhAqZevSk5SWHCHT0Lw0DkCvTq5L4f9mgVTd0/3CZ/B ++13R3SiAWGAkU78iyJBAJx/xiWzrYQEFeWnuO0Lg+cQmxuc3C/42Fpp8UyVQ +ztMm019/WXBc5/eSaBUCqaXuWB/AxwYDKKRsUCbQidvfKeHCbEhTslHT3EYg +ptuFG8tE2BAmleH1eguB7C426MaJsiHZ28FlmxKBbh2J7U6TYIOp999T3zYS +aIkGN0VBkg2iczqswxsIlKhkaZe3ig37BtZdD16Pz1uqbvv2tWyo8S8WfCFH +IMqSLUSxNBu2sg91Nq8jkM7vqOo9smwIvDXeXC9NoJbZb8EV8mwoOfp5KnUt +gUyGzQ0PbGADh+nBPbqaQONdNavqN7Eh2Obw8teSBHKvU/xwTIkN+qMZRq0S +BPrzMiKvbSsbJBrOCxuLESg89+vV0zvYYJVfdaN1MYFWJ5pqMlTYkKvAzMpZ ++IaUxmO8uNh88yNSM3++of8DC5If+g== + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVjnk81PkDh5GktBMqGmFWmFJR6Vq78d5+ahXaDtKSkNSKEpYOFauaSKVr +yDGJMh2OGblS6KNMKZQrIWeDQcb3O/MttWmr7ffH83pez3+Pie++TTvVVFRU +wr/zfzvuHGyoGNpsZ3qYvTi9n4G6vUFwN3sJJCcG1BW3GSy+miQoYK9Chcmi +hmPnGfQZmkUf4P4OmzllKbVHGDg9b8m/wnYFHEWpWnsYmJ0xEn7juGPgmiDM +ahuDfx13XN7O9YLc+SJzaz2DLX4fyy+y/bBHVPwwbDkDib3B3UrBLqTmxXEC +5jNYaGqb956zGxka6vvlJgw0pceuuXGDoD/du34ti0Hnghj7s+wwvArO3j9h +WAn+1RkV5lvDIdMQnm+XKuE4JWvFA8F+3HQdI/2vlSimapfSnEOYzllvfLpG +ifhc3TkbuZF4qq4SWSZSIn36+pNx7BPojfaMfBqhxOHnlyVu90/g6JCJVWGY +Em68HjXTrTyofXGuLghSYvJoSFSp4CTCNi1yG/ZV4uBL/kE55xTyJME1XGcl +Nl56HfA7Nx4ZZUE/OZkoEa1ZMPsUm48EO+d1p5sUmOtwITY/mI/sK9xx/BcK +NPL2DbVX8fHQM8dI+EwBs3Hzs632J2D/H6tF3USBJ18yrV42JsLqknAkL0eB +ye8Sl3LOJMOVrfUlLUaBpM4I+6KvaagpMb0kWanAH+4ZYoUyExUJq/ZxeDTo +dtdJ1wyEMBh9VqwXSeOkl+ZOF3shauXDTboHaBTs2GdQzBfivcLGjb2bBivI +lnd4+Q3EDrZ83raOxuNjbR4aR2/CfSwk+b4eDescHQ1DzSzsWGK/ITSHgta3 +aE8HYzFu9uTaDr4ZgflGarDydCFqq5ztjofIceCFDZLe34Op4aKS09/eQr9Q +p0tVlcBVJ/WCx70hFEvta+tWPEJghcPV2l2DeBRwZaa7XIIZ/R4Z+eYDGOXa +TsndWwVi15zVoiqD2qds7Znm1bjBVNjW1vRh2TefYhdRLYYSt/Spi3tRe2LJ +iydz6/CD90+BVqlScM4Pf7pN1eOiqoOTKPQNhj92dzUvbES3G1Pa7d2DzLel +1az4JsjChhefCuoCy+gm3df3EqtrRt76W3TgzO6iXelmrzC7raQ3XOs1Pvya +3ecf1YKUcCPVCw9aEGYtKdSrbMWP6o3Wg4nN6BZJTjeYvMYde7WVMtsmfA54 +mWoR0Y7b1j2FR4brMW/lhK+7SjvQesO+4ZeDz+FwzeTPO/pdMK/a3ZYoeooD +Rt6z3nh2I1T/vWD1HAnmyzdrtazrgYqepcjMhWDqFIWmZKwH0c/HlpYFFkGd +pIpNBW8gn/urUbvmLQQuKW2b6CRFmSxOJUE9AtlrfdMffJCiXOSbdD41g4wO +G2jLEnvBW5Kc+b/KfFLk4jCOv6oPLbo3bve2lpOoNbyrhbI+vLI66xILCTHp +dGy9zuvHys4Y9er0Z+Tn5Sf4bGsZHq9J9gzOriOexnWfVJtkGFAt7ZpX2UhG +q89Kg6MHEBVIBFm6r0iSulfYJrNBWF5IsBu/oY1M7Sq6piYZxJ96GnYBNzrI ++KPRrjYhQwjI0E6Ose0hKre9xFLdt0iwWHTnnpmUhKaIz+o+egvtjH75RY8+ +Uj4vVlMnaBiF44/HN+XLiI5j6PxjLDkUG64Il2kPkQ7L+qZDZXKILV30/24e +JnlpMOvzGkGvG6dlYhJFtomX6Rh9HcGDlYcrykUKUuzxD6tehUL5Do2u3EIF +YWncn3x8HIWS5gU+gvsKUuFpqzmkScHmnP+WsCcKMmvSqm+FUymwxHUBrC4F +kfltHHG2oODjn+3ROVlJggz2PDviSqEyOLcsyF9Jnjy2rFqwhcKZQ/ditIKU +hBNCS6TuFCJ81sdl/qUk9VWhFWu8KSzKFfRXRyqJdfihu9MCKPi6Ot6X8ZXk +Y91JYU7U97/EEvGaCiX5m5cR3ZFFQbe30XDWVIb8cGCC4/ZcCn4ilaNP9RmS +4r9XVyam8ETBcdttyJACJ5vrdCEFecRnmxRzhsh0GiRqhAJvhpHL3eUMcUpT +0bRoohDDigxq9mBI67ld9bnNFIxvqU4w9maIX3RtknUrhe3sy/E+OxgS6Zdk +saKTQo3dzENNgQzJm7vQaf0AheoVp0pCjjBE7653fPhnCmVLdz4TChhy/dZj +t7EvFALeZW2+nM6QhSnzOFEqNDrHXfHmZTJk7dGP4tjxNPicztnuOQw5Yn+u +IXUKjaBmy/gPpQyZuHQ0+UddGgJWu1JKGJLI3eornPa9a1r1misZIp44+52I +TWNSj3/JqxqGrPh8pnSxIY2i4zFtVB1DnsqZ4yXGNNib1Iw4LxkirXsw7ZEp +jZi832w/dDBk30Ozzt+4NLobTAuq3jDk3/w4Yc0cGtofEwUGAwyJzVTs3TCP +xuI4n7TwEYZMT3Rb1mxJw2th2i3ffxjClcb/Nfq9nc2dUq3HGPIf3a3Oig== + + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJwV13k8VF0YB3BLIhWRQkiytNglsj5CSCpCaFGyk6VISJaKt0TpJdlJEnot +kSXbkZ2ZsUciIkJZG3MnKt4zf83n+5n7ufec55xzn98Vu+J1xpGFiYlJh5mJ +ifFr7DjdXTdjqR2+FHvDOJaGNujt8h4VVAabav6EoiIaOpSekFIiqA8s4VuK +3lTT0ISwRNhNqVPgQn9ZR2qioROUgeJUQQt4I1wg5kihIYkokZfrojYgPE3N +5OijoT/G9s/spGyhRD3A7+8gDX2/19doF28HrPunpjxGacjKgV7zr6AD8Cu4 +RdyYoKFGvV3lDSlO0OXZ5rdvhoYUxLWKlkVdIWuLW+6TORriGL+TeVbKE7Y4 +uMSV0Wio8ksPpcHCG6qWrqyGrNCQt6mD8YX4a1AWNSG05y8NfZb/Ry9a0BeO +15lr0lgJFJcuUCd5/gaoDdgtKLATyJg7T7M2xQ96Qls5zTgJVDZPPrwgGgCB +lEww3EagR/m8+82kguHgVx0BDSECHRPJyppxDoHK/ac5ySIECm5O2vbeIhSU +etJCT+4hkLKKTLRNfBhMXDjsISlJoIwdpyMiBe+BJdHjoiVHoFuUZ41nK+9B +9cQ3Y2cFAp0N/8Iifj4cRr7YLkcoEWgL7VpIVUoElLtI2+aqEMi/L85/VvQB +jGn1HA7XJpBZ7Ce3U1KPgErlFx82IZDMCfHcXS2PQKveKz7yFIE4WK9OfXN+ +DNyFtZcOmRLo0ru1N8giBt7kGKf5mhNox4EYOev4J3BJwYW10oZAYRwl+x4I +xkF+wO2Fq44EOmj45H6xdxy8u1RKzDsRqCfca2aoJQ5W2c88v+pCIAlWmddy +fk/BeIbL5Lw7gZr/Zsn19cSD1ymTfI5rePzU+MOiUYnQpybkU3aLQKWKN+KN +viZCtdWq3d8gAtl6m9OvqSeBdlSb9tFgAhXOcb9rnE4CDg3+NRRKoDPT9zXd +jqXA/chq4eRwAiV8DtQrXUsD1Uim3p5HBDoqbJM1YpkOCiZLLfOPCfT9nCob +R3463DALu8rxhEC7ZwPs82UzAGK6ZpRjCeTlqiLJNJwBQX/o//k8IxCvQ2HO +yyOZ0FYvcPlxOoGsbZ4XLi5lAUn61WvPAgItDFlwZu56CTXfCvfpFBIowpbD +0VzvJVzN7j67rYhAJfZeu8riXkLA3NTwf28IxOWpFX5LNRuY6p2+978lUNOd +wXMbb78CTo/5qaVKAin9x7NRmCMPbhdLJVg1E6hNrukyRSEPBJG9F1sLgS6/ +8a8KtsmDxMhf5sXY0WWj3mN5eXBpLOfkpjYCTdflD2WffA19D8Y0/yMRKO3D +8TeKsf+BgyJnXUsXgTavh10w3F0IdRLLr+w+4Xq5WtnKGBTCTZ7UsSVsiT6Z +yzyehcC6h8s5bIhA2rkD9kM1hXCwwutc2jCB3uXGl5bLFYG41ZWNXSMEmjOf +rT7RUQTWB8VCt38lkGXOM5LP1mLgcuQo2vuDQCkX75g4iRVD5yf/1DTscV4P +ivXhYkj8YbYiOIvrfVu3U+tiMUQkdqxzzRHowZm5Hvb8YqBttLv8c55ANX90 +PyWblMCPlFHp9J8EkjSbn254+BYGKMsX41cItCvEUN35+VuoaMqr4lwl0Lb8 +jIec5W8BPlEjg7FXOczlzMbfgqvRwzCH3wTqqiv3GTlSCgoaVycP/CVQoELY +2q/JUjhmoK6SzERHHdx8fHI65eDK47NFhJ2OGrQ8HLsty0FjMmtbGHaFe3OZ +r3s5jD5SSZ3AftHib1MVXw57+b6Z5XHQkX/I5zSjuXJotRdTV+Cko70L2Qfs +kyqgZn3TP1Jb6ehmhxokLL+DIPf1wTpeOuKSK51s46iEhKqDujzb6SgrWiHq +t3AlTO1bNrXD7jbZN2h7rBKuWY7ZM/HRkTSJz0cyvhJ0e4Nc1XfQ0WjLQnax +ahVsfiivn8ZPR4bvX3JRAqth7BVL4j5hOhrZI1a69qgaFIs17nhg+4amnFd4 +UQ0a8r3CJdjPITYnllQNjbtPOWuJ0NHvmlDdc8I10EDPszm1m44KK8/7TdXU +QNEVnmW7PXTE/5ZnhJkZwUoc15SZBB1diPptMbETwSBrcHwsdqbDJKlZBkHV +VCm1D1tmx7t3D60RHDGsqDgrSUe6fpef7ihCcJ8j8MZZKTpSSbHKjpOvg41W +vb8N9tORnW32xZyROmBKUlOcl6aje8ZCiYkzdbDU+2OflAwdZavE9EUu10FM +gM6Hi9izXIEnPDjfw4JrhwUZ2w+dVFNSeQ8v1SzmX8rSUdQeGl919HtozAmq +OyFPR2XjeuROzXo4dT4xwF6JjvqNwpaiDeshKEF1+Ak2UVC70+RMPQwb8a4j +bNVbanatzvUwIaIbIXQIr/92eaLu33owDBxN78R+p79rT/F0PVirth6QOUxH +1dmLPnFxDQD5mh/LVeloeItc4pn0BiDlkVJGsP9cd6/dltcAB+RgH9sROtKC +bxzRqAH0QvwfmWHXDgylhn9vgERqTt4UNuJoafHTaQT5pkXNTep0VO+WKmQz +2wgLArUf9mrSUcmMu7st0QjdmYphOthZLupV9kxNcOqBytpF7HCngXOefE1w +wt/RIwHb6ApP0l3NJmCudBbfrEVHnTbhAgVRTeDcx7VxGnvYyGMHq2wzOH74 +cCkU6IjSouHIodoMfb/aryVg1xpwlm492gz2NinWRdjp+q8sBCyb4bTR4Zej +2Fd0xmJlbzcD/c9XTU0dOppWteS1pjSDYMvvwDlsmpQWd75HC1D6x3oUdOlI +e1y3JdK/BcTaZnWPYUekGoW43G2B3S8T79pg7+SzWBBPbIF2mv7NMGwVZvfO +pMYWyFmnHOzE9h9KeHxfqBUUD74YtNOjo7r4NEMnqVZItpS/7IPNcSZrXU+x +FazK1yruYSe2FnqtG7RChilpLBu7srT5tN/1Vtgz08M7g/3n8TK3Q1sr6DLR +2R316Uj/xGrL0b5W+O2nVuuDHbWRKVR0tBXKRfSM7mCL3N68+Gm5FV6pb/qY +hq3ttrfLbE8bnM+fmv6AHaJvGgM32+DIs66vGsfoqHXd0kjkThscJ2mNGWJv +qzrP9DuqDYrvDZWYY2coOnuXZbbBd5/1j27YdbuDTWU72kA2SF/yGTbLyutt +QpLtIFd++uMUthBFlwry7cCZT41bxFZ+PvjBQa0dLJuvyq5gOx5nTy442Q7J +1/f83GSAn594RVLXrx2kAqzJB7DHPFbYnUPbga3V21URe/VozPeHke1QlPdl +/Ai2zPeawg9p7WAly+5uiP1YXVDdtaUdisuke65g53AVCT/qbocjWTXmrth1 +4wbrxUPtYBclWeyF/TPSt/HPQjsYni1lC8I+O9R5KkaABCsVdzfFYnsVOimW +7iWBbLevTwL2/bt/t3+SIUGbW1llKvY7aelB8aMkKDV0HnuFLRwUYV/uSoJr +N8SeVGIfNhUxGPYhQXHHkala7FMSb/czB5PA9ia/UAN2CHlszvhfEmhsdhQm +YSdk+Hd5pZCA/+/iTAd2kS93SVw2CVpOBD/twR4X1vIfqSSB/vX+iEHs34u9 +51ibSPDPlxryMDZfk5vW/k48no+ji6PYBh4JLNe/koDjz+a+SexLR+Un4+dI +kHNaKXYa239Hc0sVnQS6wSSFH9i5NdQoti1kSN3/iH0Ru/5JpNfBnWSgXu7V ++Yn9yVHszOk9ZEifq7dexqaqVSj7HiRD+UO30wT2Fq7T/InKZFD6MSDxC1ty +fHKlRpsM3wsEBlewtcuChseNyFB8Vf3qb2yryO2I3ZwMdvnGI3+wvW3znstc +JMP07Fn5NewHSkfvmTmTwTbp6qV17MyNH538rpGBRyDRk8kQn49PnseTb5Eh +rmrmAjN2bwGbTF04GXop7gdZsGfvpHBNPiaD2EOZAYY3WB1a2pREBnVxNXtW +bBHp9l65LDJcfZfWwbDK+uUy8wIy/OvgJrgB2+Rk3Z1jFWQ4XiKhx7B9suhp +1XoyeDO9OMlw4Eyw0AEyGaKCl44wHKM6MrWrnwwfLITZGM4O13q75QsZLqbL +FzPuX92bEro2Q4bfnqo6DPeI/TFZpJIhqA0KGeOb8TovOP6XDLG5FuuM+TDV +Vk72slNgeS1MgeGdW3YVN/FQwLagV5cxf5lzAcHlQhR49cRShVEf3ZyPxrmS +FGi/uX0zo37WhCp/sjwFfkkIvmfU10v/2dcoNQoknLluzah/yhfLIO+TFLAe +PSnNWK9iuVKjK1YUoN2acmKsZ2sQ3w4LOwrw8rHeY6w3TaA3X/UGBeq15q8w +9scWZ6XAAyEUyK3ok5jH3lv6xEDoAQX6mN1bGfvplJnp6FoKBZou9b39hu2Q +Xvh6MZsC6ptr/37FvjXH5T9eRAG/4nv7xxjn9QFlW3MjBTrefBAfwmauN9aN +nqWAsldNMQVbYFseVwhBgVSN5s3t2HK2m4a8mTqgIHCDYTP2udUWHwu+Drja +ZeLAOG9vlY69FNLsAP4jGhr52O2hWde2GuD/NXe/ysH+0sGqvX66A2LLo2gv +sLe61/eP23eA0CUV9SRspxfAkfewAzJCxu3DsYOW0vqSn3ZAxAPDyRDsWFjL +iE7vAPM3D48HMt4vQ9Vq10o6QDjkU6UntiCfuvuRoQ64zl909Cw2+Z5yR/PB +Tti1jWtGFFudm8Ty5HAn6GVv/svPmH+inep5nU5A+UEL3Nh3Cx5lLFh2gl/r +d691/L5U/zh9XSCsE0YzTb8MY+dIp/K7DXSC6PvpB0+wd5YdMlEe7wTH1Y+R +/2Df02kPXZvtBLaTa263sYvyfvseZ+4CMVH+Nhfsh2j/yOedXSCj0fJXC1t3 +5k7RRt0uUFGTMR/H/SFArqPd3bALSrf3m/RjF/kITnaZdEFXkbtUO/butULB +ZKsu4PWKCHiDvcL7+Y68Rxf8cMnPCGZcr6lqaZ3QBeVjGn94sUVjfqzkzncB +z1N5JQncz2rtQnKLqV2gePOY6Q5s20Pbbap+dcHdshjzjdgp/eoVZJZuULo8 +tWka91MBkUi/hZ3dsMHLVyUPmzdvP1UZumFNevHpAWy2RsdZ9LgbXqvJZ/Ed +xXnj6Upya1w3jjtrN1mw9Z2jT3QndkPkAKG4iPv9Hc7SvPEX3WBXGWZNwl4z +3eDKVtENBbIt3qHYvz5nThp/6QZN/WN9kzg//KCPjnxQ6IGcsbvPUrVxXnN+ +XG+s0gNJCVuvR2CHDmhnI40ecP6qLe+FvbM81SPXoAfaHd+Z6Wgz8uX5P0EX +esBoeFl1DOeZpOV+QYn7PWBAeREjgm20RLG4PtoDWcTlvFANPP7vVe1cj3pB +rUtB8ifOZ57PTou7xfbC9gJv3z5sVf2vt5oSegENKOeWYbencsoGvegFXQP7 +hkDsJVObxzMVvXB+mr2KGVu7nDBv/NoLQtGD1ZwqdDR4V/FzgFofrHIOKG9S +xnlf5NXCxEQfHPONU32H82iUa6lThkQ/RC+ebn6B8zCh83rCJWQAPswekzIV +wnldqfHtzoaPUKP2/rkLN877BY0Pu8U+Qa1s3YNdzLg/ufUlHwgcguUOssp/ +SwSSPsq+5lQ1DPskAjm2jRPIMFPM+Q3/CLANTPT2dRLopsilvWMXRsF/SDzi +WQ2BZGYtNw+c/AKP7o6F/ptHoO3cixyNq1/AqdPAMDeOQBtQcqF4yhjUFdaE +M4cQyF25anDTiXFwnDapOYS/918fv5JRS4yDiS7FItCEQLQfu7Z9i/8K1z0H +Z9UP4e95c0PWOP0J6HNVKbu2k0AhRuHpb79NAE2V//3hVRoS+2z88UX4JOQu +c60of6YhddV7cYJK38Drg9tFhWoaurC7c4W59xtIqypnDCfTEK09etw7bAri +lRcceW7SUMIGW98zEtMga/h9/68zNLR9pDSTpXEaIoL/yeiXpSG222EWatdm +4P2TT5YBrDTElGtbOM77HQSEy8e6B5fR9aTCaN767/Aq1zdLOm8Z1Ujf5+Dx +/AGS43yZSf7LiMf4uswdrlkwy3pzIc1wGQ3LdvUGVM/Cq7jIuwe5l1FRGkhM +2M7B4r/mDj/7qehioQqPyNocsLjGlO5IoaLQ8Odhw3nzYKqot1PHioq23mQ3 +tsufhxiTJwmHzlJRkosH77fCeeh3Fa2UsqSikhNqLxbezkPJQbGPm82p6BtP +dyMLmgdFyRK37lNUdCKNieNA7zyc/bpJ4bgBFe//S49u/J4HK10v5gllKnqR +03R29e88BLGkxrYdoiKFJGnREKYFEPZsyyhQoqLjt+mF99kWwOgQSeKmAhUF +6T3uTuZeAJf04BRmGSoa76zlqxdfAN4SOfPVvVS07tymfll6Aa6W2H0KF6Wi +/wFhgqew + "]], + + Line[{{0.9746826644055703, -1.1946140255113218`}, { + 0.9783775220102351, -1.1911792043974874`}, { + 0.9787207356226415, -1.1908540152590148`}, { + 0.9794071628474543, -1.1902000926479277`}, { + 0.9807800172970798, -1.1888770048508155`}, { + 0.9827337978676478, -1.1869541139600128`}}], + Line[CompressedData[" +1:eJwB0QEu/iFib1JlAgAAABwAAAACAAAAGEp6nsd37z/zbEE4Jfvyv0TrALfa +e+8/HwZkthX58r8foGh8qn7vP/YpFy6n9/K/1gk4B0qE7z/PWGSAw/Tyv0Xd +1hyJj+8/Ih91v97u8r8ihBRIB6bvP1LyvmOA4vK//jh8Ddeo7z8loyn/4uDy +v9nt49Kmq+8/Svfs3UDf8r+QV7NdRrHvP0CbMRzt2/K//ypSc4W87z+0w36W +/NTyv9rfuThVv+8/WUtsai7T8r+2lCH+JMLvPwVU19FX0fK/bv7wiMTH7z99 ++eRNjs3yv9zRj54D0+8/vxIS7m3F8r+4hvdj09XvPxVpr5dAw/K/lDtfKaPY +7z9wZpq5AMHyv0ulLrRC3u8/WD7JKT+88r8mWpZ5EuHvP362ROu2ufK/Ag/+ +PuLj7z/9XS+qDrfyv7p4zcmB6e8/6k7XiUWx8r+WLTWPUezvP/89okYTrvK/ +ceKcVCHv7z/FOHUVnKryv0yXBBrx8e8/oET538um8r8oTGzfwPTvPytYgIKD +ovK/BAHUpJD37z99T5YMjp3yv+C1O2pg+u8/DyKkQIOX8r+8aqMvMP3vPxYE +nU9Tj/K/lx8L9f//7z+J9lk11Xnyv0R0BL0= + "]]}, "Charting`Private`Tag#3"], { + Directive[{ + Opacity[0.2], + Hue[0.67, 0.6, 0.6]}], + + Line[{{0.9827337978676478, -1.1869541139600128`}, { + 0.9830526754186683, -1.186634453176522}, { + 0.9833715529696887, -1.1863147923930313`}}], + + Line[{{0.9741719939012652, -1.1950800400135033`}, { + 0.9746826644055703, -1.1946140255113218`}}]}}}, {}}, "GCFlag" -> + True|>, "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>, "DynamicHighlight"]], AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], Axes->{True, True}, AxesLabel->{None, None}, @@ -241693,7 +290562,359 @@ zuMOLopzwon6X+USJnJt0B0GM+2NdA== PlotRangeClipping->True, PlotRangePadding->{{0, 0}, {0, 0}}, Ticks->{Automatic, Automatic}], ",", - GraphicsBox[{GraphicsComplexBox[CompressedData[" + GraphicsBox[ + InterpretationBox[{ + TagBox[{GraphicsComplexBox[CompressedData[" +1:eJx1mnk4VO///22Vd0krRVokrdImSullT0hEpFB2rShFlAglCUVapCQJLSRL +1lv2rGMZ+5KtyDLMMAeF3z3X9Zs5fd7f6/2X63WdOfe5t9f2fBC3sD9qzcPF +xeXCy8XF+qtp3VuV03fsQINXuFy1DwN58n/ecFckBLYpbR0ylxtDx41fxQ+P +RMGfTby039QxNG/G0+TgqniwjKmb8488E0nqDfXm3UuCCbuv4aXeTORcsRee +jKYBzcIvgquAiZYlLWrj5kZwISTAz2eMiVI6Vcoq9+dCx89zVhFrCJR7NnyF +8UA+nFdzXa+mQqCx9QoLPlwoguFq4V29pgTimXi3cIVkCQRWxJl7XyGQ7Mzp +FP2PZVDSvfOlwh0ClXnLVBRuroSTZpNz5zwi0Oqg/onYIQq0WeiPmUUQqJ9o +b6Nur4aUY3YmrW8JFPUro0QwoAZ6e3OKu98TSHDlW1p3dy20r0fagx8J5H8m +2SZiXR3I8bokWWObqfiu2+5mPWi1TtrpvyOQ0878JOG8Bpj//dxb+WgCtX/M +v1cl3gQCj76Pmb8g0O+ztWGbXJth/dEkj7MhBNqiNGfaJqMF7Jc1SGy6S6CD +keK2n5a1ge62ws7vrgRyXnlqbYdJO3ALL7756hyBpAaOzas//B3ehmuU/TpB +oCULhvnzJ7+DLTVbo1adQHwoLF7ieQcMT6h3KO0k0DmZjMZ/tDrx/H07xkUI +9O6QRUQ2sxOyzJLaVnDh/ewXXfgjtAtKUpq+bvrBRMn6B3lDVLvB9PWrDTzF +THRTw+dl0o9uqFJ2h8FYJhJv1Wx47dMD35rlrUP8mEhezjtEZOcPsBu0Fzxn +w0QmqyonuGt+QNPlplOhykw0VnK/08HzJyREO7mfFGGiJ3xmTkfX9QLjgewz +9+ExtKQtOZInvxf2Ze+dWVI4hmbd8DTY69gHAqp9DQqPxhBXrFl85+Jf4HYp +RuCb1Ri6Lym7qCvqF/jGvx08IzuGsrb48i+62A+228dapkdG0SLNS1K3BAeA +KyHSflvqKGrZSqm5ljkAyw0HBdwvjaKEF7Cu22wQrovV609JjSLTeNlFK6cH +QWL2CWFmJwN5+LzybIkbgjqRN0dH/RjoQ+/KMEFtGtTv+Cj8YBsDPV49b2xm +hgZOqpHBB3vpKELoyG0/EW+IMxSU3J03ip60uqokT78AyVMzaheDxtDO94tm +i/HHQUj6AfmaeUx0LOZx6eX5ifD1ifSPWHMmqliwdKm0YirYu9IOpEUz0cGv +bwTLXTOhf6Gq8qU2JjI3izaNacuBkeDtTZsFCJQZPXw5JCQPLLo8B8vx+bZo +XBDi3VoIdT7HnsrpEehP4OgCq2/F8MH67V3jswQSu37bMvVMKQh9fT/U6k4g +7lxN5fsD5ZA03D5yP4BAyn23EmYrU6Dd63OEZBiBZuVbD6DAKpDTHra/FkUg +jZFyg0vt1XBlxQvx/DgCNXrtaL22txaabawblPD9P6wi/S7Xmwp+VaGb6NhW +Opbwhq+6DtoOnfDb+oFAyWmpZSDeACtHjXXnxhIozkF1jdOlRrgRcGrVs0gC +DfqXBU+VN8FGHuUv75/i+1w3qF0s2gIbkjMvzAokkHDqqYArv4dgtUqoFjJj +oLXeSzXmRNMgq+aB+8sJOmrddkflvogTiG+qjnl0bhQJMEJ3r/Z/CkFT98OW +2I6hgluNJ2bfeAsnPILec9PH0KD+QKZWRQLoPBOOc9FhItftntPjPcngm3XY +WSmUidqLaNGJchnAFJuSnlvNRLLPjaJDtuVArrmgylpuAqWpiq5J7M0Fqsej +x8ObCFRp7LP8o38BPOtZuclOk0AuzU8CfVcUA5+yxRYtKwIFyovInykqgYGq +Vj097M/Pvx+77nC4HByvJphz3yNQzJbwZWfrK8Fl6YakXU8ItDhuI0MGqqBV +e8N1L7w/z0brRNb5VgP1x8OZM3j/DqQy9fO7aiBD91V3LN5f6YSz5TUyVHj/ +wWo9TzyBbNp33j7qVgdb2uUl2vBzwxufjhtQ6kE+QrgV8PkF6nmfLRBthKSX +1+7cwOf7I7w48KdzE+RvCF0h8pxAd9aYj7qUNMPHRybMwgcEUjiy9FjS1yGY +zgzbEe3OQHayT0fmXqOBTN0dneyFDKQX3HRWZ30ATD+6bm/GO4YWW8XHvNkT +CdYXW/oFk8fQC+qhTzuC38MiubTSHAkmsnkN/HH3KmCxwo0v3Xj8hP1yx44/ +oYDb4JRSWziBxlsjezS/V0Gfwoo8JRw/mYP5gTmyNXDoljYaYMVX3fM22wJr +4cnOONsP+L6drxvx/VRLBURJb8zCtljp1oKUjfWQ0qZzxQDH76XMLMUtlxog +7OLjNZ9xfM8P5KtbW9IIkRnRFUE4/ndWZi/NlaDBhZ2aEfEHGailKvAFbw0N +JIuKX0RP0pGRFZH1UMQKbmfPe9K1eRQVTkVJ11aHgs8HWf+NumNI8KKCj5tc +NNga9O/W6h5DabGhyanSCXCnTfL7WVUmouSkXm7bkwwjRMPXt/eZaEvp0suS +oekQkJit9qyUiZSvnn4klIAg/r3mpM1vJvqyZBsz52EuzC8cljGSxP5nseiZ +1/4CcDiy4kgHju+y3Ocqn+UXwd4nW6+sMydQ8VMLSeWrJbD11wNehjOB+uxP +inROlUE772L39TifyDf0XlruWQn5ofMro0IJtHyl31WacBUsPIlEnV9h/796 +8s91k2rws3cUjIsh0IiucWDflxoIljjCfxjfnxc/fM1aBKjgwV1t8gfv77V1 +TlcnjtTBJ5dvQhuwvf7Uxxdtb+th9gGpvnJ8v3iUoxYMDTXAFT3Gj9NvCGQR +XXi/RbsJnC9lTyvh8/1aIKqhF9kMr3w83MWCCeTm9avFNXMI9sk6XHX1ZCC/ +wJOF/hY0mMc9yrNenIFcakNcBlbfBefYusr9PaPI/oysJFdLBBQa7GQovx5D +vTkfmqMPv4MX82QVeZYzUdJOtTcr9lfAlrXPxiGIQBOLW29tu0CBZD4Xvrv4 +fk/r8p2Z9aUKGI/7Dlji+b20P3RJZF4NUA4mPzDD92v9r4pXBla1UHkpc80N +vL4WgYWi6e+pMOQq6N2CbemF9smmU3VwaFvP7EJ8v7rr028nH2qAhOb1Py3w +/sl5rHPTetEIobGntz7D+3tdJbAqbAENVG0aPXT1GWhm89HLPpnYTg46mfCH +jgI+LN6ot94dymZfDk4IG0Ujl47KlX6uhrs7I3VX4v30Xxmy/KVgLUSlLXH+ +jc8j+5r2/lITKuwLuZQ+jedj8Gzk0bvoOng9q33IDz+3FknVOnSfBkl3j5Q/ +otOR0KYg6eOhD0DOrC7u3qoxdPi84gdbixoQzOErvojn/zXlny1z02thSHZb +fjUe78/LGiLmDxW8TCXkQ7Gd7lL+zbOPBrqmm7wiGXSkVV6fGC5iAOJRrpEF +vxloHa/UO+mrjyB4NHf3bNUx9NnSXjQl5A2klwd7yLWOoQOx9ZbNWfGg1CSZ +pwpMNMmvL63XmQRCJ+5tU77LRFXaGxrN1NKBt/fZ16O43pASSku7dxyB4D+L +RN3GmUjOba95sW0u5Hdq3J5ZSyAfm/oTF5cWQFPx1X4hNZwflhrQJJ4WAU/+ +RoG7p/D6D80J+3i4BAx1RL5mXSVQkFzbT9G6MjCmzqna5Usgr48BEbRjlbDF +k1Faj+vB53XyX8p4qkDpj++tgQhWvgm/EKteDY45L4+o4fMsCZ+79frrGtgV +dM9QFO9vHffyt9/Ha6FJ4v30GN4fnqKQIW35Oqg4kptwENuClPdfXwfXw7cT +5hmL8H3aJy9jwdPYAD9Q++8KfN8i4goEjOSawH6Lw/Ia7A9mTwhHhYBmMMjN +ccjH/vBZa+9rWtIQSGX4qvrjelssMzPhsBENzJWf33HfyEACY443M57fBiHx +iPJvtaNo1cA1yw9bI8Bk4WP0+ymuj1LaHTri4uBewDPNiUVMdGKy6LLB0gpY +1ZgfYYfz6arpeJEwIwrM0RUVbcT5/tbc5LjO11UwHheSS8P5wCnhXpfaWDUU +6jd3Lcbz55LzgJ86tQAZu9pO4/Ut3fjSIvsJFaT47Fx6sf0lMXDrp591sNz6 +4rzf+D6VaaTFuu5uAOm3q2NS8f45uCSVn/JthNgd+gYrcD47dIOI951FA7d1 +XqHzjRkoyOizqfonGthkixl9+01HKUNlu2mrr4FIt/owrw+Ot59DYojwarg+ +sky+AOc/67NqKTsmaoD667ZQLT4PZkizd6UGFbgOLwzlxvmvdf9iwZmgOsgr +2ERJZp3XP3vmaHrTgHAxbhOczUCn0qY/IYMgqKh7cExj0Rha++HWqhDtGugc +clTfhuf/jDej+HlULTw+Uun4Fa9PJsNC8UMvFfb6RW54h22tN9JJym00SN09 +pJZF0BF/561Iw/UXQc6ncURNZxQt54sZMayogRvy6h3X8fdtSieEGtZQQcTM ++AwXnt+wp/70G+s60LBo6uHF401YTDaXXqCBvM+3fSYiDHQ4fCVf7rVa0Bcd +jGT5X9SIR1VyDhXCvLx0KrB9cXrYN7+IBts0Mo2O4PghIyt13zjUE873bfNR +TxhFt82yGIUOeL59YY8m8O+TEq7sLH5GA9k1u5z2M+mo98zx+86VtfBzKti8 +Az/P91aNj1pYB6n31yy5ie2N2ufbc0ZpMGWwXMZ+iI52vXzy/LOIKtgJzq7L +b2Sgah/7vuaiEEh6kSNSoDCGv8dvra/yBh71+1e+aBxD62qlTi+6GA/6t8xe +x+5nooUfIu7NTU0C2QUC8/+5w0RR97f7/xZLB+W3kXPeFTJRpFVPaaEUgqFF +EX7cBBMxP2YLax/NhXg/1R6KOF6/nXyGJVcBrNzGIyutSqDb4Ro37byKQFDs +qk6aGT6fV41Uq70l8NHD65E49nfLsNVH5HLLIDhg2aY03P/FPDWXO6lYCZLL +Qrvssb+b7VpinDFOAbH4CtFo7O8e9Qei0b5qmKdSm7mIFb9Vu9wKntTAI+mT +/TP4PlwKPFXaOVQLx/X0hkfw/hxc/ibu6rY68H8dN8sI27f4pfRv362HjnNN +dTuxvxyXvX5PoKIBdq4bWDUL1y85UmraIVJN8OlrfoUo7v/ec/+4vcu7Ge7q +LUpehvu/Z3YXFv+IH4JXQWH6Sr4MZHV0UPKjHg0OHJ7dKCjFQIY+33kkTvrA +kjlulevLRtGvE3Kz+D+8BDNTqSUHcH90+pNLhrtxHBScOsHsE2QiabN/mh24 +KmDWlF/Sb1zfJ1wW6aFoU0AhOaLyJvZ3Vdv7WlVPq4DZoT6ahP29jSIlZvKr +GtS4b/f043xzvvV4wHbVWih16f6sh9eXkPzjdk4AFVIDvZ+y+t8/vBF9TS11 +cPZ9WthSfL8VPffsM93SACt0zkEH3r/7Tn+YZ240woPv82edxP6+/dmW1Te5 +aOCoJ1/58yQDaS6I25/9/CocWXBt12eXUbS9dnfH/OBq6G0O4X6C/bt64apZ +bkM18LlouxHC4z9cKxFbdYAKRF/+BlZ9uzZgbcnG23WwsvW5Vgl+vjrC7nqc +O67fJk5n18xjIH7e8z9/2AZCT8U7+zNzx5B/uYRRnFIN8NmVWgri85wTF7zs +x9NaiDvVmZeK10PRiLOKb6PC+dG9xUnY3i6hkDC6+gwUzf+pEaAwirzjPBQj +8mogajLhiDX+Ho+xknyTMBX6d234wMq/MjWW6ZUn6mCptDZFCNvKE5v1pOxo +MM3Hf15/JQOlqzCPzrOvheean/b64eeKN4vHUlOoYE858omKbffCZwu/GnhA +76nlZ2WjR9HaAb7l32yoEP5gviOr3jJYuKMlJ78W5D4bnGnC9unF/jXRs+vA +71zSIGu8P5qWj83Xm8Ha+g2GrkKjSN6JcquNhwo3Dgq5sPzf5ZnRgcxIKhh9 +9yjsxPaJOSGny49SoczxriMrHgVcnvOw7y4Nsqsd5VdO05G0aec1/fhaaFxa +6liCf++ga6VpEuoIQc4+rl6mo6hEIXGEupkKVuHvH7DibULZN5tzl2mwIfWx +1U4hBvpFuVKZ70qFnkyzjUz8vlvezQPSTbUwzKP24Se2+VREHdpFZKBmzf23 +5l8ZaPPBB76JDiFwxWPo+2P5MURrNpgbKfoGcvVbYyrqx9CTM0ZmUurxsM7F +ZPaJfUwkevOgvO2rJDB7Vd3+y4eJBKWTe77xp8PWpaKb6wqYyMT/t0G3MIJA +uYkGFSYT1Wl4jtw/mAsTCbwde3A8+dx37pwZMx/Ckp43pqngfqpTucjPpQju +NoQO6OJ4sqJcmQHbSuAVES9Wc4VA2odzbql9KYObo/YebjieyC8o5XmwuxKO +eZo82ofjSbb5zdhEBgX0D1a9vIXjSYptYK6mbDUU152IJnC/cfHxEYmzwbh+ +qOF2pOP7p2jo1drVVwuhPrs+0fB+/FL84Dtvcx10nGh0NMN2ZlR/mLN3PSxp +WL5DBccTG5k1EQ+KG2BdjwdzNY4nlEG+gZ0bmsBGZ7/TARxPDGRNH0jfbAYj +qclNSjiezHeeo2n+YQjEi9qWht1lIN9wn9NtOjTQUd/9oGorA7mVP843TPeG +Ao9JxS9Fo0hJzDiq7dhL6AgQdG14OIa+SRecLt8eB13I7s6p+Uy0fGGc4E1m +OQjeEhzKx/HkmnRFybmDFMhIzqs9iuNJ1KOJsOKQKij/p1vjMY4nS8xv7PnZ +XQ21c7TFGnA8idxesJt6oBYMGnRbDrHu+/W5T7/epYL7eCKDtf4XUjFF9vV1 +4HhPZYM49i/XleNeOusbYEz0U8MYjifPL0XMozk3womaaFxxEuh1TIHh5NQQ +vAg436VvykAhL5fnSJ68AiKlqQcUL40ia6vbU0/uV0NaaamIJ44n/FZ+71f3 +1YCq49WkJDz+6T71jOq9VLAOcdvLiif5KZtjsjzqwGvklxarnlDt50ptcqOB +34KHfCaCDCSlJRErWhQAE9tTwvtmj6Gvpk6Xd++vgSL1itgpfD4Hpmay/UJq +wcro0btE1noWVFxNaKSC4ewOizRWflURTc17bgNZ6k0nPWVGUfrUwE/V7BqQ +4ctRNsbfo6y21m5eRAUbJZ/HU/j3db/PPbE6Vgdzhj/cWYVtG9W8eybWNNgb +bxSluJqBhkMtJuPP1ELVt115Xqx6U31gTtonKszPTZJqxLbayqioPtubcIZL +VIj31SgaTty4ucScCnZZs2JY4/tZhntZI3weYPW+jtXPNMb3vOWuA7cDSW+C +sL3Of+WbmdXGYPxGxVH3n1HEv280pH2qFlpCJssJ/Fzd3E03K5wKX8vvfuph +1QsVqZcqDlNBjltCmBUPErNfloncoUH8Y91Z/jw4nyXoiI/H1kJdhs+cQlY/ +8b26PM/AAR4JCRsJGo6iJ3x1vPWSVBAOn1zAer92mcWrMAcaaBWWU3SWMdCX +x087Cq5QYWHyzhHW9xOFB3qqa2shvnL8eTe2u8XWeTqv1wFYpvX70AADRRXv +S+tg1MJ7DfG5o6z9PzkTSlGhQvrcQyOs8ScNKEqunjQId1Q/vuwfBpJ5uHJj +4woq9FrnhM+w4q1kx6zic1RoUHgo9Jvln961+eah5hD52rTqyZpRdP7De4fW +OVRQ6uCbmmTpwYoOOmVGVFDp0ophvX92o71Rmj8Nljj0Vr7A9dafqwHttduo +4FTjPMT6fqmxwNPYl7/g5G4B41V7xv6PvvLvfvjfeue/32fr8wmtnX013gxg +6/O0hMmddbYjwNbnhZTGg9z1h4Gtzyff66PcxXmZrc/HvaTKvQ4YBLY+f+5b +1nMrsQFg6/OroivTx+t+AVuff7eqOELudh+w9flzv9OM8g72Aluff7RvVceK +DT+Brc+HlxjKao/1AFufl0pS59/S2g1sff75C3qIb0UXsPX5zSdff7d53wls +ff7dlm/aeyM6gK3P3/iYvvDBze/A1ud13iotlCltA7Y+P2Pu0ZKb3QJsfV5p +oJfrzu0mYOvzJk19faWXG4Ctz8vwG2Sc3FUHbH0e5A6pifyuAbY+3z7Jb7Pg +RxWw9XnLp3eLluG6kq3PD1r+4WsjSoCtz0sckVgXk14IbH3+7dn7umFLcoGt +z39+u8/U4UQGsPX5aYJedPPdJ2Dr88URfbnuky+Brc97dyfsDN5zmaPPr857 +foW/MJqjzy/LK+LbtjqZo89nbHyXp9CbzdHnP1PVm1t/5XP0+f7flzNCpUs4 ++rz2i0u+ZQIUjj5v33csEA1Wc/R5SbfVP6a/Uzn6/Kpe/3d8io0cff7wyTsS +px63cO5rTVfPijcV7Rx9XqPCqTtDt5Ojzz//nhpmcbmbo88bec1WfT3/J0ef +v/xz9+0dDX0cfV5Q3uSK+6xBjj6vr/Q5y9t9mKPPi3znib6iT/9Pff6aIt+9 +1kV0YOvzE1sOSQjXDgNbn1+7pybKvowGbL15w4L+fHmnkf/UmydbJp4d8aYD +W2++cvDLcaroCLD15jNXuTJKVgwD27+977b6ZOcPc/TTx0YzGg1pdI5+mvpK +s78yZQTYemR23qxLZb0j/6lHuhrkG1lV04GtR15h2L09qzgCbD3SOjP59Qm5 +YWDHk6wXd5fEJw1z9DUe3599A750jr6mPjVCs+4cAbZe9e2trful+JH/1Ksa +Cm8/L1enA1tv2iv7Ovw97hf/Sy9ytMue2sHPALZeNCLuseq7/giw9aLLMxZq +xmrDwNY/XNp/xlwPH+boH+fealeUXqRz9I8A+guXBxMjwNYTtIvdFf55OPKf +esL2eU2G0lZ0YOsB4fVHwker6P/Zz7/ZGCejF0MHdn/+bU6FXOsr+v/pv//d +X/9X/xzWvX+v31YGsPvncTtzrRLTEWD3z7GP30/YaA8Dux9s+1HeNHN/mNMP +dvyOiVY3p3P6wQV39x4JmkUHdn+lddUqeKvXCKe/emWlmtxwmQ7s/qi88WpK +WgGd099szLK1Ns+kA7tfOcOf63b3EZ3TT9SlDYdI9tOB3R+clKoqr2unc+r3 +G3lMvqcHGcCu3w12UAZKLUaAXb9P8Gr7jBwZBnY9ul79zizl28OcejTt9i53 +2xN0Tj2a+UMz/v08OrDru1EPYVqK2winvnvwzPT0uBsd2PVZ/qYRSgGic+qr +IbspN9EiOrDrpYt1C96oBtI59cyvDZtMf4/TgV2fnPDJS/JooHPqh9hIGWtj +EQaw6wNGIMXnaymdk8/TxvrniP6ioxNnZp4sQ7j+nJhlsNCDgRJB/Jh65C9w +oBtLqMqR+bnQtvLbvoR29G///7c//jte/vt9dn5fHBBOyFSMcfi7lMzJuFwK +k8PfJb+JvdCQIjj8vT62aq2mFcHh71tjTicU+hMc/l4a1BO/Bdcr7Pxez0hI +kSokOPx9VtjOz9uaSP6+xlNXdKiX5O9bzG9zzWaQ/J1fuL3p7iTJ3wnTgHNf +pkn+fiJ0ma4j1ziHv5e6zXLqniH5e+tHDV3NKZK/+58Mkz45TvJ3o5aQRM8R +kr/rrRW/eb6P5O+qYGrY3Uby9yv6p22da0j+bvdlLdOmgOTvzqOUzKFkkr8T +7Yv050WT/P1b/5KvEEzyd5384Gf7PUj+vtvg+DL58yR/X5/7TnXSgOTv/nbm +3NUKJH9HAh6FohIkfxcutL0nM4fk71nBykHR/SR/L7wYtmheGcnfe2p0TZrf +k/y9siSSN8qf5O8uCgniObYkf8+xnvh4VoXk7z10Hp2Kv/h7QH4FLeUv/m4L +X2o2/8Xf94uHOqr8xd+TppY9/pu/q9z4X/5+qPha3NRf/F0y6tj3TX/z949p +5o5/8ffoCdfDg3/x9wMrzqoN/MXfA1a/Vf2bv/8UuPB4/XaSv+8qcj3z4xqZ +333W8Modx/vBzu92fDr+rnwEh7/vf7lvw2tNgsPfpTf8kz14jeDwd2avzY1w +3E+z+XtWzI0r/ekEh7/LR3cqfqeQ/H3P7YaAFZ0kfz/m5HPAYojk74q2faE7 +CZK/N6fI+DH+kPzd6Q54tcyQ/F1BLZ/pjP2Dzd9FRdRsqdMkfzeby/tN+DfJ +3y9/aZCQGiP5u02WwKa4QZK/n3q9mMeim+TnDjnHmnP/4ucyq9YhJ4KsZ+iC +jPK9x5kcfh7S/CL8/gCTw8+5l+87LbGf4PDzn+YDT9ztCQ4/d4Ib57lDCQ4/ +l5k8cCY7ieDw8yjLLzeTS0l+rn+s0k6zjeTne9d1bcnrJ/k5oXi+TW6M5OdX +uomWmt8kPwdn4zKTGZKfh/tNHPPF+8fm5zrOYinnZ0h+/slXoUHpD8nPDb/H +Hq5hkvycaWJcF0kj+Tm01JWO/SD5N3i/+R/+Xb7TKSJlKcm/35psJBQSmRz+ +nbb8YbWXCMHh3wkqO5LGjQiU9Ue5KUz7M8zTH5yO8yLQWlr0JstnX0CixLXh +LY4/8eknr/7MyoJb+s/npuXgeLdmbGnm/a9Q3ygilkglEOIvKrqqmA9G/V6X +onsI1Ct3bPHx8kLYpnNQqXyYQDdVdYPA+RsEv6XzjOP4GZvF8J8lUAYhhkf5 +f+H4yubvlmoXzu7B+8Xm703bji1Uwjabv99u/bxs9TTJ31fEzKR8myB5+aJN +uj9K/+Llnc99Nt+ikvVp8EqhwDs7mBxeLs/1Ze7ediaHl1OvVo5YyRAcXu7x +285y+gzB4eUyea5FLg8IDi8XRl1Stz4RHF7uFV+7a6qY4PDybMsiZ/UWkpdv +OmvideoXycsP7VjMkBwlebllTthemd8kLzdYrgcLZkheLpwlUOGJ94PNy9uv +uAe9nyF5+Z/kXIeSPyQvl13rKx1GkLz8p5CZ16Nhkpd/sZUtl+klefejMZv/ +4d2n1LZbPllL8u7V27hHr0UwObxbZZq2VXcBweHdw5f7wx30CHT36GD1nA+J +sL77mE+HO/afm60vNAZToX4jr2RSJM53WR7KJ8SyQGj8fHVrFoGuosN7d8p+ +hZ3mBtP6OD9m1zeH+/zKg+OZPI/7ughkodgRvPVGISR5Gq57gf3hwNm1FL01 +3+Dx/f1NK/B96hRTcGlLLwWlDPfWy/g+sXn7xsaoLkG8X2zefrpT6KA5ttm8 +PYq/Wdh9muTtjyytnZ0mST4eteOd48m/+HhU86ir60+y35ij5ZDc6cRER3t9 +959Vew6xXc8MB8eZyLC5UidoeSkMV9r12+Hz0NHTbZ9+Xg5KzBXbXuHzuoc2 +trUKU+CCfB2fD56PgFyRvattFQzPhBPr8HM/xT+VY4E0aOBr+bp6NoPDyyPl +hhxlc5nIwSzulZRpGdhLf5QyxPMXWSp/bk9zBeTac+8wxuPZ2TzY4JlHgUWz +tc4vwza7/xFfXLXlXjbZ/2zr8ZFVEmJyePlrhuwFyQYmh5c/9b86y3I7weHl +ebXPGJttCQ4vH5oTxS8ZSHB4+ZnTnqpN8QSHl8/R7Zn9pIjg8PIzPDucFZpJ +Xt7NJ3wvpo/k5Q1rErIfM0he7jYjZvV9kuTlF/2EuXqmSV7+9ui7Sle8PjYv +BzmdW99mSF6uY/jdZd4UyctFO59u+UOQvDxD5cg5gRGSl08WZgRV9ZK8W1Xq +ofLfvDs69GqR3SaSd7fD4eGcUCaHd7eX/Gya/Ifg8O7DxkeaF+sQyP6GcqWC +aSIk0JQFLlwn0OsiF+OM0FR4MHNA/UMEgV5BcExwaSZcS0gNts0k0ICgq9aF +uV8hg9neIlaN4z384L+P8iDYODSbD/vDS9W3BsuPFYL2lvUV3NgfVt6YN9w0 +Wgzud0+0x+D13SzrGNR8WAqzqxb/lsHrZ/P2dlcVCnOG5O1DlperLuD9Y/N2 +RcXJ5Lhpkren966PL5wk+bj+6394lxozUKGpmVZoIg10AtulkobIfnaHQnid +Ja734gcXpOX3PoOY1i8ZjXQmovs55f+hlcBhu0tJq7E/jC2v+SB3pRxea6iK +e+D5JMT9djrETQGh1twfd/B8AoedPxnpV8Gbkr3Vh2ZIXr7p5LW4F/NJXn7g +kk7t+XRcHwgeWfZUpgxG7uw1Fsfzz2nO3Ov4uQL+WEh3H8Lj+etZZQ98oMCg +qNXZrdhm99fSw7w5c0vJ/vqVm2dqijoTKcc0aMZKlkOT5XcpOfz9EW4VNaX3 +lRB0j6ZzG78//1xuXadlBeR/f5WzDtu1G+YO5N2iQH0V88RRbLP78T+awkEN +bSQPP6G/OX2lJxPZ1B47eEmKAqZbPn2+iX9/STv1+QxPJfx6T+jbYFvVLTtI +qJECwv2SIjMzJP9eUCbX7/yB7N/XuXzqq+Bicvh3p+Sjs+61TA7/9tU+Qj8u +TXD498q+awqZ1gSHf8cTEbuI+wSHf3cF3Knixf7L5t83f71b14X7Lzb/rqy6 +J53aRPLv9ANauVp9JP++ymWod4RB8u9TzmpXkydJ/p1Ym8JVOU3yb+47Kqev +4vWy+ffmq/r76mdI/u0wXb15yxTJv9OaXAe2jJP8W3n/CpWDIyT/NuiKVl7d +R/Jr+W1mh//m16syJHq+/cWvt7qNX4x6wOTwaw1njwOKuP9h8+v7D/0OmWrj +/LL4Qvnx3YlwIXJ+vrQbzu/nClOczqWCeeUfz+iX2F88np/c/joTNu2YUIrL +IFC0bFCt32gOjP+wgJtVuP6+dC57YVweLP9qmxiE6/Ns9bnJ85UKQVNm4kIi +rs/9Z3N5rG4vhrJFqpIXsf/qrEvayO1eCgWKX0KW4vWz+bm/1OaRvhmSnw8F +07dfZt2X/8/P9Yeua+dMk/xc+9DYzNAkybsFlvBHDpxkoMbBrurodzTYmULh +fsSgc/SZuXs+lgqcZiIzB33CUf4ZRFiu58mgMVFOp/pMYnMJlB1fuHIS1yfF +15cKGZiXw3BsL7qI5+OtWOIxPVAJG3UGz7PqXd3DukfVD1WBXv16r5MzJA+v +UC83kl5E8nBLpmGZRQoTNVmLHz2ypgzMDVO4ZuP5B8N0xP2XFWC6bOUFVv23 +qqj87trXFFhfH+wrh+2Qfac2vW5g8ZyqGCcKqR/JMKcmk/czkbCAaGLBonLw +v73UQ4KVDwaFWt6HVoKeBsPUG7//vYL3wMyRCvjlnLlcFNs24ZdTJ5wpcNhg +7soT2E4JEZ9el0uDezuE3uzronN4+PfJkg4JNyYaP4QWx4hTYNlZRuwt/Hv1 +hHFeMVoFuHjcmXMa23XDG0y0Kigg9m7j/XnYdux8biBOo4GmQp5RTwqpZzkm +5txOWIf3Oy/jH+9zleCZW/jCnRWvfNV1rC0owKfwqcGWtf7dD2OXCFDgikR/ +Hiv+DDi7VRsUVcBeQz6KHrZ5Fkwf6OzC8ViaP1migM7h48d6ekqrjzBRmbDD +R+rXSnhWdeUVK77Wmg247ZejQN6mG2uvY/tl75XdXcKVUHwxUfg8a3+S9509 +PUmDzUdb1XQiSH1tJLRvYjV9jMPHb3j57nxdzeTwcccVAQ/1thIcPh7ieTOc +F8cbNh9vbNLQOIXjDZuPA7OA+fgjweHji/YYrvbF8YbNx8Oj9B9fbiL5uOxs +tbkr+0g+3rjvQsFmBsnHb63TyX45SfLx8cVdxXnTJB8veXJ4gOUvbD4+8CXj +Z+sMycfznNdWyk+RfHwf0yZcZZzk48uqu+9YjZB8/HRCCE2tj+TbDc775/3N +txVm3ItdpEm+faNTKYAvkMnh2zkPKeVtswgO3/aPRaUeWrifNL2lbSOeCC7b +dZ8GuBIoT+GCddWxVDibKqqxFsebtjXiydMBmfDWWGmeFo433pornj7ty4HO +mDMD26pY/x8q/fToyzz4uOeNiiGON+VF+6z55QrxemQd/HC8UdWaLFKqLYZg +6w8uujje7NZdqd5yGderDnzr+PD62Xxd5ZmQdtcMyddfly17ewXvH5uv7/hk +0lcyTfJ1kTSXMZ7fJA9XLOqee9yUgab3XJ9fH0ODyzVneO+M0Tn6aaNYnLiM +CRMl77gSqtH1FHY/MpdeP8REMYIJYgFVJXBGb93in3i8ROlkDQujcmhzvdhg +zfLnlF3aMp04f2iuusKKN5/cMiMVVKtgZKu1lNUMycvNlb+ZMRaTvHy3twFV +P4mJch/42W8WLoPeHN/l43i910de1IY9qoDhPTC1H4/30/OWfVY4BRQiNE6x +7C+3CcsaKg0efguzm1VD6rtmXy7c05RjIq7s9J6aOeWgMLjnkgir/7e4+bbz +XiUQT7o3eeH3SzyiHOerV8BCw9bApdjefnxjeMglCug9XVZgiu2m9rQ9xxAN +JqTQ5lM9dA4v/y1UnynggufL5zSuLEaBosj6X6zxtls8ldvcUwG9yvc/mLD8 +912L0o9iChBzTAIXYTt06+5AvQEahGmU7O1MJ/Xm0JhgF8uVTDS8R5KadroS +TNbuK2X5/zGV37u4TClwX1Cm9yxr/TT1wI+zKdAc2WPHihd1m8RmW2ZVwJsw +1/7D2F5/IvTa4g4ayHur+24qpnP4ue3I5JCpFo5n/o3X5qVWwqqdk/NY74eL +vDKv30GBrUEXp29g2/eZ8JkRgUrYme6jfgbbfNy1UUEE9hcegY7Hb0n9+4UA +XwN9Ho7n68bPSWpVwl17/vcu+Pf0ktPJxUQluBY7KbDO39v5/ULnV5Ww5szB +s6x+alvg3K3zN1CAx1hOmdUvaz/mEx0ZocHBzMsqrol0Dj8/EKnAY7IFx8fD +Rw/oXK2Een5TTQ/8ewPX1jyXRRS4frKDYI0n/2p218uySpCpcljA+l7m2dfb +tk/RQGqtImwJxfHxoUyNyQcajCf2pVvS6f+nPv738x7nQ4ET8bgfe9XNuDdM +R8VO1UaZfjTwnDL0vvkP4//0f/9+ztb7hUM+l6VkDqP4/6/3t79puuSASL2+ +3PZ/eT5bL/p3v/9v/fTf72s9bGguvEcDak2gdQ0/A/0/G7BfXQ== + "], {{{}, {}, {}, {}, {}, {}, {}, {}, + {RGBColor[1, 0, 0], Opacity[0.2], EdgeForm[None], + GraphicsGroupBox[{ + PolygonBox[{{42, 43, 44, 45, 46, 47, 48, 316, 247, 184, 135, + 445, 456, 472, 485, 426, 425, 424, 423, 422, 421, 420}}], + PolygonBox[{{97, 73, 330, 261, 198, 149, 111, 49, 317, 248, 185, + 136, 345, 276, 215, 360, 291, 98, 336, 267, 366, 205, 356, + 287, 372, 156, 451, 497, 481, 494, 462, 496, 477, 490, 438, + 465, 479, 492, 446, 457, 473, 486, 427, 440, 448, 459, 475, + 488, 432, 437}}], PolygonBox[CompressedData[" +1:eJwl1HfUzmUYB/D3tTeRPV9bIlGys8chZJRNlJKZmczsvWVlV1bIPgcZDaul +UxE5ZCeroc5Jhc91/PF5vtd93c85z+8evyepa98WfZIlJCQkMifxYRb3cV72 +lKtkF5mXN4Jxa5mF79TdZRKN1Y1lKo6oF9BBnZOf1BWoof5H7mYy3XheLwNf +q5cSz1LC+IJcTX+a8BTP6t+Ve5jCyySnpP5F+R4DeI6nqan/r9zLVF4hBaX0 +L8n3GUhTKpKSx8xdlh8wiGY8QypKm7si1zCY5lQiNY+buyrXMiTWRWXSUMbc +L3Idb9KCKqSlrLlrcj1DaUlV0vGEuV/lBt6iFdVITzlz1+WHDIszoToZeNLc +DbmR4bwQe08t/f/kx0yLsyMj5fVvyk2M4MXYa2rr/y/3MZ1XyRRnqX9LbmYk +bWKvqaN/T+5nBq+ROc5O/7b8iFG0jTPVS81R9cJ4Nuoa35cHmEmP2Cu9jHyj +XkaWOFvj3+QWRsf6jB/he3W7OH91Go6pF8U6qBcXm4NiVnxPnZ0f1a/Hvqsz +cTzuiSzEcnUnmZuz8T1ZLH4r7ov8XfaSW+VLMh/9eTv2UGalLz/E3snCDKJ9 +3DmZlt58YdxNFmAAi407ylz040zshSxKHXX9eE/5RN1V5me2ur3MwSl1z7gv +6sx8q15BZ3Uezqmzxr1W/yG3MSbO0TgbJ9Qd4u6r0/GlekmcDw2Mk/Gpek6s +n2zxHuj9KbczNp4/9pyG+sn5TD2X3jxKZb07cgfjYp9jXTTST8Hn6nn0ITtV +9P6SOxkfa4lnIQdVzf0tdzGBLvG75CQXuclDXvKRnwIUpBBJFKYIRSlGcUpQ +klIMjudldfwnspIVcUdYxlLeZUmcH4tYyALeYT7zmJv48H92NrOYyQymM42p +TGEyk5jIBMYzjrGMiTvGaEYxks1sZy+HOcklRrCJbezhUJwvFxnOLvbHu8Jp +rrIx7hZfxd3jWtzxeDfiPeA6u/mZm3FW3I57zgWG8QBmtrt8 + "]]}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + TagBox[ + {RGBColor[1, 0, 0], AbsoluteThickness[2], Opacity[1.], + LineBox[CompressedData[" +1:eJwl1HlM12UcB3AEkiMuIW40IM4OtVvEtKJzZCllWZAhWFYIFISVWnm2pR1a +bWmucp1a2mGam3Yfmx3rWNpqNZ3dVtrdlp2vz/zjxfv9fB623+/7PF+o6Oht +6RmUkJBwuh+RNX7skl3yQdkuS7k6WE+SOXyoXyYraNab5WC26vfQphfyqX40 +Y/U/5WZuoZOJZhm8q99HIrXWn8uH6OMsjmGc+T65hcVMI4k68y/kw/QznmM5 +0fwv+TxLuJRk6s2/lI9wDWdzHAdwqL2v5KMMcA7HM5jD7H0tH2MmExhFCofb ++0au5tp4LhpI5Qh738o1XEcLo0ljuL3d8nGu51waSWeEve/kE8ziPMZwICPt +fS/XMjvuhBPI4Eh7P8h1zOH8OHtOMv9bvsCtcXdkcpT5HvkkN3BBnDUnm/8j +X+Q2ppMVd2m+Vz7FjUyOs6bJ/F/5ErdzOdlxd+Y/yqe5iQvjTs1SeFNfHt+N +U6z/ky9zB1fEWZll8p5+Pzlxt9Y/yWeYG89nPYRt+kVx/3oqb+kr4jk4NV5s +XhFL4/f0fD7Wr4xz17N4P94TWc4D+hRZzI74PVkdnxXvi/xZzpDr5VRZRh/z +4gxlLr1sj7OTlQzQGu+cTKObt6075TD6udf6YlnEVXwWZyGraNJPi79TXtU7 +5FCW6a2ygE/0rnhf9Gw+0FdxiV7CTj033mv9F/ks8+MerfP4SG+Ld19P5x19 +ZdxPwv7/EYm8pt8Zz09e/B2Y/So3sCC+f5w5Z5gn8bp+F90cRIPZb3IjC+Oc +47k40zyZN/S76SGf0Wa/y+dYFM8S34UCGu39ITdxM+3xuRRSRDEllFLGUIZx +MOVUUMkhVFFNDbXUUc9Mn/E/XAeIjg== + "]], LineBox[{42, 43, 44, 45, 46, 47, 48, 316, 247, 184, 135}], + LineBox[{97, 73, 330, 261, 198, 149, 111, 49, 317, 248, 185, 136, + 345, 276, 215, 360, 291, 98, 336, 267, 366, 205, 356, 287, 372, + 156}]}, + Annotation[#, "Charting`Private`Tag#1"]& ], + TagBox[ + {RGBColor[1, 0, 0], AbsoluteThickness[2], Opacity[1.], + LineBox[{379, 482, 469, 495, 453, 493, 480, 442, 491, 478, 464, + 434, 489, 476, 461, 450, 429, 487, 474, 458, 447, 439, 380, 483, + 470, 454, 443, 435, 430, 381, 484, 471, 455, 444, 436, 431, + 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, + 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, + 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, + 500}], LineBox[{420, 421, 422, 423, 424, 425, 426, 485, 472, + 456, 445}], + LineBox[{437, 432, 488, 475, 459, 448, 440, 427, 486, 473, 457, + 446, 492, 479, 465, 438, 490, 477, 496, 462, 494, 481, 497, + 451}]}, + Annotation[#, "Charting`Private`Tag#2"]& ], + TagBox[ + {GrayLevel[0], AbsoluteThickness[2], Opacity[1.], + LineBox[CompressedData[" +1:eJwV0nmQznUcB/CfXbS77NWyrV32KMSkdEthQ0ltbK10SG1tdlfRrhwzlaKI +GVQTptKli2aim5jpYKjRMcnoQnTOlFCUZmJ37er1+eO178/vvZ/n+T3P7/mW +1TRWNbRLkqTcnyYKUpNke0qSVMvH5TUyk1omuL5cprDFPE7mUW4eLP+T77GA +StdpfGE+jX7m3+Sr3M0YLtG1yk08RrP7d9N9ZX6CWi5miO6IfJ+F8V6crvtd +ruKe+Jy0eH2h/mvzk9QxNHY5Q79XruZexnLMfpH+G/My6hkWu/TX/yFfYybX +0mq/u/5b81NMZHjscqZ+n3yd+7iONvs99N+Zn+b2+M6xy1n6/fIN7ud6jtsv +1u8wP8MdXBq7nK0/IN9kFjeQUKLfKZ9lEiNil3P0f8q3mB2/Fe0o1e+SzzGZ +y2KXc/V/ybd5gBtJoUz/vVzOnYyMXc7TH5Tv8CDjSeVk/W75PA1xXijXHZUf +sChey/m6Q3INc7gpvquuTW5mMe05RbdHvkAjV7hO5ZOY43yYm+SHPBzvywDd +33Itc7nSdQc+M98cz8h8XH4Uz92cyxLz1TKDL+PzyPx4nbmn/EHeIl+MsyOz +qGOK6wrZnho+jWcnuzCRijiDslneKjfE2ZA51POI66tkOrexNZ6l7MoA8wXy +H/lunEFzNg+ZR8mOfG6ujt/RnPCxeSlV5k5sM3ekl/lH+RJ3xTOJs65rkRt5 +NO7FQN1huY558Z05gd76n+TLTI37xy4X6v+V65kf35E0TtX/LFcwjdGxSzp9 +/O8XuZLpVHIRGfT1v1/lK8yIZ8MgOtGZTLLIJodcTiSPLnQln5MooBuFFNGd +HhRTQmmc7/h87vk/zfqMvA== + "]], + LineBox[{546, 547, 548, 549, 550, 551, 552, 810, 739, 679, 630}], + LineBox[{593, 573, 827, 756, 696, 647, 610, 553, 811, 740, 680, + 631, 594, 835, 764, 704, 878}], + LineBox[{655, 574, 828, 757, 874}], + LineBox[{697, 648, 846, 775, 715, 611, 840, 769, 709, 857, 786, + 660, 851, 780, 868, 720, 862, 791, 873, 554}]}, + Annotation[#, "Charting`Private`Tag#3"]& ], + {Hue[0.67, 0.6, 0.6], Opacity[0.2], LineBox[{376, 498, 375}], + LineBox[{378, 499, 377}], LineBox[{502, 881, 501}], + LineBox[{504, 882, 503}], LineBox[{876, 877, 875}], + LineBox[{880, 888, 879}], LineBox[{885, 884}], + LineBox[{887, 886}]}}}], {}}, + {"WolframDynamicHighlight", <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>}], + DynamicBox[(Charting`HighlightActionBox["DynamicHighlight", {}, + Slot["HighlightElements"], + Slot["LayoutOptions"], + Slot["Meta"], + Charting`HighlightActionFunction["DynamicHighlight", { + GraphicsComplex[CompressedData[" 1:eJx1mnk4VO///22Vd0krRVokrdImSullT0hEpFB2rShFlAglCUVapCQJLSRL 1lv2rGMZ+5KtyDLMMAeF3z3X9Zs5fd7f6/2X63WdOfe5t9f2fBC3sD9qzcPF xeXCy8XF+qtp3VuV03fsQINXuFy1DwN58n/ecFckBLYpbR0ylxtDx41fxQ+P @@ -241940,16 +291161,22 @@ Rw/oXK2Een5TTQ/8ewPX1jyXRRS4frKDYI0n/2p218uySpCpcljA+l7m2dfb tk/RQGqtImwJxfHxoUyNyQcajCf2pVvS6f+nPv738x7nQ4ET8bgfe9XNuDdM R8VO1UaZfjTwnDL0vvkP4//0f/9+ztb7hUM+l6VkDqP4/6/3t79puuSASL2+ 3PZ/eT5bL/p3v/9v/fTf72s9bGguvEcDak2gdQ0/A/0/G7BfXQ== - "], {{{}, {}, {}, {}, {}, {}, {}, {}, - {RGBColor[1, 0, 0], Opacity[0.2], EdgeForm[None], - GraphicsGroupBox[{ - PolygonBox[{{42, 43, 44, 45, 46, 47, 48, 316, 247, 184, 135, 445, - 456, 472, 485, 426, 425, 424, 423, 422, 421, 420}}], - PolygonBox[{{97, 73, 330, 261, 198, 149, 111, 49, 317, 248, 185, - 136, 345, 276, 215, 360, 291, 98, 336, 267, 366, 205, 356, 287, - 372, 156, 451, 497, 481, 494, 462, 496, 477, 490, 438, 465, 479, - 492, 446, 457, 473, 486, 427, 440, 448, 459, 475, 488, 432, - 437}}], PolygonBox[CompressedData[" + "], {{{}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{ + + Polygon[{{42, 43, 44, 45, 46, 47, 48, 316, 247, 184, 135, 445, + 456, 472, 485, 426, 425, 424, 423, 422, 421, 420}}], + + Polygon[{{97, 73, 330, 261, 198, 149, 111, 49, 317, 248, 185, + 136, 345, 276, 215, 360, 291, 98, 336, 267, 366, 205, 356, + 287, 372, 156, 451, 497, 481, 494, 462, 496, 477, 490, 438, + 465, 479, 492, 446, 457, 473, 486, 427, 440, 448, 459, 475, + 488, 432, 437}}], + Polygon[CompressedData[" 1:eJwl1HfUzmUYB/D3tTeRPV9bIlGys8chZJRNlJKZmczsvWVlV1bIPgcZDaul UxE5ZCeroc5Jhc91/PF5vtd93c85z+8evyepa98WfZIlJCQkMifxYRb3cV72 lKtkF5mXN4Jxa5mF79TdZRKN1Y1lKo6oF9BBnZOf1BWoof5H7mYy3XheLwNf @@ -241967,10 +291194,13 @@ n2zxHuj9KbczNp4/9pyG+sn5TD2X3jxKZb07cgfjYp9jXTTST8Hn6nn0ITtV klIMjudldfwnspIVcUdYxlLeZUmcH4tYyALeYT7zmJv48H92NrOYyQymM42p TGEyk5jIBMYzjrGMiTvGaEYxks1sZy+HOcklRrCJbezhUJwvFxnOLvbHu8Jp rrIx7hZfxd3jWtzxeDfiPeA6u/mZm3FW3I57zgWG8QBmtrt8 - "]]}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, - TagBox[ - {RGBColor[1, 0, 0], AbsoluteThickness[1.6], Opacity[1.], - LineBox[CompressedData[" + "]]}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" 1:eJwl1HlM12UcB3AEkiMuIW40IM4OtVvEtKJzZCllWZAhWFYIFISVWnm2pR1a bWmucp1a2mGam3Yfmx3rWNpqNZ3dVtrdlp2vz/zjxfv9fB623+/7PF+o6Oht 6RmUkJBwuh+RNX7skl3yQdkuS7k6WE+SOXyoXyYraNab5WC26vfQphfyqX40 @@ -241986,26 +291216,37 @@ XhFL4/f0fD7Wr4xz17N4P94TWc4D+hRZzI74PVkdnxXvi/xZzpDr5VRZRh/z ZdxPwv7/EYm8pt8Zz09e/B2Y/So3sCC+f5w5Z5gn8bp+F90cRIPZb3IjC+Oc 47k40zyZN/S76SGf0Wa/y+dYFM8S34UCGu39ITdxM+3xuRRSRDEllFLGUIZx MOVUUMkhVFFNDbXUUc9Mn/E/XAeIjg== - "]], LineBox[{42, 43, 44, 45, 46, 47, 48, 316, 247, 184, 135}], - LineBox[{97, 73, 330, 261, 198, 149, 111, 49, 317, 248, 185, 136, - 345, 276, 215, 360, 291, 98, 336, 267, 366, 205, 356, 287, 372, - 156}]}, - Annotation[#, "Charting`Private`Tag$63579#1"]& ], - TagBox[ - {RGBColor[1, 0, 0], AbsoluteThickness[1.6], Opacity[1.], - LineBox[{379, 482, 469, 495, 453, 493, 480, 442, 491, 478, 464, 434, - 489, 476, 461, 450, 429, 487, 474, 458, 447, 439, 380, 483, 470, - 454, 443, 435, 430, 381, 484, 471, 455, 444, 436, 431, 382, 383, - 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, - 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, - 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 500}], - LineBox[{420, 421, 422, 423, 424, 425, 426, 485, 472, 456, 445}], - LineBox[{437, 432, 488, 475, 459, 448, 440, 427, 486, 473, 457, 446, - 492, 479, 465, 438, 490, 477, 496, 462, 494, 481, 497, 451}]}, - Annotation[#, "Charting`Private`Tag$63579#2"]& ], - TagBox[ - {GrayLevel[0], AbsoluteThickness[1.6], Opacity[1.], - LineBox[CompressedData[" + "]], + Line[{42, 43, 44, 45, 46, 47, 48, 316, 247, 184, 135}], + + Line[{97, 73, 330, 261, 198, 149, 111, 49, 317, 248, 185, 136, + 345, 276, 215, 360, 291, 98, 336, 267, 366, 205, 356, 287, + 372, 156}]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + + Line[{379, 482, 469, 495, 453, 493, 480, 442, 491, 478, 464, + 434, 489, 476, 461, 450, 429, 487, 474, 458, 447, 439, 380, + 483, 470, 454, 443, 435, 430, 381, 484, 471, 455, 444, 436, + 431, 382, 383, 384, 385, 386, 387, 388, 389, 390, 391, 392, + 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, + 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, + 417, 418, 419, 500}], + Line[{420, 421, 422, 423, 424, 425, 426, 485, 472, 456, 445}], + + + Line[{437, 432, 488, 475, 459, 448, 440, 427, 486, 473, 457, + 446, 492, 479, 465, 438, 490, 477, 496, 462, 494, 481, 497, + 451}]}, "Charting`Private`Tag#2"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" 1:eJwV0nmQznUcB/CfXbS77NWyrV32KMSkdEthQ0ltbK10SG1tdlfRrhwzlaKI GVQTptKli2aim5jpYKjRMcnoQnTOlFCUZmJ37er1+eO178/vvZ/n+T3P7/mW 1TRWNbRLkqTcnyYKUpNke0qSVMvH5TUyk1omuL5cprDFPE7mUW4eLP+T77GA @@ -242021,18 +291262,2140 @@ H/lunEFzNg+ZR8mOfG6ujt/RnPCxeSlV5k5sM3ekl/lH+RJ3xTOJs65rkRt5 NO7FQN1huY558Z05gd76n+TLTI37xy4X6v+V65kf35E0TtX/LFcwjdGxSzp9 /O8XuZLpVHIRGfT1v1/lK8yIZ8MgOtGZTLLIJodcTiSPLnQln5MooBuFFNGd HhRTQmmc7/h87vk/zfqMvA== - "]], - LineBox[{546, 547, 548, 549, 550, 551, 552, 810, 739, 679, 630}], - LineBox[{593, 573, 827, 756, 696, 647, 610, 553, 811, 740, 680, 631, - 594, 835, 764, 704, 878}], LineBox[{655, 574, 828, 757, 874}], - LineBox[{697, 648, 846, 775, 715, 611, 840, 769, 709, 857, 786, 660, - 851, 780, 868, 720, 862, 791, 873, 554}]}, - Annotation[#, "Charting`Private`Tag$63579#3"]& ], - {Hue[0.67, 0.6, 0.6], Opacity[0.2], LineBox[{376, 498, 375}], - LineBox[{378, 499, 377}], LineBox[{502, 881, 501}], - LineBox[{504, 882, 503}], LineBox[{876, 877, 875}], - LineBox[{880, 888, 879}], LineBox[{885, 884}], - LineBox[{887, 886}]}}}], {}}, + "]], + Line[{546, 547, 548, 549, 550, 551, 552, 810, 739, 679, 630}], + + + Line[{593, 573, 827, 756, 696, 647, 610, 553, 811, 740, 680, + 631, 594, 835, 764, 704, 878}], + Line[{655, 574, 828, 757, 874}], + + Line[{697, 648, 846, 775, 715, 611, 840, 769, 709, 857, 786, + 660, 851, 780, 868, 720, 862, 791, 873, 554}]}, + "Charting`Private`Tag#3"], { + Directive[{ + Opacity[0.2], + Hue[0.67, 0.6, 0.6]}], + Line[{376, 498, 375}], + Line[{378, 499, 377}], + Line[{502, 881, 501}], + Line[{504, 882, 503}], + Line[{876, 877, 875}], + Line[{880, 888, 879}], + Line[{885, 884}], + Line[{887, 886}]}}}], {}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 1.}, {-1.75, 1.75}}, + "Frame" -> {{True, True}, {True, True}}, "AxesOrigin" -> {0, 0}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> Directive[ + Opacity[0], FontFamily -> "Times", FontSize -> 10], + "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxTTMoPSmViYGAQA2IQ3atqJvh4ySv7jvXL32aafd2/V7uDQzDvtX26wdc7 +/z5+2S/oVaTTxPfGnmHDonz97V/239G9cLlyzxt7ibC3PHVFX/ZvmGev8iTu +rX2NzPXgvzpf9seuNxOU/ffWXpktSuzbo8/7G1oXNt5Z9c7+muTSoC9dn/fz +lrN7Ja59Z694/J7I7M7P+2dl5Ao9W//OfuGE2cGOHZ/3b/a2XPx+yzt7nd0d +Lj2tn/dXN7+6U7Xnnb21WUFZVSOCv3dep/D6LR/g6ivuP19RM/cD3Lx7z87e ++t/7AW6fmls7q1PbB7h7gh03722p+wB3L59VTGkd61u4f4qfm7YZ3ngJ9294 +M5vLYt7n8PCY82D77KTiJ/Dw8jhX8mR3wKP9sPC8/Pip9NJz9/cDAA4Kwp0= + + "]]}, { + Polygon[CompressedData[" +1:eJxFk2tI01EYxtdQp0lbkClGMqSLVkppZbmwUzosnc5btbxUOryVd02pZsvQ +5WamaKjUmimWSpSTEGUgO3ilodOtYmqh3T6oWZ7+51iikmUfOn44HF54Oe/7 +PM/vuEqzIpPYLBbLe+38u/3CHM529MyD1W61V7OcQMeuSxX5K/OAH1ArghcJ +bGodOLf8ex7UV6R/ibpA4IGH+/i3WAjkRAhGp2MJDLq5qFVaIyDbWVy7KZrA +woBKs5qHgDB5oig8isDPo3qH3h0IZHgHN2hPEfhixkXNDUFgzKvNsWo/gUqN +In5KjIA48HCV2ZPAxMjvu9oiEDgeajPB9SBwe3d3e6gEgQT/R6VydwLLKmMH +y6UI2G9YYO92JTBZ2Hc3LgkBX63kyQk+gf5LeyM8UhFYtbJNj3IhcEm6/H4o +AwGBwnAszpnAt07SRnU2AqJBo0nsRGD7sCE5LQ8Bt666RO+tBKb6PGA2Xkfg +kKVUrN9MoHCO1fVOhkAZr9oqjksgvyG18Jl8Tc9SvP6NPYHLZ0wnb9xGQJMT +eN7JjkCL3VFOcAkCi9eip7g2BL7UPx52LkVAWxduXc4msCKPUz2rQkD/Okfg +sorhFfcsia4cgS3ZM6P1vzBMcu4SBd1DoEMVZqzB67WvT5Pm+fx6v+7nHGfb +V0zfi/UwGy0fMJ0Xo+jrKBrHdB/NWJhmwYzpvqTSpOgZwlSPcaKgUzeAqd7+ +PYxpAGLqR53kz+lxHaZ+GjgjRyYbMfX7sm2vTFWDaR6ZFt5TYSWmebGV07Pf +lJjmmdYSMjKUiWnen1ZamwMTMOVBd+egPCUGU16cP7Kb86Mw5UnfZ507PMNQ +3gwtKfJcLUN5DHkl97OrZiivooLE+57FDOV5ocgRdcoYyrsbb65fcJWB//9D +iWpSoe//Af8Cru+5ow== + "]]}, { + Polygon[CompressedData[" +1:eJxF2Xc81d8fB3Cr0pKGikpDaIiSKJW3TSUqSogiRPpmVBIVMopCcklGJNlC +kVCOcY1s7rBnCMm8w0j9zv3j0+8vj+fDdX3OOe/zOee8znYL+3NWPFxcXC+4 +ubg4P09aDTUWDZ9XavGKVmjymUZ8aiIO3cJyQNkWkGhePI0OxoRHfRRWBxuB +xXRy6zTq37zT866ELsCGU/MnRqfRqdrmD9HCBrA93jWubH4a7Xy25d3frUZg +9E7N8cxSBvp98upLcwkz2NEsecFViIFGvKlk8zBziHtr2hi+jYEMLdlfXwhb +gm/h8vDvexiIrCaSWxplDV8120w85Rhov9jxTMZWW6hY+UM78DgD8fc9irsg +cRMUfFonNXQZKL+nqbbUwAFChdYbClxgIIczlicvhTnC87s+rl6mDNQp81gt +QPg2bN/dlBRqx0CkmI1F4iZ3QLg6V0nZiYFOrko5VhjlDHqr7h386MJAn8Zq +Do1vvQfC/ZoTvD4MFJi+ZtdZiYdQs/hWSGYkA2lsiY8fvuYOtlwiQrxvGOhh +eYRgsYEHDF3eeF0+gYHk5KUCjMI84cawjI9mJgPFCun5+gt7Q8oFAfFDpQzk +VvuSfCHfG8o85pQ/VzDQBZ8eHjETH1i7xK1eooaBVjAd3QuifEFoe2ztNyoD +uVBJLqNb/eBuMr3+2AADnQ1pu64rEQh/Qu/bm/EykdQpsWSRikCY3f8pengx +E/Hz3vgxeC0IBupS7W2XMdHlvD9ZyOA51NGDz2uvZiKh3c+lL4YFg4IZPeWp +KBN58n+U9BMmgYzKvjFzBSbaoxX85IMDCe54jPW8VGSiJh/74fYKEmS/LhIu +O85EO3mlUqWdQyGEUXJosToTlS/ES1ObwsAnXf7ZrjNMtGI67NDWZ6/g+UJA +5NprTBTe6aqW8+c1iF/+q3HzOROpbDaK7zofA72BAq4tL5hoxFhhEX96DJiZ +Sq1VCmUi0dF7V9P3xcIlwZdo/hUT2dvKi3N1xEK5gey06lsmWmOZkfTucBxY +3ez4KZDDRBeN3mRMTMbD79284/M0JhpvN1gWJ/IOSvQ7k+qamcjXjN9KX+0d +hP58Vv+6lYk+XrUX+UR6B/m1IR4KnUwkcPO4j5tCAlwz+HnoVD8TlT1qNV78 +IBGMPZ6ncU8xkWza6sWb+VOAlK+kSFnOQt+ky67U7k+B78jm8eWVLHQly6Xg +oVEKlF02Zg0LsFDAp26H3pQUeBoYcXJ2NQsNFaW3J5xOhdfL5ZV5NrLQa9qJ +rAMhabBaIa+6SIyFlv/1vKQlmgFXk+hLliqyULitoZmUZgbsdLm02PgoC+2k +Sl1ZfTMD9B+ZvU0+xkJKyc1X279mgEqbeKk6sFBeclhOrnQmPO4S77muzkK/ +9Ee/nKrLBN2I9Skuuix0Pull9a2VH6A4XHow2ZyFxM+ODZU+zYZZm+Loam8W +EnHXUrz2JhvM3jR1j/iwkGB67NNludkgv2rFyqWPWWiOX1/6bF82CBk/lVH1 +Y6GGotxbXYdzYJLdUpwYwEKu+z3/zAzkwJOvp++qhLFQ3ap166SVc8HedVwp +L4GF7tYdgXBGHoxb+MdylbGQgHTOwDf+fNi3TmQPHTs+YP+z+c35oJoYtyS1 +nIUadSRbzTTygXcoovhcJQvtrV53SzwsHwI/FGpEVLNQd8V4wgeFAmBtXpBe +1sRCWsXvBGpdv8BPQXVVpy4W2pC9uoubG8F/pEB/HyYLXXo2b9C/HkGQwmyL +GouF4iwHqsulEIytjvXnZrOQlFBe3tOLCASWrhZxm2EhVecroUKZCDLSTs5Z +z7OQfJRhAkmmCErMBdR2cLORuVmCaVJXEUyG7G/bs4KNPvWp1dQfK4HeH3aW +sdvYiK7tORmgVQKzmby9h7ezEet94XqdcyWQ4a8+0ICt4HbEvPJaCZD7tH3/ +7mCjz2tlWEUvSmBl+YScoTgb5amLbPswVAI0j9CXE7vZ6EvCxC0SqRQsvnv+ +qpVlo5Lr0ZuMRslwQ8NVQkONjT4O29mZscgQmR3Vmocdb6NYcJWrDLbI8MhL +q7ORj3Wz8c11ZdBW6fxTSIONtC1WR3gdKwMHvU16vZpsVG/ks/H9szKIGNiy +2+YkG3Vo/yfEu68c6D7nXymcZSOmxPFV6f9VwETT+oNDpmyk1Kda4e9SAX4t +YaNnzNjIN1rb3carAgQ2O+vmYa9fZzAu9qoCeMi7VvhdZiN5brv6CHIFHAnf +d2enORu5tIcHPdlUCXyqFntPWbLR7yDGKstvlZBulehndJ2NeGZTBTeJV0FQ +XYq59x022lSrOg0yVfCGnbGZgi33ppVmeaQK3nt4hW53ZiOrE0si35+uggu6 +wsVfsStfWYirOlfBvpFg3um7bBSkKKxoW1EFo42dZ8+6stHm+75Xc22rQag4 +bazzIX6+v1c+6b+vgap+2Zjjj9lI53TRI43PNeDOsPdww74auVVPoaQGQgI3 +7M7Dfq7Q9UOEXgNGtCWNB5+w0bC9iXDfQg108655KOHHRlE95+87nK4FR+dM +c+6nbMRdclI1YLQWsie6JwMC2WijYIqAO6sWBB4JjJGxpc2Wtjtw1cGiBf/s +eWzjuYpbBuvqQLSVHGsTxEbZshrvNh2rg707ImbgORtZvwX+lKd1sOb4g8/9 +wWxU4y1XV76nHkzM5pYtCWUjxVXVPMGH6uG856XQo9hJr8wVTJTrQXxD2Hd7 +bK/3gbHj5+thr+d0dTPn8y1DThs964EctrI+Pgx/fm/0huvN9eCyTjL7YDgb +qQ4/ylys2gDdXh9jxSPZ6J50XZWdVgMU5JRSz2Fn3hIeaNBpgOM5sfXu2KJ/ +MoQjDRtgyRkRkVbs2TWdj2T+a4AcPhc+vyj8+WMK5y+GN4DbrwWVrmg22vr8 +52zyWAN0WegzzWLZqNDcPfnDdAPoazXGPMI2O7jWqGCmATZn1IkkYEfRFT/X +8DSCyu8nj0axN27xdx5f3wiCJkjk7hs2WpOya1oOGqFTR/K+VxwbLSJbjaKg +RlDQmbC/F4/nR+hsZCWpEWqX9mu/xFa/FnCq8VUjsHo1GdnYj5blpPS9bYSZ +FFLJOPafM3y2iz43wvTLYaWr79hopjNu4GRPIwwf31SqksBGP9ndXbT9TfDp +vM2lzkT8PrgWVHJSvgkq6cYJbGyPZqUEdLQJlqtRv6xOwvMjN/q/ZM0mcCyK +0dPAVnU2+X3/UhP42zsKpGBHMOjCO580AW3wxV/bZFzflr4L4QFNkFddLeyJ +vZ96qHdlSBMMtZO4w7HLP5KS2NFNcH9yg2IZ9qTTOYXqj03gJxt3ZksKnu+T +tQZO3U1wZ9Pr7WTsteYPDv/obwLqEp3NLdhdDVKbL400gQa378BP7NuZT79r +MJugXL/9+5pUNoqxP+EkvJwCDVo5wWbYrF/koCJ5Cpx4pINGsYtNb986dIwC +FZp1yQvYz2rFDFNUKMBnU31VII2NdqQ/EiXpUKBvzFFTBvv0DeX0axYUECji +q7yJHT9SUCUQSIGhoaLKfuybL/XErodQ4CCF23EKW0H9u1tZOAVCpU1+/sWu +il627/5b/PvnTy+IpOP2njEKGv5MgRAxPf7T2PkLoz/UCykgx1ekaoTtneKh +HFtKgfi5TD0r7I18SZMX6ijwQFGz9z62Ui5Ln/ydAgVn3vQnY/Nb+qdtHaaA +uqNzdjZ2k6DoIrcxCnys2G+IsK2ua3w6MEsB2oivEBX72RbSxhgBKsTnrb07 +j93qdaDz3hEqtFtbtai8Z6O4/WWHaEpUMGg503EC+0bnxcD96lSodun/eBab +S8EDfuhSAQoOdl3Blhipe2NgSYV6py/bHmBPhFnMZdhSofHbwVIv7Hw11rnl +9lSIOpl1xB/7dPQWvpJ7VNAX+RUXhn37zA1rmSAqhMumXEvHVlr4W+hPooKl +YWjqB+wlKSEbBl9RIeVyX2kudgRvQWVUPBVe6tU7FmNbZupun0mmAr3AZ0k5 +trRp3z39DCq0rqt2rMIu/rR077J8KozJy5CbsP2vRntZIdw+sEyjYxsIHugo +IlNB4aOBbRv2kO3FgLv1VPixEGLei/1h/ehAE5UKGfUzUf3YbqXuStJtVJjg +0Uj/gS2wJXG8v58K3RJI5xe28gWvzu/DVAjzOZg1ju0UdLm6b4wKF8+enZjE +jq88mtc7TYU07e3LGNh07o2JPTNUaBNL+8PE5j/KIHUvUKGDNFfLxla83fCo +i4cGD7SEXGY545Ge5tC5hAYqvXwLc9ivB5+YdayggQd306Xf2A1brXTaV9PA +WsXn5QI2j5GKYtt6Gvw8KJn+B1vuxZZdrZtoMGRVFP0X27p6VqhlGw2EzYxs +uTLYKJyPztssToP10XOruLGrjn+YpO2hgWV0WjDHv50Du6kyNLhNuTvGsXTm +9VqKHA3S0i0leLCvDGsWNB2hgRXJ7QjHL3aIJTcq0YA9TJbkmGzyN6xBjQb5 +y05Mcv6eRWr3rtemAddpwTCOd9XlOtWdpoECt9h6jo2XkK7UnqNBjaOfI+f5 +nik76NYY0kDt+6kkzvMX3tM5Vn2JBkdJTvmc9k182LWnypwGNl8XJXHav2OU +b+M3axpEB6905PSPgXjvoko7GrQcfyE0j+1r9nW63IEGR4YjQzn9+/nlq96y +OzQQzJGd5PT/SMOderIrDQa+mO1icepXTTq1xJsG/o1hu6ewH95f9qrYjwYP +Zz5Mc8Y7M2fQtyiQBrlB3q849bBuV4xFYTgNpPhsXIawNc3dznyNpkFxrV/W +ALZLhKHSlzgaGPZ4lPdhd6wQFMlPo8GYq4B3B6e+NEeX5GXRYGVJtlQrp77c +K5m5n2hg36CXRePU06RHY04RDSK9vHTrOPVBn3ySRaUBashv/cqpj1V1zpmt +NLiwuNcij1Mf2imWGV00uME4UpnNqYcCC+X0Idx+/zjJVOzfMRR20m8aeJmK +KXLmp3RrxkAiNx3clLLfPce+suYZJWExHfztsn9x5jPZWz0jXpAOuQHb1rpj +P7PNsY7dSQcFXpdsK07/Kac/Wb6HDr3GrY5m2Fob36U4y9Dh2duURYac+qwg +jeko0qFOryRTC/veztvOs3p0yHL5JiTJmR/zduGW5+mwZCL9sSjneSlX8+uN +6bBOWqdBiDPenvp/3lnRQduibYCXU8/dsr7n3Oiwt1tRrAu/38if9iR99aCD +1+TIKc77b0fgjqpdvnTY0hl1qgq789gagb/P6VBatrshB9sgYjI0NYEObxd1 +j/ljq5zPfMfXRIeuE8b++7BfSyVV2DfTwfGpmuR27N+8scNtHXS4npYXuQ77 +84egfVk/6LDR6ubyefz+lxa0zzFdoMMJmYHF5dibq/eVfdrVDJ+6dO8YYLOU +U/tt3JvhVOecjT5ej77E/4y8690Ma1s2HlDDfsQvpe/r1wy9dm10WWyBhrTi +tyHN8M3YvGA1tsTl96+7EpthsZLUcC1eDy88yLpo0NAMirHrOwE7Jy+3Bra3 +wBaG0ZlleL113TLjpSvRAkyRrBYmXr+VPQ8fNd3bApt07aAXu0Y7L9n1UAtI +J25NysXub873zTnRApntEj8ssNexvirvdWqByJsvt33E+4XbsuTs9aUtsLLH +LlER7y+s5bbFBle2wM4BD9ZW7Ivy95+uqGsB2Z2joouwjyrKWfC0tsAg6p6v +w/sTHtX4VWNjLXDn7PTgFeygs97Xy0RaITvm3uMHeD+T4qC+7bZTKzwIvCwa +gfdHUU6xy8fvtoIxJSHeFTvg9m+W7YNWCO5ZucgE28Elu/byk1ZIPqBvsAlb +wWOn26nXrRCWfGVfBN5vkYP46DuqWiGuIKHuOd6Pdb8nP23c3gYrQnuY5q/x +/PjFNyor2QbWusduK2EXSWnokKTaIKuYXCeCHZtStsJQoQ3s9zpspOD9oEVC +eUCHThvcdSr8o4I9GF0Z9ONuG5AlwzYJ4/3jr2c1IQu1bbCLR/Vz2is2mr9O +jdzt2g4S57I9rpNwvcmbBku7t4Oh1NxuFew07kHfg97t4Hd2dc4GbLNwtuPx +wHYwKClyIIfg9axMRPtsXDu88fF4uBn78TZzhktVO7wPvcQqx/vrtfRfOpUi +HSCZ8+W/RXg/vldlyR/rgg6w39Aithvv97Xitl/L2tAFZ2TK+3rw+eLulss7 +ei91A/f6Ne5v7NhIavT88ubTPZAYrV0zYoy/b9UEP3muB67RCrWp+PzFhyIz +xKJ6YWJWs1cFn+/s5Apal57qw+vfk94ZYTZKPWERW8jqg69m2V2buPB57KeI +4GDYd6j61Fa8e5CFcvS1eEnq/WD69o0kDz4vu2v7xGQP9kOj6kP4lcxC2ztP +trz1GYBv7YpWJH8WUlTwJgnLDoLNL3sBO2t8Phatn+WmDELbrbbLYaosxKwK +6HPw/AGZCbcfmgiz8PpldvvcziGYDpaPeDjBRGu7cuJ4yENwtPDI37XlTLTo +gafBEcdhWKE+3HI8lIm4ks0y+taMgJtT0opvlkxUbbTiVXLMCJgcWmEkevj/ +Lr9W/+1oZve/z582eSx2+WXHv+8THXqWyqfc+u//ibttHfzTQ/v3PPbD54PQ +r6Z/z6vz2ulJzYqGf+35OX+rIEy66l97P9I02ztHyP/6o2BXaunxocJ//bWh +tIJPZmvOv/7cWhp1h7884V9/e/dnyoYcvvVvPCpjh0sezsUAMV5/2FMV7qlZ +QIznx8Sjpg7GBUCMd+L1gDORa0uAqAcxPbGdSfnlQNTLr6u/+brYVUDU09VX +fhUb8LmNqLfuOX7rVYONQNQ7KJzQEJ6nADHf5PgNCkwO0oF4f1xqGx6uvtUC +xPtQZXSI67FvGxDryV9zj46Swg4g9lu6iSqCctVdQOzHH7zPFwx27wHifJO6 +95vOkdheIM5re0ze9lin9QFx/ox6PUV6UvcdiPO0VLYm/97OfiDO89FVF+R1 +mANA5AmhR0V7N0n+ACLPsJvPMyzVGgIiT0kVrYxV8B0GIs8RTajPn6GPAJEn +2X37GmW5eRSIPCslhqbwNvAXEHlaztPhBj+ucSDyux2HKfH2NeNA5Hu2zlwF +VZsmgMj/rL7kvDVWmAAiH7z110LDSGMCiPww+WXarLXOBBD54iyvjs+k3gQQ ++aOQyszzh/oTQOSds3tPiK2nTgCRh97R+nyRJjIJRF56Z9om8bryJBB56uR2 +D9Ee/Ukg8tYZG/NTVaaTQOSxBgcaRqstJoHIa8cz52Tp1yaByINz35z8Wf9p +Eoi8WHNhctyqbxKIPDlw6rVL8OwkEHnzKr8jes8XTQGRR38ZPJmRtnwKiLz6 +njLf087VU0Dk3y3lvlG1mlNA5OP7l7ddkLacAiI/f2OpntNyawqIfD04wvTK +jNsUEPn7XMdshJ73FBD5/btdKXJnk6aAyPd3fb1mZf5lCoj8f8xmwU2kYgqI ++wFXA7KhZdMUEPcJ9LwJkvjPKSDuG0Ykd5vOz0wBcR/haFO4cIB/Goj7iuQ4 +OSsj4Wkg7jMi+48d8d83DcR9x4NSFt8rrWkg7kMyO/uGKd7T8D/WG7Qm + "]]}}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwV13k41N0XAHBbUUlaCKWS0CJ6VbypHDuVRJSoFCHSm6VCVHZFlsqQLJEk +JkJZQnUtY8luNoOsIUriZ+b7TVK/O3/N83nmzjx3OeeecxUcPY47CwkICJgI +CgjwPw87j3dWTZzQ4YSmadHDZ5GIgZzngOweYGyKeeFQPYt2pyelvpE1BFeJ +xWxa9ywaWb8l2FfZHGDtkflDk7PoSGvX6zRZa1DI8s+sm59FW6Lln//daAu2 +zw28LJZw0e/DFx45KNvD5i6Vk/5SXPQ1jElzSHSAzGdnO5M2cZGNE/n+oawT +RHxYlvR5OxfRDOTKalNd4L1xz+ngPVy0S/FgIXejGzQs/2Iae5CLxIZDMk8q +XwGt8O4ZI3Muqhikt9Zae0KClLSNxEku8rRwOnwm0Qvu+4b7h57loj71OwYx +stdAYRs9J8GdiyjpMlVKp6+DbHOZjq43Fx1eQT3wIdUHjq24sfuNHxeVTrXs +/bHxBsiOGE8Lh3NRbP6qrZbKt6Fl8dX4whQuMpLPypq4GAhuAnJSwk+56HZ9 +smS1dRCMn5O5pJnNRXs0VWNsE4Ph8oR6uHEhF2VIHYuIkg0D6kkJpb21XBTQ ++oh2siIM6oJ+6b5t4KKT4YNCiqfDYbVoQLtyCxeJ87wCK1MjQEoho/Ujk4v8 +mBS/yY2R4JvLbj8wykWW8T2XzJVj4U/CTQ97YR5SPaKYK9cQC3O7StMmFvOQ +mPDlL2MX42C07aWH21IeOlf+pwhZ34c29oMTpit5SGrbfbVTiQ9Ay55NvbeB +h4LF3qhEylJAXW/nlIMWD203eXD3tScFrgdNDT7S5iF6uMdEbwMFip9UydYd +5KEtwqov1XwSIJ5bs3exIQ/VL2SpMemJEJ6vGb3VgofEZxP3box+DPcXYlJW +X+ShpD5/g5I/T0Dp3F+jK/d5SG+9bVb/iXQYipXw5zzkoa92WovE8tPB/qzq +ap0EHtoweeNC/s4MOCP5CM0/5iEPN00lgU8ZUG+tMav/jIdWORXkPP83E5yv +fPomUcJDp2yfFkzPZMHvbcI/5lk89KPXemmm3HOoserLaevioQh7MWcrg+eQ +8C26/Uk3D7254CFXSnkOFa3xQVp9PCRx5WB4gFY2XLT+tvfICA/VhXTbLb71 +AuyC7ucJ/o+HNPJWLl4vRgVKhY42YxmBPqrVnW/dRYXPyPXOueUEOl/kV3nb +lgp15+yICQkCxZQOeA5RqXAvNvnw3EoCjVfl92YffQlPlmnqCskQ6AnrUNE/ +8XmwUqu8uUqRQMv+Bp8x2VAAF3LYoku0CZTkZmOvalwAW/zOLLbbT6AtTNXz +K68UgFWI/bPcAwTSye260Pu+APR6lGoNgUDluYklZWqFcKdfafCSIYG+W02+ +O9JWCObJ0lQ/cwKdyHnUfHX5a6hOUhvLdSCQkuXUeO29YphzrU5rDiOQXKCJ +9sWnxWD/lD7wNZxAkvkZ95aWFYPmCvHlS+4Q6JeYlZrlcDFI2d1T148kUEdV +2dX+f0tghuRUv4ghkP+u4D8/R0vg7vujvnqJBGpbsWaNmm4ZePj/0CnPJpBv +2z5I4pbDD8eoDIE6AkmolYx+FKuAnWvktrOxs2J2Rc+vrwD9F5miL+sJ1Gmm +0m1vVAHC48nVxxsJtKN5zVWlxAqIff3BKLmZQAMNP7Jfa1UCsX5BbSmdQCbV +zyVa/d/BN0lDfe9+Aq0tXtkvKIjgP0psVDiPQGei561HpBHEac1xDAgCZTqN +NterIphamRElSBJIVaq8/N4pBBJLVsoF/CSQvs/5BKlCBAV5h3+5zBNIM9Um +m6JeBTUOEgabBUnkYJ99Nqe/Cmbid/VsFydR6bBBS/uBGhj64u6UsYlEbNPg +mRiTGpgrFB76V4FExKsP0mbHa6AgynC0A1srYJ9D48UaoA2bRvzdTKK3q9WJ +qoc1sLx+eo+NEonKDeU2vR6vAVZQwqPpbSR6lz19lUKpBcfPwd9bNUhUcylt +ne0kDS4b+SsbGZDozYS7uz1Bg5Ti1O5y7CxX7coLAnUgry6kqWZIonCXLrsr +a+qgp9Hnm5QRiUwdVyaHHqgDz2Prjg0Zk6jdNlzmVXQdJI/Kb3M9TKJPpv9J +Ce+sB3b4icdaliTiKR9ckf9fA0zTpXePnyWRzrB+Q5RfA0RyEict7EkUkWYa +6BraABLrfczLsaXXWP9QfNwAQrSt4pHnSKQp6N6eTGuAfUk7r29xIJFfb1Lc +3XWNIKLvuOOIE4l+x3FXOH1shHznF5G2l0gkNPdScp1SE8S1UR3CrpNoXav+ +LKg3wVOyYD0De8/TbpbTviZ4FRSaoOBDIudDoimvjjbBSXPZ6vfYjY8dlfR9 +mmDn1wfCs74kitOW1XZraILJzj5LS38Srb8ZcaHMrRmkqvOm+m7j+f09X2r1 +qgWaRjTSD94hkdnRqhCjty0QyPUICsC+kLLxmFZNC8THrt1Wjn1fq/+LHLsF +bFminbvvkmjC47Ts8EILDAivuq0cSaLUwRM3PY+2gpdPoYPgPRIJ1hzWj5ls +heLpgZmYWBLJSFIlAolWkAiRmKJhq9kv6fUUaINFC1HF89h2vxquWq9pgw3d +tAzXOBIVaxg9X3egDXZsTv4J90nk8gzEqPfaYNXBW29HHpCoJWxPW/32djht +/2upaAKJtFc0Cz3Y2w4ngs8k7MfOeeygdVq3HZTWJn72wA59FZvx40Q77Aie +be7ij+eMe8sEtwMtcXl7ViIevyNt7aWudvBbo1K8O4lE+hMhhYv1O2Ag9E2G +UgqJbqi1NbmbdEBlSS3zOHbhVdnRDrMOOFiS0R6IveFPgWyKTQeIWsjJdWPP +reoLUf+vA0pE/EQiU/H4A1onTiV1QMD3Bb3+NBJtvP9tLneqA/odrXj2GST6 +4BCY+3q2A6xMOtNDsO13r7at/NkB6wva5LKxU9nab1uEOkHv992QSWwZ+Sif +H9KdIHkayfk+JdEq6tbZPdAJfWYqN0MzSbSI5jyJ4jpBy2za40YWzo+EuZRG +Sie0LhkxfYRteDHmSOfjTiCGjLnF2CFLS6jDzzrhJ5VS8wP7j4WI26K3nTD7 +aELnwnMS/ezLHD082AkTB9fV6mWT6Bs50M/aRYfSE65n+l7g++BiXM1hTTo0 +su2ySeygLp1stJ8OywyY71bm4PwoS/sv15gOXlXpx4yw9X1O/755hg5RHl4S +VOxkLlt2y106sMYe/nXLxfHtFLGQFEOH8uZm2WDsXcy9Q8vj6TDeSxFMwq5/ +Q8kh0+hwc2atdh32jPdxreY3dIjUyLSQp+J8n2m19h6gw/V1TxRo2Ksdbv37 +ZYQOTFGz9Rzs/g7V9We+0sFIMGL0G/a1wnufjXh0qLfq/bzqJYnSPQ55yy5j +QIdJyQN7bOI7La5KkwGHQszQJHb12WtX9x5gQINxW+4CdnSrog1VjwEirs0X +JPJItDk/ZAPFjAHDU17G6thHL+vmX3RkgESVSOMV7KyvlU0SsQwYH69qHMG+ +8uiY4qV4BuxmCHr9D1vL8HNAXRIDEtROf/uL3ZS2dOfNZ/j7+/dOyuXj9VrY +xk28ZUC84jGxo9gVC5NfDD8wYI9Ilb4tdhg1SDejlgFZvwqPOWPLiOTMnGxj +wC1t46Gb2DplhBXtMwMqLZ6O5GKLOUXlbZxggKGXT3ExNl1yw6KAKQa8adhl +g7CdLxmV/jPHANbXCCkmdrQ8RSZdgglZ5at957G7Q//pu7GPCb0uzhy9VyTK +3FW3l6XDBGuOxadD2Jf7TsXuMmRCs9/IG0tsAa0g+GLOBKjc3X8eW/lr21Nr +Jya0e7/bdAt7OtHxV4EbEzo/7q4Nxa4wII4v82BC6uGifVHYR9PkRWpuMMFK +7ntmIvY1i8su6nFMSNKgXszH1ln4+yGKwgQnm4SXr7FFqfFrxx4zgXpuuLYM +O1m4sjE1iwmPjrV7VWM7FZor/MxlArsyXLQeW+3s8A2rAiZ0r2n2asKuLl2y +Y2kFE6Y01Wl07KgLaaHOCK8PnPLY2NaS/3yqojFB6421Ww/2uNupGN92JnxZ +iHcYwn4tPTlKZzKhoP1n6gh2QG2gjloPE6aFjPK/YEvIv/gxMsKEAWVk9h1b +92Ro3+cJJiSG7y76ge0dd655eIoJpywtp2ewsxr3lw/NMiHPVGEpF5stKPNi +8CcTehTz/vCwxfZzKQMLTPhE+dVKYmtf6wjpF2LBLRMpvzn+eeTnefaJskBv +SGThF/aTsbv2n8RZECRIP/Mbu2Ojs1nvSha46IU/WsAWstXT7pFmwbfdKvl/ +sPc8lN/avY4F485VaX+xXZrnpDibWCBrb+smUECiJBG2cJcSC6TTfq0QxG46 ++HqGtZ0FTml5D/j+7RM7wFRnwTWG7xTfaoWXWhl7WJCX76QshH1+wriSvo8F +zpSAfXw/3KyY26nDAnKCpsI37fTfxA4DFlQsPTTD/z1B6Q1rN2WBwFHJRL63 +tpV5tx1lgZagojTfdqKU863HWdDiFenFn1+0rqd5iw0LDD4fyeHP/8MNswPN +Z1iwn+JdwV/f9Out25scWOD6flEOf/2bJ0VkPrqwIO3Bci/+/lgrDS1qdGcB +5+BDqXnsCPv3s/WeLNg3kZLA39+3jx4P1V1ngWSJxgx//792XG+n+bNg9J39 +VoIfvwZqL2vCWBDVmbjtf9i3by59XB3Jgts/X8/yz7uwZCyiKpYFZXFhj/nx +sGZruuOHJBaoirj6jWMbOwRYvE9jQXVrZNEotl+yjc67TBbYDAbVD2N/EpeU +q8hjwZS/RNgnfnwZT4qWF7FgeU2xajc/vgIbeWWlLPDoOFbE4sfTTFBnSRUL +UkJDzdv48cGeuVvEZAHqqOh+z4+PFW0+hd0sOLl4yLGcHx+mVKeCfhZc5u5r +LObHQ6Wjbv44Xn9UpspL7N/pDDLnNwtCzypq8/NTrbtg9IUgGwJ0ip/fxz6/ +KpqRvZgNUe7F3/n5TAszLMiSZENZzKbVgdjRbiUuGVvYoCXsV+zM3z/d/LvL +trNhyK7byx7bROY51UedDdHPqIts+PHZQJky02ZD27GaQhPsG1uu+cwdY0OR +30cpFX5+zLsnOZ1gg+h0/p0N/PkyLlS027FhjZpZhxT/vIOt/jx3ZoOpY8+o +MD+eBzQijgewYceAtmI/vt9opdtz3gexIXTm6xH+/bc5dnPT1gg2yPelHmnC +7juwSuLvfTbU1m3rKMG2Tp5JeJnNhmeLBqaisPVOFD4XobOh/5Bd1E7sJ6o5 +DR5dbPC6Z6CigP1bOGOi5xMbLuWVp6zBfvs6bmfRFzbIOF9ZNo/vfzVJj5Kz +C2w4pD66uB57ffPOutKtXVDab37dGpvQfTniGtgFR/p+uVrhevQu61uKb1gX +rObI/GOAHSKmahUR2QVD7j1sDWyJjrzqZ/Fd8NHOoXIltvK5V0/6X3TBYh3V +iVZcD0/eKjpl3dEF2hnSfYBdUl7WAgockOfaWizF9dZf/meouTIHeHJFHB6u +37rB/+4/u4MD68zdYQi7xbQ8138vB9RebMwpwx7pqogoOcSBwl7lL47Ya4j3 +uju8OZBy5dGmN7hfuKZBK5au5cDyQfcX2ri/cNmzKeNBIwe2jAYRG7FPad68 +J97GAY0tkxsWYe/X3uMo1M2BMTQw34b7EyH9rBVTUxy4bjk7dh47zjLsUp1c +NxSn37hzC/czVE/DTde8u+FW7LkNybg/SvXOWPbDtxvsGNlZ/tgx134Tbre6 +4cHg8kWnsT39ilvP3e2G3H+srNdhawVtCTjypBsSc8/vTMb9Fi1OhL25qRsy +K7Pb7uN+bOAV7V6nQg+IJwzyHJ7g/PguMqmh0gMu5geu6WBXqRqZUVR7oKia +1iaHnUGtE7fR6gGPHZ4yDNwPOmbXx3wy6wFf7w9/9LDH0hrjvvj2AE0lcZ0s +7h+/R7fEL7T2wFYh/bd5j0k0f4mZss2/F5SPFwddouB40zz7QC2wF2xUf23T +w84THIvYHdYLkZYrS9Zi2yeRXgdje8G6psqTFo/rWZ2cqWVmLzwND7q9HvvO +JgeuX1MvvEo4Q9Tj/no1+7tZo9wnUCl5998i3I/v0BP941L5CTzWchS34X7f +JFPhYtHafrBQrx8exO8LX/lzm4fODICg9KrAp+4kUp08sazr6CC8SDNt+WqH +/2/FtBjt1yBcZH0wZeL3lwhKKVBMHYLpOeMhPfy+c99T2b3kyDCuf3eHfsqS +6OUhx4wPxDC8ty/uXyeA32Pf5CTHEj9DU2lP9bYxApVYmQhTDEfg7LOnKkL4 +vRxoGp5ePDYCnfq34XsugRT6DnOehY/Cx15tZ0oUgbS1wiiyGmPg+t1Dwt0F +v483tM8JMsag52rPuUR9AvGaYoY9g79AYfa126dlCVy/7K8d3zIOsw80k29P +89Dq/pJMIdo47P+w7+/qeh5adCvYep/XBIgbTnAOJvCQQK59wfCqrxDgnSP+ +0YmHmm3FH+emf4XTe8VtN/zLQ/8HFuhMGg== + "]], + + Line[{{0.8326278053438486, -1.325784614887171}, { + 0.857185856498624, -1.3090809470332034`}, { + 0.8767710559935172, -1.294722972942509}, { + 0.8980073105789717, -1.2779522423710485`}, { + 0.9178333957651912, -1.2609837794314265`}, { + 0.9372697197154413, -1.2429104683982437`}, { + 0.9583570987562524, -1.2214244106595422`}, { + 0.9586645551569021, -1.2210951628916933`}, { + 0.9589720115575517, -1.2207654252934292`}, { + 0.959586924358851, -1.2201044728387371`}, { + 0.9605078043715446, -1.219110930108754}}], + Line[CompressedData[" +1:eJwBsQFO/iFib1JlAgAAABoAAAACAAAAPU8UVbTB7j/+vJsxpn7zvxa3X451 +++4/H0OWS79e87+jqMVW+v3uP56ObONTXfO/MJorH38A7z9xUTrP51vzv0l9 +96+IBe8/eySDlg1Z8798Q4/Rmw/vP0Rm2YBQU/O/4s++FMIj7z9tMkqgr0fz +v63oHZsOTO8/1zGuFpEv87+InYVg3k7vP05GNZHRLfO/ZFLtJa5R7z8+TQbZ +Dizzvxy8vLBNV+8/YUKch34o87+Kj1vGjGLvPwsB9AImIfO/ZkTDi1xl7z85 +r1ekQB/zv0L5KlEsaO8//gQIbFMd87/5Yvrby23vPzqFyTtcGfO/1BdioZtw +7z9Lxs3QThfzv7DMyWZrc+8/J7eXZDIV879oNpnxCnnvPzTWh06+EPO/ROsA +t9p77z+KD5IEXA7zvx+gaHyqfu8/bflgvtML87/6VNBBeoHvP51xRlgXCfO/ +1gk4B0qE7z/3eFneDgbzv7K+n8wZh+8/r5dQBYwC87+OcweS6YnvP77ScTod +/vK/aihvV7mM7z8TcOjPnvbyv2UZt0tJje8/tIlPzZXy8r/Bdtvy + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVxX040wkAB3Bpdbvjieoptyl7NKR0Kq3kyn15FBfKiZS8lHfpueikN4+k +x0sil2t5yUJeElMU02Xl12M7ahR5S5iXtdaG2H4SI9zdH5/nY+gffjBIU0ND +w+k//+8YJH/7QnHol0qxRNEePwGKHT1igMZCjOArJdthAtvysjhVtD3Ike62 +uvbTBKRrjOLOmRxAaQEryJM2AafX7x7fobnjdGjd3FbqBIxS1xYvMDwxvH6D +z+w0iW+OAZl+Jr7oeqpkG4+QOBw49fwvWiAuugsPB7aRENrRnwg4wRgLnYum +N5LYwrSu/MI4AdPnIUF+z0hQJVcKPExOodi0jOV6n4R4c5LdddoZzPSpb7vE +k2Dn/fjC2CsK6bd9jk9Hk3DUKdtdxzmLu4F7eN2RJGrGmrePMy5gi1aPh3kg +ibQHK0xdTS6huyGR89qeRP4ql8RrtHhcsKGkiJeTiH6dKfSojcczmWNFuRYJ +j4RBTaZXAnSSrVxuLCGhPXk6ls9JRBqZez5drcL5Dvb5UUYy7OdU40ESFVxv +9oQdMEnDk7uOIy01KsRRq9Yn09gYr5yx6ApRYaND+tXHEWy4b20dbfJXoS0h +XNHbyMZ0qJ+TyEcFo8WbuOZnb0FleNlg0E2Fhrki8462DERNhJaE2aigPZGx +nZGajSiHv4900lXIEl+0483nQm22j7m6Q4kjnncrlKoirLKdvnHJTYnxXvcf +CujFUC92TlC5KJHoSw1ysytGaWa5OthZiaqAcHoNuxiRC/57PfcqseyUdUK0 +5T0EPeMVHrVU4p8r748ujSnBibMafJG+Ehbly5euoZZh3c72ovDmcWgtxHk7 +GFSAl6JoTdYYh7HrmFyQUo2yvE7LwrTPOPfGCllfnuLkq+ecwDWj0Kte3r9o +EQGDey21013DqJHYNbfsrgfX4GW+ZaIC9WF39D1HhTg5+/SwwEGOSRNrnQe/ +N+LWLoMh/fWfoKnm6uobi3BH5LHDefIjdiwcr3F72IxN1fZUM7EUzfGsNw0b +W8DJJdlX33wA48aIunSsFRu9CgeDyyUYmRro79zSBq7ZK2er/CEUDfNFy9La +EfOwVjc9dhDL1paMS6UdOFBiq8tq6kfqCV5wvlEXFvwu99XX9eGrDVcaGvsO +tqNyjaTEHpyxEFavFnTDu0ehaIrsxsBDYcpbwx6wqO58r21dmA3ryNlwsRew +3LeXNtsOM9vv5oP5fRiYoQbryN7CocAw5JFePwKykxv1bFpwbu2xdUPeA/gc +8I3SPyXCptFDWu/2D4LpwjS6X9uAlTpKqnBmECVh13/LWVkPCpFTweQMoapk +l0/EUT5Osvjvv3eSYH6KbIzlPgJ3n39+3VcJXuYr6i/N5GFyhK4ry/iAeGml +xc2dkQTPzWExe48UDAEnitpwj4j9NSGvWiaFnqCRspnBIwzFjt2FCR/BN+UK +rOV1xM+W8WyahQxVnfa94mEh4W3Qol7ULsPIbCQ/w1xETIquSyLiPsE594+r +zdqtRBbF98xBIznCFYf+JD63ESv7eQWaQjmMoxmy+cFOYklMnLvVaQUM5Klc +is17QqPUt0KyYhj7vZKYxzL7iCZP7ezSvGE0hLS82lU5QPwLlJ1pug== + "]], + + Line[{{0.8326278053438486, -0.496987607235096}, { + 0.857185856498624, -0.572355219081552}, { + 0.8767710559935172, -0.6390851060268861}, { + 0.8980073105789717, -0.7204147652265459}, { + 0.9178333957651912, -0.8076408025074059}, { + 0.9372697197154413, -0.9069206516449542}, { + 0.9583570987562524, -1.0308883104481381`}, { + 0.9586645551569021, -1.0327778068498303`}, { + 0.9589720115575517, -1.0346678301852346`}, { + 0.959586924358851, -1.0384489629631997`}, { + 0.9605078043715446, -1.0441122782885224`}}], + Line[CompressedData[" +1:eJwBkQFu/iFib1JlAgAAABgAAAACAAAAPU8UVbTB7j+Eid2FvsTwvxa3X451 +++4/Jw/rxDp08b+jqMVW+v3uP/SAFu+2e/G/MJorH38A7z9LdmSTLYPxv0l9 +96+IBe8/TMh+PQmS8b98Q4/Rmw/vP8mnZ35yr/G/4s++FMIj7z++wwVyzOjx +v63oHZsOTO8/GeACpnVT8r+InYVg3k7vP7mGM35nWvK/ZFLtJa5R7z/h+6im +RmHyvxy8vLBNV+8/a6dMzstu8r+Kj1vGjGLvPwKI5+nsiPK/ZkTDi1xl7z9u +1g+lRI/yv0L5KlEsaO8/aQjCe4mV8r/5Yvrby23vP8kHzjfdofK/aDaZ8Qp5 +7z+XV/9I2Lnyv0TrALfae+8/xCnx0MW/8r8foGh8qn7vP83Zdra5xfK/+lTQ +QXqB7z/zj9CFwcvyv9YJOAdKhO8/nddPnfTR8r+yvp/MGYfvP1qFw7SA2PK/ +jnMHkumJ7z9bLNHN1t/yv2oob1e5jO8/ufXrBxrq8r9lGbdLSY3vPzk2o52s +7vK/N5bfUA== + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJwV13c4lu0bB3Ak+eFteDMjKbRE9coKpxWyIiMK2UJKESJZKZVVpKyUJFRI +VtYlOzwLUWRklD2S+0al3/X85fgcjuM5z/ua30vc8eJJFzYWFhZgZWFh/tV3 +GWfUTlio8camk3LUJcSuJew9KCQHC0kTK2I/ltB/GY/S3gppg0TAmwkqC4FG +RSTC/KWM4TEP+6cf3AQyoPQUpQuZg+xYpLwGH4EkokWf/xWzhqSchAAnUQL9 +1nd66CBlB5eKam8WShBo8kZXg0OSA6hlqrLZ7CfQKWey+r6QMySI8sXdOkSg +Bi3hsvo0V7Ar97qrr0Cgg7tUC3+KuYMc8We1RIVAnMPhmZZSF+BpUFhZqQ6B +KoY6KPXm3uC2sDpra0AgbxNnfZukS2AxNtbWcYJA/bK3tGKEfOHHxkWKkhWB +EjMEayXPXIHPInnicjYE0t+Up1KT5gdcivltPPYEKp1tPzIndhUOqaZ3O7kR +KPY17x5TqeuwwcC7ZNiXQMdEs7Im3ELgF19PFU8Aga43pWx+bx4KQ6utX3cF +EUhOXjrGOikMTpvtqxANI9ATvhM37wjdgMgd6xSsogkURHnYYFlxA4KHNWLZ +4whkGTnEtutMJBwIWr6QdY9APEuXQirTbsIgGM3XJhEooCsxYFrsNojJsv68 ++oRApgm9HsZSsfDCZg+pWkQgaYNducLNsXDkhvlHs2I8PuvOf//mFgdOhGW7 +YymBzr5be4PM40HtsnHX+QoC8e2Nl7FKugeZCrOX5OsIFMb5dvdtoUSQljuT +V0cn0D7de1FF3okQHBF1+FkHgToiL070NSfCsOQDj+tdeH7XSb+U8XsAzxbl +vSQ/EajpT5ZMV0cSKLOUcykN4v4Xk46IRSdDYt/j9JhpApUcupKkN5IMRx44 +yEjNEsjO24y8pJwCT5yk2CrnCFQws+ldw3gK5PSXV37+QaCT41EqHsfSIHck +xXJmmUCP+gO1StYewzl24+hAdhJpiFhnDVhkQO19OmVgPYkmTyus53ydAXr+ +oWrqG0i0ffqq0+sDT2Cw9Xvv6v9IdNFdXpLlyxPQWps7YLKJRLzOBTnPFTPh +neD9jgghEllZPy2YX8gCyQ8ij/WkSTTXZ86VKfwcLm2LvW96gEQ37ThdzLSe +Q5ThiR9WMiR663RRuDTxOSRH+613OkiijRdUI4MUsuGjH23BWY5EjeGfT3ME +vwBWwaP2u1RIdPjVFg4RzjxQyTi6+5k+iT7INNpTDuZBdC5qCzUgkf2bgMrr +1nkQc//OcVtDEsWUDnp/zcsDI+sTfbzGJBqvfd2XbfQS5n2m0r1NSfT44/E3 +hxJeQaHWoeLlUyTi/htmo7u9AHpyGTv1nUn0yP2UnbROASSGhaSvcyGRRJe0 +/ZYLBSA6cVW1Clstt8epr7oA6rtSFve5kehdblJJmUwhhP4657TmTqIZs+kq +A2ohfHeYfnT9Ioksch62+fxTBDK7/1czc5VEabbhhq7iRRBw0CQ5NpBEw7xe +FKsjReCV+U+DTBAe72BNmqptERTOafJ4XSPR7ZMzHRteF4HUqEXk1+skqv6t +2Ztq+Ba4zWbW8iJIJGk6O15/txgO5NgXNkWTSDhEV9ntaTF87tXTOxtDos2v +n9zlKiuGAvLJfyT2KqeZjOlwMcxuyOKUjCMRvbbMZ0CxBOTqA5sD7pEo8GDY +2vJYCfhC8HnWJBJRN23dKqNeBsS4a3D6YxLVq3q5MCzKwKNMWG9nBonKPZtK +fT3LwIH2Oywb+1lzgHVlUhnc+6um8/oJiQJC+h/rzZRBz551ksWZJNo5l73X +KaUcdrUGfnqRTSJ/qhI8+vkO2uLHCvbn4/UgUzL2gbMCgGgkHmJnxRyM/iVS +ASOxtxjrCkjEMNz92e5YBbjbh2n3Yu9v2+ojmVQB/GhEOvwNiQab57KLFCpB +blXNvaaYRLrvn2+kBFZBdU7wlakKEg3sEC9Zi62CF9Ya3AaVJPINTTtz8FkV +7D20opGH/RQSchLaquBqYVmCWxWJflWHap4WqQa+5fMd/dUkKqg44/e9uhrC +zdK43tWSSKB4ywArK4KexcJS6SYS2UT/Mh/lR7BF0VIsCjvTeaytSRpByORL +iRFsab537+5aIdhgMsbxqJlEmn72D/gKEUQUdP33p4VE8mmnshNlayHLqTyk +pI1EDnbZtjkDtaCcPaw+RCfRDf1tyckTtTCc4z4tyyBRtnx8152ftbD8zRFC +sKc3Bhp4cb2HSmLwi0gHifyQkdJh+fdw2MF8zayTRNE7lrZWxbyHns9CIkUf +SVQ6rNVOU6mD9amH38r2kqhbL2whRrcO0rPMHvpgE/k1/IYn64DGuCtThq0Q +pOTQ4lYH7myH/FX78Pz/K0vU3q+DGqdmf50veD9oC+8oGq8DMwvaOf0BElVl +z/skJtaD4s1PsduGSfSFRyb5ZEY95Cs+17LE/n3Zs2ZzXj0IvncrisdWhW+c +MageEqyTathHSFTT05ceOVkPVlVsDyewEWdzs596A5yairicPUaiOo/0bdbT +DbAjzER4dhyfLxOennZEA8hzHOMSncDr55xypRNLI1SoGdQZYEe69py+sLUR +Rtn57+Zg6zluSYlQaYS9HjYRZydJRLOOFMyPbgQliZH99VO4Xz0vvnUHmsDC +N1LNcZZElOajLpwKTXCUkPe+g12jw1Xyj0YT6MuteBVhZ2i/MBe0aALD/VJU +1jkSOap/TTgQ3ATFYZYSj7HHFSx4rShNIGusq0GZJ9GSlOqm117NsN/hJgvH +Ij5fhjWb7wQ0w+ejXo37sG+m64Wci2gGPxZL0xPY/FvN53YlN8OnHYU1D7Hl +WT1pKQ3NcPwQ76LkT7zf+h7FRW1rAVL9/IDCEh7fuJ+bnD+0gLrbRNJhkkTa +BqvNGl0tkODyOsAEO5qDJVRssAXat2hLXsAWDeae7/3ZAtdvnx7MwVbz2Ek3 +3fEBHsao9G5bJlGItkk8+H+AhBc/2Jax2VZebt4m2Qqc/IO9t1dJtI2iuQiy +rRAuYVyTgS339PNHZ6VWOOt/zK8E2+X4htR8o1YI+iviPITdkuwoqenXCk61 +qUpyv0gUpyyk7N7cCldGyS+d2DkbC0ViGa3gbirB+x27dljnb1FfK7RbbRZd +xf5xx7fh91wrGJ27XCz2m0SWfTTjeME2mKedmzqHLXLtplOZexv0lcrdWcQ+ +YiKq88UH/9+bXYL9D4mMJYr3sF5vg0b18sSt2CHtX2f077cBB4P3lxz2sIhq +wEBFG2hUXu/3wc6tXoxez9MOiZYnOSex6+7dubiPvx3Ga6MEl7F7XcRPntjR +Dg6WpSwcayTi2XhCIFmuHRZuKVmLY3vb5T2Vtm2HizL50pbY8n/tS83y24G0 +jfUsxzY0qg0/Vt4Oy7wjLfXYTqliJxTq2qGoq5SFhh2vMPBduLsdLtzhZxnD +nrh4Rmj4TzuYC5rCpr8kYqmpGOvcQAHVGcXLQtj8PMJFjVsoEH1za+gubM2c +T/q5khTodRqSVsBOG7K45m1EAfC3brfBLpIp0XM8RYGBwAufXLBbrm3lM3eg +wHzuOLqAvSTY+VrhCgWe6WmLh2Ibm5oMrqVRQIPYJvsUm7VOXzNmmgK+tyDi +C7bg5ryNIQQFtFL4DEewZez+1+fNQoVo6X0LE9inV5t9zLdSYTBQi05gFx8+ +9nybChX2fM4a2ciyjFpDsy79o0OFzZb9cVuxh6jr1P6eoMKkf5WgMPY/nnXd +w05UaBh6WiuB7foMOPPuUsHpmJeHIva1hcddqQ+oMK8If1SwE2DtSUwGFWwF +RL00sGv7qpQuvaXCb0eZ0ePY3XtFOJyqqfA8NXDKCHvaP6jDvJkKSpbsdFNs +oa3Knop9VKi7yHrIGvugY7LCvjEqjGvGvLbB1ilcXicyR4WA0Fsb7LEvG5al +/WWjweQr0swVOyqF332BhwaHKyJ13LEzxq8cGeGnQcuFIv7z2O035KhN+2hw +OknA5BK28qY2tntHaND6yGjaBzsn2UHhjDoNWG9p2fth80sse0oa0OD2Rc5X +AdgR+bFP5ixo8OLkS1og9ryi5Md39jSw2Xm07Rq2XX3l/2540iCsrunxdWY9 +o5Nqxn406OG01Q9l1vs0flkwjAb81TzUMGY9x5AXw3dpQD4a3RvBrDfD9+VV +Eg1M9RZtb2Df8H+12f8pDXa463pEYi+wah3TeEWD+LtzxjeZ9aI/X+Uuo8H2 +w6vct5j1+L3zP76nQQrjylOmlZ9yjGS000CO4b0pillvf7qARw8N0u+sWDDN +X/qfodww/l797VeYvqHeGro2TYM9xjPnmf7Ral/SQtIgsMVXlenCvF++x1np +wNdf9435+9/ndOLyOejQlzl2juntR+7n/stDhyu7puqZ/ZkH9tcHbKHDtTNf +SWb/d9GegX5+Ongpd7MzXcfuu6wpQofmzJ5J5vcvH0e8OeJ0EPBYzA3Hlo3j +OvDPbjqwWStoMsfLtctC97I0HWz3v3kbgp0u9NSh5xAdDsRfWAvG7rKbDlJR +oEP93uCdzPnQnAgv5NCkg+qxBsIf+6oMtdVTlw7P2gVeXGF+j4/QGN2QDrMJ +Pw4y53/7WoFQ6ilsJx+GF7aF1q//WGzpELNRbtwDOzpKx9jFkQ7sqm8+uWGv +8PaHy3rRwX6YT9eBuV6t9qQnXqaDabJAoy2z33SfshV/OhiZc4meZva3m2u6 +PpwOPQzi9ElmfRUFC6tHdOiVtdjM3C/fw8IvVqfjfp/onWXup+3NlNs7n9FB +qichSoFZ39S5Zvo1HWaEnT0OYJ9zvbc7rJ4OWzgMzgsw1/vLLxrfWuhAbrCJ +28Lcb/O7bQyodBB5uSeGG1s7qCae7zMd+Kckhf7i80Asfmold5YObUHrfUex +axxCcosW6TBdXvm9H9vuv3+tK5fpsM/P7GgP8zzrVi5vZ2MAKBiHf2CeP6J3 +/Ob4GTB45Xr8K2zevD2LcsAAY3+R0vPYb4KqMlW1GbBwwEXaGdvEyOSkznEG +mPZIRZzBjpv3f3PKjAHPW5U6jmPzKDRfDHRjwPzfdFICe32DyzSKY4Cw0DG3 +j/g8znqwktqSyIBDb2wmWrG13WIMGMkMMJu9ZliLHc5Vkjf8jAHq6qsledhr +Juzu68sZkMXZx38de7k/c0x/iAE3+98KiGFPkYMDHw92QH++nok+vl9K3eLq +9OU7oN5/J00ZO7RHLRsd7QDvtY59+7H5y9K9cnU6wNhyKID7DzNfnvl9zaYD +fpfUebfi+y/lZ7eQRFQHvIlS/aSBrbdAMb882AF2XOs+8OP79F+HYMXvox0g +9C5giQ17gC4tYjPZAYbHl/7O4vvat/DuyLGlDqgYlypows64ePyyEHcnPHBy +8ffFJmYa4mrlO2Fbzt/SDyt4PCYrWzfGdkL0mVSZMzgvXHh4YpdHQifOT67p +WtgK2iNBjY864V1v4PR+7NZ0rgPXnnWC8HDy/t84fyyYWMdNlHeC/M4omVRm +HikjzBpGOsFyKNeokyDR54hD/VeVusCn/NMuaZx3Noq+mBsd7YJTXxKLwhZI +pG4Z0T8y0QUCHaO3nLEvx51tG57tAk2VbVq62N2sgi+GlrugUuuEJw/2429R +dl94PsJ3PruIBzifyRR6UDrlPgJhY92difObkZbMy7obH8G1mmdv3gzOT+4l +rk8kusF0p3jIeZwnJ9VfR3Hv6wb7wsS5Y9i6gs/z/GS7wXwkW1MMm605cdZQ +uRtWmyrjGTivXpXw9Vs50Q3lbvIUOWzXwcM3TwZ1A3zpblv6ht/rFoXP2Tu6 +4ewzXjbHUTy+6i9Hz4X0gDbYWo7ifO17uKGYv/4TXDGzd/PH+X4wv+EuQ7wX +zpXvJFwb8fvFoyt1b2Af+P+kV82W4PeSxoY118ovQA5uMePG7y/dTHG3NwID +8GHq3/eQgN9jomd3frUZBOOGhBSVUPxembbg7jEagiPmVgLK5/H62DTP2bA6 +BFJ1L7VXzUnEjlILdqV9hehzDqwdqiTylKv8/D+DYUA8oU3Cu0j08rjjkxpi +GPib3O7KbcD5YUp487ekEahO0IzPniJQiZnuukTtUWi6kLqFu51AIXqRGcXf +RmGs08Sm7xWBxPv1Pz2LHANaa+a6rGgCKSvcSBQ6/A0CVAvFa90IZLOdtsLa ++Q1qXVbyPbQItNQaM+wd9h3GfrAZU4UI9IjdzvekxDjENlDnSueX0L8DJZls +DePgBuWd+5qW0PrgMHOlSxOgIp50SevBEmLJtSsY5p2E4j8CDz84L6E2a57k +3IxJoLjxWG9XXEL/ByeD1jw= + "]], + + Line[{{0.8326278053438486, -1.3257846148843135`}, { + 0.857185856498624, -1.3090798052985682`}, { + 0.8767710559935172, -1.2947176707332428`}, { + 0.8980073105789717, -1.2779401605029133`}, { + 0.9178333957651912, -1.2609684969498658`}, { + 0.9372697197154413, -1.242901106977754}, { + 0.9583570987562524, -1.221424358534907}, { + 0.9586645551569021, -1.2210951270021635`}, { + 0.9589720115575517, -1.220765402048484}, { + 0.959586924358851, -1.2201044655255524`}, { + 0.9605078043715446, -1.2191109299741119`}}], + + Line[{{0.9611455594735855, -1.2184202136343314`}, { + 0.9681957035770405, -1.2106350486538098`}, { + 0.9685031599776902, -1.2102890420469095`}, { + 0.9688106163783399, -1.2099424676281982`}, { + 0.9694255291796391, -1.2092476004274502`}, { + 0.9706553547822376, -1.207850879686796}, { + 0.9731150059874347, -1.2050284382444867`}, { + 0.9780343083978288, -1.1992555537297507`}, { + 0.9783775220102351, -1.1988456565740784`}, { + 0.9787207356226415, -1.1984347296490294`}, { + 0.9794071628474543, -1.197609690812365}, { + 0.9807800172970798, -1.1959461092522685`}, { + 0.9835257261963308, -1.1925569789629435`}, { + 0.9838689398087372, -1.192126627214883}, { + 0.9842121534211435, -1.191694586730978}, { + 0.9848985806459563, -1.1908251437323065`}, { + 0.9856855120921404, -1.1898188752673777`}}], + + Line[{{0.9863232671941813, -1.1889951298365329`}, { + 0.9890171439948328, -1.185414072651736}, { + 0.9893603576072392, -1.1849432118735563`}, { + 0.9897035712196455, -1.1844682761105898`}, { + 0.989912426481681, -1.1841771413120892`}}], + Line[CompressedData[" +1:eJwBUQGu/iFib1JlAgAAABQAAAACAAAAxl1eS5ay7z9Oj98stO7yv/8qUnOF +vO8/pNv0enrn8r/a37k4Vb/vP/ksvypf5fK/tpQh/iTC7z+LMRWlO+Pyv27+ +8IjEx+8//EoWkNje8r/c0Y+eA9PvP+KchSqC1fK/uIb3Y9PV7z+SyZtoBdPy +v5Q7Xymj2O8/r5fRqHTQ8r9LpS60Qt7vPy7wA8AKy/K/JlqWeRLh7z86hEaI +KcjyvwIP/j7i4+8/Ti4ItSPF8r+6eM3JgenvPyES0SuLvvK/li01j1Hs7z+b +SMo54rryv3HinFQh7+8/Sj3DV+W28r9MlwQa8fHvP0e8c0N6svK/KExs38D0 +7z8PzDfrd63yvwQB1KSQ9+8/PQIM4Zen8r/gtTtqYPrvPypS3UVOoPK/vGqj +LzD97z8sIkA/K5byv5cfC/X//+8/M8d6aeZ58r/0C7qy + "]]}, "Charting`Private`Tag#3"], { + Directive[{ + Opacity[0.2], + Hue[0.67, 0.6, 0.6]}], + + Line[{{0.9605078043715446, -1.219110930108754}, { + 0.9608266819225648, -1.2187655718003962`}, { + 0.9611455594735855, -1.2184202134920379`}}], + + Line[{{0.8319900502418077, -1.3262008169579846`}, { + 0.8323089277928288, -1.3259927159225775`}, { + 0.8326278053438486, -1.325784614887171}}], + + Line[{{0.9605078043715446, -1.0441122782885224`}, { + 0.9608266819225648, -1.0460727687980174`}, { + 0.9611455594735855, -1.048033259307517}}], + + Line[{{0.8319900502418077, -0.4951314421465408}, { + 0.8323089277928285, -0.49605952469081943`}, { + 0.8326278053438486, -0.496987607235096}}], + + Line[{{0.989912426481681, -1.1841771413120892`}, { + 0.9902313040327014, -1.1837272193025188`}, { + 0.9905501815837219, -1.1832772972929493`}}], + + Line[{{0.9856855120921404, -1.1898188752673777`}, { + 0.9858125966898766, -1.189654728628047}, { + 0.9863232671941813, -1.1889951298365329`}}], + + Line[{{0.9605078043715446, -1.2191109299741119`}, { + 0.9611455594735855, -1.2184202136343314`}}], + + Line[{{0.8319900502418077, -1.3262008169608521`}, { + 0.8326278053438486, -1.3257846148843135`}}]}}}, {}}, + "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>]]& )[<| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 1.}, {-1.75, 1.75}}, + "Frame" -> {{True, True}, {True, True}}, "AxesOrigin" -> {0, 0}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> Directive[ + Opacity[0], FontFamily -> "Times", FontSize -> 10], + "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxTTMoPSmViYGAQA2IQ3atqJvh4ySv7jvXL32aafd2/V7uDQzDvtX26wdc7 +/z5+2S/oVaTTxPfGnmHDonz97V/239G9cLlyzxt7ibC3PHVFX/ZvmGev8iTu +rX2NzPXgvzpf9seuNxOU/ffWXpktSuzbo8/7G1oXNt5Z9c7+muTSoC9dn/fz +lrN7Ja59Z694/J7I7M7P+2dl5Ao9W//OfuGE2cGOHZ/3b/a2XPx+yzt7nd0d +Lj2tn/dXN7+6U7Xnnb21WUFZVSOCv3dep/D6LR/g6ivuP19RM/cD3Lx7z87e ++t/7AW6fmls7q1PbB7h7gh03722p+wB3L59VTGkd61u4f4qfm7YZ3ngJ9294 +M5vLYt7n8PCY82D77KTiJ/Dw8jhX8mR3wKP9sPC8/Pip9NJz9/cDAA4Kwp0= + + "]]}, { + Polygon[CompressedData[" +1:eJxFk2tI01EYxtdQp0lbkClGMqSLVkppZbmwUzosnc5btbxUOryVd02pZsvQ +5WamaKjUmimWSpSTEGUgO3ilodOtYmqh3T6oWZ7+51iikmUfOn44HF54Oe/7 +PM/vuEqzIpPYLBbLe+38u/3CHM529MyD1W61V7OcQMeuSxX5K/OAH1ArghcJ +bGodOLf8ex7UV6R/ibpA4IGH+/i3WAjkRAhGp2MJDLq5qFVaIyDbWVy7KZrA +woBKs5qHgDB5oig8isDPo3qH3h0IZHgHN2hPEfhixkXNDUFgzKvNsWo/gUqN +In5KjIA48HCV2ZPAxMjvu9oiEDgeajPB9SBwe3d3e6gEgQT/R6VydwLLKmMH +y6UI2G9YYO92JTBZ2Hc3LgkBX63kyQk+gf5LeyM8UhFYtbJNj3IhcEm6/H4o +AwGBwnAszpnAt07SRnU2AqJBo0nsRGD7sCE5LQ8Bt666RO+tBKb6PGA2Xkfg +kKVUrN9MoHCO1fVOhkAZr9oqjksgvyG18Jl8Tc9SvP6NPYHLZ0wnb9xGQJMT +eN7JjkCL3VFOcAkCi9eip7g2BL7UPx52LkVAWxduXc4msCKPUz2rQkD/Okfg +sorhFfcsia4cgS3ZM6P1vzBMcu4SBd1DoEMVZqzB67WvT5Pm+fx6v+7nHGfb +V0zfi/UwGy0fMJ0Xo+jrKBrHdB/NWJhmwYzpvqTSpOgZwlSPcaKgUzeAqd7+ +PYxpAGLqR53kz+lxHaZ+GjgjRyYbMfX7sm2vTFWDaR6ZFt5TYSWmebGV07Pf +lJjmmdYSMjKUiWnen1ZamwMTMOVBd+egPCUGU16cP7Kb86Mw5UnfZ507PMNQ +3gwtKfJcLUN5DHkl97OrZiivooLE+57FDOV5ocgRdcoYyrsbb65fcJWB//9D +iWpSoe//Af8Cru+5ow== + "]]}, { + Polygon[CompressedData[" +1:eJxF2Xc81d8fB3Cr0pKGikpDaIiSKJW3TSUqSogiRPpmVBIVMopCcklGJNlC +kVCOcY1s7rBnCMm8w0j9zv3j0+8vj+fDdX3OOe/zOee8znYL+3NWPFxcXC+4 +ubg4P09aDTUWDZ9XavGKVmjymUZ8aiIO3cJyQNkWkGhePI0OxoRHfRRWBxuB +xXRy6zTq37zT866ELsCGU/MnRqfRqdrmD9HCBrA93jWubH4a7Xy25d3frUZg +9E7N8cxSBvp98upLcwkz2NEsecFViIFGvKlk8zBziHtr2hi+jYEMLdlfXwhb +gm/h8vDvexiIrCaSWxplDV8120w85Rhov9jxTMZWW6hY+UM78DgD8fc9irsg +cRMUfFonNXQZKL+nqbbUwAFChdYbClxgIIczlicvhTnC87s+rl6mDNQp81gt +QPg2bN/dlBRqx0CkmI1F4iZ3QLg6V0nZiYFOrko5VhjlDHqr7h386MJAn8Zq +Do1vvQfC/ZoTvD4MFJi+ZtdZiYdQs/hWSGYkA2lsiY8fvuYOtlwiQrxvGOhh +eYRgsYEHDF3eeF0+gYHk5KUCjMI84cawjI9mJgPFCun5+gt7Q8oFAfFDpQzk +VvuSfCHfG8o85pQ/VzDQBZ8eHjETH1i7xK1eooaBVjAd3QuifEFoe2ztNyoD +uVBJLqNb/eBuMr3+2AADnQ1pu64rEQh/Qu/bm/EykdQpsWSRikCY3f8pengx +E/Hz3vgxeC0IBupS7W2XMdHlvD9ZyOA51NGDz2uvZiKh3c+lL4YFg4IZPeWp +KBN58n+U9BMmgYzKvjFzBSbaoxX85IMDCe54jPW8VGSiJh/74fYKEmS/LhIu +O85EO3mlUqWdQyGEUXJosToTlS/ES1ObwsAnXf7ZrjNMtGI67NDWZ6/g+UJA +5NprTBTe6aqW8+c1iF/+q3HzOROpbDaK7zofA72BAq4tL5hoxFhhEX96DJiZ +Sq1VCmUi0dF7V9P3xcIlwZdo/hUT2dvKi3N1xEK5gey06lsmWmOZkfTucBxY +3ez4KZDDRBeN3mRMTMbD79284/M0JhpvN1gWJ/IOSvQ7k+qamcjXjN9KX+0d +hP58Vv+6lYk+XrUX+UR6B/m1IR4KnUwkcPO4j5tCAlwz+HnoVD8TlT1qNV78 +IBGMPZ6ncU8xkWza6sWb+VOAlK+kSFnOQt+ky67U7k+B78jm8eWVLHQly6Xg +oVEKlF02Zg0LsFDAp26H3pQUeBoYcXJ2NQsNFaW3J5xOhdfL5ZV5NrLQa9qJ +rAMhabBaIa+6SIyFlv/1vKQlmgFXk+hLliqyULitoZmUZgbsdLm02PgoC+2k +Sl1ZfTMD9B+ZvU0+xkJKyc1X279mgEqbeKk6sFBeclhOrnQmPO4S77muzkK/ +9Ee/nKrLBN2I9Skuuix0Pull9a2VH6A4XHow2ZyFxM+ODZU+zYZZm+Loam8W +EnHXUrz2JhvM3jR1j/iwkGB67NNludkgv2rFyqWPWWiOX1/6bF82CBk/lVH1 +Y6GGotxbXYdzYJLdUpwYwEKu+z3/zAzkwJOvp++qhLFQ3ap166SVc8HedVwp +L4GF7tYdgXBGHoxb+MdylbGQgHTOwDf+fNi3TmQPHTs+YP+z+c35oJoYtyS1 +nIUadSRbzTTygXcoovhcJQvtrV53SzwsHwI/FGpEVLNQd8V4wgeFAmBtXpBe +1sRCWsXvBGpdv8BPQXVVpy4W2pC9uoubG8F/pEB/HyYLXXo2b9C/HkGQwmyL +GouF4iwHqsulEIytjvXnZrOQlFBe3tOLCASWrhZxm2EhVecroUKZCDLSTs5Z +z7OQfJRhAkmmCErMBdR2cLORuVmCaVJXEUyG7G/bs4KNPvWp1dQfK4HeH3aW +sdvYiK7tORmgVQKzmby9h7ezEet94XqdcyWQ4a8+0ICt4HbEvPJaCZD7tH3/ +7mCjz2tlWEUvSmBl+YScoTgb5amLbPswVAI0j9CXE7vZ6EvCxC0SqRQsvnv+ +qpVlo5Lr0ZuMRslwQ8NVQkONjT4O29mZscgQmR3Vmocdb6NYcJWrDLbI8MhL +q7ORj3Wz8c11ZdBW6fxTSIONtC1WR3gdKwMHvU16vZpsVG/ks/H9szKIGNiy +2+YkG3Vo/yfEu68c6D7nXymcZSOmxPFV6f9VwETT+oNDpmyk1Kda4e9SAX4t +YaNnzNjIN1rb3carAgQ2O+vmYa9fZzAu9qoCeMi7VvhdZiN5brv6CHIFHAnf +d2enORu5tIcHPdlUCXyqFntPWbLR7yDGKstvlZBulehndJ2NeGZTBTeJV0FQ +XYq59x022lSrOg0yVfCGnbGZgi33ppVmeaQK3nt4hW53ZiOrE0si35+uggu6 +wsVfsStfWYirOlfBvpFg3um7bBSkKKxoW1EFo42dZ8+6stHm+75Xc22rQag4 +bazzIX6+v1c+6b+vgap+2Zjjj9lI53TRI43PNeDOsPdww74auVVPoaQGQgI3 +7M7Dfq7Q9UOEXgNGtCWNB5+w0bC9iXDfQg108655KOHHRlE95+87nK4FR+dM +c+6nbMRdclI1YLQWsie6JwMC2WijYIqAO6sWBB4JjJGxpc2Wtjtw1cGiBf/s +eWzjuYpbBuvqQLSVHGsTxEbZshrvNh2rg707ImbgORtZvwX+lKd1sOb4g8/9 +wWxU4y1XV76nHkzM5pYtCWUjxVXVPMGH6uG856XQo9hJr8wVTJTrQXxD2Hd7 +bK/3gbHj5+thr+d0dTPn8y1DThs964EctrI+Pgx/fm/0huvN9eCyTjL7YDgb +qQ4/ylys2gDdXh9jxSPZ6J50XZWdVgMU5JRSz2Fn3hIeaNBpgOM5sfXu2KJ/ +MoQjDRtgyRkRkVbs2TWdj2T+a4AcPhc+vyj8+WMK5y+GN4DbrwWVrmg22vr8 +52zyWAN0WegzzWLZqNDcPfnDdAPoazXGPMI2O7jWqGCmATZn1IkkYEfRFT/X +8DSCyu8nj0axN27xdx5f3wiCJkjk7hs2WpOya1oOGqFTR/K+VxwbLSJbjaKg +RlDQmbC/F4/nR+hsZCWpEWqX9mu/xFa/FnCq8VUjsHo1GdnYj5blpPS9bYSZ +FFLJOPafM3y2iz43wvTLYaWr79hopjNu4GRPIwwf31SqksBGP9ndXbT9TfDp +vM2lzkT8PrgWVHJSvgkq6cYJbGyPZqUEdLQJlqtRv6xOwvMjN/q/ZM0mcCyK +0dPAVnU2+X3/UhP42zsKpGBHMOjCO580AW3wxV/bZFzflr4L4QFNkFddLeyJ +vZ96qHdlSBMMtZO4w7HLP5KS2NFNcH9yg2IZ9qTTOYXqj03gJxt3ZksKnu+T +tQZO3U1wZ9Pr7WTsteYPDv/obwLqEp3NLdhdDVKbL400gQa378BP7NuZT79r +MJugXL/9+5pUNoqxP+EkvJwCDVo5wWbYrF/koCJ5Cpx4pINGsYtNb986dIwC +FZp1yQvYz2rFDFNUKMBnU31VII2NdqQ/EiXpUKBvzFFTBvv0DeX0axYUECji +q7yJHT9SUCUQSIGhoaLKfuybL/XErodQ4CCF23EKW0H9u1tZOAVCpU1+/sWu +il627/5b/PvnTy+IpOP2njEKGv5MgRAxPf7T2PkLoz/UCykgx1ekaoTtneKh +HFtKgfi5TD0r7I18SZMX6ijwQFGz9z62Ui5Ln/ydAgVn3vQnY/Nb+qdtHaaA +uqNzdjZ2k6DoIrcxCnys2G+IsK2ua3w6MEsB2oivEBX72RbSxhgBKsTnrb07 +j93qdaDz3hEqtFtbtai8Z6O4/WWHaEpUMGg503EC+0bnxcD96lSodun/eBab +S8EDfuhSAQoOdl3Blhipe2NgSYV6py/bHmBPhFnMZdhSofHbwVIv7Hw11rnl +9lSIOpl1xB/7dPQWvpJ7VNAX+RUXhn37zA1rmSAqhMumXEvHVlr4W+hPooKl +YWjqB+wlKSEbBl9RIeVyX2kudgRvQWVUPBVe6tU7FmNbZupun0mmAr3AZ0k5 +trRp3z39DCq0rqt2rMIu/rR077J8KozJy5CbsP2vRntZIdw+sEyjYxsIHugo +IlNB4aOBbRv2kO3FgLv1VPixEGLei/1h/ehAE5UKGfUzUf3YbqXuStJtVJjg +0Uj/gS2wJXG8v58K3RJI5xe28gWvzu/DVAjzOZg1ju0UdLm6b4wKF8+enZjE +jq88mtc7TYU07e3LGNh07o2JPTNUaBNL+8PE5j/KIHUvUKGDNFfLxla83fCo +i4cGD7SEXGY545Ge5tC5hAYqvXwLc9ivB5+YdayggQd306Xf2A1brXTaV9PA +WsXn5QI2j5GKYtt6Gvw8KJn+B1vuxZZdrZtoMGRVFP0X27p6VqhlGw2EzYxs +uTLYKJyPztssToP10XOruLGrjn+YpO2hgWV0WjDHv50Du6kyNLhNuTvGsXTm +9VqKHA3S0i0leLCvDGsWNB2hgRXJ7QjHL3aIJTcq0YA9TJbkmGzyN6xBjQb5 +y05Mcv6eRWr3rtemAddpwTCOd9XlOtWdpoECt9h6jo2XkK7UnqNBjaOfI+f5 +nik76NYY0kDt+6kkzvMX3tM5Vn2JBkdJTvmc9k182LWnypwGNl8XJXHav2OU +b+M3axpEB6905PSPgXjvoko7GrQcfyE0j+1r9nW63IEGR4YjQzn9+/nlq96y +OzQQzJGd5PT/SMOderIrDQa+mO1icepXTTq1xJsG/o1hu6ewH95f9qrYjwYP +Zz5Mc8Y7M2fQtyiQBrlB3q849bBuV4xFYTgNpPhsXIawNc3dznyNpkFxrV/W +ALZLhKHSlzgaGPZ4lPdhd6wQFMlPo8GYq4B3B6e+NEeX5GXRYGVJtlQrp77c +K5m5n2hg36CXRePU06RHY04RDSK9vHTrOPVBn3ySRaUBashv/cqpj1V1zpmt +NLiwuNcij1Mf2imWGV00uME4UpnNqYcCC+X0Idx+/zjJVOzfMRR20m8aeJmK +KXLmp3RrxkAiNx3clLLfPce+suYZJWExHfztsn9x5jPZWz0jXpAOuQHb1rpj +P7PNsY7dSQcFXpdsK07/Kac/Wb6HDr3GrY5m2Fob36U4y9Dh2duURYac+qwg +jeko0qFOryRTC/veztvOs3p0yHL5JiTJmR/zduGW5+mwZCL9sSjneSlX8+uN +6bBOWqdBiDPenvp/3lnRQduibYCXU8/dsr7n3Oiwt1tRrAu/38if9iR99aCD +1+TIKc77b0fgjqpdvnTY0hl1qgq789gagb/P6VBatrshB9sgYjI0NYEObxd1 +j/ljq5zPfMfXRIeuE8b++7BfSyVV2DfTwfGpmuR27N+8scNtHXS4npYXuQ77 +84egfVk/6LDR6ubyefz+lxa0zzFdoMMJmYHF5dibq/eVfdrVDJ+6dO8YYLOU +U/tt3JvhVOecjT5ej77E/4y8690Ma1s2HlDDfsQvpe/r1wy9dm10WWyBhrTi +tyHN8M3YvGA1tsTl96+7EpthsZLUcC1eDy88yLpo0NAMirHrOwE7Jy+3Bra3 +wBaG0ZlleL113TLjpSvRAkyRrBYmXr+VPQ8fNd3bApt07aAXu0Y7L9n1UAtI +J25NysXub873zTnRApntEj8ssNexvirvdWqByJsvt33E+4XbsuTs9aUtsLLH +LlER7y+s5bbFBle2wM4BD9ZW7Ivy95+uqGsB2Z2joouwjyrKWfC0tsAg6p6v +w/sTHtX4VWNjLXDn7PTgFeygs97Xy0RaITvm3uMHeD+T4qC+7bZTKzwIvCwa +gfdHUU6xy8fvtoIxJSHeFTvg9m+W7YNWCO5ZucgE28Elu/byk1ZIPqBvsAlb +wWOn26nXrRCWfGVfBN5vkYP46DuqWiGuIKHuOd6Pdb8nP23c3gYrQnuY5q/x +/PjFNyor2QbWusduK2EXSWnokKTaIKuYXCeCHZtStsJQoQ3s9zpspOD9oEVC +eUCHThvcdSr8o4I9GF0Z9ONuG5AlwzYJ4/3jr2c1IQu1bbCLR/Vz2is2mr9O +jdzt2g4S57I9rpNwvcmbBku7t4Oh1NxuFew07kHfg97t4Hd2dc4GbLNwtuPx +wHYwKClyIIfg9axMRPtsXDu88fF4uBn78TZzhktVO7wPvcQqx/vrtfRfOpUi +HSCZ8+W/RXg/vldlyR/rgg6w39Aithvv97Xitl/L2tAFZ2TK+3rw+eLulss7 +ei91A/f6Ne5v7NhIavT88ubTPZAYrV0zYoy/b9UEP3muB67RCrWp+PzFhyIz +xKJ6YWJWs1cFn+/s5Apal57qw+vfk94ZYTZKPWERW8jqg69m2V2buPB57KeI +4GDYd6j61Fa8e5CFcvS1eEnq/WD69o0kDz4vu2v7xGQP9kOj6kP4lcxC2ztP +trz1GYBv7YpWJH8WUlTwJgnLDoLNL3sBO2t8Phatn+WmDELbrbbLYaosxKwK +6HPw/AGZCbcfmgiz8PpldvvcziGYDpaPeDjBRGu7cuJ4yENwtPDI37XlTLTo +gafBEcdhWKE+3HI8lIm4ks0y+taMgJtT0opvlkxUbbTiVXLMCJgcWmEkevj/ +Lr9W/+1oZve/z582eSx2+WXHv+8THXqWyqfc+u//ibttHfzTQ/v3PPbD54PQ +r6Z/z6vz2ulJzYqGf+35OX+rIEy66l97P9I02ztHyP/6o2BXaunxocJ//bWh +tIJPZmvOv/7cWhp1h7884V9/e/dnyoYcvvVvPCpjh0sezsUAMV5/2FMV7qlZ +QIznx8Sjpg7GBUCMd+L1gDORa0uAqAcxPbGdSfnlQNTLr6u/+brYVUDU09VX +fhUb8LmNqLfuOX7rVYONQNQ7KJzQEJ6nADHf5PgNCkwO0oF4f1xqGx6uvtUC +xPtQZXSI67FvGxDryV9zj46Swg4g9lu6iSqCctVdQOzHH7zPFwx27wHifJO6 +95vOkdheIM5re0ze9lin9QFx/ox6PUV6UvcdiPO0VLYm/97OfiDO89FVF+R1 +mANA5AmhR0V7N0n+ACLPsJvPMyzVGgIiT0kVrYxV8B0GIs8RTajPn6GPAJEn +2X37GmW5eRSIPCslhqbwNvAXEHlaztPhBj+ucSDyux2HKfH2NeNA5Hu2zlwF +VZsmgMj/rL7kvDVWmAAiH7z110LDSGMCiPww+WXarLXOBBD54iyvjs+k3gQQ ++aOQyszzh/oTQOSds3tPiK2nTgCRh97R+nyRJjIJRF56Z9om8bryJBB56uR2 +D9Ee/Ukg8tYZG/NTVaaTQOSxBgcaRqstJoHIa8cz52Tp1yaByINz35z8Wf9p +Eoi8WHNhctyqbxKIPDlw6rVL8OwkEHnzKr8jes8XTQGRR38ZPJmRtnwKiLz6 +njLf087VU0Dk3y3lvlG1mlNA5OP7l7ddkLacAiI/f2OpntNyawqIfD04wvTK +jNsUEPn7XMdshJ73FBD5/btdKXJnk6aAyPd3fb1mZf5lCoj8f8xmwU2kYgqI ++wFXA7KhZdMUEPcJ9LwJkvjPKSDuG0Ykd5vOz0wBcR/haFO4cIB/Goj7iuQ4 +OSsj4Wkg7jMi+48d8d83DcR9x4NSFt8rrWkg7kMyO/uGKd7T8D/WG7Qm + "]]}}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwV13k41N0XAHBbUUlaCKWS0CJ6VbypHDuVRJSoFCHSm6VCVHZFlsqQLJEk +JkJZQnUtY8luNoOsIUriZ+b7TVK/O3/N83nmzjx3OeeecxUcPY47CwkICJgI +CgjwPw87j3dWTZzQ4YSmadHDZ5GIgZzngOweYGyKeeFQPYt2pyelvpE1BFeJ +xWxa9ywaWb8l2FfZHGDtkflDk7PoSGvX6zRZa1DI8s+sm59FW6Lln//daAu2 +zw28LJZw0e/DFx45KNvD5i6Vk/5SXPQ1jElzSHSAzGdnO5M2cZGNE/n+oawT +RHxYlvR5OxfRDOTKalNd4L1xz+ngPVy0S/FgIXejGzQs/2Iae5CLxIZDMk8q +XwGt8O4ZI3Muqhikt9Zae0KClLSNxEku8rRwOnwm0Qvu+4b7h57loj71OwYx +stdAYRs9J8GdiyjpMlVKp6+DbHOZjq43Fx1eQT3wIdUHjq24sfuNHxeVTrXs +/bHxBsiOGE8Lh3NRbP6qrZbKt6Fl8dX4whQuMpLPypq4GAhuAnJSwk+56HZ9 +smS1dRCMn5O5pJnNRXs0VWNsE4Ph8oR6uHEhF2VIHYuIkg0D6kkJpb21XBTQ ++oh2siIM6oJ+6b5t4KKT4YNCiqfDYbVoQLtyCxeJ87wCK1MjQEoho/Ujk4v8 +mBS/yY2R4JvLbj8wykWW8T2XzJVj4U/CTQ97YR5SPaKYK9cQC3O7StMmFvOQ +mPDlL2MX42C07aWH21IeOlf+pwhZ34c29oMTpit5SGrbfbVTiQ9Ay55NvbeB +h4LF3qhEylJAXW/nlIMWD203eXD3tScFrgdNDT7S5iF6uMdEbwMFip9UydYd +5KEtwqov1XwSIJ5bs3exIQ/VL2SpMemJEJ6vGb3VgofEZxP3box+DPcXYlJW +X+ShpD5/g5I/T0Dp3F+jK/d5SG+9bVb/iXQYipXw5zzkoa92WovE8tPB/qzq +ap0EHtoweeNC/s4MOCP5CM0/5iEPN00lgU8ZUG+tMav/jIdWORXkPP83E5yv +fPomUcJDp2yfFkzPZMHvbcI/5lk89KPXemmm3HOoserLaevioQh7MWcrg+eQ +8C26/Uk3D7254CFXSnkOFa3xQVp9PCRx5WB4gFY2XLT+tvfICA/VhXTbLb71 +AuyC7ucJ/o+HNPJWLl4vRgVKhY42YxmBPqrVnW/dRYXPyPXOueUEOl/kV3nb +lgp15+yICQkCxZQOeA5RqXAvNvnw3EoCjVfl92YffQlPlmnqCskQ6AnrUNE/ +8XmwUqu8uUqRQMv+Bp8x2VAAF3LYoku0CZTkZmOvalwAW/zOLLbbT6AtTNXz +K68UgFWI/bPcAwTSye260Pu+APR6lGoNgUDluYklZWqFcKdfafCSIYG+W02+ +O9JWCObJ0lQ/cwKdyHnUfHX5a6hOUhvLdSCQkuXUeO29YphzrU5rDiOQXKCJ +9sWnxWD/lD7wNZxAkvkZ95aWFYPmCvHlS+4Q6JeYlZrlcDFI2d1T148kUEdV +2dX+f0tghuRUv4ghkP+u4D8/R0vg7vujvnqJBGpbsWaNmm4ZePj/0CnPJpBv +2z5I4pbDD8eoDIE6AkmolYx+FKuAnWvktrOxs2J2Rc+vrwD9F5miL+sJ1Gmm +0m1vVAHC48nVxxsJtKN5zVWlxAqIff3BKLmZQAMNP7Jfa1UCsX5BbSmdQCbV +zyVa/d/BN0lDfe9+Aq0tXtkvKIjgP0psVDiPQGei561HpBHEac1xDAgCZTqN +NterIphamRElSBJIVaq8/N4pBBJLVsoF/CSQvs/5BKlCBAV5h3+5zBNIM9Um +m6JeBTUOEgabBUnkYJ99Nqe/Cmbid/VsFydR6bBBS/uBGhj64u6UsYlEbNPg +mRiTGpgrFB76V4FExKsP0mbHa6AgynC0A1srYJ9D48UaoA2bRvzdTKK3q9WJ +qoc1sLx+eo+NEonKDeU2vR6vAVZQwqPpbSR6lz19lUKpBcfPwd9bNUhUcylt +ne0kDS4b+SsbGZDozYS7uz1Bg5Ti1O5y7CxX7coLAnUgry6kqWZIonCXLrsr +a+qgp9Hnm5QRiUwdVyaHHqgDz2Prjg0Zk6jdNlzmVXQdJI/Kb3M9TKJPpv9J +Ce+sB3b4icdaliTiKR9ckf9fA0zTpXePnyWRzrB+Q5RfA0RyEict7EkUkWYa +6BraABLrfczLsaXXWP9QfNwAQrSt4pHnSKQp6N6eTGuAfUk7r29xIJFfb1Lc +3XWNIKLvuOOIE4l+x3FXOH1shHznF5G2l0gkNPdScp1SE8S1UR3CrpNoXav+ +LKg3wVOyYD0De8/TbpbTviZ4FRSaoOBDIudDoimvjjbBSXPZ6vfYjY8dlfR9 +mmDn1wfCs74kitOW1XZraILJzj5LS38Srb8ZcaHMrRmkqvOm+m7j+f09X2r1 +qgWaRjTSD94hkdnRqhCjty0QyPUICsC+kLLxmFZNC8THrt1Wjn1fq/+LHLsF +bFminbvvkmjC47Ts8EILDAivuq0cSaLUwRM3PY+2gpdPoYPgPRIJ1hzWj5ls +heLpgZmYWBLJSFIlAolWkAiRmKJhq9kv6fUUaINFC1HF89h2vxquWq9pgw3d +tAzXOBIVaxg9X3egDXZsTv4J90nk8gzEqPfaYNXBW29HHpCoJWxPW/32djht +/2upaAKJtFc0Cz3Y2w4ngs8k7MfOeeygdVq3HZTWJn72wA59FZvx40Q77Aie +be7ij+eMe8sEtwMtcXl7ViIevyNt7aWudvBbo1K8O4lE+hMhhYv1O2Ag9E2G +UgqJbqi1NbmbdEBlSS3zOHbhVdnRDrMOOFiS0R6IveFPgWyKTQeIWsjJdWPP +reoLUf+vA0pE/EQiU/H4A1onTiV1QMD3Bb3+NBJtvP9tLneqA/odrXj2GST6 +4BCY+3q2A6xMOtNDsO13r7at/NkB6wva5LKxU9nab1uEOkHv992QSWwZ+Sif +H9KdIHkayfk+JdEq6tbZPdAJfWYqN0MzSbSI5jyJ4jpBy2za40YWzo+EuZRG +Sie0LhkxfYRteDHmSOfjTiCGjLnF2CFLS6jDzzrhJ5VS8wP7j4WI26K3nTD7 +aELnwnMS/ezLHD082AkTB9fV6mWT6Bs50M/aRYfSE65n+l7g++BiXM1hTTo0 +su2ySeygLp1stJ8OywyY71bm4PwoS/sv15gOXlXpx4yw9X1O/755hg5RHl4S +VOxkLlt2y106sMYe/nXLxfHtFLGQFEOH8uZm2WDsXcy9Q8vj6TDeSxFMwq5/ +Q8kh0+hwc2atdh32jPdxreY3dIjUyLSQp+J8n2m19h6gw/V1TxRo2Ksdbv37 +ZYQOTFGz9Rzs/g7V9We+0sFIMGL0G/a1wnufjXh0qLfq/bzqJYnSPQ55yy5j +QIdJyQN7bOI7La5KkwGHQszQJHb12WtX9x5gQINxW+4CdnSrog1VjwEirs0X +JPJItDk/ZAPFjAHDU17G6thHL+vmX3RkgESVSOMV7KyvlU0SsQwYH69qHMG+ +8uiY4qV4BuxmCHr9D1vL8HNAXRIDEtROf/uL3ZS2dOfNZ/j7+/dOyuXj9VrY +xk28ZUC84jGxo9gVC5NfDD8wYI9Ilb4tdhg1SDejlgFZvwqPOWPLiOTMnGxj +wC1t46Gb2DplhBXtMwMqLZ6O5GKLOUXlbZxggKGXT3ExNl1yw6KAKQa8adhl +g7CdLxmV/jPHANbXCCkmdrQ8RSZdgglZ5at957G7Q//pu7GPCb0uzhy9VyTK +3FW3l6XDBGuOxadD2Jf7TsXuMmRCs9/IG0tsAa0g+GLOBKjc3X8eW/lr21Nr +Jya0e7/bdAt7OtHxV4EbEzo/7q4Nxa4wII4v82BC6uGifVHYR9PkRWpuMMFK +7ntmIvY1i8su6nFMSNKgXszH1ln4+yGKwgQnm4SXr7FFqfFrxx4zgXpuuLYM +O1m4sjE1iwmPjrV7VWM7FZor/MxlArsyXLQeW+3s8A2rAiZ0r2n2asKuLl2y +Y2kFE6Y01Wl07KgLaaHOCK8PnPLY2NaS/3yqojFB6421Ww/2uNupGN92JnxZ +iHcYwn4tPTlKZzKhoP1n6gh2QG2gjloPE6aFjPK/YEvIv/gxMsKEAWVk9h1b +92Ro3+cJJiSG7y76ge0dd655eIoJpywtp2ewsxr3lw/NMiHPVGEpF5stKPNi +8CcTehTz/vCwxfZzKQMLTPhE+dVKYmtf6wjpF2LBLRMpvzn+eeTnefaJskBv +SGThF/aTsbv2n8RZECRIP/Mbu2Ojs1nvSha46IU/WsAWstXT7pFmwbfdKvl/ +sPc8lN/avY4F485VaX+xXZrnpDibWCBrb+smUECiJBG2cJcSC6TTfq0QxG46 ++HqGtZ0FTml5D/j+7RM7wFRnwTWG7xTfaoWXWhl7WJCX76QshH1+wriSvo8F +zpSAfXw/3KyY26nDAnKCpsI37fTfxA4DFlQsPTTD/z1B6Q1rN2WBwFHJRL63 +tpV5tx1lgZagojTfdqKU863HWdDiFenFn1+0rqd5iw0LDD4fyeHP/8MNswPN +Z1iwn+JdwV/f9Out25scWOD6flEOf/2bJ0VkPrqwIO3Bci/+/lgrDS1qdGcB +5+BDqXnsCPv3s/WeLNg3kZLA39+3jx4P1V1ngWSJxgx//792XG+n+bNg9J39 +VoIfvwZqL2vCWBDVmbjtf9i3by59XB3Jgts/X8/yz7uwZCyiKpYFZXFhj/nx +sGZruuOHJBaoirj6jWMbOwRYvE9jQXVrZNEotl+yjc67TBbYDAbVD2N/EpeU +q8hjwZS/RNgnfnwZT4qWF7FgeU2xajc/vgIbeWWlLPDoOFbE4sfTTFBnSRUL +UkJDzdv48cGeuVvEZAHqqOh+z4+PFW0+hd0sOLl4yLGcHx+mVKeCfhZc5u5r +LObHQ6Wjbv44Xn9UpspL7N/pDDLnNwtCzypq8/NTrbtg9IUgGwJ0ip/fxz6/ +KpqRvZgNUe7F3/n5TAszLMiSZENZzKbVgdjRbiUuGVvYoCXsV+zM3z/d/LvL +trNhyK7byx7bROY51UedDdHPqIts+PHZQJky02ZD27GaQhPsG1uu+cwdY0OR +30cpFX5+zLsnOZ1gg+h0/p0N/PkyLlS027FhjZpZhxT/vIOt/jx3ZoOpY8+o +MD+eBzQijgewYceAtmI/vt9opdtz3gexIXTm6xH+/bc5dnPT1gg2yPelHmnC +7juwSuLvfTbU1m3rKMG2Tp5JeJnNhmeLBqaisPVOFD4XobOh/5Bd1E7sJ6o5 +DR5dbPC6Z6CigP1bOGOi5xMbLuWVp6zBfvs6bmfRFzbIOF9ZNo/vfzVJj5Kz +C2w4pD66uB57ffPOutKtXVDab37dGpvQfTniGtgFR/p+uVrhevQu61uKb1gX +rObI/GOAHSKmahUR2QVD7j1sDWyJjrzqZ/Fd8NHOoXIltvK5V0/6X3TBYh3V +iVZcD0/eKjpl3dEF2hnSfYBdUl7WAgockOfaWizF9dZf/meouTIHeHJFHB6u +37rB/+4/u4MD68zdYQi7xbQ8138vB9RebMwpwx7pqogoOcSBwl7lL47Ya4j3 +uju8OZBy5dGmN7hfuKZBK5au5cDyQfcX2ri/cNmzKeNBIwe2jAYRG7FPad68 +J97GAY0tkxsWYe/X3uMo1M2BMTQw34b7EyH9rBVTUxy4bjk7dh47zjLsUp1c +NxSn37hzC/czVE/DTde8u+FW7LkNybg/SvXOWPbDtxvsGNlZ/tgx134Tbre6 +4cHg8kWnsT39ilvP3e2G3H+srNdhawVtCTjypBsSc8/vTMb9Fi1OhL25qRsy +K7Pb7uN+bOAV7V6nQg+IJwzyHJ7g/PguMqmh0gMu5geu6WBXqRqZUVR7oKia +1iaHnUGtE7fR6gGPHZ4yDNwPOmbXx3wy6wFf7w9/9LDH0hrjvvj2AE0lcZ0s +7h+/R7fEL7T2wFYh/bd5j0k0f4mZss2/F5SPFwddouB40zz7QC2wF2xUf23T +w84THIvYHdYLkZYrS9Zi2yeRXgdje8G6psqTFo/rWZ2cqWVmLzwND7q9HvvO +JgeuX1MvvEo4Q9Tj/no1+7tZo9wnUCl5998i3I/v0BP941L5CTzWchS34X7f +JFPhYtHafrBQrx8exO8LX/lzm4fODICg9KrAp+4kUp08sazr6CC8SDNt+WqH +/2/FtBjt1yBcZH0wZeL3lwhKKVBMHYLpOeMhPfy+c99T2b3kyDCuf3eHfsqS +6OUhx4wPxDC8ty/uXyeA32Pf5CTHEj9DU2lP9bYxApVYmQhTDEfg7LOnKkL4 +vRxoGp5ePDYCnfq34XsugRT6DnOehY/Cx15tZ0oUgbS1wiiyGmPg+t1Dwt0F +v483tM8JMsag52rPuUR9AvGaYoY9g79AYfa126dlCVy/7K8d3zIOsw80k29P +89Dq/pJMIdo47P+w7+/qeh5adCvYep/XBIgbTnAOJvCQQK59wfCqrxDgnSP+ +0YmHmm3FH+emf4XTe8VtN/zLQ/8HFuhMGg== + "]], + + Line[{{0.8326278053438486, -1.325784614887171}, { + 0.857185856498624, -1.3090809470332034`}, { + 0.8767710559935172, -1.294722972942509}, { + 0.8980073105789717, -1.2779522423710485`}, { + 0.9178333957651912, -1.2609837794314265`}, { + 0.9372697197154413, -1.2429104683982437`}, { + 0.9583570987562524, -1.2214244106595422`}, { + 0.9586645551569021, -1.2210951628916933`}, { + 0.9589720115575517, -1.2207654252934292`}, { + 0.959586924358851, -1.2201044728387371`}, { + 0.9605078043715446, -1.219110930108754}}], + Line[CompressedData[" +1:eJwBsQFO/iFib1JlAgAAABoAAAACAAAAPU8UVbTB7j/+vJsxpn7zvxa3X451 +++4/H0OWS79e87+jqMVW+v3uP56ObONTXfO/MJorH38A7z9xUTrP51vzv0l9 +96+IBe8/eySDlg1Z8798Q4/Rmw/vP0Rm2YBQU/O/4s++FMIj7z9tMkqgr0fz +v63oHZsOTO8/1zGuFpEv87+InYVg3k7vP05GNZHRLfO/ZFLtJa5R7z8+TQbZ +Dizzvxy8vLBNV+8/YUKch34o87+Kj1vGjGLvPwsB9AImIfO/ZkTDi1xl7z85 +r1ekQB/zv0L5KlEsaO8//gQIbFMd87/5Yvrby23vPzqFyTtcGfO/1BdioZtw +7z9Lxs3QThfzv7DMyWZrc+8/J7eXZDIV879oNpnxCnnvPzTWh06+EPO/ROsA +t9p77z+KD5IEXA7zvx+gaHyqfu8/bflgvtML87/6VNBBeoHvP51xRlgXCfO/ +1gk4B0qE7z/3eFneDgbzv7K+n8wZh+8/r5dQBYwC87+OcweS6YnvP77ScTod +/vK/aihvV7mM7z8TcOjPnvbyv2UZt0tJje8/tIlPzZXy8r/Bdtvy + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVxX040wkAB3Bpdbvjieoptyl7NKR0Kq3kyn15FBfKiZS8lHfpueikN4+k +x0sil2t5yUJeElMU02Xl12M7ahR5S5iXtdaG2H4SI9zdH5/nY+gffjBIU0ND +w+k//+8YJH/7QnHol0qxRNEePwGKHT1igMZCjOArJdthAtvysjhVtD3Ike62 +uvbTBKRrjOLOmRxAaQEryJM2AafX7x7fobnjdGjd3FbqBIxS1xYvMDwxvH6D +z+w0iW+OAZl+Jr7oeqpkG4+QOBw49fwvWiAuugsPB7aRENrRnwg4wRgLnYum +N5LYwrSu/MI4AdPnIUF+z0hQJVcKPExOodi0jOV6n4R4c5LdddoZzPSpb7vE +k2Dn/fjC2CsK6bd9jk9Hk3DUKdtdxzmLu4F7eN2RJGrGmrePMy5gi1aPh3kg +ibQHK0xdTS6huyGR89qeRP4ql8RrtHhcsKGkiJeTiH6dKfSojcczmWNFuRYJ +j4RBTaZXAnSSrVxuLCGhPXk6ls9JRBqZez5drcL5Dvb5UUYy7OdU40ESFVxv +9oQdMEnDk7uOIy01KsRRq9Yn09gYr5yx6ApRYaND+tXHEWy4b20dbfJXoS0h +XNHbyMZ0qJ+TyEcFo8WbuOZnb0FleNlg0E2Fhrki8462DERNhJaE2aigPZGx +nZGajSiHv4900lXIEl+0483nQm22j7m6Q4kjnncrlKoirLKdvnHJTYnxXvcf +CujFUC92TlC5KJHoSw1ysytGaWa5OthZiaqAcHoNuxiRC/57PfcqseyUdUK0 +5T0EPeMVHrVU4p8r748ujSnBibMafJG+Ehbly5euoZZh3c72ovDmcWgtxHk7 +GFSAl6JoTdYYh7HrmFyQUo2yvE7LwrTPOPfGCllfnuLkq+ecwDWj0Kte3r9o +EQGDey21013DqJHYNbfsrgfX4GW+ZaIC9WF39D1HhTg5+/SwwEGOSRNrnQe/ +N+LWLoMh/fWfoKnm6uobi3BH5LHDefIjdiwcr3F72IxN1fZUM7EUzfGsNw0b +W8DJJdlX33wA48aIunSsFRu9CgeDyyUYmRro79zSBq7ZK2er/CEUDfNFy9La +EfOwVjc9dhDL1paMS6UdOFBiq8tq6kfqCV5wvlEXFvwu99XX9eGrDVcaGvsO +tqNyjaTEHpyxEFavFnTDu0ehaIrsxsBDYcpbwx6wqO58r21dmA3ryNlwsRew +3LeXNtsOM9vv5oP5fRiYoQbryN7CocAw5JFePwKykxv1bFpwbu2xdUPeA/gc +8I3SPyXCptFDWu/2D4LpwjS6X9uAlTpKqnBmECVh13/LWVkPCpFTweQMoapk +l0/EUT5Osvjvv3eSYH6KbIzlPgJ3n39+3VcJXuYr6i/N5GFyhK4ry/iAeGml +xc2dkQTPzWExe48UDAEnitpwj4j9NSGvWiaFnqCRspnBIwzFjt2FCR/BN+UK +rOV1xM+W8WyahQxVnfa94mEh4W3Qol7ULsPIbCQ/w1xETIquSyLiPsE594+r +zdqtRBbF98xBIznCFYf+JD63ESv7eQWaQjmMoxmy+cFOYklMnLvVaQUM5Klc +is17QqPUt0KyYhj7vZKYxzL7iCZP7ezSvGE0hLS82lU5QPwLlJ1pug== + "]], + + Line[{{0.8326278053438486, -0.496987607235096}, { + 0.857185856498624, -0.572355219081552}, { + 0.8767710559935172, -0.6390851060268861}, { + 0.8980073105789717, -0.7204147652265459}, { + 0.9178333957651912, -0.8076408025074059}, { + 0.9372697197154413, -0.9069206516449542}, { + 0.9583570987562524, -1.0308883104481381`}, { + 0.9586645551569021, -1.0327778068498303`}, { + 0.9589720115575517, -1.0346678301852346`}, { + 0.959586924358851, -1.0384489629631997`}, { + 0.9605078043715446, -1.0441122782885224`}}], + Line[CompressedData[" +1:eJwBkQFu/iFib1JlAgAAABgAAAACAAAAPU8UVbTB7j+Eid2FvsTwvxa3X451 +++4/Jw/rxDp08b+jqMVW+v3uP/SAFu+2e/G/MJorH38A7z9LdmSTLYPxv0l9 +96+IBe8/TMh+PQmS8b98Q4/Rmw/vP8mnZ35yr/G/4s++FMIj7z++wwVyzOjx +v63oHZsOTO8/GeACpnVT8r+InYVg3k7vP7mGM35nWvK/ZFLtJa5R7z/h+6im +RmHyvxy8vLBNV+8/a6dMzstu8r+Kj1vGjGLvPwKI5+nsiPK/ZkTDi1xl7z9u +1g+lRI/yv0L5KlEsaO8/aQjCe4mV8r/5Yvrby23vP8kHzjfdofK/aDaZ8Qp5 +7z+XV/9I2Lnyv0TrALfae+8/xCnx0MW/8r8foGh8qn7vP83Zdra5xfK/+lTQ +QXqB7z/zj9CFwcvyv9YJOAdKhO8/nddPnfTR8r+yvp/MGYfvP1qFw7SA2PK/ +jnMHkumJ7z9bLNHN1t/yv2oob1e5jO8/ufXrBxrq8r9lGbdLSY3vPzk2o52s +7vK/N5bfUA== + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJwV13c4lu0bB3Ak+eFteDMjKbRE9coKpxWyIiMK2UJKESJZKZVVpKyUJFRI +VtYlOzwLUWRklD2S+0al3/X85fgcjuM5z/ua30vc8eJJFzYWFhZgZWFh/tV3 +GWfUTlio8camk3LUJcSuJew9KCQHC0kTK2I/ltB/GY/S3gppg0TAmwkqC4FG +RSTC/KWM4TEP+6cf3AQyoPQUpQuZg+xYpLwGH4EkokWf/xWzhqSchAAnUQL9 +1nd66CBlB5eKam8WShBo8kZXg0OSA6hlqrLZ7CfQKWey+r6QMySI8sXdOkSg +Bi3hsvo0V7Ar97qrr0Cgg7tUC3+KuYMc8We1RIVAnMPhmZZSF+BpUFhZqQ6B +KoY6KPXm3uC2sDpra0AgbxNnfZukS2AxNtbWcYJA/bK3tGKEfOHHxkWKkhWB +EjMEayXPXIHPInnicjYE0t+Up1KT5gdcivltPPYEKp1tPzIndhUOqaZ3O7kR +KPY17x5TqeuwwcC7ZNiXQMdEs7Im3ELgF19PFU8Aga43pWx+bx4KQ6utX3cF +EUhOXjrGOikMTpvtqxANI9ATvhM37wjdgMgd6xSsogkURHnYYFlxA4KHNWLZ +4whkGTnEtutMJBwIWr6QdY9APEuXQirTbsIgGM3XJhEooCsxYFrsNojJsv68 ++oRApgm9HsZSsfDCZg+pWkQgaYNducLNsXDkhvlHs2I8PuvOf//mFgdOhGW7 +YymBzr5be4PM40HtsnHX+QoC8e2Nl7FKugeZCrOX5OsIFMb5dvdtoUSQljuT +V0cn0D7de1FF3okQHBF1+FkHgToiL070NSfCsOQDj+tdeH7XSb+U8XsAzxbl +vSQ/EajpT5ZMV0cSKLOUcykN4v4Xk46IRSdDYt/j9JhpApUcupKkN5IMRx44 +yEjNEsjO24y8pJwCT5yk2CrnCFQws+ldw3gK5PSXV37+QaCT41EqHsfSIHck +xXJmmUCP+gO1StYewzl24+hAdhJpiFhnDVhkQO19OmVgPYkmTyus53ydAXr+ +oWrqG0i0ffqq0+sDT2Cw9Xvv6v9IdNFdXpLlyxPQWps7YLKJRLzOBTnPFTPh +neD9jgghEllZPy2YX8gCyQ8ij/WkSTTXZ86VKfwcLm2LvW96gEQ37ThdzLSe +Q5ThiR9WMiR663RRuDTxOSRH+613OkiijRdUI4MUsuGjH23BWY5EjeGfT3ME +vwBWwaP2u1RIdPjVFg4RzjxQyTi6+5k+iT7INNpTDuZBdC5qCzUgkf2bgMrr +1nkQc//OcVtDEsWUDnp/zcsDI+sTfbzGJBqvfd2XbfQS5n2m0r1NSfT44/E3 +hxJeQaHWoeLlUyTi/htmo7u9AHpyGTv1nUn0yP2UnbROASSGhaSvcyGRRJe0 +/ZYLBSA6cVW1Clstt8epr7oA6rtSFve5kehdblJJmUwhhP4657TmTqIZs+kq +A2ohfHeYfnT9Ioksch62+fxTBDK7/1czc5VEabbhhq7iRRBw0CQ5NpBEw7xe +FKsjReCV+U+DTBAe72BNmqptERTOafJ4XSPR7ZMzHRteF4HUqEXk1+skqv6t +2Ztq+Ba4zWbW8iJIJGk6O15/txgO5NgXNkWTSDhEV9ntaTF87tXTOxtDos2v +n9zlKiuGAvLJfyT2KqeZjOlwMcxuyOKUjCMRvbbMZ0CxBOTqA5sD7pEo8GDY +2vJYCfhC8HnWJBJRN23dKqNeBsS4a3D6YxLVq3q5MCzKwKNMWG9nBonKPZtK +fT3LwIH2Oywb+1lzgHVlUhnc+6um8/oJiQJC+h/rzZRBz551ksWZJNo5l73X +KaUcdrUGfnqRTSJ/qhI8+vkO2uLHCvbn4/UgUzL2gbMCgGgkHmJnxRyM/iVS +ASOxtxjrCkjEMNz92e5YBbjbh2n3Yu9v2+ojmVQB/GhEOvwNiQab57KLFCpB +blXNvaaYRLrvn2+kBFZBdU7wlakKEg3sEC9Zi62CF9Ya3AaVJPINTTtz8FkV +7D20opGH/RQSchLaquBqYVmCWxWJflWHap4WqQa+5fMd/dUkKqg44/e9uhrC +zdK43tWSSKB4ywArK4KexcJS6SYS2UT/Mh/lR7BF0VIsCjvTeaytSRpByORL +iRFsab537+5aIdhgMsbxqJlEmn72D/gKEUQUdP33p4VE8mmnshNlayHLqTyk +pI1EDnbZtjkDtaCcPaw+RCfRDf1tyckTtTCc4z4tyyBRtnx8152ftbD8zRFC +sKc3Bhp4cb2HSmLwi0gHifyQkdJh+fdw2MF8zayTRNE7lrZWxbyHns9CIkUf +SVQ6rNVOU6mD9amH38r2kqhbL2whRrcO0rPMHvpgE/k1/IYn64DGuCtThq0Q +pOTQ4lYH7myH/FX78Pz/K0vU3q+DGqdmf50veD9oC+8oGq8DMwvaOf0BElVl +z/skJtaD4s1PsduGSfSFRyb5ZEY95Cs+17LE/n3Zs2ZzXj0IvncrisdWhW+c +MageEqyTathHSFTT05ceOVkPVlVsDyewEWdzs596A5yairicPUaiOo/0bdbT +DbAjzER4dhyfLxOennZEA8hzHOMSncDr55xypRNLI1SoGdQZYEe69py+sLUR +Rtn57+Zg6zluSYlQaYS9HjYRZydJRLOOFMyPbgQliZH99VO4Xz0vvnUHmsDC +N1LNcZZElOajLpwKTXCUkPe+g12jw1Xyj0YT6MuteBVhZ2i/MBe0aALD/VJU +1jkSOap/TTgQ3ATFYZYSj7HHFSx4rShNIGusq0GZJ9GSlOqm117NsN/hJgvH +Ij5fhjWb7wQ0w+ejXo37sG+m64Wci2gGPxZL0xPY/FvN53YlN8OnHYU1D7Hl +WT1pKQ3NcPwQ76LkT7zf+h7FRW1rAVL9/IDCEh7fuJ+bnD+0gLrbRNJhkkTa +BqvNGl0tkODyOsAEO5qDJVRssAXat2hLXsAWDeae7/3ZAtdvnx7MwVbz2Ek3 +3fEBHsao9G5bJlGItkk8+H+AhBc/2Jax2VZebt4m2Qqc/IO9t1dJtI2iuQiy +rRAuYVyTgS339PNHZ6VWOOt/zK8E2+X4htR8o1YI+iviPITdkuwoqenXCk61 +qUpyv0gUpyyk7N7cCldGyS+d2DkbC0ViGa3gbirB+x27dljnb1FfK7RbbRZd +xf5xx7fh91wrGJ27XCz2m0SWfTTjeME2mKedmzqHLXLtplOZexv0lcrdWcQ+ +YiKq88UH/9+bXYL9D4mMJYr3sF5vg0b18sSt2CHtX2f077cBB4P3lxz2sIhq +wEBFG2hUXu/3wc6tXoxez9MOiZYnOSex6+7dubiPvx3Ga6MEl7F7XcRPntjR +Dg6WpSwcayTi2XhCIFmuHRZuKVmLY3vb5T2Vtm2HizL50pbY8n/tS83y24G0 +jfUsxzY0qg0/Vt4Oy7wjLfXYTqliJxTq2qGoq5SFhh2vMPBduLsdLtzhZxnD +nrh4Rmj4TzuYC5rCpr8kYqmpGOvcQAHVGcXLQtj8PMJFjVsoEH1za+gubM2c +T/q5khTodRqSVsBOG7K45m1EAfC3brfBLpIp0XM8RYGBwAufXLBbrm3lM3eg +wHzuOLqAvSTY+VrhCgWe6WmLh2Ibm5oMrqVRQIPYJvsUm7VOXzNmmgK+tyDi +C7bg5ryNIQQFtFL4DEewZez+1+fNQoVo6X0LE9inV5t9zLdSYTBQi05gFx8+ +9nybChX2fM4a2ciyjFpDsy79o0OFzZb9cVuxh6jr1P6eoMKkf5WgMPY/nnXd +w05UaBh6WiuB7foMOPPuUsHpmJeHIva1hcddqQ+oMK8If1SwE2DtSUwGFWwF +RL00sGv7qpQuvaXCb0eZ0ePY3XtFOJyqqfA8NXDKCHvaP6jDvJkKSpbsdFNs +oa3Knop9VKi7yHrIGvugY7LCvjEqjGvGvLbB1ilcXicyR4WA0Fsb7LEvG5al +/WWjweQr0swVOyqF332BhwaHKyJ13LEzxq8cGeGnQcuFIv7z2O035KhN+2hw +OknA5BK28qY2tntHaND6yGjaBzsn2UHhjDoNWG9p2fth80sse0oa0OD2Rc5X +AdgR+bFP5ixo8OLkS1og9ryi5Md39jSw2Xm07Rq2XX3l/2540iCsrunxdWY9 +o5Nqxn406OG01Q9l1vs0flkwjAb81TzUMGY9x5AXw3dpQD4a3RvBrDfD9+VV +Eg1M9RZtb2Df8H+12f8pDXa463pEYi+wah3TeEWD+LtzxjeZ9aI/X+Uuo8H2 +w6vct5j1+L3zP76nQQrjylOmlZ9yjGS000CO4b0pillvf7qARw8N0u+sWDDN +X/qfodww/l797VeYvqHeGro2TYM9xjPnmf7Ral/SQtIgsMVXlenCvF++x1np +wNdf9435+9/ndOLyOejQlzl2juntR+7n/stDhyu7puqZ/ZkH9tcHbKHDtTNf +SWb/d9GegX5+Ongpd7MzXcfuu6wpQofmzJ5J5vcvH0e8OeJ0EPBYzA3Hlo3j +OvDPbjqwWStoMsfLtctC97I0HWz3v3kbgp0u9NSh5xAdDsRfWAvG7rKbDlJR +oEP93uCdzPnQnAgv5NCkg+qxBsIf+6oMtdVTlw7P2gVeXGF+j4/QGN2QDrMJ +Pw4y53/7WoFQ6ilsJx+GF7aF1q//WGzpELNRbtwDOzpKx9jFkQ7sqm8+uWGv +8PaHy3rRwX6YT9eBuV6t9qQnXqaDabJAoy2z33SfshV/OhiZc4meZva3m2u6 +PpwOPQzi9ElmfRUFC6tHdOiVtdjM3C/fw8IvVqfjfp/onWXup+3NlNs7n9FB +qichSoFZ39S5Zvo1HWaEnT0OYJ9zvbc7rJ4OWzgMzgsw1/vLLxrfWuhAbrCJ +28Lcb/O7bQyodBB5uSeGG1s7qCae7zMd+Kckhf7i80Asfmold5YObUHrfUex +axxCcosW6TBdXvm9H9vuv3+tK5fpsM/P7GgP8zzrVi5vZ2MAKBiHf2CeP6J3 +/Ob4GTB45Xr8K2zevD2LcsAAY3+R0vPYb4KqMlW1GbBwwEXaGdvEyOSkznEG +mPZIRZzBjpv3f3PKjAHPW5U6jmPzKDRfDHRjwPzfdFICe32DyzSKY4Cw0DG3 +j/g8znqwktqSyIBDb2wmWrG13WIMGMkMMJu9ZliLHc5Vkjf8jAHq6qsledhr +Juzu68sZkMXZx38de7k/c0x/iAE3+98KiGFPkYMDHw92QH++nok+vl9K3eLq +9OU7oN5/J00ZO7RHLRsd7QDvtY59+7H5y9K9cnU6wNhyKID7DzNfnvl9zaYD +fpfUebfi+y/lZ7eQRFQHvIlS/aSBrbdAMb882AF2XOs+8OP79F+HYMXvox0g +9C5giQ17gC4tYjPZAYbHl/7O4vvat/DuyLGlDqgYlypows64ePyyEHcnPHBy +8ffFJmYa4mrlO2Fbzt/SDyt4PCYrWzfGdkL0mVSZMzgvXHh4YpdHQifOT67p +WtgK2iNBjY864V1v4PR+7NZ0rgPXnnWC8HDy/t84fyyYWMdNlHeC/M4omVRm +HikjzBpGOsFyKNeokyDR54hD/VeVusCn/NMuaZx3Noq+mBsd7YJTXxKLwhZI +pG4Z0T8y0QUCHaO3nLEvx51tG57tAk2VbVq62N2sgi+GlrugUuuEJw/2429R +dl94PsJ3PruIBzifyRR6UDrlPgJhY92difObkZbMy7obH8G1mmdv3gzOT+4l +rk8kusF0p3jIeZwnJ9VfR3Hv6wb7wsS5Y9i6gs/z/GS7wXwkW1MMm605cdZQ +uRtWmyrjGTivXpXw9Vs50Q3lbvIUOWzXwcM3TwZ1A3zpblv6ht/rFoXP2Tu6 +4ewzXjbHUTy+6i9Hz4X0gDbYWo7ifO17uKGYv/4TXDGzd/PH+X4wv+EuQ7wX +zpXvJFwb8fvFoyt1b2Af+P+kV82W4PeSxoY118ovQA5uMePG7y/dTHG3NwID +8GHq3/eQgN9jomd3frUZBOOGhBSVUPxembbg7jEagiPmVgLK5/H62DTP2bA6 +BFJ1L7VXzUnEjlILdqV9hehzDqwdqiTylKv8/D+DYUA8oU3Cu0j08rjjkxpi +GPib3O7KbcD5YUp487ekEahO0IzPniJQiZnuukTtUWi6kLqFu51AIXqRGcXf +RmGs08Sm7xWBxPv1Pz2LHANaa+a6rGgCKSvcSBQ6/A0CVAvFa90IZLOdtsLa ++Q1qXVbyPbQItNQaM+wd9h3GfrAZU4UI9IjdzvekxDjENlDnSueX0L8DJZls +DePgBuWd+5qW0PrgMHOlSxOgIp50SevBEmLJtSsY5p2E4j8CDz84L6E2a57k +3IxJoLjxWG9XXEL/ByeD1jw= + "]], + + Line[{{0.8326278053438486, -1.3257846148843135`}, { + 0.857185856498624, -1.3090798052985682`}, { + 0.8767710559935172, -1.2947176707332428`}, { + 0.8980073105789717, -1.2779401605029133`}, { + 0.9178333957651912, -1.2609684969498658`}, { + 0.9372697197154413, -1.242901106977754}, { + 0.9583570987562524, -1.221424358534907}, { + 0.9586645551569021, -1.2210951270021635`}, { + 0.9589720115575517, -1.220765402048484}, { + 0.959586924358851, -1.2201044655255524`}, { + 0.9605078043715446, -1.2191109299741119`}}], + + Line[{{0.9611455594735855, -1.2184202136343314`}, { + 0.9681957035770405, -1.2106350486538098`}, { + 0.9685031599776902, -1.2102890420469095`}, { + 0.9688106163783399, -1.2099424676281982`}, { + 0.9694255291796391, -1.2092476004274502`}, { + 0.9706553547822376, -1.207850879686796}, { + 0.9731150059874347, -1.2050284382444867`}, { + 0.9780343083978288, -1.1992555537297507`}, { + 0.9783775220102351, -1.1988456565740784`}, { + 0.9787207356226415, -1.1984347296490294`}, { + 0.9794071628474543, -1.197609690812365}, { + 0.9807800172970798, -1.1959461092522685`}, { + 0.9835257261963308, -1.1925569789629435`}, { + 0.9838689398087372, -1.192126627214883}, { + 0.9842121534211435, -1.191694586730978}, { + 0.9848985806459563, -1.1908251437323065`}, { + 0.9856855120921404, -1.1898188752673777`}}], + + Line[{{0.9863232671941813, -1.1889951298365329`}, { + 0.9890171439948328, -1.185414072651736}, { + 0.9893603576072392, -1.1849432118735563`}, { + 0.9897035712196455, -1.1844682761105898`}, { + 0.989912426481681, -1.1841771413120892`}}], + Line[CompressedData[" +1:eJwBUQGu/iFib1JlAgAAABQAAAACAAAAxl1eS5ay7z9Oj98stO7yv/8qUnOF +vO8/pNv0enrn8r/a37k4Vb/vP/ksvypf5fK/tpQh/iTC7z+LMRWlO+Pyv27+ +8IjEx+8//EoWkNje8r/c0Y+eA9PvP+KchSqC1fK/uIb3Y9PV7z+SyZtoBdPy +v5Q7Xymj2O8/r5fRqHTQ8r9LpS60Qt7vPy7wA8AKy/K/JlqWeRLh7z86hEaI +KcjyvwIP/j7i4+8/Ti4ItSPF8r+6eM3JgenvPyES0SuLvvK/li01j1Hs7z+b +SMo54rryv3HinFQh7+8/Sj3DV+W28r9MlwQa8fHvP0e8c0N6svK/KExs38D0 +7z8PzDfrd63yvwQB1KSQ9+8/PQIM4Zen8r/gtTtqYPrvPypS3UVOoPK/vGqj +LzD97z8sIkA/K5byv5cfC/X//+8/M8d6aeZ58r/0C7qy + "]]}, "Charting`Private`Tag#3"], { + Directive[{ + Opacity[0.2], + Hue[0.67, 0.6, 0.6]}], + + Line[{{0.9605078043715446, -1.219110930108754}, { + 0.9608266819225648, -1.2187655718003962`}, { + 0.9611455594735855, -1.2184202134920379`}}], + + Line[{{0.8319900502418077, -1.3262008169579846`}, { + 0.8323089277928288, -1.3259927159225775`}, { + 0.8326278053438486, -1.325784614887171}}], + + Line[{{0.9605078043715446, -1.0441122782885224`}, { + 0.9608266819225648, -1.0460727687980174`}, { + 0.9611455594735855, -1.048033259307517}}], + + Line[{{0.8319900502418077, -0.4951314421465408}, { + 0.8323089277928285, -0.49605952469081943`}, { + 0.8326278053438486, -0.496987607235096}}], + + Line[{{0.989912426481681, -1.1841771413120892`}, { + 0.9902313040327014, -1.1837272193025188`}, { + 0.9905501815837219, -1.1832772972929493`}}], + + Line[{{0.9856855120921404, -1.1898188752673777`}, { + 0.9858125966898766, -1.189654728628047}, { + 0.9863232671941813, -1.1889951298365329`}}], + + Line[{{0.9605078043715446, -1.2191109299741119`}, { + 0.9611455594735855, -1.2184202136343314`}}], + + Line[{{0.8319900502418077, -1.3262008169608521`}, { + 0.8326278053438486, -1.3257846148843135`}}]}}}, {}}, + "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>], + ImageSizeCache->{{4.503599627370496*^15, -4.503599627370496*^15}, { + 4.503599627370496*^15, -4.503599627370496*^15}}]}, + Annotation[{ + GraphicsComplex[CompressedData[" +1:eJx1mnk4VO///22Vd0krRVokrdImSullT0hEpFB2rShFlAglCUVapCQJLSRL +1lv2rGMZ+5KtyDLMMAeF3z3X9Zs5fd7f6/2X63WdOfe5t9f2fBC3sD9qzcPF +xeXCy8XF+qtp3VuV03fsQINXuFy1DwN58n/ecFckBLYpbR0ylxtDx41fxQ+P +RMGfTby039QxNG/G0+TgqniwjKmb8488E0nqDfXm3UuCCbuv4aXeTORcsRee +jKYBzcIvgquAiZYlLWrj5kZwISTAz2eMiVI6Vcoq9+dCx89zVhFrCJR7NnyF +8UA+nFdzXa+mQqCx9QoLPlwoguFq4V29pgTimXi3cIVkCQRWxJl7XyGQ7Mzp +FP2PZVDSvfOlwh0ClXnLVBRuroSTZpNz5zwi0Oqg/onYIQq0WeiPmUUQqJ9o +b6Nur4aUY3YmrW8JFPUro0QwoAZ6e3OKu98TSHDlW1p3dy20r0fagx8J5H8m +2SZiXR3I8bokWWObqfiu2+5mPWi1TtrpvyOQ0878JOG8Bpj//dxb+WgCtX/M +v1cl3gQCj76Pmb8g0O+ztWGbXJth/dEkj7MhBNqiNGfaJqMF7Jc1SGy6S6CD +keK2n5a1ge62ws7vrgRyXnlqbYdJO3ALL7756hyBpAaOzas//B3ehmuU/TpB +oCULhvnzJ7+DLTVbo1adQHwoLF7ieQcMT6h3KO0k0DmZjMZ/tDrx/H07xkUI +9O6QRUQ2sxOyzJLaVnDh/ewXXfgjtAtKUpq+bvrBRMn6B3lDVLvB9PWrDTzF +THRTw+dl0o9uqFJ2h8FYJhJv1Wx47dMD35rlrUP8mEhezjtEZOcPsBu0Fzxn +w0QmqyonuGt+QNPlplOhykw0VnK/08HzJyREO7mfFGGiJ3xmTkfX9QLjgewz +9+ExtKQtOZInvxf2Ze+dWVI4hmbd8DTY69gHAqp9DQqPxhBXrFl85+Jf4HYp +RuCb1Ri6Lym7qCvqF/jGvx08IzuGsrb48i+62A+228dapkdG0SLNS1K3BAeA +KyHSflvqKGrZSqm5ljkAyw0HBdwvjaKEF7Cu22wQrovV609JjSLTeNlFK6cH +QWL2CWFmJwN5+LzybIkbgjqRN0dH/RjoQ+/KMEFtGtTv+Cj8YBsDPV49b2xm +hgZOqpHBB3vpKELoyG0/EW+IMxSU3J03ip60uqokT78AyVMzaheDxtDO94tm +i/HHQUj6AfmaeUx0LOZx6eX5ifD1ifSPWHMmqliwdKm0YirYu9IOpEUz0cGv +bwTLXTOhf6Gq8qU2JjI3izaNacuBkeDtTZsFCJQZPXw5JCQPLLo8B8vx+bZo +XBDi3VoIdT7HnsrpEehP4OgCq2/F8MH67V3jswQSu37bMvVMKQh9fT/U6k4g +7lxN5fsD5ZA03D5yP4BAyn23EmYrU6Dd63OEZBiBZuVbD6DAKpDTHra/FkUg +jZFyg0vt1XBlxQvx/DgCNXrtaL22txaabawblPD9P6wi/S7Xmwp+VaGb6NhW +Opbwhq+6DtoOnfDb+oFAyWmpZSDeACtHjXXnxhIozkF1jdOlRrgRcGrVs0gC +DfqXBU+VN8FGHuUv75/i+1w3qF0s2gIbkjMvzAokkHDqqYArv4dgtUqoFjJj +oLXeSzXmRNMgq+aB+8sJOmrddkflvogTiG+qjnl0bhQJMEJ3r/Z/CkFT98OW +2I6hgluNJ2bfeAsnPILec9PH0KD+QKZWRQLoPBOOc9FhItftntPjPcngm3XY +WSmUidqLaNGJchnAFJuSnlvNRLLPjaJDtuVArrmgylpuAqWpiq5J7M0Fqsej +x8ObCFRp7LP8o38BPOtZuclOk0AuzU8CfVcUA5+yxRYtKwIFyovInykqgYGq +Vj097M/Pvx+77nC4HByvJphz3yNQzJbwZWfrK8Fl6YakXU8ItDhuI0MGqqBV +e8N1L7w/z0brRNb5VgP1x8OZM3j/DqQy9fO7aiBD91V3LN5f6YSz5TUyVHj/ +wWo9TzyBbNp33j7qVgdb2uUl2vBzwxufjhtQ6kE+QrgV8PkF6nmfLRBthKSX +1+7cwOf7I7w48KdzE+RvCF0h8pxAd9aYj7qUNMPHRybMwgcEUjiy9FjS1yGY +zgzbEe3OQHayT0fmXqOBTN0dneyFDKQX3HRWZ30ATD+6bm/GO4YWW8XHvNkT +CdYXW/oFk8fQC+qhTzuC38MiubTSHAkmsnkN/HH3KmCxwo0v3Xj8hP1yx44/ +oYDb4JRSWziBxlsjezS/V0Gfwoo8JRw/mYP5gTmyNXDoljYaYMVX3fM22wJr +4cnOONsP+L6drxvx/VRLBURJb8zCtljp1oKUjfWQ0qZzxQDH76XMLMUtlxog +7OLjNZ9xfM8P5KtbW9IIkRnRFUE4/ndWZi/NlaDBhZ2aEfEHGailKvAFbw0N +JIuKX0RP0pGRFZH1UMQKbmfPe9K1eRQVTkVJ11aHgs8HWf+NumNI8KKCj5tc +NNga9O/W6h5DabGhyanSCXCnTfL7WVUmouSkXm7bkwwjRMPXt/eZaEvp0suS +oekQkJit9qyUiZSvnn4klIAg/r3mpM1vJvqyZBsz52EuzC8cljGSxP5nseiZ +1/4CcDiy4kgHju+y3Ocqn+UXwd4nW6+sMydQ8VMLSeWrJbD11wNehjOB+uxP +inROlUE772L39TifyDf0XlruWQn5ofMro0IJtHyl31WacBUsPIlEnV9h/796 +8s91k2rws3cUjIsh0IiucWDflxoIljjCfxjfnxc/fM1aBKjgwV1t8gfv77V1 +TlcnjtTBJ5dvQhuwvf7Uxxdtb+th9gGpvnJ8v3iUoxYMDTXAFT3Gj9NvCGQR +XXi/RbsJnC9lTyvh8/1aIKqhF9kMr3w83MWCCeTm9avFNXMI9sk6XHX1ZCC/ +wJOF/hY0mMc9yrNenIFcakNcBlbfBefYusr9PaPI/oysJFdLBBQa7GQovx5D +vTkfmqMPv4MX82QVeZYzUdJOtTcr9lfAlrXPxiGIQBOLW29tu0CBZD4Xvrv4 +fk/r8p2Z9aUKGI/7Dlji+b20P3RJZF4NUA4mPzDD92v9r4pXBla1UHkpc80N +vL4WgYWi6e+pMOQq6N2CbemF9smmU3VwaFvP7EJ8v7rr028nH2qAhOb1Py3w +/sl5rHPTetEIobGntz7D+3tdJbAqbAENVG0aPXT1GWhm89HLPpnYTg46mfCH +jgI+LN6ot94dymZfDk4IG0Ujl47KlX6uhrs7I3VX4v30Xxmy/KVgLUSlLXH+ +jc8j+5r2/lITKuwLuZQ+jedj8Gzk0bvoOng9q33IDz+3FknVOnSfBkl3j5Q/ +otOR0KYg6eOhD0DOrC7u3qoxdPi84gdbixoQzOErvojn/zXlny1z02thSHZb +fjUe78/LGiLmDxW8TCXkQ7Gd7lL+zbOPBrqmm7wiGXSkVV6fGC5iAOJRrpEF +vxloHa/UO+mrjyB4NHf3bNUx9NnSXjQl5A2klwd7yLWOoQOx9ZbNWfGg1CSZ +pwpMNMmvL63XmQRCJ+5tU77LRFXaGxrN1NKBt/fZ16O43pASSku7dxyB4D+L +RN3GmUjOba95sW0u5Hdq3J5ZSyAfm/oTF5cWQFPx1X4hNZwflhrQJJ4WAU/+ +RoG7p/D6D80J+3i4BAx1RL5mXSVQkFzbT9G6MjCmzqna5Usgr48BEbRjlbDF +k1Faj+vB53XyX8p4qkDpj++tgQhWvgm/EKteDY45L4+o4fMsCZ+79frrGtgV +dM9QFO9vHffyt9/Ha6FJ4v30GN4fnqKQIW35Oqg4kptwENuClPdfXwfXw7cT +5hmL8H3aJy9jwdPYAD9Q++8KfN8i4goEjOSawH6Lw/Ia7A9mTwhHhYBmMMjN +ccjH/vBZa+9rWtIQSGX4qvrjelssMzPhsBENzJWf33HfyEACY443M57fBiHx +iPJvtaNo1cA1yw9bI8Bk4WP0+ymuj1LaHTri4uBewDPNiUVMdGKy6LLB0gpY +1ZgfYYfz6arpeJEwIwrM0RUVbcT5/tbc5LjO11UwHheSS8P5wCnhXpfaWDUU +6jd3Lcbz55LzgJ86tQAZu9pO4/Ut3fjSIvsJFaT47Fx6sf0lMXDrp591sNz6 +4rzf+D6VaaTFuu5uAOm3q2NS8f45uCSVn/JthNgd+gYrcD47dIOI951FA7d1 +XqHzjRkoyOizqfonGthkixl9+01HKUNlu2mrr4FIt/owrw+Ot59DYojwarg+ +sky+AOc/67NqKTsmaoD667ZQLT4PZkizd6UGFbgOLwzlxvmvdf9iwZmgOsgr +2ERJZp3XP3vmaHrTgHAxbhOczUCn0qY/IYMgqKh7cExj0Rha++HWqhDtGugc +clTfhuf/jDej+HlULTw+Uun4Fa9PJsNC8UMvFfb6RW54h22tN9JJym00SN09 +pJZF0BF/561Iw/UXQc6ncURNZxQt54sZMayogRvy6h3X8fdtSieEGtZQQcTM ++AwXnt+wp/70G+s60LBo6uHF401YTDaXXqCBvM+3fSYiDHQ4fCVf7rVa0Bcd +jGT5X9SIR1VyDhXCvLx0KrB9cXrYN7+IBts0Mo2O4PghIyt13zjUE873bfNR +TxhFt82yGIUOeL59YY8m8O+TEq7sLH5GA9k1u5z2M+mo98zx+86VtfBzKti8 +Az/P91aNj1pYB6n31yy5ie2N2ufbc0ZpMGWwXMZ+iI52vXzy/LOIKtgJzq7L +b2Sgah/7vuaiEEh6kSNSoDCGv8dvra/yBh71+1e+aBxD62qlTi+6GA/6t8xe +x+5nooUfIu7NTU0C2QUC8/+5w0RR97f7/xZLB+W3kXPeFTJRpFVPaaEUgqFF +EX7cBBMxP2YLax/NhXg/1R6KOF6/nXyGJVcBrNzGIyutSqDb4Ro37byKQFDs +qk6aGT6fV41Uq70l8NHD65E49nfLsNVH5HLLIDhg2aY03P/FPDWXO6lYCZLL +Qrvssb+b7VpinDFOAbH4CtFo7O8e9Qei0b5qmKdSm7mIFb9Vu9wKntTAI+mT +/TP4PlwKPFXaOVQLx/X0hkfw/hxc/ibu6rY68H8dN8sI27f4pfRv362HjnNN +dTuxvxyXvX5PoKIBdq4bWDUL1y85UmraIVJN8OlrfoUo7v/ec/+4vcu7Ge7q +LUpehvu/Z3YXFv+IH4JXQWH6Sr4MZHV0UPKjHg0OHJ7dKCjFQIY+33kkTvrA +kjlulevLRtGvE3Kz+D+8BDNTqSUHcH90+pNLhrtxHBScOsHsE2QiabN/mh24 +KmDWlF/Sb1zfJ1wW6aFoU0AhOaLyJvZ3Vdv7WlVPq4DZoT6ahP29jSIlZvKr +GtS4b/f043xzvvV4wHbVWih16f6sh9eXkPzjdk4AFVIDvZ+y+t8/vBF9TS11 +cPZ9WthSfL8VPffsM93SACt0zkEH3r/7Tn+YZ240woPv82edxP6+/dmW1Te5 +aOCoJ1/58yQDaS6I25/9/CocWXBt12eXUbS9dnfH/OBq6G0O4X6C/bt64apZ +bkM18LlouxHC4z9cKxFbdYAKRF/+BlZ9uzZgbcnG23WwsvW5Vgl+vjrC7nqc +O67fJk5n18xjIH7e8z9/2AZCT8U7+zNzx5B/uYRRnFIN8NmVWgri85wTF7zs +x9NaiDvVmZeK10PRiLOKb6PC+dG9xUnY3i6hkDC6+gwUzf+pEaAwirzjPBQj +8mogajLhiDX+Ho+xknyTMBX6d234wMq/MjWW6ZUn6mCptDZFCNvKE5v1pOxo +MM3Hf15/JQOlqzCPzrOvheean/b64eeKN4vHUlOoYE858omKbffCZwu/GnhA +76nlZ2WjR9HaAb7l32yoEP5gviOr3jJYuKMlJ78W5D4bnGnC9unF/jXRs+vA +71zSIGu8P5qWj83Xm8Ha+g2GrkKjSN6JcquNhwo3Dgq5sPzf5ZnRgcxIKhh9 +9yjsxPaJOSGny49SoczxriMrHgVcnvOw7y4Nsqsd5VdO05G0aec1/fhaaFxa +6liCf++ga6VpEuoIQc4+rl6mo6hEIXGEupkKVuHvH7DibULZN5tzl2mwIfWx +1U4hBvpFuVKZ70qFnkyzjUz8vlvezQPSTbUwzKP24Se2+VREHdpFZKBmzf23 +5l8ZaPPBB76JDiFwxWPo+2P5MURrNpgbKfoGcvVbYyrqx9CTM0ZmUurxsM7F +ZPaJfUwkevOgvO2rJDB7Vd3+y4eJBKWTe77xp8PWpaKb6wqYyMT/t0G3MIJA +uYkGFSYT1Wl4jtw/mAsTCbwde3A8+dx37pwZMx/Ckp43pqngfqpTucjPpQju +NoQO6OJ4sqJcmQHbSuAVES9Wc4VA2odzbql9KYObo/YebjieyC8o5XmwuxKO +eZo82ofjSbb5zdhEBgX0D1a9vIXjSYptYK6mbDUU152IJnC/cfHxEYmzwbh+ +qOF2pOP7p2jo1drVVwuhPrs+0fB+/FL84Dtvcx10nGh0NMN2ZlR/mLN3PSxp +WL5DBccTG5k1EQ+KG2BdjwdzNY4nlEG+gZ0bmsBGZ7/TARxPDGRNH0jfbAYj +qclNSjiezHeeo2n+YQjEi9qWht1lIN9wn9NtOjTQUd/9oGorA7mVP843TPeG +Ao9JxS9Fo0hJzDiq7dhL6AgQdG14OIa+SRecLt8eB13I7s6p+Uy0fGGc4E1m +OQjeEhzKx/HkmnRFybmDFMhIzqs9iuNJ1KOJsOKQKij/p1vjMY4nS8xv7PnZ +XQ21c7TFGnA8idxesJt6oBYMGnRbDrHu+/W5T7/epYL7eCKDtf4XUjFF9vV1 +4HhPZYM49i/XleNeOusbYEz0U8MYjifPL0XMozk3womaaFxxEuh1TIHh5NQQ +vAg436VvykAhL5fnSJ68AiKlqQcUL40ia6vbU0/uV0NaaamIJ44n/FZ+71f3 +1YCq49WkJDz+6T71jOq9VLAOcdvLiif5KZtjsjzqwGvklxarnlDt50ptcqOB +34KHfCaCDCSlJRErWhQAE9tTwvtmj6Gvpk6Xd++vgSL1itgpfD4Hpmay/UJq +wcro0btE1noWVFxNaKSC4ewOizRWflURTc17bgNZ6k0nPWVGUfrUwE/V7BqQ +4ctRNsbfo6y21m5eRAUbJZ/HU/j3db/PPbE6Vgdzhj/cWYVtG9W8eybWNNgb +bxSluJqBhkMtJuPP1ELVt115Xqx6U31gTtonKszPTZJqxLbayqioPtubcIZL +VIj31SgaTty4ucScCnZZs2JY4/tZhntZI3weYPW+jtXPNMb3vOWuA7cDSW+C +sL3Of+WbmdXGYPxGxVH3n1HEv280pH2qFlpCJssJ/Fzd3E03K5wKX8vvfuph +1QsVqZcqDlNBjltCmBUPErNfloncoUH8Y91Z/jw4nyXoiI/H1kJdhs+cQlY/ +8b26PM/AAR4JCRsJGo6iJ3x1vPWSVBAOn1zAer92mcWrMAcaaBWWU3SWMdCX +x087Cq5QYWHyzhHW9xOFB3qqa2shvnL8eTe2u8XWeTqv1wFYpvX70AADRRXv +S+tg1MJ7DfG5o6z9PzkTSlGhQvrcQyOs8ScNKEqunjQId1Q/vuwfBpJ5uHJj +4woq9FrnhM+w4q1kx6zic1RoUHgo9Jvln961+eah5hD52rTqyZpRdP7De4fW +OVRQ6uCbmmTpwYoOOmVGVFDp0ophvX92o71Rmj8Nljj0Vr7A9dafqwHttduo +4FTjPMT6fqmxwNPYl7/g5G4B41V7xv6PvvLvfvjfeue/32fr8wmtnX013gxg +6/O0hMmddbYjwNbnhZTGg9z1h4Gtzyff66PcxXmZrc/HvaTKvQ4YBLY+f+5b +1nMrsQFg6/OroivTx+t+AVuff7eqOELudh+w9flzv9OM8g72Aluff7RvVceK +DT+Brc+HlxjKao/1AFufl0pS59/S2g1sff75C3qIb0UXsPX5zSdff7d53wls +ff7dlm/aeyM6gK3P3/iYvvDBze/A1ud13iotlCltA7Y+P2Pu0ZKb3QJsfV5p +oJfrzu0mYOvzJk19faWXG4Ctz8vwG2Sc3FUHbH0e5A6pifyuAbY+3z7Jb7Pg +RxWw9XnLp3eLluG6kq3PD1r+4WsjSoCtz0sckVgXk14IbH3+7dn7umFLcoGt +z39+u8/U4UQGsPX5aYJedPPdJ2Dr88URfbnuky+Brc97dyfsDN5zmaPPr857 +foW/MJqjzy/LK+LbtjqZo89nbHyXp9CbzdHnP1PVm1t/5XP0+f7flzNCpUs4 ++rz2i0u+ZQIUjj5v33csEA1Wc/R5SbfVP6a/Uzn6/Kpe/3d8io0cff7wyTsS +px63cO5rTVfPijcV7Rx9XqPCqTtDt5Ojzz//nhpmcbmbo88bec1WfT3/J0ef +v/xz9+0dDX0cfV5Q3uSK+6xBjj6vr/Q5y9t9mKPPi3znib6iT/9Pff6aIt+9 +1kV0YOvzE1sOSQjXDgNbn1+7pybKvowGbL15w4L+fHmnkf/UmydbJp4d8aYD +W2++cvDLcaroCLD15jNXuTJKVgwD27+977b6ZOcPc/TTx0YzGg1pdI5+mvpK +s78yZQTYemR23qxLZb0j/6lHuhrkG1lV04GtR15h2L09qzgCbD3SOjP59Qm5 +YWDHk6wXd5fEJw1z9DUe3599A750jr6mPjVCs+4cAbZe9e2trful+JH/1Ksa +Cm8/L1enA1tv2iv7Ovw97hf/Sy9ytMue2sHPALZeNCLuseq7/giw9aLLMxZq +xmrDwNY/XNp/xlwPH+boH+fealeUXqRz9I8A+guXBxMjwNYTtIvdFf55OPKf +esL2eU2G0lZ0YOsB4fVHwker6P/Zz7/ZGCejF0MHdn/+bU6FXOsr+v/pv//d +X/9X/xzWvX+v31YGsPvncTtzrRLTEWD3z7GP30/YaA8Dux9s+1HeNHN/mNMP +dvyOiVY3p3P6wQV39x4JmkUHdn+lddUqeKvXCKe/emWlmtxwmQ7s/qi88WpK +WgGd099szLK1Ns+kA7tfOcOf63b3EZ3TT9SlDYdI9tOB3R+clKoqr2unc+r3 +G3lMvqcHGcCu3w12UAZKLUaAXb9P8Gr7jBwZBnY9ul79zizl28OcejTt9i53 +2xN0Tj2a+UMz/v08OrDru1EPYVqK2winvnvwzPT0uBsd2PVZ/qYRSgGic+qr +IbspN9EiOrDrpYt1C96oBtI59cyvDZtMf4/TgV2fnPDJS/JooHPqh9hIGWtj +EQaw6wNGIMXnaymdk8/TxvrniP6ioxNnZp4sQ7j+nJhlsNCDgRJB/Jh65C9w +oBtLqMqR+bnQtvLbvoR29G///7c//jte/vt9dn5fHBBOyFSMcfi7lMzJuFwK +k8PfJb+JvdCQIjj8vT62aq2mFcHh71tjTicU+hMc/l4a1BO/Bdcr7Pxez0hI +kSokOPx9VtjOz9uaSP6+xlNXdKiX5O9bzG9zzWaQ/J1fuL3p7iTJ3wnTgHNf +pkn+fiJ0ma4j1ziHv5e6zXLqniH5e+tHDV3NKZK/+58Mkz45TvJ3o5aQRM8R +kr/rrRW/eb6P5O+qYGrY3Uby9yv6p22da0j+bvdlLdOmgOTvzqOUzKFkkr8T +7Yv050WT/P1b/5KvEEzyd5384Gf7PUj+vtvg+DL58yR/X5/7TnXSgOTv/nbm +3NUKJH9HAh6FohIkfxcutL0nM4fk71nBykHR/SR/L7wYtmheGcnfe2p0TZrf +k/y9siSSN8qf5O8uCgniObYkf8+xnvh4VoXk7z10Hp2Kv/h7QH4FLeUv/m4L +X2o2/8Xf94uHOqr8xd+TppY9/pu/q9z4X/5+qPha3NRf/F0y6tj3TX/z949p +5o5/8ffoCdfDg3/x9wMrzqoN/MXfA1a/Vf2bv/8UuPB4/XaSv+8qcj3z4xqZ +333W8Modx/vBzu92fDr+rnwEh7/vf7lvw2tNgsPfpTf8kz14jeDwd2avzY1w +3E+z+XtWzI0r/ekEh7/LR3cqfqeQ/H3P7YaAFZ0kfz/m5HPAYojk74q2faE7 +CZK/N6fI+DH+kPzd6Q54tcyQ/F1BLZ/pjP2Dzd9FRdRsqdMkfzeby/tN+DfJ +3y9/aZCQGiP5u02WwKa4QZK/n3q9mMeim+TnDjnHmnP/4ucyq9YhJ4KsZ+iC +jPK9x5kcfh7S/CL8/gCTw8+5l+87LbGf4PDzn+YDT9ztCQ4/d4Ib57lDCQ4/ +l5k8cCY7ieDw8yjLLzeTS0l+rn+s0k6zjeTne9d1bcnrJ/k5oXi+TW6M5OdX +uomWmt8kPwdn4zKTGZKfh/tNHPPF+8fm5zrOYinnZ0h+/slXoUHpD8nPDb/H +Hq5hkvycaWJcF0kj+Tm01JWO/SD5N3i/+R/+Xb7TKSJlKcm/35psJBQSmRz+ +nbb8YbWXCMHh3wkqO5LGjQiU9Ue5KUz7M8zTH5yO8yLQWlr0JstnX0CixLXh +LY4/8eknr/7MyoJb+s/npuXgeLdmbGnm/a9Q3ygilkglEOIvKrqqmA9G/V6X +onsI1Ct3bPHx8kLYpnNQqXyYQDdVdYPA+RsEv6XzjOP4GZvF8J8lUAYhhkf5 +f+H4yubvlmoXzu7B+8Xm703bji1Uwjabv99u/bxs9TTJ31fEzKR8myB5+aJN +uj9K/+Llnc99Nt+ikvVp8EqhwDs7mBxeLs/1Ze7ediaHl1OvVo5YyRAcXu7x +285y+gzB4eUyea5FLg8IDi8XRl1Stz4RHF7uFV+7a6qY4PDybMsiZ/UWkpdv +OmvideoXycsP7VjMkBwlebllTthemd8kLzdYrgcLZkheLpwlUOGJ94PNy9uv +uAe9nyF5+Z/kXIeSPyQvl13rKx1GkLz8p5CZ16Nhkpd/sZUtl+klefejMZv/ +4d2n1LZbPllL8u7V27hHr0UwObxbZZq2VXcBweHdw5f7wx30CHT36GD1nA+J +sL77mE+HO/afm60vNAZToX4jr2RSJM53WR7KJ8SyQGj8fHVrFoGuosN7d8p+ +hZ3mBtP6OD9m1zeH+/zKg+OZPI/7ughkodgRvPVGISR5Gq57gf3hwNm1FL01 +3+Dx/f1NK/B96hRTcGlLLwWlDPfWy/g+sXn7xsaoLkG8X2zefrpT6KA5ttm8 +PYq/Wdh9muTtjyytnZ0mST4eteOd48m/+HhU86ir60+y35ij5ZDc6cRER3t9 +959Vew6xXc8MB8eZyLC5UidoeSkMV9r12+Hz0NHTbZ9+Xg5KzBXbXuHzuoc2 +trUKU+CCfB2fD56PgFyRvattFQzPhBPr8HM/xT+VY4E0aOBr+bp6NoPDyyPl +hhxlc5nIwSzulZRpGdhLf5QyxPMXWSp/bk9zBeTac+8wxuPZ2TzY4JlHgUWz +tc4vwza7/xFfXLXlXjbZ/2zr8ZFVEmJyePlrhuwFyQYmh5c/9b86y3I7weHl +ebXPGJttCQ4vH5oTxS8ZSHB4+ZnTnqpN8QSHl8/R7Zn9pIjg8PIzPDucFZpJ +Xt7NJ3wvpo/k5Q1rErIfM0he7jYjZvV9kuTlF/2EuXqmSV7+9ui7Sle8PjYv +BzmdW99mSF6uY/jdZd4UyctFO59u+UOQvDxD5cg5gRGSl08WZgRV9ZK8W1Xq +ofLfvDs69GqR3SaSd7fD4eGcUCaHd7eX/Gya/Ifg8O7DxkeaF+sQyP6GcqWC +aSIk0JQFLlwn0OsiF+OM0FR4MHNA/UMEgV5BcExwaSZcS0gNts0k0ICgq9aF +uV8hg9neIlaN4z384L+P8iDYODSbD/vDS9W3BsuPFYL2lvUV3NgfVt6YN9w0 +Wgzud0+0x+D13SzrGNR8WAqzqxb/lsHrZ/P2dlcVCnOG5O1DlperLuD9Y/N2 +RcXJ5Lhpkren966PL5wk+bj+6394lxozUKGpmVZoIg10AtulkobIfnaHQnid +Ja734gcXpOX3PoOY1i8ZjXQmovs55f+hlcBhu0tJq7E/jC2v+SB3pRxea6iK +e+D5JMT9djrETQGh1twfd/B8AoedPxnpV8Gbkr3Vh2ZIXr7p5LW4F/NJXn7g +kk7t+XRcHwgeWfZUpgxG7uw1Fsfzz2nO3Ov4uQL+WEh3H8Lj+etZZQ98oMCg +qNXZrdhm99fSw7w5c0vJ/vqVm2dqijoTKcc0aMZKlkOT5XcpOfz9EW4VNaX3 +lRB0j6ZzG78//1xuXadlBeR/f5WzDtu1G+YO5N2iQH0V88RRbLP78T+awkEN +bSQPP6G/OX2lJxPZ1B47eEmKAqZbPn2+iX9/STv1+QxPJfx6T+jbYFvVLTtI +qJECwv2SIjMzJP9eUCbX7/yB7N/XuXzqq+Bicvh3p+Sjs+61TA7/9tU+Qj8u +TXD498q+awqZ1gSHf8cTEbuI+wSHf3cF3Knixf7L5t83f71b14X7Lzb/rqy6 +J53aRPLv9ANauVp9JP++ymWod4RB8u9TzmpXkydJ/p1Ym8JVOU3yb+47Kqev +4vWy+ffmq/r76mdI/u0wXb15yxTJv9OaXAe2jJP8W3n/CpWDIyT/NuiKVl7d +R/Jr+W1mh//m16syJHq+/cWvt7qNX4x6wOTwaw1njwOKuP9h8+v7D/0OmWrj +/LL4Qvnx3YlwIXJ+vrQbzu/nClOczqWCeeUfz+iX2F88np/c/joTNu2YUIrL +IFC0bFCt32gOjP+wgJtVuP6+dC57YVweLP9qmxiE6/Ns9bnJ85UKQVNm4kIi +rs/9Z3N5rG4vhrJFqpIXsf/qrEvayO1eCgWKX0KW4vWz+bm/1OaRvhmSnw8F +07dfZt2X/8/P9Yeua+dMk/xc+9DYzNAkybsFlvBHDpxkoMbBrurodzTYmULh +fsSgc/SZuXs+lgqcZiIzB33CUf4ZRFiu58mgMVFOp/pMYnMJlB1fuHIS1yfF +15cKGZiXw3BsL7qI5+OtWOIxPVAJG3UGz7PqXd3DukfVD1WBXv16r5MzJA+v +UC83kl5E8nBLpmGZRQoTNVmLHz2ypgzMDVO4ZuP5B8N0xP2XFWC6bOUFVv23 +qqj87trXFFhfH+wrh+2Qfac2vW5g8ZyqGCcKqR/JMKcmk/czkbCAaGLBonLw +v73UQ4KVDwaFWt6HVoKeBsPUG7//vYL3wMyRCvjlnLlcFNs24ZdTJ5wpcNhg +7soT2E4JEZ9el0uDezuE3uzronN4+PfJkg4JNyYaP4QWx4hTYNlZRuwt/Hv1 +hHFeMVoFuHjcmXMa23XDG0y0Kigg9m7j/XnYdux8biBOo4GmQp5RTwqpZzkm +5txOWIf3Oy/jH+9zleCZW/jCnRWvfNV1rC0owKfwqcGWtf7dD2OXCFDgikR/ +Hiv+DDi7VRsUVcBeQz6KHrZ5Fkwf6OzC8ViaP1migM7h48d6ekqrjzBRmbDD +R+rXSnhWdeUVK77Wmg247ZejQN6mG2uvY/tl75XdXcKVUHwxUfg8a3+S9509 +PUmDzUdb1XQiSH1tJLRvYjV9jMPHb3j57nxdzeTwcccVAQ/1thIcPh7ieTOc +F8cbNh9vbNLQOIXjDZuPA7OA+fgjweHji/YYrvbF8YbNx8Oj9B9fbiL5uOxs +tbkr+0g+3rjvQsFmBsnHb63TyX45SfLx8cVdxXnTJB8veXJ4gOUvbD4+8CXj +Z+sMycfznNdWyk+RfHwf0yZcZZzk48uqu+9YjZB8/HRCCE2tj+TbDc775/3N +txVm3ItdpEm+faNTKYAvkMnh2zkPKeVtswgO3/aPRaUeWrifNL2lbSOeCC7b +dZ8GuBIoT+GCddWxVDibKqqxFsebtjXiydMBmfDWWGmeFo433pornj7ty4HO +mDMD26pY/x8q/fToyzz4uOeNiiGON+VF+6z55QrxemQd/HC8UdWaLFKqLYZg +6w8uujje7NZdqd5yGderDnzr+PD62Xxd5ZmQdtcMyddfly17ewXvH5uv7/hk +0lcyTfJ1kTSXMZ7fJA9XLOqee9yUgab3XJ9fH0ODyzVneO+M0Tn6aaNYnLiM +CRMl77gSqtH1FHY/MpdeP8REMYIJYgFVJXBGb93in3i8ROlkDQujcmhzvdhg +zfLnlF3aMp04f2iuusKKN5/cMiMVVKtgZKu1lNUMycvNlb+ZMRaTvHy3twFV +P4mJch/42W8WLoPeHN/l43i910de1IY9qoDhPTC1H4/30/OWfVY4BRQiNE6x +7C+3CcsaKg0efguzm1VD6rtmXy7c05RjIq7s9J6aOeWgMLjnkgir/7e4+bbz +XiUQT7o3eeH3SzyiHOerV8BCw9bApdjefnxjeMglCug9XVZgiu2m9rQ9xxAN +JqTQ5lM9dA4v/y1UnynggufL5zSuLEaBosj6X6zxtls8ldvcUwG9yvc/mLD8 +912L0o9iChBzTAIXYTt06+5AvQEahGmU7O1MJ/Xm0JhgF8uVTDS8R5KadroS +TNbuK2X5/zGV37u4TClwX1Cm9yxr/TT1wI+zKdAc2WPHihd1m8RmW2ZVwJsw +1/7D2F5/IvTa4g4ayHur+24qpnP4ue3I5JCpFo5n/o3X5qVWwqqdk/NY74eL +vDKv30GBrUEXp29g2/eZ8JkRgUrYme6jfgbbfNy1UUEE9hcegY7Hb0n9+4UA +XwN9Ho7n68bPSWpVwl17/vcu+Pf0ktPJxUQluBY7KbDO39v5/ULnV5Ww5szB +s6x+alvg3K3zN1CAx1hOmdUvaz/mEx0ZocHBzMsqrol0Dj8/EKnAY7IFx8fD +Rw/oXK2Een5TTQ/8ewPX1jyXRRS4frKDYI0n/2p218uySpCpcljA+l7m2dfb +tk/RQGqtImwJxfHxoUyNyQcajCf2pVvS6f+nPv738x7nQ4ET8bgfe9XNuDdM +R8VO1UaZfjTwnDL0vvkP4//0f/9+ztb7hUM+l6VkDqP4/6/3t79puuSASL2+ +3PZ/eT5bL/p3v/9v/fTf72s9bGguvEcDak2gdQ0/A/0/G7BfXQ== + "], {{{}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{ + + Polygon[{{42, 43, 44, 45, 46, 47, 48, 316, 247, 184, 135, 445, + 456, 472, 485, 426, 425, 424, 423, 422, 421, 420}}], + + Polygon[{{97, 73, 330, 261, 198, 149, 111, 49, 317, 248, 185, + 136, 345, 276, 215, 360, 291, 98, 336, 267, 366, 205, 356, 287, + 372, 156, 451, 497, 481, 494, 462, 496, 477, 490, 438, 465, 479, + 492, 446, 457, 473, 486, 427, 440, 448, 459, 475, 488, 432, + 437}}], + Polygon[CompressedData[" +1:eJwl1HfUzmUYB/D3tTeRPV9bIlGys8chZJRNlJKZmczsvWVlV1bIPgcZDaul +UxE5ZCeroc5Jhc91/PF5vtd93c85z+8evyepa98WfZIlJCQkMifxYRb3cV72 +lKtkF5mXN4Jxa5mF79TdZRKN1Y1lKo6oF9BBnZOf1BWoof5H7mYy3XheLwNf +q5cSz1LC+IJcTX+a8BTP6t+Ve5jCyySnpP5F+R4DeI6nqan/r9zLVF4hBaX0 +L8n3GUhTKpKSx8xdlh8wiGY8QypKm7si1zCY5lQiNY+buyrXMiTWRWXSUMbc +L3Idb9KCKqSlrLlrcj1DaUlV0vGEuV/lBt6iFdVITzlz1+WHDIszoToZeNLc +DbmR4bwQe08t/f/kx0yLsyMj5fVvyk2M4MXYa2rr/y/3MZ1XyRRnqX9LbmYk +bWKvqaN/T+5nBq+ROc5O/7b8iFG0jTPVS81R9cJ4Nuoa35cHmEmP2Cu9jHyj +XkaWOFvj3+QWRsf6jB/he3W7OH91Go6pF8U6qBcXm4NiVnxPnZ0f1a/Hvqsz +cTzuiSzEcnUnmZuz8T1ZLH4r7ov8XfaSW+VLMh/9eTv2UGalLz/E3snCDKJ9 +3DmZlt58YdxNFmAAi407ylz040zshSxKHXX9eE/5RN1V5me2ur3MwSl1z7gv +6sx8q15BZ3Uezqmzxr1W/yG3MSbO0TgbJ9Qd4u6r0/GlekmcDw2Mk/Gpek6s +n2zxHuj9KbczNp4/9pyG+sn5TD2X3jxKZb07cgfjYp9jXTTST8Hn6nn0ITtV +9P6SOxkfa4lnIQdVzf0tdzGBLvG75CQXuclDXvKRnwIUpBBJFKYIRSlGcUpQ +klIMjudldfwnspIVcUdYxlLeZUmcH4tYyALeYT7zmJv48H92NrOYyQymM42p +TGEyk5jIBMYzjrGMiTvGaEYxks1sZy+HOcklRrCJbezhUJwvFxnOLvbHu8Jp +rrIx7hZfxd3jWtzxeDfiPeA6u/mZm3FW3I57zgWG8QBmtrt8 + "]]}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwl1HlM12UcB3AEkiMuIW40IM4OtVvEtKJzZCllWZAhWFYIFISVWnm2pR1a +bWmucp1a2mGam3Yfmx3rWNpqNZ3dVtrdlp2vz/zjxfv9fB623+/7PF+o6Oht +6RmUkJBwuh+RNX7skl3yQdkuS7k6WE+SOXyoXyYraNab5WC26vfQphfyqX40 +Y/U/5WZuoZOJZhm8q99HIrXWn8uH6OMsjmGc+T65hcVMI4k68y/kw/QznmM5 +0fwv+TxLuJRk6s2/lI9wDWdzHAdwqL2v5KMMcA7HM5jD7H0tH2MmExhFCofb ++0au5tp4LhpI5Qh738o1XEcLo0ljuL3d8nGu51waSWeEve/kE8ziPMZwICPt +fS/XMjvuhBPI4Eh7P8h1zOH8OHtOMv9bvsCtcXdkcpT5HvkkN3BBnDUnm/8j +X+Q2ppMVd2m+Vz7FjUyOs6bJ/F/5ErdzOdlxd+Y/yqe5iQvjTs1SeFNfHt+N +U6z/ky9zB1fEWZll8p5+Pzlxt9Y/yWeYG89nPYRt+kVx/3oqb+kr4jk4NV5s +XhFL4/f0fD7Wr4xz17N4P94TWc4D+hRZzI74PVkdnxXvi/xZzpDr5VRZRh/z +4gxlLr1sj7OTlQzQGu+cTKObt6075TD6udf6YlnEVXwWZyGraNJPi79TXtU7 +5FCW6a2ygE/0rnhf9Gw+0FdxiV7CTj033mv9F/ks8+MerfP4SG+Ld19P5x19 +ZdxPwv7/EYm8pt8Zz09e/B2Y/So3sCC+f5w5Z5gn8bp+F90cRIPZb3IjC+Oc +47k40zyZN/S76SGf0Wa/y+dYFM8S34UCGu39ITdxM+3xuRRSRDEllFLGUIZx +MOVUUMkhVFFNDbXUUc9Mn/E/XAeIjg== + "]], + Line[{42, 43, 44, 45, 46, 47, 48, 316, 247, 184, 135}], + + Line[{97, 73, 330, 261, 198, 149, 111, 49, 317, 248, 185, 136, + 345, 276, 215, 360, 291, 98, 336, 267, 366, 205, 356, 287, 372, + 156}]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + + Line[{379, 482, 469, 495, 453, 493, 480, 442, 491, 478, 464, 434, + 489, 476, 461, 450, 429, 487, 474, 458, 447, 439, 380, 483, 470, + 454, 443, 435, 430, 381, 484, 471, 455, 444, 436, 431, 382, 383, + 384, 385, 386, 387, 388, 389, 390, 391, 392, 393, 394, 395, 396, + 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, + 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 500}], + Line[{420, 421, 422, 423, 424, 425, 426, 485, 472, 456, 445}], + + Line[{437, 432, 488, 475, 459, 448, 440, 427, 486, 473, 457, 446, + 492, 479, 465, 438, 490, 477, 496, 462, 494, 481, 497, 451}]}, + "Charting`Private`Tag#2"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJwV0nmQznUcB/CfXbS77NWyrV32KMSkdEthQ0ltbK10SG1tdlfRrhwzlaKI +GVQTptKli2aim5jpYKjRMcnoQnTOlFCUZmJ37er1+eO178/vvZ/n+T3P7/mW +1TRWNbRLkqTcnyYKUpNke0qSVMvH5TUyk1omuL5cprDFPE7mUW4eLP+T77GA +StdpfGE+jX7m3+Sr3M0YLtG1yk08RrP7d9N9ZX6CWi5miO6IfJ+F8V6crvtd +ruKe+Jy0eH2h/mvzk9QxNHY5Q79XruZexnLMfpH+G/My6hkWu/TX/yFfYybX +0mq/u/5b81NMZHjscqZ+n3yd+7iONvs99N+Zn+b2+M6xy1n6/fIN7ud6jtsv +1u8wP8MdXBq7nK0/IN9kFjeQUKLfKZ9lEiNil3P0f8q3mB2/Fe0o1e+SzzGZ +y2KXc/V/ybd5gBtJoUz/vVzOnYyMXc7TH5Tv8CDjSeVk/W75PA1xXijXHZUf +sChey/m6Q3INc7gpvquuTW5mMe05RbdHvkAjV7hO5ZOY43yYm+SHPBzvywDd +33Itc7nSdQc+M98cz8h8XH4Uz92cyxLz1TKDL+PzyPx4nbmn/EHeIl+MsyOz +qGOK6wrZnho+jWcnuzCRijiDslneKjfE2ZA51POI66tkOrexNZ6l7MoA8wXy +H/lunEFzNg+ZR8mOfG6ujt/RnPCxeSlV5k5sM3ekl/lH+RJ3xTOJs65rkRt5 +NO7FQN1huY558Z05gd76n+TLTI37xy4X6v+V65kf35E0TtX/LFcwjdGxSzp9 +/O8XuZLpVHIRGfT1v1/lK8yIZ8MgOtGZTLLIJodcTiSPLnQln5MooBuFFNGd +HhRTQmmc7/h87vk/zfqMvA== + "]], + Line[{546, 547, 548, 549, 550, 551, 552, 810, 739, 679, 630}], + + Line[{593, 573, 827, 756, 696, 647, 610, 553, 811, 740, 680, 631, + 594, 835, 764, 704, 878}], + Line[{655, 574, 828, 757, 874}], + + Line[{697, 648, 846, 775, 715, 611, 840, 769, 709, 857, 786, 660, + 851, 780, 868, 720, 862, 791, 873, 554}]}, + "Charting`Private`Tag#3"], { + Directive[{ + Opacity[0.2], + Hue[0.67, 0.6, 0.6]}], + Line[{376, 498, 375}], + Line[{378, 499, 377}], + Line[{502, 881, 501}], + Line[{504, 882, 503}], + Line[{876, 877, 875}], + Line[{880, 888, 879}], + Line[{885, 884}], + Line[{887, 886}]}}}], {}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 1.}, {-1.75, 1.75}}, + "Frame" -> {{True, True}, {True, True}}, "AxesOrigin" -> {0, 0}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> Directive[ + Opacity[0], FontFamily -> "Times", FontSize -> 10], "AspectRatio" -> + GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxTTMoPSmViYGAQA2IQ3atqJvh4ySv7jvXL32aafd2/V7uDQzDvtX26wdc7 +/z5+2S/oVaTTxPfGnmHDonz97V/239G9cLlyzxt7ibC3PHVFX/ZvmGev8iTu +rX2NzPXgvzpf9seuNxOU/ffWXpktSuzbo8/7G1oXNt5Z9c7+muTSoC9dn/fz +lrN7Ja59Z694/J7I7M7P+2dl5Ao9W//OfuGE2cGOHZ/3b/a2XPx+yzt7nd0d +Lj2tn/dXN7+6U7Xnnb21WUFZVSOCv3dep/D6LR/g6ivuP19RM/cD3Lx7z87e ++t/7AW6fmls7q1PbB7h7gh03722p+wB3L59VTGkd61u4f4qfm7YZ3ngJ9294 +M5vLYt7n8PCY82D77KTiJ/Dw8jhX8mR3wKP9sPC8/Pip9NJz9/cDAA4Kwp0= + + "]]}, { + Polygon[CompressedData[" +1:eJxFk2tI01EYxtdQp0lbkClGMqSLVkppZbmwUzosnc5btbxUOryVd02pZsvQ +5WamaKjUmimWSpSTEGUgO3ilodOtYmqh3T6oWZ7+51iikmUfOn44HF54Oe/7 +PM/vuEqzIpPYLBbLe+38u/3CHM529MyD1W61V7OcQMeuSxX5K/OAH1ArghcJ +bGodOLf8ex7UV6R/ibpA4IGH+/i3WAjkRAhGp2MJDLq5qFVaIyDbWVy7KZrA +woBKs5qHgDB5oig8isDPo3qH3h0IZHgHN2hPEfhixkXNDUFgzKvNsWo/gUqN +In5KjIA48HCV2ZPAxMjvu9oiEDgeajPB9SBwe3d3e6gEgQT/R6VydwLLKmMH +y6UI2G9YYO92JTBZ2Hc3LgkBX63kyQk+gf5LeyM8UhFYtbJNj3IhcEm6/H4o +AwGBwnAszpnAt07SRnU2AqJBo0nsRGD7sCE5LQ8Bt666RO+tBKb6PGA2Xkfg +kKVUrN9MoHCO1fVOhkAZr9oqjksgvyG18Jl8Tc9SvP6NPYHLZ0wnb9xGQJMT +eN7JjkCL3VFOcAkCi9eip7g2BL7UPx52LkVAWxduXc4msCKPUz2rQkD/Okfg +sorhFfcsia4cgS3ZM6P1vzBMcu4SBd1DoEMVZqzB67WvT5Pm+fx6v+7nHGfb +V0zfi/UwGy0fMJ0Xo+jrKBrHdB/NWJhmwYzpvqTSpOgZwlSPcaKgUzeAqd7+ +PYxpAGLqR53kz+lxHaZ+GjgjRyYbMfX7sm2vTFWDaR6ZFt5TYSWmebGV07Pf +lJjmmdYSMjKUiWnen1ZamwMTMOVBd+egPCUGU16cP7Kb86Mw5UnfZ507PMNQ +3gwtKfJcLUN5DHkl97OrZiivooLE+57FDOV5ocgRdcoYyrsbb65fcJWB//9D +iWpSoe//Af8Cru+5ow== + "]]}, { + Polygon[CompressedData[" +1:eJxF2Xc81d8fB3Cr0pKGikpDaIiSKJW3TSUqSogiRPpmVBIVMopCcklGJNlC +kVCOcY1s7rBnCMm8w0j9zv3j0+8vj+fDdX3OOe/zOee8znYL+3NWPFxcXC+4 +ubg4P09aDTUWDZ9XavGKVmjymUZ8aiIO3cJyQNkWkGhePI0OxoRHfRRWBxuB +xXRy6zTq37zT866ELsCGU/MnRqfRqdrmD9HCBrA93jWubH4a7Xy25d3frUZg +9E7N8cxSBvp98upLcwkz2NEsecFViIFGvKlk8zBziHtr2hi+jYEMLdlfXwhb +gm/h8vDvexiIrCaSWxplDV8120w85Rhov9jxTMZWW6hY+UM78DgD8fc9irsg +cRMUfFonNXQZKL+nqbbUwAFChdYbClxgIIczlicvhTnC87s+rl6mDNQp81gt +QPg2bN/dlBRqx0CkmI1F4iZ3QLg6V0nZiYFOrko5VhjlDHqr7h386MJAn8Zq +Do1vvQfC/ZoTvD4MFJi+ZtdZiYdQs/hWSGYkA2lsiY8fvuYOtlwiQrxvGOhh +eYRgsYEHDF3eeF0+gYHk5KUCjMI84cawjI9mJgPFCun5+gt7Q8oFAfFDpQzk +VvuSfCHfG8o85pQ/VzDQBZ8eHjETH1i7xK1eooaBVjAd3QuifEFoe2ztNyoD +uVBJLqNb/eBuMr3+2AADnQ1pu64rEQh/Qu/bm/EykdQpsWSRikCY3f8pengx +E/Hz3vgxeC0IBupS7W2XMdHlvD9ZyOA51NGDz2uvZiKh3c+lL4YFg4IZPeWp +KBN58n+U9BMmgYzKvjFzBSbaoxX85IMDCe54jPW8VGSiJh/74fYKEmS/LhIu +O85EO3mlUqWdQyGEUXJosToTlS/ES1ObwsAnXf7ZrjNMtGI67NDWZ6/g+UJA +5NprTBTe6aqW8+c1iF/+q3HzOROpbDaK7zofA72BAq4tL5hoxFhhEX96DJiZ +Sq1VCmUi0dF7V9P3xcIlwZdo/hUT2dvKi3N1xEK5gey06lsmWmOZkfTucBxY +3ez4KZDDRBeN3mRMTMbD79284/M0JhpvN1gWJ/IOSvQ7k+qamcjXjN9KX+0d +hP58Vv+6lYk+XrUX+UR6B/m1IR4KnUwkcPO4j5tCAlwz+HnoVD8TlT1qNV78 +IBGMPZ6ncU8xkWza6sWb+VOAlK+kSFnOQt+ky67U7k+B78jm8eWVLHQly6Xg +oVEKlF02Zg0LsFDAp26H3pQUeBoYcXJ2NQsNFaW3J5xOhdfL5ZV5NrLQa9qJ +rAMhabBaIa+6SIyFlv/1vKQlmgFXk+hLliqyULitoZmUZgbsdLm02PgoC+2k +Sl1ZfTMD9B+ZvU0+xkJKyc1X279mgEqbeKk6sFBeclhOrnQmPO4S77muzkK/ +9Ee/nKrLBN2I9Skuuix0Pull9a2VH6A4XHow2ZyFxM+ODZU+zYZZm+Loam8W +EnHXUrz2JhvM3jR1j/iwkGB67NNludkgv2rFyqWPWWiOX1/6bF82CBk/lVH1 +Y6GGotxbXYdzYJLdUpwYwEKu+z3/zAzkwJOvp++qhLFQ3ap166SVc8HedVwp +L4GF7tYdgXBGHoxb+MdylbGQgHTOwDf+fNi3TmQPHTs+YP+z+c35oJoYtyS1 +nIUadSRbzTTygXcoovhcJQvtrV53SzwsHwI/FGpEVLNQd8V4wgeFAmBtXpBe +1sRCWsXvBGpdv8BPQXVVpy4W2pC9uoubG8F/pEB/HyYLXXo2b9C/HkGQwmyL +GouF4iwHqsulEIytjvXnZrOQlFBe3tOLCASWrhZxm2EhVecroUKZCDLSTs5Z +z7OQfJRhAkmmCErMBdR2cLORuVmCaVJXEUyG7G/bs4KNPvWp1dQfK4HeH3aW +sdvYiK7tORmgVQKzmby9h7ezEet94XqdcyWQ4a8+0ICt4HbEvPJaCZD7tH3/ +7mCjz2tlWEUvSmBl+YScoTgb5amLbPswVAI0j9CXE7vZ6EvCxC0SqRQsvnv+ +qpVlo5Lr0ZuMRslwQ8NVQkONjT4O29mZscgQmR3Vmocdb6NYcJWrDLbI8MhL +q7ORj3Wz8c11ZdBW6fxTSIONtC1WR3gdKwMHvU16vZpsVG/ks/H9szKIGNiy +2+YkG3Vo/yfEu68c6D7nXymcZSOmxPFV6f9VwETT+oNDpmyk1Kda4e9SAX4t +YaNnzNjIN1rb3carAgQ2O+vmYa9fZzAu9qoCeMi7VvhdZiN5brv6CHIFHAnf +d2enORu5tIcHPdlUCXyqFntPWbLR7yDGKstvlZBulehndJ2NeGZTBTeJV0FQ +XYq59x022lSrOg0yVfCGnbGZgi33ppVmeaQK3nt4hW53ZiOrE0si35+uggu6 +wsVfsStfWYirOlfBvpFg3um7bBSkKKxoW1EFo42dZ8+6stHm+75Xc22rQag4 +bazzIX6+v1c+6b+vgap+2Zjjj9lI53TRI43PNeDOsPdww74auVVPoaQGQgI3 +7M7Dfq7Q9UOEXgNGtCWNB5+w0bC9iXDfQg108655KOHHRlE95+87nK4FR+dM +c+6nbMRdclI1YLQWsie6JwMC2WijYIqAO6sWBB4JjJGxpc2Wtjtw1cGiBf/s +eWzjuYpbBuvqQLSVHGsTxEbZshrvNh2rg707ImbgORtZvwX+lKd1sOb4g8/9 +wWxU4y1XV76nHkzM5pYtCWUjxVXVPMGH6uG856XQo9hJr8wVTJTrQXxD2Hd7 +bK/3gbHj5+thr+d0dTPn8y1DThs964EctrI+Pgx/fm/0huvN9eCyTjL7YDgb +qQ4/ylys2gDdXh9jxSPZ6J50XZWdVgMU5JRSz2Fn3hIeaNBpgOM5sfXu2KJ/ +MoQjDRtgyRkRkVbs2TWdj2T+a4AcPhc+vyj8+WMK5y+GN4DbrwWVrmg22vr8 +52zyWAN0WegzzWLZqNDcPfnDdAPoazXGPMI2O7jWqGCmATZn1IkkYEfRFT/X +8DSCyu8nj0axN27xdx5f3wiCJkjk7hs2WpOya1oOGqFTR/K+VxwbLSJbjaKg +RlDQmbC/F4/nR+hsZCWpEWqX9mu/xFa/FnCq8VUjsHo1GdnYj5blpPS9bYSZ +FFLJOPafM3y2iz43wvTLYaWr79hopjNu4GRPIwwf31SqksBGP9ndXbT9TfDp +vM2lzkT8PrgWVHJSvgkq6cYJbGyPZqUEdLQJlqtRv6xOwvMjN/q/ZM0mcCyK +0dPAVnU2+X3/UhP42zsKpGBHMOjCO580AW3wxV/bZFzflr4L4QFNkFddLeyJ +vZ96qHdlSBMMtZO4w7HLP5KS2NFNcH9yg2IZ9qTTOYXqj03gJxt3ZksKnu+T +tQZO3U1wZ9Pr7WTsteYPDv/obwLqEp3NLdhdDVKbL400gQa378BP7NuZT79r +MJugXL/9+5pUNoqxP+EkvJwCDVo5wWbYrF/koCJ5Cpx4pINGsYtNb986dIwC +FZp1yQvYz2rFDFNUKMBnU31VII2NdqQ/EiXpUKBvzFFTBvv0DeX0axYUECji +q7yJHT9SUCUQSIGhoaLKfuybL/XErodQ4CCF23EKW0H9u1tZOAVCpU1+/sWu +il627/5b/PvnTy+IpOP2njEKGv5MgRAxPf7T2PkLoz/UCykgx1ekaoTtneKh +HFtKgfi5TD0r7I18SZMX6ijwQFGz9z62Ui5Ln/ydAgVn3vQnY/Nb+qdtHaaA +uqNzdjZ2k6DoIrcxCnys2G+IsK2ua3w6MEsB2oivEBX72RbSxhgBKsTnrb07 +j93qdaDz3hEqtFtbtai8Z6O4/WWHaEpUMGg503EC+0bnxcD96lSodun/eBab +S8EDfuhSAQoOdl3Blhipe2NgSYV6py/bHmBPhFnMZdhSofHbwVIv7Hw11rnl +9lSIOpl1xB/7dPQWvpJ7VNAX+RUXhn37zA1rmSAqhMumXEvHVlr4W+hPooKl +YWjqB+wlKSEbBl9RIeVyX2kudgRvQWVUPBVe6tU7FmNbZupun0mmAr3AZ0k5 +trRp3z39DCq0rqt2rMIu/rR077J8KozJy5CbsP2vRntZIdw+sEyjYxsIHugo +IlNB4aOBbRv2kO3FgLv1VPixEGLei/1h/ehAE5UKGfUzUf3YbqXuStJtVJjg +0Uj/gS2wJXG8v58K3RJI5xe28gWvzu/DVAjzOZg1ju0UdLm6b4wKF8+enZjE +jq88mtc7TYU07e3LGNh07o2JPTNUaBNL+8PE5j/KIHUvUKGDNFfLxla83fCo +i4cGD7SEXGY545Ge5tC5hAYqvXwLc9ivB5+YdayggQd306Xf2A1brXTaV9PA +WsXn5QI2j5GKYtt6Gvw8KJn+B1vuxZZdrZtoMGRVFP0X27p6VqhlGw2EzYxs +uTLYKJyPztssToP10XOruLGrjn+YpO2hgWV0WjDHv50Du6kyNLhNuTvGsXTm +9VqKHA3S0i0leLCvDGsWNB2hgRXJ7QjHL3aIJTcq0YA9TJbkmGzyN6xBjQb5 +y05Mcv6eRWr3rtemAddpwTCOd9XlOtWdpoECt9h6jo2XkK7UnqNBjaOfI+f5 +nik76NYY0kDt+6kkzvMX3tM5Vn2JBkdJTvmc9k182LWnypwGNl8XJXHav2OU +b+M3axpEB6905PSPgXjvoko7GrQcfyE0j+1r9nW63IEGR4YjQzn9+/nlq96y +OzQQzJGd5PT/SMOderIrDQa+mO1icepXTTq1xJsG/o1hu6ewH95f9qrYjwYP +Zz5Mc8Y7M2fQtyiQBrlB3q849bBuV4xFYTgNpPhsXIawNc3dznyNpkFxrV/W +ALZLhKHSlzgaGPZ4lPdhd6wQFMlPo8GYq4B3B6e+NEeX5GXRYGVJtlQrp77c +K5m5n2hg36CXRePU06RHY04RDSK9vHTrOPVBn3ySRaUBashv/cqpj1V1zpmt +NLiwuNcij1Mf2imWGV00uME4UpnNqYcCC+X0Idx+/zjJVOzfMRR20m8aeJmK +KXLmp3RrxkAiNx3clLLfPce+suYZJWExHfztsn9x5jPZWz0jXpAOuQHb1rpj +P7PNsY7dSQcFXpdsK07/Kac/Wb6HDr3GrY5m2Fob36U4y9Dh2duURYac+qwg +jeko0qFOryRTC/veztvOs3p0yHL5JiTJmR/zduGW5+mwZCL9sSjneSlX8+uN +6bBOWqdBiDPenvp/3lnRQduibYCXU8/dsr7n3Oiwt1tRrAu/38if9iR99aCD +1+TIKc77b0fgjqpdvnTY0hl1qgq789gagb/P6VBatrshB9sgYjI0NYEObxd1 +j/ljq5zPfMfXRIeuE8b++7BfSyVV2DfTwfGpmuR27N+8scNtHXS4npYXuQ77 +84egfVk/6LDR6ubyefz+lxa0zzFdoMMJmYHF5dibq/eVfdrVDJ+6dO8YYLOU +U/tt3JvhVOecjT5ej77E/4y8690Ma1s2HlDDfsQvpe/r1wy9dm10WWyBhrTi +tyHN8M3YvGA1tsTl96+7EpthsZLUcC1eDy88yLpo0NAMirHrOwE7Jy+3Bra3 +wBaG0ZlleL113TLjpSvRAkyRrBYmXr+VPQ8fNd3bApt07aAXu0Y7L9n1UAtI +J25NysXub873zTnRApntEj8ssNexvirvdWqByJsvt33E+4XbsuTs9aUtsLLH +LlER7y+s5bbFBle2wM4BD9ZW7Ivy95+uqGsB2Z2joouwjyrKWfC0tsAg6p6v +w/sTHtX4VWNjLXDn7PTgFeygs97Xy0RaITvm3uMHeD+T4qC+7bZTKzwIvCwa +gfdHUU6xy8fvtoIxJSHeFTvg9m+W7YNWCO5ZucgE28Elu/byk1ZIPqBvsAlb +wWOn26nXrRCWfGVfBN5vkYP46DuqWiGuIKHuOd6Pdb8nP23c3gYrQnuY5q/x +/PjFNyor2QbWusduK2EXSWnokKTaIKuYXCeCHZtStsJQoQ3s9zpspOD9oEVC +eUCHThvcdSr8o4I9GF0Z9ONuG5AlwzYJ4/3jr2c1IQu1bbCLR/Vz2is2mr9O +jdzt2g4S57I9rpNwvcmbBku7t4Oh1NxuFew07kHfg97t4Hd2dc4GbLNwtuPx +wHYwKClyIIfg9axMRPtsXDu88fF4uBn78TZzhktVO7wPvcQqx/vrtfRfOpUi +HSCZ8+W/RXg/vldlyR/rgg6w39Aithvv97Xitl/L2tAFZ2TK+3rw+eLulss7 +ei91A/f6Ne5v7NhIavT88ubTPZAYrV0zYoy/b9UEP3muB67RCrWp+PzFhyIz +xKJ6YWJWs1cFn+/s5Apal57qw+vfk94ZYTZKPWERW8jqg69m2V2buPB57KeI +4GDYd6j61Fa8e5CFcvS1eEnq/WD69o0kDz4vu2v7xGQP9kOj6kP4lcxC2ztP +trz1GYBv7YpWJH8WUlTwJgnLDoLNL3sBO2t8Phatn+WmDELbrbbLYaosxKwK +6HPw/AGZCbcfmgiz8PpldvvcziGYDpaPeDjBRGu7cuJ4yENwtPDI37XlTLTo +gafBEcdhWKE+3HI8lIm4ks0y+taMgJtT0opvlkxUbbTiVXLMCJgcWmEkevj/ +Lr9W/+1oZve/z582eSx2+WXHv+8THXqWyqfc+u//ibttHfzTQ/v3PPbD54PQ +r6Z/z6vz2ulJzYqGf+35OX+rIEy66l97P9I02ztHyP/6o2BXaunxocJ//bWh +tIJPZmvOv/7cWhp1h7884V9/e/dnyoYcvvVvPCpjh0sezsUAMV5/2FMV7qlZ +QIznx8Sjpg7GBUCMd+L1gDORa0uAqAcxPbGdSfnlQNTLr6u/+brYVUDU09VX +fhUb8LmNqLfuOX7rVYONQNQ7KJzQEJ6nADHf5PgNCkwO0oF4f1xqGx6uvtUC +xPtQZXSI67FvGxDryV9zj46Swg4g9lu6iSqCctVdQOzHH7zPFwx27wHifJO6 +95vOkdheIM5re0ze9lin9QFx/ox6PUV6UvcdiPO0VLYm/97OfiDO89FVF+R1 +mANA5AmhR0V7N0n+ACLPsJvPMyzVGgIiT0kVrYxV8B0GIs8RTajPn6GPAJEn +2X37GmW5eRSIPCslhqbwNvAXEHlaztPhBj+ucSDyux2HKfH2NeNA5Hu2zlwF +VZsmgMj/rL7kvDVWmAAiH7z110LDSGMCiPww+WXarLXOBBD54iyvjs+k3gQQ ++aOQyszzh/oTQOSds3tPiK2nTgCRh97R+nyRJjIJRF56Z9om8bryJBB56uR2 +D9Ee/Ukg8tYZG/NTVaaTQOSxBgcaRqstJoHIa8cz52Tp1yaByINz35z8Wf9p +Eoi8WHNhctyqbxKIPDlw6rVL8OwkEHnzKr8jes8XTQGRR38ZPJmRtnwKiLz6 +njLf087VU0Dk3y3lvlG1mlNA5OP7l7ddkLacAiI/f2OpntNyawqIfD04wvTK +jNsUEPn7XMdshJ73FBD5/btdKXJnk6aAyPd3fb1mZf5lCoj8f8xmwU2kYgqI ++wFXA7KhZdMUEPcJ9LwJkvjPKSDuG0Ykd5vOz0wBcR/haFO4cIB/Goj7iuQ4 +OSsj4Wkg7jMi+48d8d83DcR9x4NSFt8rrWkg7kMyO/uGKd7T8D/WG7Qm + "]]}}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwV13k41N0XAHBbUUlaCKWS0CJ6VbypHDuVRJSoFCHSm6VCVHZFlsqQLJEk +JkJZQnUtY8luNoOsIUriZ+b7TVK/O3/N83nmzjx3OeeecxUcPY47CwkICJgI +CgjwPw87j3dWTZzQ4YSmadHDZ5GIgZzngOweYGyKeeFQPYt2pyelvpE1BFeJ +xWxa9ywaWb8l2FfZHGDtkflDk7PoSGvX6zRZa1DI8s+sm59FW6Lln//daAu2 +zw28LJZw0e/DFx45KNvD5i6Vk/5SXPQ1jElzSHSAzGdnO5M2cZGNE/n+oawT +RHxYlvR5OxfRDOTKalNd4L1xz+ngPVy0S/FgIXejGzQs/2Iae5CLxIZDMk8q +XwGt8O4ZI3Muqhikt9Zae0KClLSNxEku8rRwOnwm0Qvu+4b7h57loj71OwYx +stdAYRs9J8GdiyjpMlVKp6+DbHOZjq43Fx1eQT3wIdUHjq24sfuNHxeVTrXs +/bHxBsiOGE8Lh3NRbP6qrZbKt6Fl8dX4whQuMpLPypq4GAhuAnJSwk+56HZ9 +smS1dRCMn5O5pJnNRXs0VWNsE4Ph8oR6uHEhF2VIHYuIkg0D6kkJpb21XBTQ ++oh2siIM6oJ+6b5t4KKT4YNCiqfDYbVoQLtyCxeJ87wCK1MjQEoho/Ujk4v8 +mBS/yY2R4JvLbj8wykWW8T2XzJVj4U/CTQ97YR5SPaKYK9cQC3O7StMmFvOQ +mPDlL2MX42C07aWH21IeOlf+pwhZ34c29oMTpit5SGrbfbVTiQ9Ay55NvbeB +h4LF3qhEylJAXW/nlIMWD203eXD3tScFrgdNDT7S5iF6uMdEbwMFip9UydYd +5KEtwqov1XwSIJ5bs3exIQ/VL2SpMemJEJ6vGb3VgofEZxP3box+DPcXYlJW +X+ShpD5/g5I/T0Dp3F+jK/d5SG+9bVb/iXQYipXw5zzkoa92WovE8tPB/qzq +ap0EHtoweeNC/s4MOCP5CM0/5iEPN00lgU8ZUG+tMav/jIdWORXkPP83E5yv +fPomUcJDp2yfFkzPZMHvbcI/5lk89KPXemmm3HOoserLaevioQh7MWcrg+eQ +8C26/Uk3D7254CFXSnkOFa3xQVp9PCRx5WB4gFY2XLT+tvfICA/VhXTbLb71 +AuyC7ucJ/o+HNPJWLl4vRgVKhY42YxmBPqrVnW/dRYXPyPXOueUEOl/kV3nb +lgp15+yICQkCxZQOeA5RqXAvNvnw3EoCjVfl92YffQlPlmnqCskQ6AnrUNE/ +8XmwUqu8uUqRQMv+Bp8x2VAAF3LYoku0CZTkZmOvalwAW/zOLLbbT6AtTNXz +K68UgFWI/bPcAwTSye260Pu+APR6lGoNgUDluYklZWqFcKdfafCSIYG+W02+ +O9JWCObJ0lQ/cwKdyHnUfHX5a6hOUhvLdSCQkuXUeO29YphzrU5rDiOQXKCJ +9sWnxWD/lD7wNZxAkvkZ95aWFYPmCvHlS+4Q6JeYlZrlcDFI2d1T148kUEdV +2dX+f0tghuRUv4ghkP+u4D8/R0vg7vujvnqJBGpbsWaNmm4ZePj/0CnPJpBv +2z5I4pbDD8eoDIE6AkmolYx+FKuAnWvktrOxs2J2Rc+vrwD9F5miL+sJ1Gmm +0m1vVAHC48nVxxsJtKN5zVWlxAqIff3BKLmZQAMNP7Jfa1UCsX5BbSmdQCbV +zyVa/d/BN0lDfe9+Aq0tXtkvKIjgP0psVDiPQGei561HpBHEac1xDAgCZTqN +NterIphamRElSBJIVaq8/N4pBBJLVsoF/CSQvs/5BKlCBAV5h3+5zBNIM9Um +m6JeBTUOEgabBUnkYJ99Nqe/Cmbid/VsFydR6bBBS/uBGhj64u6UsYlEbNPg +mRiTGpgrFB76V4FExKsP0mbHa6AgynC0A1srYJ9D48UaoA2bRvzdTKK3q9WJ +qoc1sLx+eo+NEonKDeU2vR6vAVZQwqPpbSR6lz19lUKpBcfPwd9bNUhUcylt +ne0kDS4b+SsbGZDozYS7uz1Bg5Ti1O5y7CxX7coLAnUgry6kqWZIonCXLrsr +a+qgp9Hnm5QRiUwdVyaHHqgDz2Prjg0Zk6jdNlzmVXQdJI/Kb3M9TKJPpv9J +Ce+sB3b4icdaliTiKR9ckf9fA0zTpXePnyWRzrB+Q5RfA0RyEict7EkUkWYa +6BraABLrfczLsaXXWP9QfNwAQrSt4pHnSKQp6N6eTGuAfUk7r29xIJFfb1Lc +3XWNIKLvuOOIE4l+x3FXOH1shHznF5G2l0gkNPdScp1SE8S1UR3CrpNoXav+ +LKg3wVOyYD0De8/TbpbTviZ4FRSaoOBDIudDoimvjjbBSXPZ6vfYjY8dlfR9 +mmDn1wfCs74kitOW1XZraILJzj5LS38Srb8ZcaHMrRmkqvOm+m7j+f09X2r1 +qgWaRjTSD94hkdnRqhCjty0QyPUICsC+kLLxmFZNC8THrt1Wjn1fq/+LHLsF +bFminbvvkmjC47Ts8EILDAivuq0cSaLUwRM3PY+2gpdPoYPgPRIJ1hzWj5ls +heLpgZmYWBLJSFIlAolWkAiRmKJhq9kv6fUUaINFC1HF89h2vxquWq9pgw3d +tAzXOBIVaxg9X3egDXZsTv4J90nk8gzEqPfaYNXBW29HHpCoJWxPW/32djht +/2upaAKJtFc0Cz3Y2w4ngs8k7MfOeeygdVq3HZTWJn72wA59FZvx40Q77Aie +be7ij+eMe8sEtwMtcXl7ViIevyNt7aWudvBbo1K8O4lE+hMhhYv1O2Ag9E2G +UgqJbqi1NbmbdEBlSS3zOHbhVdnRDrMOOFiS0R6IveFPgWyKTQeIWsjJdWPP +reoLUf+vA0pE/EQiU/H4A1onTiV1QMD3Bb3+NBJtvP9tLneqA/odrXj2GST6 +4BCY+3q2A6xMOtNDsO13r7at/NkB6wva5LKxU9nab1uEOkHv992QSWwZ+Sif +H9KdIHkayfk+JdEq6tbZPdAJfWYqN0MzSbSI5jyJ4jpBy2za40YWzo+EuZRG +Sie0LhkxfYRteDHmSOfjTiCGjLnF2CFLS6jDzzrhJ5VS8wP7j4WI26K3nTD7 +aELnwnMS/ezLHD082AkTB9fV6mWT6Bs50M/aRYfSE65n+l7g++BiXM1hTTo0 +su2ySeygLp1stJ8OywyY71bm4PwoS/sv15gOXlXpx4yw9X1O/755hg5RHl4S +VOxkLlt2y106sMYe/nXLxfHtFLGQFEOH8uZm2WDsXcy9Q8vj6TDeSxFMwq5/ +Q8kh0+hwc2atdh32jPdxreY3dIjUyLSQp+J8n2m19h6gw/V1TxRo2Ksdbv37 +ZYQOTFGz9Rzs/g7V9We+0sFIMGL0G/a1wnufjXh0qLfq/bzqJYnSPQ55yy5j +QIdJyQN7bOI7La5KkwGHQszQJHb12WtX9x5gQINxW+4CdnSrog1VjwEirs0X +JPJItDk/ZAPFjAHDU17G6thHL+vmX3RkgESVSOMV7KyvlU0SsQwYH69qHMG+ +8uiY4qV4BuxmCHr9D1vL8HNAXRIDEtROf/uL3ZS2dOfNZ/j7+/dOyuXj9VrY +xk28ZUC84jGxo9gVC5NfDD8wYI9Ilb4tdhg1SDejlgFZvwqPOWPLiOTMnGxj +wC1t46Gb2DplhBXtMwMqLZ6O5GKLOUXlbZxggKGXT3ExNl1yw6KAKQa8adhl +g7CdLxmV/jPHANbXCCkmdrQ8RSZdgglZ5at957G7Q//pu7GPCb0uzhy9VyTK +3FW3l6XDBGuOxadD2Jf7TsXuMmRCs9/IG0tsAa0g+GLOBKjc3X8eW/lr21Nr +Jya0e7/bdAt7OtHxV4EbEzo/7q4Nxa4wII4v82BC6uGifVHYR9PkRWpuMMFK +7ntmIvY1i8su6nFMSNKgXszH1ln4+yGKwgQnm4SXr7FFqfFrxx4zgXpuuLYM +O1m4sjE1iwmPjrV7VWM7FZor/MxlArsyXLQeW+3s8A2rAiZ0r2n2asKuLl2y +Y2kFE6Y01Wl07KgLaaHOCK8PnPLY2NaS/3yqojFB6421Ww/2uNupGN92JnxZ +iHcYwn4tPTlKZzKhoP1n6gh2QG2gjloPE6aFjPK/YEvIv/gxMsKEAWVk9h1b +92Ro3+cJJiSG7y76ge0dd655eIoJpywtp2ewsxr3lw/NMiHPVGEpF5stKPNi +8CcTehTz/vCwxfZzKQMLTPhE+dVKYmtf6wjpF2LBLRMpvzn+eeTnefaJskBv +SGThF/aTsbv2n8RZECRIP/Mbu2Ojs1nvSha46IU/WsAWstXT7pFmwbfdKvl/ +sPc8lN/avY4F485VaX+xXZrnpDibWCBrb+smUECiJBG2cJcSC6TTfq0QxG46 ++HqGtZ0FTml5D/j+7RM7wFRnwTWG7xTfaoWXWhl7WJCX76QshH1+wriSvo8F +zpSAfXw/3KyY26nDAnKCpsI37fTfxA4DFlQsPTTD/z1B6Q1rN2WBwFHJRL63 +tpV5tx1lgZagojTfdqKU863HWdDiFenFn1+0rqd5iw0LDD4fyeHP/8MNswPN +Z1iwn+JdwV/f9Out25scWOD6flEOf/2bJ0VkPrqwIO3Bci/+/lgrDS1qdGcB +5+BDqXnsCPv3s/WeLNg3kZLA39+3jx4P1V1ngWSJxgx//792XG+n+bNg9J39 +VoIfvwZqL2vCWBDVmbjtf9i3by59XB3Jgts/X8/yz7uwZCyiKpYFZXFhj/nx +sGZruuOHJBaoirj6jWMbOwRYvE9jQXVrZNEotl+yjc67TBbYDAbVD2N/EpeU +q8hjwZS/RNgnfnwZT4qWF7FgeU2xajc/vgIbeWWlLPDoOFbE4sfTTFBnSRUL +UkJDzdv48cGeuVvEZAHqqOh+z4+PFW0+hd0sOLl4yLGcHx+mVKeCfhZc5u5r +LObHQ6Wjbv44Xn9UpspL7N/pDDLnNwtCzypq8/NTrbtg9IUgGwJ0ip/fxz6/ +KpqRvZgNUe7F3/n5TAszLMiSZENZzKbVgdjRbiUuGVvYoCXsV+zM3z/d/LvL +trNhyK7byx7bROY51UedDdHPqIts+PHZQJky02ZD27GaQhPsG1uu+cwdY0OR +30cpFX5+zLsnOZ1gg+h0/p0N/PkyLlS027FhjZpZhxT/vIOt/jx3ZoOpY8+o +MD+eBzQijgewYceAtmI/vt9opdtz3gexIXTm6xH+/bc5dnPT1gg2yPelHmnC +7juwSuLvfTbU1m3rKMG2Tp5JeJnNhmeLBqaisPVOFD4XobOh/5Bd1E7sJ6o5 +DR5dbPC6Z6CigP1bOGOi5xMbLuWVp6zBfvs6bmfRFzbIOF9ZNo/vfzVJj5Kz +C2w4pD66uB57ffPOutKtXVDab37dGpvQfTniGtgFR/p+uVrhevQu61uKb1gX +rObI/GOAHSKmahUR2QVD7j1sDWyJjrzqZ/Fd8NHOoXIltvK5V0/6X3TBYh3V +iVZcD0/eKjpl3dEF2hnSfYBdUl7WAgockOfaWizF9dZf/meouTIHeHJFHB6u +37rB/+4/u4MD68zdYQi7xbQ8138vB9RebMwpwx7pqogoOcSBwl7lL47Ya4j3 +uju8OZBy5dGmN7hfuKZBK5au5cDyQfcX2ri/cNmzKeNBIwe2jAYRG7FPad68 +J97GAY0tkxsWYe/X3uMo1M2BMTQw34b7EyH9rBVTUxy4bjk7dh47zjLsUp1c +NxSn37hzC/czVE/DTde8u+FW7LkNybg/SvXOWPbDtxvsGNlZ/tgx134Tbre6 +4cHg8kWnsT39ilvP3e2G3H+srNdhawVtCTjypBsSc8/vTMb9Fi1OhL25qRsy +K7Pb7uN+bOAV7V6nQg+IJwzyHJ7g/PguMqmh0gMu5geu6WBXqRqZUVR7oKia +1iaHnUGtE7fR6gGPHZ4yDNwPOmbXx3wy6wFf7w9/9LDH0hrjvvj2AE0lcZ0s +7h+/R7fEL7T2wFYh/bd5j0k0f4mZss2/F5SPFwddouB40zz7QC2wF2xUf23T +w84THIvYHdYLkZYrS9Zi2yeRXgdje8G6psqTFo/rWZ2cqWVmLzwND7q9HvvO +JgeuX1MvvEo4Q9Tj/no1+7tZo9wnUCl5998i3I/v0BP941L5CTzWchS34X7f +JFPhYtHafrBQrx8exO8LX/lzm4fODICg9KrAp+4kUp08sazr6CC8SDNt+WqH +/2/FtBjt1yBcZH0wZeL3lwhKKVBMHYLpOeMhPfy+c99T2b3kyDCuf3eHfsqS +6OUhx4wPxDC8ty/uXyeA32Pf5CTHEj9DU2lP9bYxApVYmQhTDEfg7LOnKkL4 +vRxoGp5ePDYCnfq34XsugRT6DnOehY/Cx15tZ0oUgbS1wiiyGmPg+t1Dwt0F +v483tM8JMsag52rPuUR9AvGaYoY9g79AYfa126dlCVy/7K8d3zIOsw80k29P +89Dq/pJMIdo47P+w7+/qeh5adCvYep/XBIgbTnAOJvCQQK59wfCqrxDgnSP+ +0YmHmm3FH+emf4XTe8VtN/zLQ/8HFuhMGg== + "]], + + Line[{{0.8326278053438486, -1.325784614887171}, { + 0.857185856498624, -1.3090809470332034`}, { + 0.8767710559935172, -1.294722972942509}, { + 0.8980073105789717, -1.2779522423710485`}, { + 0.9178333957651912, -1.2609837794314265`}, { + 0.9372697197154413, -1.2429104683982437`}, { + 0.9583570987562524, -1.2214244106595422`}, { + 0.9586645551569021, -1.2210951628916933`}, { + 0.9589720115575517, -1.2207654252934292`}, { + 0.959586924358851, -1.2201044728387371`}, { + 0.9605078043715446, -1.219110930108754}}], + Line[CompressedData[" +1:eJwBsQFO/iFib1JlAgAAABoAAAACAAAAPU8UVbTB7j/+vJsxpn7zvxa3X451 +++4/H0OWS79e87+jqMVW+v3uP56ObONTXfO/MJorH38A7z9xUTrP51vzv0l9 +96+IBe8/eySDlg1Z8798Q4/Rmw/vP0Rm2YBQU/O/4s++FMIj7z9tMkqgr0fz +v63oHZsOTO8/1zGuFpEv87+InYVg3k7vP05GNZHRLfO/ZFLtJa5R7z8+TQbZ +Dizzvxy8vLBNV+8/YUKch34o87+Kj1vGjGLvPwsB9AImIfO/ZkTDi1xl7z85 +r1ekQB/zv0L5KlEsaO8//gQIbFMd87/5Yvrby23vPzqFyTtcGfO/1BdioZtw +7z9Lxs3QThfzv7DMyWZrc+8/J7eXZDIV879oNpnxCnnvPzTWh06+EPO/ROsA +t9p77z+KD5IEXA7zvx+gaHyqfu8/bflgvtML87/6VNBBeoHvP51xRlgXCfO/ +1gk4B0qE7z/3eFneDgbzv7K+n8wZh+8/r5dQBYwC87+OcweS6YnvP77ScTod +/vK/aihvV7mM7z8TcOjPnvbyv2UZt0tJje8/tIlPzZXy8r/Bdtvy + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVxX040wkAB3Bpdbvjieoptyl7NKR0Kq3kyn15FBfKiZS8lHfpueikN4+k +x0sil2t5yUJeElMU02Xl12M7ahR5S5iXtdaG2H4SI9zdH5/nY+gffjBIU0ND +w+k//+8YJH/7QnHol0qxRNEePwGKHT1igMZCjOArJdthAtvysjhVtD3Ike62 +uvbTBKRrjOLOmRxAaQEryJM2AafX7x7fobnjdGjd3FbqBIxS1xYvMDwxvH6D +z+w0iW+OAZl+Jr7oeqpkG4+QOBw49fwvWiAuugsPB7aRENrRnwg4wRgLnYum +N5LYwrSu/MI4AdPnIUF+z0hQJVcKPExOodi0jOV6n4R4c5LdddoZzPSpb7vE +k2Dn/fjC2CsK6bd9jk9Hk3DUKdtdxzmLu4F7eN2RJGrGmrePMy5gi1aPh3kg +ibQHK0xdTS6huyGR89qeRP4ql8RrtHhcsKGkiJeTiH6dKfSojcczmWNFuRYJ +j4RBTaZXAnSSrVxuLCGhPXk6ls9JRBqZez5drcL5Dvb5UUYy7OdU40ESFVxv +9oQdMEnDk7uOIy01KsRRq9Yn09gYr5yx6ApRYaND+tXHEWy4b20dbfJXoS0h +XNHbyMZ0qJ+TyEcFo8WbuOZnb0FleNlg0E2Fhrki8462DERNhJaE2aigPZGx +nZGajSiHv4900lXIEl+0483nQm22j7m6Q4kjnncrlKoirLKdvnHJTYnxXvcf +CujFUC92TlC5KJHoSw1ysytGaWa5OthZiaqAcHoNuxiRC/57PfcqseyUdUK0 +5T0EPeMVHrVU4p8r748ujSnBibMafJG+Ehbly5euoZZh3c72ovDmcWgtxHk7 +GFSAl6JoTdYYh7HrmFyQUo2yvE7LwrTPOPfGCllfnuLkq+ecwDWj0Kte3r9o +EQGDey21013DqJHYNbfsrgfX4GW+ZaIC9WF39D1HhTg5+/SwwEGOSRNrnQe/ +N+LWLoMh/fWfoKnm6uobi3BH5LHDefIjdiwcr3F72IxN1fZUM7EUzfGsNw0b +W8DJJdlX33wA48aIunSsFRu9CgeDyyUYmRro79zSBq7ZK2er/CEUDfNFy9La +EfOwVjc9dhDL1paMS6UdOFBiq8tq6kfqCV5wvlEXFvwu99XX9eGrDVcaGvsO +tqNyjaTEHpyxEFavFnTDu0ehaIrsxsBDYcpbwx6wqO58r21dmA3ryNlwsRew +3LeXNtsOM9vv5oP5fRiYoQbryN7CocAw5JFePwKykxv1bFpwbu2xdUPeA/gc +8I3SPyXCptFDWu/2D4LpwjS6X9uAlTpKqnBmECVh13/LWVkPCpFTweQMoapk +l0/EUT5Osvjvv3eSYH6KbIzlPgJ3n39+3VcJXuYr6i/N5GFyhK4ry/iAeGml +xc2dkQTPzWExe48UDAEnitpwj4j9NSGvWiaFnqCRspnBIwzFjt2FCR/BN+UK +rOV1xM+W8WyahQxVnfa94mEh4W3Qol7ULsPIbCQ/w1xETIquSyLiPsE594+r +zdqtRBbF98xBIznCFYf+JD63ESv7eQWaQjmMoxmy+cFOYklMnLvVaQUM5Klc +is17QqPUt0KyYhj7vZKYxzL7iCZP7ezSvGE0hLS82lU5QPwLlJ1pug== + "]], + + Line[{{0.8326278053438486, -0.496987607235096}, { + 0.857185856498624, -0.572355219081552}, { + 0.8767710559935172, -0.6390851060268861}, { + 0.8980073105789717, -0.7204147652265459}, { + 0.9178333957651912, -0.8076408025074059}, { + 0.9372697197154413, -0.9069206516449542}, { + 0.9583570987562524, -1.0308883104481381`}, { + 0.9586645551569021, -1.0327778068498303`}, { + 0.9589720115575517, -1.0346678301852346`}, { + 0.959586924358851, -1.0384489629631997`}, { + 0.9605078043715446, -1.0441122782885224`}}], + Line[CompressedData[" +1:eJwBkQFu/iFib1JlAgAAABgAAAACAAAAPU8UVbTB7j+Eid2FvsTwvxa3X451 +++4/Jw/rxDp08b+jqMVW+v3uP/SAFu+2e/G/MJorH38A7z9LdmSTLYPxv0l9 +96+IBe8/TMh+PQmS8b98Q4/Rmw/vP8mnZ35yr/G/4s++FMIj7z++wwVyzOjx +v63oHZsOTO8/GeACpnVT8r+InYVg3k7vP7mGM35nWvK/ZFLtJa5R7z/h+6im +RmHyvxy8vLBNV+8/a6dMzstu8r+Kj1vGjGLvPwKI5+nsiPK/ZkTDi1xl7z9u +1g+lRI/yv0L5KlEsaO8/aQjCe4mV8r/5Yvrby23vP8kHzjfdofK/aDaZ8Qp5 +7z+XV/9I2Lnyv0TrALfae+8/xCnx0MW/8r8foGh8qn7vP83Zdra5xfK/+lTQ +QXqB7z/zj9CFwcvyv9YJOAdKhO8/nddPnfTR8r+yvp/MGYfvP1qFw7SA2PK/ +jnMHkumJ7z9bLNHN1t/yv2oob1e5jO8/ufXrBxrq8r9lGbdLSY3vPzk2o52s +7vK/N5bfUA== + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJwV13c4lu0bB3Ak+eFteDMjKbRE9coKpxWyIiMK2UJKESJZKZVVpKyUJFRI +VtYlOzwLUWRklD2S+0al3/X85fgcjuM5z/ua30vc8eJJFzYWFhZgZWFh/tV3 +GWfUTlio8camk3LUJcSuJew9KCQHC0kTK2I/ltB/GY/S3gppg0TAmwkqC4FG +RSTC/KWM4TEP+6cf3AQyoPQUpQuZg+xYpLwGH4EkokWf/xWzhqSchAAnUQL9 +1nd66CBlB5eKam8WShBo8kZXg0OSA6hlqrLZ7CfQKWey+r6QMySI8sXdOkSg +Bi3hsvo0V7Ar97qrr0Cgg7tUC3+KuYMc8We1RIVAnMPhmZZSF+BpUFhZqQ6B +KoY6KPXm3uC2sDpra0AgbxNnfZukS2AxNtbWcYJA/bK3tGKEfOHHxkWKkhWB +EjMEayXPXIHPInnicjYE0t+Up1KT5gdcivltPPYEKp1tPzIndhUOqaZ3O7kR +KPY17x5TqeuwwcC7ZNiXQMdEs7Im3ELgF19PFU8Aga43pWx+bx4KQ6utX3cF +EUhOXjrGOikMTpvtqxANI9ATvhM37wjdgMgd6xSsogkURHnYYFlxA4KHNWLZ +4whkGTnEtutMJBwIWr6QdY9APEuXQirTbsIgGM3XJhEooCsxYFrsNojJsv68 ++oRApgm9HsZSsfDCZg+pWkQgaYNducLNsXDkhvlHs2I8PuvOf//mFgdOhGW7 +YymBzr5be4PM40HtsnHX+QoC8e2Nl7FKugeZCrOX5OsIFMb5dvdtoUSQljuT +V0cn0D7de1FF3okQHBF1+FkHgToiL070NSfCsOQDj+tdeH7XSb+U8XsAzxbl +vSQ/EajpT5ZMV0cSKLOUcykN4v4Xk46IRSdDYt/j9JhpApUcupKkN5IMRx44 +yEjNEsjO24y8pJwCT5yk2CrnCFQws+ldw3gK5PSXV37+QaCT41EqHsfSIHck +xXJmmUCP+gO1StYewzl24+hAdhJpiFhnDVhkQO19OmVgPYkmTyus53ydAXr+ +oWrqG0i0ffqq0+sDT2Cw9Xvv6v9IdNFdXpLlyxPQWps7YLKJRLzOBTnPFTPh +neD9jgghEllZPy2YX8gCyQ8ij/WkSTTXZ86VKfwcLm2LvW96gEQ37ThdzLSe +Q5ThiR9WMiR663RRuDTxOSRH+613OkiijRdUI4MUsuGjH23BWY5EjeGfT3ME +vwBWwaP2u1RIdPjVFg4RzjxQyTi6+5k+iT7INNpTDuZBdC5qCzUgkf2bgMrr +1nkQc//OcVtDEsWUDnp/zcsDI+sTfbzGJBqvfd2XbfQS5n2m0r1NSfT44/E3 +hxJeQaHWoeLlUyTi/htmo7u9AHpyGTv1nUn0yP2UnbROASSGhaSvcyGRRJe0 +/ZYLBSA6cVW1Clstt8epr7oA6rtSFve5kehdblJJmUwhhP4657TmTqIZs+kq +A2ohfHeYfnT9Ioksch62+fxTBDK7/1czc5VEabbhhq7iRRBw0CQ5NpBEw7xe +FKsjReCV+U+DTBAe72BNmqptERTOafJ4XSPR7ZMzHRteF4HUqEXk1+skqv6t +2Ztq+Ba4zWbW8iJIJGk6O15/txgO5NgXNkWTSDhEV9ntaTF87tXTOxtDos2v +n9zlKiuGAvLJfyT2KqeZjOlwMcxuyOKUjCMRvbbMZ0CxBOTqA5sD7pEo8GDY +2vJYCfhC8HnWJBJRN23dKqNeBsS4a3D6YxLVq3q5MCzKwKNMWG9nBonKPZtK +fT3LwIH2Oywb+1lzgHVlUhnc+6um8/oJiQJC+h/rzZRBz551ksWZJNo5l73X +KaUcdrUGfnqRTSJ/qhI8+vkO2uLHCvbn4/UgUzL2gbMCgGgkHmJnxRyM/iVS +ASOxtxjrCkjEMNz92e5YBbjbh2n3Yu9v2+ojmVQB/GhEOvwNiQab57KLFCpB +blXNvaaYRLrvn2+kBFZBdU7wlakKEg3sEC9Zi62CF9Ya3AaVJPINTTtz8FkV +7D20opGH/RQSchLaquBqYVmCWxWJflWHap4WqQa+5fMd/dUkKqg44/e9uhrC +zdK43tWSSKB4ywArK4KexcJS6SYS2UT/Mh/lR7BF0VIsCjvTeaytSRpByORL +iRFsab537+5aIdhgMsbxqJlEmn72D/gKEUQUdP33p4VE8mmnshNlayHLqTyk +pI1EDnbZtjkDtaCcPaw+RCfRDf1tyckTtTCc4z4tyyBRtnx8152ftbD8zRFC +sKc3Bhp4cb2HSmLwi0gHifyQkdJh+fdw2MF8zayTRNE7lrZWxbyHns9CIkUf +SVQ6rNVOU6mD9amH38r2kqhbL2whRrcO0rPMHvpgE/k1/IYn64DGuCtThq0Q +pOTQ4lYH7myH/FX78Pz/K0vU3q+DGqdmf50veD9oC+8oGq8DMwvaOf0BElVl +z/skJtaD4s1PsduGSfSFRyb5ZEY95Cs+17LE/n3Zs2ZzXj0IvncrisdWhW+c +MageEqyTathHSFTT05ceOVkPVlVsDyewEWdzs596A5yairicPUaiOo/0bdbT +DbAjzER4dhyfLxOennZEA8hzHOMSncDr55xypRNLI1SoGdQZYEe69py+sLUR +Rtn57+Zg6zluSYlQaYS9HjYRZydJRLOOFMyPbgQliZH99VO4Xz0vvnUHmsDC +N1LNcZZElOajLpwKTXCUkPe+g12jw1Xyj0YT6MuteBVhZ2i/MBe0aALD/VJU +1jkSOap/TTgQ3ATFYZYSj7HHFSx4rShNIGusq0GZJ9GSlOqm117NsN/hJgvH +Ij5fhjWb7wQ0w+ejXo37sG+m64Wci2gGPxZL0xPY/FvN53YlN8OnHYU1D7Hl +WT1pKQ3NcPwQ76LkT7zf+h7FRW1rAVL9/IDCEh7fuJ+bnD+0gLrbRNJhkkTa +BqvNGl0tkODyOsAEO5qDJVRssAXat2hLXsAWDeae7/3ZAtdvnx7MwVbz2Ek3 +3fEBHsao9G5bJlGItkk8+H+AhBc/2Jax2VZebt4m2Qqc/IO9t1dJtI2iuQiy +rRAuYVyTgS339PNHZ6VWOOt/zK8E2+X4htR8o1YI+iviPITdkuwoqenXCk61 +qUpyv0gUpyyk7N7cCldGyS+d2DkbC0ViGa3gbirB+x27dljnb1FfK7RbbRZd +xf5xx7fh91wrGJ27XCz2m0SWfTTjeME2mKedmzqHLXLtplOZexv0lcrdWcQ+ +YiKq88UH/9+bXYL9D4mMJYr3sF5vg0b18sSt2CHtX2f077cBB4P3lxz2sIhq +wEBFG2hUXu/3wc6tXoxez9MOiZYnOSex6+7dubiPvx3Ga6MEl7F7XcRPntjR +Dg6WpSwcayTi2XhCIFmuHRZuKVmLY3vb5T2Vtm2HizL50pbY8n/tS83y24G0 +jfUsxzY0qg0/Vt4Oy7wjLfXYTqliJxTq2qGoq5SFhh2vMPBduLsdLtzhZxnD +nrh4Rmj4TzuYC5rCpr8kYqmpGOvcQAHVGcXLQtj8PMJFjVsoEH1za+gubM2c +T/q5khTodRqSVsBOG7K45m1EAfC3brfBLpIp0XM8RYGBwAufXLBbrm3lM3eg +wHzuOLqAvSTY+VrhCgWe6WmLh2Ibm5oMrqVRQIPYJvsUm7VOXzNmmgK+tyDi +C7bg5ryNIQQFtFL4DEewZez+1+fNQoVo6X0LE9inV5t9zLdSYTBQi05gFx8+ +9nybChX2fM4a2ciyjFpDsy79o0OFzZb9cVuxh6jr1P6eoMKkf5WgMPY/nnXd +w05UaBh6WiuB7foMOPPuUsHpmJeHIva1hcddqQ+oMK8If1SwE2DtSUwGFWwF +RL00sGv7qpQuvaXCb0eZ0ePY3XtFOJyqqfA8NXDKCHvaP6jDvJkKSpbsdFNs +oa3Knop9VKi7yHrIGvugY7LCvjEqjGvGvLbB1ilcXicyR4WA0Fsb7LEvG5al +/WWjweQr0swVOyqF332BhwaHKyJ13LEzxq8cGeGnQcuFIv7z2O035KhN+2hw +OknA5BK28qY2tntHaND6yGjaBzsn2UHhjDoNWG9p2fth80sse0oa0OD2Rc5X +AdgR+bFP5ixo8OLkS1og9ryi5Md39jSw2Xm07Rq2XX3l/2540iCsrunxdWY9 +o5Nqxn406OG01Q9l1vs0flkwjAb81TzUMGY9x5AXw3dpQD4a3RvBrDfD9+VV +Eg1M9RZtb2Df8H+12f8pDXa463pEYi+wah3TeEWD+LtzxjeZ9aI/X+Uuo8H2 +w6vct5j1+L3zP76nQQrjylOmlZ9yjGS000CO4b0pillvf7qARw8N0u+sWDDN +X/qfodww/l797VeYvqHeGro2TYM9xjPnmf7Ral/SQtIgsMVXlenCvF++x1np +wNdf9435+9/ndOLyOejQlzl2juntR+7n/stDhyu7puqZ/ZkH9tcHbKHDtTNf +SWb/d9GegX5+Ongpd7MzXcfuu6wpQofmzJ5J5vcvH0e8OeJ0EPBYzA3Hlo3j +OvDPbjqwWStoMsfLtctC97I0HWz3v3kbgp0u9NSh5xAdDsRfWAvG7rKbDlJR +oEP93uCdzPnQnAgv5NCkg+qxBsIf+6oMtdVTlw7P2gVeXGF+j4/QGN2QDrMJ +Pw4y53/7WoFQ6ilsJx+GF7aF1q//WGzpELNRbtwDOzpKx9jFkQ7sqm8+uWGv +8PaHy3rRwX6YT9eBuV6t9qQnXqaDabJAoy2z33SfshV/OhiZc4meZva3m2u6 +PpwOPQzi9ElmfRUFC6tHdOiVtdjM3C/fw8IvVqfjfp/onWXup+3NlNs7n9FB +qichSoFZ39S5Zvo1HWaEnT0OYJ9zvbc7rJ4OWzgMzgsw1/vLLxrfWuhAbrCJ +28Lcb/O7bQyodBB5uSeGG1s7qCae7zMd+Kckhf7i80Asfmold5YObUHrfUex +axxCcosW6TBdXvm9H9vuv3+tK5fpsM/P7GgP8zzrVi5vZ2MAKBiHf2CeP6J3 +/Ob4GTB45Xr8K2zevD2LcsAAY3+R0vPYb4KqMlW1GbBwwEXaGdvEyOSkznEG +mPZIRZzBjpv3f3PKjAHPW5U6jmPzKDRfDHRjwPzfdFICe32DyzSKY4Cw0DG3 +j/g8znqwktqSyIBDb2wmWrG13WIMGMkMMJu9ZliLHc5Vkjf8jAHq6qsledhr +Juzu68sZkMXZx38de7k/c0x/iAE3+98KiGFPkYMDHw92QH++nok+vl9K3eLq +9OU7oN5/J00ZO7RHLRsd7QDvtY59+7H5y9K9cnU6wNhyKID7DzNfnvl9zaYD +fpfUebfi+y/lZ7eQRFQHvIlS/aSBrbdAMb882AF2XOs+8OP79F+HYMXvox0g +9C5giQ17gC4tYjPZAYbHl/7O4vvat/DuyLGlDqgYlypows64ePyyEHcnPHBy +8ffFJmYa4mrlO2Fbzt/SDyt4PCYrWzfGdkL0mVSZMzgvXHh4YpdHQifOT67p +WtgK2iNBjY864V1v4PR+7NZ0rgPXnnWC8HDy/t84fyyYWMdNlHeC/M4omVRm +HikjzBpGOsFyKNeokyDR54hD/VeVusCn/NMuaZx3Noq+mBsd7YJTXxKLwhZI +pG4Z0T8y0QUCHaO3nLEvx51tG57tAk2VbVq62N2sgi+GlrugUuuEJw/2429R +dl94PsJ3PruIBzifyRR6UDrlPgJhY92difObkZbMy7obH8G1mmdv3gzOT+4l +rk8kusF0p3jIeZwnJ9VfR3Hv6wb7wsS5Y9i6gs/z/GS7wXwkW1MMm605cdZQ +uRtWmyrjGTivXpXw9Vs50Q3lbvIUOWzXwcM3TwZ1A3zpblv6ht/rFoXP2Tu6 +4ewzXjbHUTy+6i9Hz4X0gDbYWo7ifO17uKGYv/4TXDGzd/PH+X4wv+EuQ7wX +zpXvJFwb8fvFoyt1b2Af+P+kV82W4PeSxoY118ovQA5uMePG7y/dTHG3NwID +8GHq3/eQgN9jomd3frUZBOOGhBSVUPxembbg7jEagiPmVgLK5/H62DTP2bA6 +BFJ1L7VXzUnEjlILdqV9hehzDqwdqiTylKv8/D+DYUA8oU3Cu0j08rjjkxpi +GPib3O7KbcD5YUp487ekEahO0IzPniJQiZnuukTtUWi6kLqFu51AIXqRGcXf +RmGs08Sm7xWBxPv1Pz2LHANaa+a6rGgCKSvcSBQ6/A0CVAvFa90IZLOdtsLa ++Q1qXVbyPbQItNQaM+wd9h3GfrAZU4UI9IjdzvekxDjENlDnSueX0L8DJZls +DePgBuWd+5qW0PrgMHOlSxOgIp50SevBEmLJtSsY5p2E4j8CDz84L6E2a57k +3IxJoLjxWG9XXEL/ByeD1jw= + "]], + + Line[{{0.8326278053438486, -1.3257846148843135`}, { + 0.857185856498624, -1.3090798052985682`}, { + 0.8767710559935172, -1.2947176707332428`}, { + 0.8980073105789717, -1.2779401605029133`}, { + 0.9178333957651912, -1.2609684969498658`}, { + 0.9372697197154413, -1.242901106977754}, { + 0.9583570987562524, -1.221424358534907}, { + 0.9586645551569021, -1.2210951270021635`}, { + 0.9589720115575517, -1.220765402048484}, { + 0.959586924358851, -1.2201044655255524`}, { + 0.9605078043715446, -1.2191109299741119`}}], + + Line[{{0.9611455594735855, -1.2184202136343314`}, { + 0.9681957035770405, -1.2106350486538098`}, { + 0.9685031599776902, -1.2102890420469095`}, { + 0.9688106163783399, -1.2099424676281982`}, { + 0.9694255291796391, -1.2092476004274502`}, { + 0.9706553547822376, -1.207850879686796}, { + 0.9731150059874347, -1.2050284382444867`}, { + 0.9780343083978288, -1.1992555537297507`}, { + 0.9783775220102351, -1.1988456565740784`}, { + 0.9787207356226415, -1.1984347296490294`}, { + 0.9794071628474543, -1.197609690812365}, { + 0.9807800172970798, -1.1959461092522685`}, { + 0.9835257261963308, -1.1925569789629435`}, { + 0.9838689398087372, -1.192126627214883}, { + 0.9842121534211435, -1.191694586730978}, { + 0.9848985806459563, -1.1908251437323065`}, { + 0.9856855120921404, -1.1898188752673777`}}], + + Line[{{0.9863232671941813, -1.1889951298365329`}, { + 0.9890171439948328, -1.185414072651736}, { + 0.9893603576072392, -1.1849432118735563`}, { + 0.9897035712196455, -1.1844682761105898`}, { + 0.989912426481681, -1.1841771413120892`}}], + Line[CompressedData[" +1:eJwBUQGu/iFib1JlAgAAABQAAAACAAAAxl1eS5ay7z9Oj98stO7yv/8qUnOF +vO8/pNv0enrn8r/a37k4Vb/vP/ksvypf5fK/tpQh/iTC7z+LMRWlO+Pyv27+ +8IjEx+8//EoWkNje8r/c0Y+eA9PvP+KchSqC1fK/uIb3Y9PV7z+SyZtoBdPy +v5Q7Xymj2O8/r5fRqHTQ8r9LpS60Qt7vPy7wA8AKy/K/JlqWeRLh7z86hEaI +KcjyvwIP/j7i4+8/Ti4ItSPF8r+6eM3JgenvPyES0SuLvvK/li01j1Hs7z+b +SMo54rryv3HinFQh7+8/Sj3DV+W28r9MlwQa8fHvP0e8c0N6svK/KExs38D0 +7z8PzDfrd63yvwQB1KSQ9+8/PQIM4Zen8r/gtTtqYPrvPypS3UVOoPK/vGqj +LzD97z8sIkA/K5byv5cfC/X//+8/M8d6aeZ58r/0C7qy + "]]}, "Charting`Private`Tag#3"], { + Directive[{ + Opacity[0.2], + Hue[0.67, 0.6, 0.6]}], + + Line[{{0.9605078043715446, -1.219110930108754}, { + 0.9608266819225648, -1.2187655718003962`}, { + 0.9611455594735855, -1.2184202134920379`}}], + + Line[{{0.8319900502418077, -1.3262008169579846`}, { + 0.8323089277928288, -1.3259927159225775`}, { + 0.8326278053438486, -1.325784614887171}}], + + Line[{{0.9605078043715446, -1.0441122782885224`}, { + 0.9608266819225648, -1.0460727687980174`}, { + 0.9611455594735855, -1.048033259307517}}], + + Line[{{0.8319900502418077, -0.4951314421465408}, { + 0.8323089277928285, -0.49605952469081943`}, { + 0.8326278053438486, -0.496987607235096}}], + + Line[{{0.989912426481681, -1.1841771413120892`}, { + 0.9902313040327014, -1.1837272193025188`}, { + 0.9905501815837219, -1.1832772972929493`}}], + + Line[{{0.9856855120921404, -1.1898188752673777`}, { + 0.9858125966898766, -1.189654728628047}, { + 0.9863232671941813, -1.1889951298365329`}}], + + Line[{{0.9605078043715446, -1.2191109299741119`}, { + 0.9611455594735855, -1.2184202136343314`}}], + + Line[{{0.8319900502418077, -1.3262008169608521`}, { + 0.8326278053438486, -1.3257846148843135`}}]}}}, {}}, "GCFlag" -> + True|>, "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>, "DynamicHighlight"]], AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], Axes->{True, True}, AxesLabel->{None, None}, @@ -242104,8 +293467,8 @@ HhRTQmmc7/h87vk/zfqMvA== 3.895748127259898*^9, 3.8958867871535273`*^9, 3.895887049241654*^9, 3.8960107298398848`*^9, {3.896011007597803*^9, 3.896011022207944*^9}, 3.896011101618267*^9, 3.8960111645479603`*^9, 3.8960112216447763`*^9, - 3.896011444532585*^9}, - CellLabel->"Out[81]=",ExpressionUUID->"fd622092-5ee2-4b58-be07-9bcb181f774a"] + 3.896011444532585*^9, 3.901787267537662*^9}, + CellLabel->"Out[87]=",ExpressionUUID->"389084af-88b8-4fee-aeb5-d09858c7a8c7"] }, Open ]], Cell[CellGroupData[{ @@ -242229,12 +293592,14 @@ Cell[BoxData[ 3.8956446588217077`*^9}, {3.895644723027869*^9, 3.895644724098425*^9}, { 3.896011718008932*^9, 3.8960117536123943`*^9}, {3.896011793368701*^9, 3.89601179362202*^9}}, - CellLabel->"In[83]:=",ExpressionUUID->"4f5fff9c-1edd-4b57-8e4b-5fb3cc0f6d0a"], + CellLabel->"In[88]:=",ExpressionUUID->"4f5fff9c-1edd-4b57-8e4b-5fb3cc0f6d0a"], Cell[BoxData[ RowBox[{"{", RowBox[{ - GraphicsBox[{GraphicsComplexBox[CompressedData[" + GraphicsBox[ + InterpretationBox[{ + TagBox[{GraphicsComplexBox[CompressedData[" 1:eJx1mHk0Vd/fxy/3HopCKNGgFKlEhXz1w0chyRBKqBCVlDKlQSUpc1KhQlIq qZAMIcXZmSpkSpPpnnuvmQxliAzP/q71O561nrUe/1hn7XP3OWfv9+ez36/3 cid3y8P8DAbDn4/B+Pf/jsOdtajLSue4Yknb8IIO0n9W1qpQ6WhY9JEpPcd3 @@ -242354,9 +293719,9 @@ RH35y+hWoP3TdevV9y9dGyVp//Rnm++95upxkvZPGXHDAbEa0yTtn4Sve6xK NmkD2u8sq6twQj2TJO1PbkSaftHwZsz4E1OpP0ari9uA9g/XRBQdnk1Mk/T5 XwKG85Wl+GbO/0CHjsovou1An9cjq4fPOLxlzJy3DSHHl0q85ps5b0ve1+4v 1G0H+nz0rGi2Vt7NN3P+FR08feNEOwP9D+hvK8o= - "], {{{}, {}, {}, {}, {}, {}, - {RGBColor[1, 0, 0], Opacity[0.2], EdgeForm[None], - GraphicsGroupBox[PolygonBox[CompressedData[" + "], {{{}, {}, {}, {}, {}, {}, + {RGBColor[1, 0, 0], Opacity[0.2], EdgeForm[None], + GraphicsGroupBox[PolygonBox[CompressedData[" 1:eJwl1GWUUEUYgOFdQkqppXsp2SUllu5u2KC7a3cB6ZJOxQADFFABJZRUOpWy CRMFlRQULEAF65nDj+e8Z+bPvWe+uTe6X2p8SpqIiIhIHou83494howW/fVv DtOQn3mLOZTjAq8zgU4U4ytWkkpbahCe8TFLGEAjyhNNWj5hKQNpTAWKk470 @@ -242372,10 +293737,10 @@ TKY1MxjOVDoziAnUpytDwj2kBYnh/8NY4mhFJwYynto0oQO9GUUl6tGchPCe jKEatWhMe3oxkorUpRnx9A33mKrUpBHt6EkqFShPOcoSSwxlwvdAaUpRkhIU J5piFKUIhSlEQQqQn3zkJQ+5qUINGtKWHqSQizo0Dd8XfRhNZVqSxADGUT18 wwxmIg0YxhTaRN7/33cnmSj+By7Yoqg= - "]]]}, {}, {}, {}}, {{}, {}, {}, - TagBox[ - {RGBColor[1, 0, 0], AbsoluteThickness[1.6], Opacity[1.], - LineBox[CompressedData[" + "]]]}, {}, {}, {}}, {{}, {}, {}, + TagBox[ + {RGBColor[1, 0, 0], AbsoluteThickness[2], Opacity[1.], + LineBox[CompressedData[" 1:eJwl1GWUVWUUgOFxcGiGlIZFSikdSncjMJSKCAydg0h3d3co3SggqIAgHUo3 SoPS3R3PWfx4zrv29+fe++27TvrIqIj2H4SEhCT0CLqfCcQ0NNFXbKUUd/mV wXzCJRbTjbqk419mEUU1PiOUA0ykKaX5lPRE4yCTaEYZcpKBDwkjOjGC70Qs @@ -242387,29 +293752,1272 @@ rPNd+i0v2Mw4UnGcGbShEvf5naHk5zLL6MlXZOUc8+lEBI/5g5EU4yar6E9D UnOCmbSlMgW4wnJ68TXZOM8COlOL4tziFwbQiDSc5AfaUYWCXOUnelOf7Fxg IV2oTQlus5qBNCYt//Aj7anKA9YyjEJc42f68A3P+JMx5OAii+jKW3ZQhyds YBRh9rBHS3KHNcRxNkhDdXfI+/dEpL5kC+Hm8RpD9+k7Fm6B5Q== - "]]}, - Annotation[#, "Charting`Private`Tag$69703#1"]& ], - TagBox[ - {RGBColor[1, 0, 0], AbsoluteThickness[1.6], Opacity[1.], - LineBox[CompressedData[" + "]]}, + Annotation[#, "Charting`Private`Tag#1"]& ], + TagBox[ + {RGBColor[1, 0, 0], AbsoluteThickness[2], Opacity[1.], + LineBox[CompressedData[" 1:eJwVzcVSQgEAQNHnN1jYgt2Ogt3dAWIndoHd+eceF2fm7m44lYmns4IgSJMt rtnimzk+uGCIV05Zp5MnjkgyTRt37LPMOH3k8D/ZZp5humgnlzzyCVFAIUUU U0IpZZQTJkIFlVRRTQ211FFPA4000UyGHRYYoZso9xwQZ4J+Wrhhl0VG6SHG AykSTDJAK7fsscQYvTxzzCozdPDIIStM8cYZGwzywglrfHLJL7O8c84Pm3xx xR+uLiJ1 - "]]}, - Annotation[#, "Charting`Private`Tag$69703#2"]& ]}}], {}}, + "]]}, + Annotation[#, "Charting`Private`Tag#2"]& ]}}], {}}, + {"WolframDynamicHighlight", <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>}], + DynamicBox[(Charting`HighlightActionBox["DynamicHighlight", {}, + Slot["HighlightElements"], + Slot["LayoutOptions"], + Slot["Meta"], + Charting`HighlightActionFunction["DynamicHighlight", { + GraphicsComplex[CompressedData[" +1:eJx1mHk0Vd/fxy/3HopCKNGgFKlEhXz1w0chyRBKqBCVlDKlQSUpc1KhQlIq +qZAMIcXZmSpkSpPpnnuvmQxliAzP/q71O561nrUe/1hn7XP3OWfv9+ez36/3 +cid3y8P8DAbDn4/B+Pf/jsOdtajLSue4Yknb8IIO0n9W1qpQ6WhY9JEpPcd3 +kLSxTUwfGHwMhpWdUQbbhkjhaf/9hkvTwWHzDrvf5iOkvEVfZ/HVbLCQNrAx +m/OHPFOlCTFDr+HVz3H+sDdjpFT2vBY+PhLejffsilT6S+Zw9SqrtYrgkcJ2 +KHgwQRYdu7fItrcEXh/JcQ8ZnSSHFbRF0068h8sWXqGHFKZJ/rEUsUXy5RBk +8vLEERUG2jR9IGfXi0oI2jwidCmfgSoD1KrK1lRDwJl58+do8yHZGz1jz/pq +oHIySTO0hA/1jLJbvqyvg9TWLsP92vzocfebcpGIz/D7faLZtnx+JLIkub+1 +tR44t4/kpykzUfjRV84PVn4Fs3Y3ydO3mGhEN6XVxe8bJOTWVOlMMZH3xpLs +BcXfgdhZpaJjy0LsFyVXa5c3wPNfly48yGKhv8fq764+1wg7NqocHuYn0Not +glPOb5qgJ+5hi54egQwfLj+SIdUC33wXrLtwgUBnljjIcfazYati7GTeIwIp +9VoJfzOlwOtmsc7D1wSSEB2YVTJOgZS47HpbfM0i76aviOdAYMwjUjCOQK5q +b37MNuaC+4vTnZN4/hQjpweFI1zw55esLw1jIcb/+Xswf2dQmHQAqD2OylBw +7idjms/pvZpKgObDzTtqpn6RG1PnCSye9RxKGxhfB/YMkxJff5p8kGkCuegK +nQMrCfTaM3pj+NFmYHZoMZ44EojtgPSN8lvgfObSbEYkgTrPBcYbTrCh7ZFc +5dhLAj2YlAszfUzBuQPDjgsKCDSnw6DppDEHvl78Hr72BYHyfFJKbv/mgEVi +782YMwQSJkW0Wx9wgWHuqPFpjIVq4nRs6rbxIKvwDrOTw0TNKsF616S9oXre +WaGr3b3knN+31WXDY2GAuCt4wvAXWXr5x14B32Q4K2aszq0dIoOXOQ6dLW+E +lg2vY/5IEMjrh2mMj3gznBxsGKIs8fryDVpNurTAzlKjuxnBBFpv4uUQncqG +ELPY27znBGr3frtD3IeCr5lapuvfEkjsb9yvRSs4ML/yzMqybALZx6/Y3Pye +AzmHUluSwwj0ZuyQ8OgpLvT5WhCucgTS8TK/8GEpDxS5i72XbGUhi6iGY2YK +EXBCaqfizucDJEOosTIBNQN3HWUS4o73r/C66i1hNhQZawvZ3SVQze7K45Py +FOR1Zh/qfkWgvUGhH0OqKXhc2+q+Ab/PrM8DpRUnOfB9g9h7qyQCiVur7jSX +5YLPlkl5owME2heQ51ZbwYUYocPJ8IGFnIqV1JrceMAeNPTWtmQi60OjBZHS +h8BOcPk8pkoPWTb5WLm+7jYomKTuJ9oGSRE37cDzGk/AZ3Pr7tHwIfJdqcx2 +i4eNkPy2UTxMmEBm19YYD7Q3QYZd0wclYwKlWYTaW+u2wImzU782XiZQfXYn +yg9gg56IXL17MoFC189O8T6I9aDXvmXxG/y+gaWT/SIcMOlzyzDNJVBGGtub +kcmBe1anJkqxniSkbnhdOsAFrT1iHlOqBBqys40oFuKBddn5MxkuLHS2Pvps +r2wo3B1eu+Oa2gDZVJDrN/9WM9Q3iKpmuxDIQFRRq5/bAh7zqNlVtwkkFxp8 +T0ycgvwX3wfvZBFocsvX1T2vKbh5NDOFjddTyG5R7X0HrM9gm0RhvP+HXfpD +T87mgo5yypErxwmUlcj7sjeHC82yp48Zc1lIOl1927e9PFhvkFsr+IiJItLE +FS0ULsLRDRNDKlv7yHDe38kT9WwYXfhhmzjWu95r9+70CArs84xdG/DzRDw9 +Ogw1OJDWrFn3NgPr/+qR6dfNHHj2+O7+BH8CHWzSfHziKhc6hu+ukRIlUHCQ +4bFPG3mgcV8num4+C11cNk+rW5OCq7NKX/bh9csp1zqq0EbBrEuLzwng9RUw +KssfDeZARanZHP4HBCo0OCO5Tp0L7yIVO2uw/plHrglu53AhvU5IeEsKC6V+ +WyHHucyD5EeeXU4N/Mj407fMe9K74cEtB3PTP13kSqZSivLpW7BvfPBmRcYg +mXXQXSYnOgnmxW2u+nF0iLSPGfXUjmiEK4cfn9IXJJBWR8zjtbVNYD4w8U3H +kEDjPl+cWEotoDRH0dfej0AKXY8GP3mwYUjiTGo81u/eCJXGJBsKvnN8ilzz +sT7K9zIbWBxQ3OB61ykPj+doi4snc2BUbFuoP95PMkleodSKC/nGhcrKWrhe +PttJvWPwoL+EL1LrHAvNGfb0exMfBGmn+sMEe/rJcimFH+Z+zdAsHmWgdphA +kRreuvFVLTA77Z6IezSBjFe0qcvMoiDI00XFMpNAVfcnbBenU/BQaCB5Nu5f +wg9ffg214oDzwxdN61L/3T/TVYFTuH8pTG7c4EUgh/U+ofdTuBBu3vHXuo+F +hlZurq4350HpnYW7VpYwUU5fpXq/rA8MJozpP0v4SbZI5Sr7Izbo97ZkXMHz +SUrdluFcoYDZyW6MxPoQzSF+bljHAUOFPglLrNfsqNL4ijoO3Mh0Ct8ShMdd +q60zL3GBiGdsnVhIIKcJ49/lijyQ51Og3iuxUHK+W/1vFQpUnyqfHckhUIji +wVjrBgoSYw7wUrA+BCP2IY4vByjTOUroIYFczL5k6KzhglXtf3xybAmUPiz3 +JvwrFzRuTx9UyGehYFPFlJazPFB/FREtspSJZnEvP9yj4Abb69Xeicr2knl1 +3HN6bhTEltvqZ+H55+mu/0NIc0BWJ6xUGj9funM3USbJg9rz83sE97DQWN9q +QnAx7mcm8z+U436q1Jo+9rqEAs2KS9V38PfPXhhU8eooB4xKfvUW4/6ho7mh +4MchHnid4SPv+DKR1z6j/IuxFBw4KOwlgPcnVUyPU63Fg48pPiHjE0xkFZT1 +iNKjoC3OPb8O66f1+ALN4X4K+OWv++pifdU4ysbywnlgGr5kOv04P1K9HxOf +Ja0PFRnl/wgt7SLrAt27Gt9Hg2W0d3fCnUEyyH7W4V16SWCd7tq01GaITOVr +D1INaATd764ySwkCqUmaWxiVNUGwwdjYVgMCLYv429qwvAX2PUyc2++L++UV +vrBvzmyYc0WBm/oY94OCrSd1duHv7yqSFsLvE3lcXqB6moIypdYkDn7f9Pxe +Ki6RAztsItWMYwg0/8SA3xFzXL8LQ4qW6+J6FqEayb9c0Cwx+XL/MgvtCaT4 +V+wLhG9NcllXnvWTRfrNgW7ezeDVJ9TjdhCft8ZxRV4lLSB+ZfijZhSBDgxI +8MsyKZAw0DF9ivtPbpXor+xnFMjtvPVIAq9nuP75HxfNOdBanq6xIQ33o1MT +w3PHOHDhlHDgT28CvRrV4215woVUeYq3coiFuA5voz4b86C922Tf4c9MtEP0 +uVZh/GlIi8mJ5zf8SQpmSr4Py2HDyJGGmlcpuP8aPMv0uUjBak/h3kN4v2/Y +1PQrKHLAe7/oJjGsh9kx3q67P3HAruSdyoUQ3I83xmXsPs+FK3dKWUVLCGSu +UZX7cQUPNucKiD7SYKG3wfce/FlDwaeWx61eWG+e1qc3DNRTELFoQdwvrMeQ +9QrFX89yoKud80cUr3+BZcCl9yu5sCZjz+/ZdgQiCn5KqtRyIeWHuq/xOxby +Dnnu3nySB05dQp1Bmky0foX2yyHZo5CtIjjVkdpDPuAbEW9yocA50WP8GJ4/ +kjs+Pi7BgRNE6ZNc/HyBFd8+lojyIKRO0u+yAwuJvLWpFZaiYF6oIamKv09S +ovimJYnPI53UKyT+/rB886rnhzggrXUtsPcprgfvLP/vDjy4lc582XeDifaG +7beUisZ+EAV1/sb3xxmXJlVp8KDe/OKn5NksdGwX171Nh4JWTrXuQayfT3dX +117rpkBZ+GdsMtZXYXyiHTeYBymnvd4uSeNHEzsO3nFUsIdcNyfmnQfdpJfD +Yr259hSIWqxb8x7f3+7R9e5KNgUbLtTt+4OfJ9CdK/TVigclV65aimQzkVLn +5cvbQymQP/tHOB+P3x/byUeyKYjh3FK3w+sRJ/6kne3Lg8Qof6nWKX5U/aia ++/wkBSGr+kWH8bjeq3jH5eUUXGuIPOeCf28+9eJPw1Ee6M6fHi93YaJQpU1y +7QkU7LHpG56H9fhjm6Sb/g0eCO9T3t88zYdYejIebGk1SDUAg+MBneQaw5sh +mR7RcFXGNsYpbJDsb9wt9FAmCZYmjszdtHOI3L3J7qayXyMIp3KEhFgEevk8 +ZdV31AR3t6V+1tPH9RsjolC2uAXSmlYKb8L16mS+fk+TIxvEXqd7qWK95O02 +IcPMKRjmPhz8iv14XUFYsNEkBcU3C2yX4usGLf3t7AQOdCtRMmtjCYRqvqTm +mXLh62LbfPGteH6l5TowxoWQpy2m+UEsdP7TnZI9+QHAlDLikwjpJ3Ua/9k/ +6dYMcb6WHWFOBKq0SmPaky1waqws7x72Q9171rokMii4tXDivgWuVyVnaR2+ +ZAqM5XW65uP1qbxF7R0z5cDlqH/803G9RozusrMc4UDbim5lg9O43vetFot6 +xAW9dYuvTY6wkK3v5LClEQ+om36bCxqYKPr+QiS/7xT8s0XZ0E36J7nYTy/q +egYbBMFOxxTXq1dz5lTpeQr8mec8jfF+1TxT90yU54Cw5T8bj2M9q8lU1sWU +c+COeyYnKhT75dt+LUlnuWDckCEms4xA73eLyhgt54Frws/fPVostK0vW+C8 +IgUXz9/eooLrpb3Pz1erjgLrgDfT4nj+D/5X9S1Oc8DS9+WSbLz+nfWNHcJy +mG+y80RS7fH+Lfu85VIVF7zsP92oKGGhnqSe2v2ePPCzTnRW2cZEJXoyucXx +zjARuS75mXsPKRk7ba/oTIHekICrPtZfneqBC6fmcYA4F5TExP7JV3ehkv5c +rL/1zLUrDrFQjJyHRoQkBXxZoR7t+PwNeV7ZnPCWgtTmn8E1+P3KhXN2yjtx +oNFtyRbfZwR6eHOIZ23Hg4ibO2cti2OiquXOCQdvUjCwX1+w819+OCowy0yd +BxOyh79WimJ/8HrsyxEtPL91T9xqXK9bVVWXNHRQoO0gJvYd1596Ppd0DORB +OaXtpFrKj1aGL0malrWFhorL/THm3WT7iPx1230UfBRxgjh8/95Zv+fWZOD6 +Zyv85MN68BUcO261iwcJNiEh6m+Z6IFfeFV0EAV+13+ZJOP3kbxpayrcTIFl +f0l4IF4PsfUd2x3O8+DM5qEepigT6f/nh/KoBwVhT3rH6/F4nskTjOd4fzLc +Zfzx798vGti79wgP/pmu0Tp0kommisFtYzye/1vd/Ln4+bb6LZsOXcf6Okjs +MVPjR62LV/qfUTCDg3V96hbRXeRWDYEnLqmYby7FR4ji+0Ne3Hde54/9uDM7 +/wKef+rY0bn2p3nAidXXVVHC73/+tYFAEQUZpU7xqXi8/ZelQMVtCgILm+ZM +4WtDvwN/ncJ48E5cmpN5gx/zHvuURi7ur80XB3vxeJ65z6aJqxQwNlYtqMDX +K4YPBRy4hP2Z1+B975/8SPKW0tfYRAqSl0uK/VtPdD7CTAy2cGlpBzofKfXr +Xb3Vhwd0PrJ/fEpxvSeug//mI5eTIxneF9hA5yMXnqe57N7RBHQ+stzFsvcH ++zvQ+QjXUPrF4IsvQOcjnWckAiJU6oDORyKqneKNHD4BnY/sUY1Wd3pZBnQ+ +4rJItzb2XCHQ+UiM+9uOrOUvgc5HrpYs8NR65gN0PqJts2Nh/tk0ks5HvAdO +bY+OLiTpfOSx2rvZQ1ofSDofCX9d6ng4p4ak85GWgGLrzg1fSDofEV52YumE +cQNJ5yPLTHdIauxkk3Q+8ntNrNiyIC5J5yMWxZsidwe0kXQ+IvM0qX+HbydJ +5yMr1NJz+AZ7SDof6RdcOHTStZ+k85F9J1etCrg+SNL5iKNCU4V72W+Szkdk +z9ksUZQZIel85DubW/Z7zhhJ5yN5D7RXOqAJ8v/LR6qctXKF77cCnY+I2BWU +dR3Fv/tvXlGvZlBR6D9K0nlFoAv/us3vxkk6rxDvJOzLl02TdF6x6fONhZO2 +bUDnFSaHh3Pf/uQCnR+Etme1ypSPkHR+oKRq7t/GHiPp/OBGjmZxx/dJks4P +ml2ifsuxWoHmfVtHuUq1rX9ImvcXjcW+yM/+S9K8X1BbINRzkDHD+wbvKzYn +17cBzfsfTeQdbTBX0vz9OfXVt82eIyTN3+lDL/mrvcdImr//OBYVLdo+SdL8 +3bMn79O4WSvQvJy0+vKlcmqUpHn5ap7EX+d//pI0L3OHu7bac6dJmpfFJYJ1 +bo20As23q1H2yQ2npkiaR08kqDcWTjJmeLTFqvRXh0I70DxaXK1Tdh77EpoP +tb8XX/cxGCFpPuxzzfp1UXeMpPnwrlLubU3mJEnzoSvvbimcbgWa58waQ1L+ +vhwlaZ5rd1/dvGlinKR5zlHzYZjmxWmS5jluQdFle4U2oPnre1Vo+zH5KZLm +pfkSynfmP2PM8NJJrcEkoag2oHlGd2MR351VjBkeiXTZu93zAt8Mj/j48Z52 +27cDzSMf7Tm+Rrjv0nwQt1JpXrHKCEnzgaGP765EhTFyhg/8n92J4EyQNB8Y +f6729AhrBdrPK1nFWxrHjpK0n396UskmqmWcpP18Y2H3gmKzaZL285IFHwYT +oA1o//3kWZzwh6lJkvbLgjdSVGQDGTN+eSyTtDyb2Qa0n50UeNtTLMyY8aNy +TwPDr6jyzfjR/gt1gvnjbUD7x1fXzR4V1jBm/B/Xe/ovo/9//V+6R/qyc4Ht +QPs/q3jfnboePKD92JkmV6VfK0dI2o997ImLL5EeI2k/ll+vVdFRM0HSfqwx +RH35y+hWoP3TdevV9y9dGyVp//Rnm++95upxkvZPGXHDAbEa0yTtn4Sve6xK +NmkD2u8sq6twQj2TJO1PbkSaftHwZsz4E1OpP0ari9uA9g/XRBQdnk1Mk/T5 +XwKG85Wl+GbO/0CHjsovou1An9cjq4fPOLxlzJy3DSHHl0q85ps5b0ve1+4v +1G0H+nz0rGi2Vt7NN3P+FR08feNEOwP9D+hvK8o= + "], {{{}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{ + Polygon[CompressedData[" +1:eJwl1GWUUEUYgOFdQkqppXsp2SUllu5u2KC7a3cB6ZJOxQADFFABJZRUOpWy +CRMFlRQULEAF65nDj+e8Z+bPvWe+uTe6X2p8SpqIiIhIHou83494howW/fVv +DtOQn3mLOZTjAq8zgU4U4ytWkkpbahCe8TFLGEAjyhNNWj5hKQNpTAWKk470 +PECG8E5kIjNZeJCHyEo2spODnESRi9zk4STPMogmVKQEeTnFcwymKZUoyVlW +MZJ21CQfp3meITTjEUrxNS8zivbUIj9neIGhNKcyF1nHRDpTmm94hdF04Hd2 +sYDaXGNTmBU9+YsDPEkBPmUZw/iHd2jBL7zN3HDe5npCq3CJ9eE87U3ScAGO +hnPULvoH+8IZWz8R5qAfhPPVh/Ucr4aZWD+q//JumIV1R73F7jAn64Vhnvqe +1uE6m5keHmv/mPbiLgd5ioJ8xnKG05Jf2cE8qnKZDUymK2U4z2rGEM9t9rCI +uvzIFmbQm0J8zouMoBXVuMJGptCNGL5lDWNJoB4/sZWZ9KEwX/ASybQmjqu8 +wVS6E8t3rGUcidTnBtuYRV+K8CUrSKENv7GT+VTnB95kGj34k/0spizf8xrj ++Y8jJHGHvTxOenN4Xxtwk+1ksTdb0+hxzaH99B6HyGr9tGbQD8P56TS6MZ2h +TKY1MxjOVDoziAnUpytDwj2kBYnh/8NY4mhFJwYynto0oQO9GUUl6tGchPCe +jKEatWhMe3oxkorUpRnx9A33mKrUpBHt6EkqFShPOcoSSwxlwvdAaUpRkhIU +J5piFKUIhSlEQQqQn3zkJQ+5qUINGtKWHqSQizo0Dd8XfRhNZVqSxADGUT18 +wwxmIg0YxhTaRN7/33cnmSj+By7Yoqg= + "]]}]}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwl1GWUVWUUgOFxcGiGlIZFSikdSncjMJSKCAydg0h3d3co3SggqIAgHUo3 +SoPS3R3PWfx4zrv29+fe++27TvrIqIj2H4SEhCT0CLqfCcQ0NNFXbKUUd/mV +wXzCJRbTjbqk419mEUU1PiOUA0ykKaX5lPRE4yCTaEYZcpKBDwkjOjGC70Qs +YhOHuMQjnPgkCH4HiUhMEj4iKYeYTHPKkouMJOMwU2hBOXKTiVPMpgNf8DnJ +OcJUWlKePGTmNHP4juoUJgVHmUYrKpCX/1hCd+rxMWeYS0dq8JB1DKcI11lB +XxrwnE2MJSXHmE5rXrONitzjN4YE922vf2k+/mdpcJ/OemjwB9gZ3KN+qU/Z +GNyxeXSwB90b3K9m0bPMC3Zi/l7fsD3YhbmmPmJ9sCfziGCf+rcW5QYr6Rd8 +rPNd+i0v2Mw4UnGcGbShEvf5naHk5zLL6MlXZOUc8+lEBI/5g5EU4yar6E9D +UnOCmbSlMgW4wnJ68TXZOM8COlOL4tziFwbQiDSc5AfaUYWCXOUnelOf7Fxg +IV2oTQlus5qBNCYt//Aj7anKA9YyjEJc42f68A3P+JMx5OAii+jKW3ZQhyds +YBRh9rBHS3KHNcRxNkhDdXfI+/dEpL5kC+Hm8RpD9+k7Fm6B5Q== + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVzcVSQgEAQNHnN1jYgt2Ogt3dAWIndoHd+eceF2fm7m44lYmns4IgSJMt +rtnimzk+uGCIV05Zp5MnjkgyTRt37LPMOH3k8D/ZZp5humgnlzzyCVFAIUUU +U0IpZZQTJkIFlVRRTQ211FFPA4000UyGHRYYoZso9xwQZ4J+Wrhhl0VG6SHG +AykSTDJAK7fsscQYvTxzzCozdPDIIStM8cYZGwzywglrfHLJL7O8c84Pm3xx +xR+uLiJ1 + "]]}, "Charting`Private`Tag#2"]}}], {}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 1.}, {-16.34123920227253, + 2.0794415416798357`}}, "Frame" -> {{True, True}, {True, True}}, + "AxesOrigin" -> {0, -16.341239202272494`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> Directive[ + GrayLevel[0], FontFamily -> "Times", FontSize -> 10], + "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, {Log, Exp}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJw9mGk4Fe8bxw/nDEUhS6JFCalEhfz0x62QZAklVIhKStnSopKUPalQliSU +VMiarZgnW4VsabOdOefYyVKWyPIfL8Yr1+cyzDPfuZ/7fuazzsHV/CQ3jUbz +4aLR5n/uO9nTgHottM7Kl3eOLe/GGTqSbkwJFUjTA72zfj248pPouBwJXajO +qvqPb00v3rFKxveSnAkcbxxUNYvsxQ0/f89+LHEQEh7YmRr/7cVlQlcnz0lZ +Q3P1zaFo0z58et/xKHs5W8h3caBHJfThlicmisMlToAN77pldKV+vFxHMr8s +zhGmw7ekvHTtx7eu18wclToNuUq8s91p/fgi9s2kQ3IusLdJ5b2g1ADephSo +c0fCE+qWXea73TeARz5ZgWSPXID/dinqu0j8wvcJvtIoibsI6dF5cdz6v/C8 +wRrVISkvGImf1H0Z/wsPSxeWN5O7Dqe3TY8q7R7EE8T2B4RI+IHKs4gsOcch +/OrnqPJDRX5AFzfgEgkawg/5E9zrj/jD91bpnFsvh/AlY+4+b+MCIP3CUAhv +/xB+uSny8oBUMDwa27zvjsowbhbRfMZELgzOie+X3/9qGPddlLMhWCISVn6i +SyzxHsE36d8PynaLhNuS1tEOISN4o79rb8uHSDCP9OyLjxrBZegKqYoXH8CR +qZH71VkjeOXMM8WmxocgZ5R2FOscwZf8eagqFRoDw9gj3nP6v/Hotis6b2bj +oe1k27762d+4lXVixvDIM9Cv6YnQ2zOKD7Uc5EuSTIY1ieNLd+wfxQNsF508 +oJMMlhnOrWusRvGc466SeZHJsCx2Z+3P06O4gIum/1W15+C1s+PgROgoXnHz +52Ee7xS4LGSoym4YxbenLeNZtegVVDTTvg0fGsP553yP6q/JALud+2z+mI7j +smaDPWW3c8FMQs/KZMlf/FKtOkSPFsKbX1PcIW8ncfHcZe1cXDi8n+o/EK7w +D89j69TUaZTCU7m9UJwwjZeeebzSeqAcCk/luQZNzOBjcpqC6ec+wE0zj+AT +cnM492Sq0ErZKggwyjx3SomGdswdyzvwugYCdo7z3SiioRo/ldrKTXXgd2mZ +2BJNLiR1r3/y5WA91MwkqweXc6H+CWb7162NkNbRq39Ukxs963tbJRD2Bf58 +SDTZU8SNBFanDHV0NAHr4amidEU6Cj39xjFB5huYdLmIXnxAR+PaqR1OPt8h +Pr++VmuWjjy3l+cuL/sB2P5aJS1rBmK+Lr/dsK4ZXv2+cS0hh4H+nWl6tPFK +C+zbrnRyjBtDB3fY3Ff0aQH+NBYfHwNDaVxdAcp+LaD9w1lyDYYh2+gJd82w +Frh18tkFXV4Mva+Q3GuW1AIp71qEQ/gxFLjWfvRyVQu0byuM/iuCIZFvv4w+ +SraCdGS11jEZDG3exTvr+LYV+mOT2nV0MJT5KnXDD9QKj/akfdHRxZCKqKmZ +QWUrBOpNTu7Ww5BGd/SzzQ2tYDo8/V1LH0MmdzYZDne1QpZN60cFQwx5/DSO +9hJug/MjzaOEOYYK3SO3h55uA3q3Bu25PYa0Wv47OuPSBrHe5t0hDhgq1W3z +d/FsA49Bvn6X4xiqEpf7aerTBm3CEXoqJzHUWpzvI/agDZqaBZVznTBE42up +iUdtwN5CGAW5Ykg/ad2pLPF2+O69fMu1a+R6owXkKle1Q3qrDP8ObwytDfvX +0byuHY4kJS4dInnK66sDQ6EdFJbIe9v6YCjdLNjWUrsdzl2e/b39JpkP14jF +jFM77K8weJQViCGmHdI1KGqHq9lrcmnhGKqxSKfb4u1wYbKy4DHJBYaxpR7l +7SB8a+yTegSGwtU8teNq22Fx+mMB10gM6QnKawyx28FtGbG49iGGUkvuKj/g +Z0KpoSafzSMMXVptJ806yoTd8jEzBU8x5GC69VCrPROECjM8lJ+R+d7iCvnu +yIQlt+TYaSTL9T4d+ezGhFGRS2lxyRhqyu1BRX5M0BGQbnJNwdBWIw+7yDQm +BJnEPOS8wtAqH52Iu1lM4AUbLeNUDPFmi34IyWPC+Knm+jckt4vnK/oiJugO +tGfdSsNQKOffzLkmJkys+LhH+DWGeq74x+lPM6HzqXTNZCaG+g5tdkqkEfBg +xfQTsywMHRsW4ZaiEyCip2X8gmTD9Z2qkosICHB3UjLPxpB0cOBjIWECil7/ +GInKwVC0tJtamCgBXDnBbl0kC7yzauAXJ2BZsD6unIuhycGNGO8qAp4ZiX2s +Irn+YM3ZGVkCCnpyT/S9wdCewVyeq/IEXL/6cJdSHobeBT5O+LuJgM/tzzo8 +SE4pcmn6o0SA8gvFy+MkX1+7TKNPnYDbiyoyB/MxNFo4+fWUBnl/y/7YjQUY +OnOA7dqpRUAHq077OMkWATlPCR0COmNdixpJVhiw4P9uTIDH/TKtpELyfR80 +wkNMCRhjJ418I1mnePd5rQPkentLJfiKMHQ4TKkl2YqAHyyvUmeSu8Zl71of +IeCTgAPEkuxht0pnqS0BgmZbNn0gOXjr4lTP4wRc0enateothkRj5mzlHQnQ +GeVx1iU5gWtcuNWJAMdEt6kzJBc0sq/ouBAQU2Wtm0Oy7v9+Kk64ERDyfGCq +ieS6p3XsV+cJCNowJDhGcpfnu33CXgR8y9Yw3vqOvH9b9mzFVQJ86VfcDUme +0XuZ7XWdgI3u/AMnSA56/cRxiy8B9x2ZRddIFhV/KMm6RQC9h9kSTnKCT2ht +ZAABPnd/G6WQrNBz8+beYAJkL//lLyK5wNRrx/RtAmjba5dXk6xT6NqXEUaA +bYGhczPJtesc44/fJ2D4qC5vD8mHQ46ai0cSII4Cev6Q3PXbnKf6IQH+Ja1L +ZufXe8Sg6HoMAceO83vwFGNotgxctseR9//eKLaU5GCFHdJd8QQcshocW0ay +6AOFbzGJBKSsExUSIzlhRjrE+BmZ77Ex++UkKzhKaHGlEGAoq9U7//v8WsHf +uS8JkN7/4KkIybvVeJ47pZH1diMuTJDk2ifT1qsyCEjiG05ZTPLhRX+W1meR +9cKU+8VFcpdb7/tbuQRsu9Z45O/8en8yL6jlk/Xedn1kYD7fXd829heSeZ7O +TmXO5/uqpi3+HQFpbb8C6+fzFSm7b46T12ul3cLn871aqMdTSkBWhUNc2ny+ +HRmTheUEqFffqIuaz9foOTneCLDMcpX0nc/3TZz9uioC7jSHX3GazzMg+FNQ +HVmPDR2u2+bzHPTx1mgkr/d7OydMsrvlxW3DTQSErVwe+5usjyD54zGWzQQk +Rh/jpM7X331rY/42AsyHykP9SX4yuZ8LZxIQzXqgakNyXpXGablOAhbdWHWF +h+Tdysqrm7sJ0LQTEvpB1vPnRxsb7vQRoMj/KyaF5I6zy9XHhgjglr3rrU2y +iODwovIp8n0LS221JvdPY3FIoMEMAWX3i63XkBx+Vpanbo6ASoWOZBa5/4Sr +DtObGSyQ3+b8yGGe/StmhgRYYDTokmVM7udG5WPXLixjAXYlIJlOcjh7ampK +hAXnsIrn+eT+X6a99S8mwQIprZAKCZKF/sX+XrmeBWI1l2Qq5/vLS1X3RFkW +8Jv/t/0syfes6ofk5FngeVRwhxDJgnnYr21bWKAvNyhiPt+v3N269dVYkN6m +3viO7HdLuvVazxuy4Nv1H6GbyX5Z84A4PGnMgpsR//lmpJP9VPfqz+umLOio +ylDbRjJ/Uua3YAsWOCa9bt1C9ls+m5UNT+zIvw+0SuQn+3UVf95+WQcWtLis +3uX9EkMhRaa1r06wQELjjv/ACwwtXhFQ/eY0CwzKfw+Ukf1+0ZfhiurzLPix +TeiDBTkPPvre1jW7yAJz78zVueS8CNoqV/btMgt6u1h/BUnmDTuCWN4sIIyX +KKAkDPEYVBZNBLKgusJkCXcChhj4o4z1cSzwj36K88ZiqFlDdy8zngV9CoTk +5hgMZRQNELGJLNhnFa5iGE3WW56msHAKCyaE9gT7kvMtK53pSctmwWOLC9MV +5Hy0jVu/s+0DC/JOpLWnhJDzWbKmMbqKBVGu2ayIYPJ5oj2dD35mgU35e6Vr +QRjKjaiIq25kwb1sh9BdAWRet0/NFbax4OWzR0fjfcn690otf/iHBWaJA/ej +L2EobOKAjfk4CzrX9ynqXcTQ8QvTY0snWXDtAr//L8/592W8wX+WvF5uZvs2 +DwyddBoKPr+YDVqKqadunSXryVJ5v6kUG7x2zcgaHCPnXVNLN780G3xzCwTS +bDFUbO5344MMGzZlHfqz2AZDTiZfs7Q2scGi4X9eedYYKtG7JLpFlQ3vw+V7 +6snzjrPK25+LDdng+vpizwx5nkL1X9MKjNnwbZV1kfBuDImdG/Y5ZUpevyKo +dJ02hvBkWbkKCzYUGZYoKmqQ+0P8nseNY2zQOCTkNquMobeTJ/gnLrBh0NsM +c5Ym6/ehT3vyZTYYNmcJSa4ln2d7bNbBq2y4FVXBKF1N1qtznWX2DTZgcbTd +0yvIPFrVn527zYbusUebxAXJPHEBzY4ENtBM7dU+TzLQsSMbhSKeskFny6o7 +M+MM9GZCh7PrORvSZAmOzCgD2W31Cn6SyoZQ0+5/loMMlJPI+Xo4jw1tUhfP +GLIZ6IhfgUtDNRui+U6mwEcGylz7ZdeNWjZ42H6+V13OQFjxL1GlBjak/lT1 +NnzPQBlj0m9Dv7FB7eHccbkiBqKfusO7l8WGjEY+/l2pDJRq4JBQMk7mzy3a +VBHCQCoK67Rgkg1BL9qNiwIYqESAaMH/sUG93Ojrk5sMVP/FRvw9jQND5Vzh +GlcYaNTGOqyMjwOWlVcvZTkxkLf2CgXdpRzQ3krfvP4EA/Gs//6pXJADQY2i +PjftGEii5yBWKcqBhqti/byHGEjLw/TaxzUckGev8ly9m4E+HBSUNFjHAef4 +X3/6NRjIVK02/9N6DuzM5xF8qsZADtOGf6rkOSDLJUd8UGCgwAD9M5+3c0Dt +iVZkoxgDCZ3mWWSiyoFpqZPfagQZKNawIrlWjQNNptc/pyxmoDQhHVadBgc+ +pXoFTU3TUX2sllXjHg7klETRe1h0ZO09M2ZuwAHivs/O4mY6Ytu9i/hiyIGu +PqMjJ7/Q0ajMzromUw5URK04IFNOR968k2ctDnAg3iooSPUdHfH05fN9s+BA ++a3b5gK5dCSRobrn+2EObNXLb+B9SkdJ90c5ljYcCLu/f9HaWDpS8Mzx/WHH +gQcZ9MzBe3Skpb6t+OcJDnhc4sKjvOnow8rhw4dPceC/uXqNE+fpyHT29d/m +02S+YnNTVU505FCmoNLqwgHmiL6npjkd9Sf3Nxx154CPZaKj0h7y+yfolWvb +eQ449PL1BKjT0eyZ00ttL3KAFaOrraRAR4HG8qntlzmg+iYsUmANHQlt7d5r +d5UDl3aO9tMF6ShW+HkX05sDiRG+4h2z3Gj92Am/YzfI5/cYeeL5ixulfV8v +zbrJgZSn7r0OzdxItYiN2/tzoIrQdFCu4EYlcYk27EAOpF70eLc6nRvp+xz7 +5xDCgffCEqzse9yo3l4qhhPKAePQ1XMZZ7mRtW77jhN3yfyPY4dMVLjRzz2i +Lrr3OMB/RPFo2xzXArM95/7RhrgWrm8OOrtGpJBr4f+FOx3e636Na+F+7tVt +looHuRbWI/3CP/SWMtfCestBX0xRnGvhec7Fq7aUzNAWnrf0+MV757poC3m8 +uWvytKSetpDX+MaxS3bvaAt5iokoRom9pC3kz3svVUnKn7bwfu6FG39V86Qt +vL/ihmK+/uO0hfevvb2UK2oDbaE+Znje9Zfx0xbq546AvN3L6Tmcqi/2WO9u +W/YcTtWnvXpSiPr1OZyq35aSvuVlJnM4Vd9ZsWN+MWpzOFX/wj2YbdXaOZza +TxtR7vltF2Zxar/9qA3uOiM7i1P78fnLWP6PszM4tV/XNlY7oP4ZnNrP9/LU +y7p/zOBUf/hrX1q6cu8MTvWPRwr5D9XpM/hCf/F9GRXGmsap/lPUpFHdXT+N +U/2pIEFTxg5N41T/WzkZ87oo9x9O9cfbBSL/HP/7h1P9s8t1Y9uO6Smc6q8v +zitYRbRP4VT//bvH+3Fb3RRO9Wd/J+4tO99P4VT/V1A29e1kTuLUfMgYzeSu +85zEqfkx6Jzz+7r2JE7NF30v7wOJcpM4NX8+9cfGlUtM4tR8+sFkV/5ZMolT +88/aXrpGZfdfnJqPyRtv3qgiJnBqfpq0BKX+y5zAqfmqYBFnbhgzgVPz967l +xic37kzg1HxuUtGrLvGdwKn5H9yV0yFZNY5T54MvaW++73Qfx6nzg+aPsrte +euM4db6IlVFYVqY0jlPnj0utzgq/ZcZx6nwidcVqtbzkOE6dJ+3lWqtdK//g +1PfakfMbNvjdHcGp7+sh3hWj552HcMoXrFfJyOMa6ccp/yH5Inlon3cPTvkX +s7Id4Qf9OnHKz/zZFCO0NoCNU/5mrfE+UbX9TJzyO/xrz62ZNmzGKf/T7ldm +2bPtK075odDCCvuTefU45Y+eqbxfPKrxEaf8kufwhb2RkSU45Z80rfatKLqc +jlN+6nb5cneNl15A+ato13fdOesygfJbTiu1G2KulADlvw4pR6o6ZFYC5cfC +6hziDOw+A+XPei6J+IUpNQLl19j6Eq9HXn8Fyr+tczIf+Mn8AZSfu/Yq3eng +vlag/N3NlHCa5zUmUP7w6NSs/FZ3FlB+UcCmuLL3NBso/2h0ciz/3S82UH7y +k5GsvRW5ryl/WVanVXmVnFOU3/xky/I2IOcM5T8t4rz3a7txgPKjFT4DG3d7 +cYDyp21OEX+kGR1A+dX+QwWfp0w6gPKvzpxHFXCxAyg/a/ilzt0tpAMof9sS +pLouM7IDKL9b66iRz/+kAyj/KywSqPVgvAMoP8wuLr1pK9cJlD8WLf44Eg+d +QPll/rtuG1KMOoHyzzu+3FsxY90JlJ8+rzGSzBfRCZS/nszGzS9ndwLlt43F +/xpsLOsEyn/rfajemdLUCZQfH7rWyFs01QmUP/e36675KtgFlF9vt6j43S3X +BZR/L//QcLREuwsoP+/lw3nRZ9sFlL/PcMtYe8W/Cyi/T08MNHNq74L/AxGi +K8g= + "]]}}]}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwV13k4VO0bB3DMHETZUiKlyLwqoZC3frgrJKFQkmQJSRslLZKErElZKiRZ +8xaSJaLlPBUtyJZUmJlzZmRfi0SW3+OvuT7XPHOd53zP/dz3mZWu3jaHhQQE +BKQFBQTmPnce7m5EPbaGJ9Qqf4wt7iKZRgqnuPI6kGcCJieudpPa9xNTiuWN +oaaw+l+x5T1kh+KqoPOsXeDWNKhrndBDmn/6WnRPfi+k3XK2svzTQ66KXpY9 +q2QPrTXBQ4lWveTUTrc7h1hOUOblyriT1kvauY+/jJN3B0eRldIMzT6y0kih +7G2KB0zFrct56N1HaqkYPBlVOgolmiIzXXl9pCgvOGMfywt2NOu8llTqJ9ma +4UbX5X2hXvqC2LXefjLh/hKk6nAW/t2qYeolP0DulHyk/yrlHOQnlqYImQ6Q +pYO1ukNKfjCSOmH8MHWAjMmXUbNmXYaj66dGNbcNkmmLdodFyV8Fnaz4QpbH +EOn/6U7lvoqrwJAzE1wYMUTuC6WEVBxC4Wu7cnHIwyFy/tjpwOcpYZB/dihK +pG+IvNCccKFfKRLujq3deV1nmLSObz22ixUDJ+V2q+1+NEwGiRb/EymfAEs/ +MuTnB4yQa0xjI4pOJcA1BftE16gRsinUu6ftfQLYJPj2pt4ZIVcx1HM1zt0C +h8mR2JrCEfLddJZGc9NtYFnkHSR+jJDzf93WVYpOgmHirshJ059kIvui0dOZ +VGAfZu9smPlJ7rdPLxgeyQLT2u54k+2j5FDbXrEMhWxYnv57wcbdo2SYk+jh +PUbZYFdwvH35/lGy2M1boTQhG6STN9d9PzpKSngZhPrrPQC/zR17x6NHyarg +7weEA3LggpS5Lq9xlNyQJy2sKPoIqloFWob3jZHis0EHTZcXgPPmnY6/rH6T +qtaD3W+vlYC1vMn+XfP/kOfrNkHiaDk8HZgUino+QcqVSHMEBUl4Pdm3J079 +L1nKM6qt138Dmawd8DJtinxz7N5S+/5KKD9S6h0xPk2OsQwk80++h2Brn0h3 +1iwpNJErtVS1GsIsnpw8oimANs66lO55XAthm3+LXakQQLVXdereramHq+el +F803EERKN/smHg42QO109qbISkHUN87lfNFqgryOHtODBkIoq/d5tUTMZ/j1 +Pn3X9gohJLEsZ6ijoxno20cq8jUYKProU4+0VS2wq9NL9twtBvq9JbfDM/Ar +pJY11BnOMJDvhsqSxW+/AbG7TtPQnom4jyuvNa5shUc/r1xKK2aiv8ea766+ +2AY7N2geHhMi0N6NjrEagW0gnkeLiTEJlCfYGaZ9tQ22fDuusJwgkFPi+GmD +mDYIOZx11liEQK+rFHZYZ7RBzos2mShxAoWvODR6oboNOOvLE/8sJNDClgGL +DwrtoJxQY+iyikBrt4rMeDxvh77kDI6REYGePMr95xtqh7vb8z4bGRNIR9bK +2uxdO4SbTExsMyGQfldi1trGdrAanvpqaEqgXdfXmA93tkOhY/sHdXMC+Xy3 +TPSTYcOZkdZRyoZA5acTNkQfZQOjS1/gwSECGbb9e3Daiw3JATZdUa4EemPM +DvXyZYPPoFiflxuBquVY360C2cCWiTfROUyg9pdlgYtusaG5VVK7xJNAAmJt +tamIDbx1lEWEN4FMM1YeKZTjwNeAxesuXcL7TZRgvVPkQH77KvGNAQRaEfO3 +o3UlBxwy0hcMYU/6fXFlqnNAfb5agFMggfKtI53stnDg5IWZnxuCcT6CI7bT +nhzYXWV2tzCcQFxnZGxWwQH/ouUlAnEEqrXNZziRHDg78e7ZPexn5slvfCo5 +IBMy9nFTPIHi9Hy3pNRxYF7+PQnvBAKZSKrpD/E4cEqamld3m0C5r25o3xLn +whtzAzHHuwQ6v8xZmT7IhW1qSdPPMgnkaqW1r/0QF6TKC3y0s3C+IYJRXz24 +MD+ExcvDZvVkjnw6xYXRhefzUrIJ1FzSjSqucsFIQrnZO4dAWhY+zgl5XIjY +lXSb/4hAioFG8TcKuSACjoaWuQQSKZJ9H1XKhd9HWhueYnPkyjSCEBeM+zmF +IXkEiub/nT7ZzIXxJR+2yzwmUPfF0BTTKS78yFSunXhCoN59az3TBSi4tWTq +vnUhgVyGFwopMShYaGJo+R+2ucoPXQVRCsJOe2raFBFIOTL8npQMBRWPv43c +KSZQovIpvRhZCgSLI091Yku82N8oLkeBdKQpqV1CoInB1YSIIgVZFos+VGM3 +7K09Ma1KwbPuEvfepwTaPlgi7K9GwWX/21s1Swn0Ivxe2p81FHziZHX4YOdU +eDX/0qRA+z+NC7+xL6+Q1u/dRME10aong2UEGi2f+HJEH1/fri959TMCHdvD +8/5hSEEHXb/FDds2rDiTMqLgR7J3RRO2er+t+FdLCnxi3xpmlOPnvdeCjLKi +YIyXMdKCbfRy2xnDPXi/PW/kxSoIdCBGsy17PwXfaL83x7E7f6vesHeg4KOE +KyRj+zgrGi1wokDSet2a99iRWvNyfd0ouGjUuVXxOYFkk2ad1DwoMBoVPm6M +nSb4W6bdkwKP9FOTx7CfNfEuGnlRkFRtb1yMbfy/7xrjpyiIetA/2Yxdn1nP +e3SGgoh/hiTHsDt9X+yU8aOgpUjfUusFvj67aKbKn4IgxsXT5tjTJg+L/C5T +sPq0eL87dsTj+x7rgiiI9eBWXMKWlbutQIdQwOjmtsVhpwVG1yWEURB446dF +DrZ6d3DwjkgKVC/8Ea/Afmblt3HqGgUCG+oW12AblXv3FsRQ4PTM/Hgrdt1K +j1S3WAqGDxqLdGMfiDpoI5dAgRwK6/6F3fnTRrjmNgWhr9rnz8zt18Gs4nIS +BS5u4j7CLwk08xa8NqTg639tWrQAO1J9o3JnKgX79g+OSWPL3lJvSUqnIGel +rNQi7LRp5SjLLJyvy9ihxdjqHvKGgjkUmKsa9sx9X1Yn+bPkIQXKu29lLsTe +pif8wDMP19uVlBhJ7Lr7U/aKBRRkiA3nzMM+IPprQUMhrhcua0AQu/NUz+uQ +EgrWX2py+DO33+/cs3pluN7Zl0f65/Ld2rK6rxznebQolzuX76NaduoLCvLY +A+ENc/kufBtrQ+L1hnkh5Fy+/uUmwm8oKKxyTcmby7ejYKK8koJNNVfq78zl +a/EAjzcK7Aq9FYLm8n2acmhlNQXXW+Mues7lGRb5MaIe12Njh/f6uTwHAwP0 +m/D6q89nZbBP251bP9xMQczSxck/cX1EqLkl2bVSkJ7ows+dq79Ye0txNgU2 +Q5XRodj3J3YLklwKEulbuo7YpdX6R1k/KBC9onhRGHubtvay1i4KDJylpL7h +ev50d3Xj9V4KNMQHknKwO04s3jQ2RIGQ6o2ALdgLJYdFKyfx85ZR0rLH56fp +ZVS42TQFb2Nf2i/HjjuhKlw/S8E79Y5sGp8/meoDjFYmDWrrj991nXNo1fSQ +BA0Wg16Flvg8N2m7XDorTQNxMSybgR3Hm5ycXEjDSaLqQRk+/9JbtP4Q8jQo +GUZVyWNL/U3+uVSFhkW151e9m+svD3VPp6vSIG7z74YT2Df3Nwyx1GjwPSi5 +UQpbspQYWL+OBlPW4EKbuX51+lSXqR4N+exNTS9wv5vfZdJ+xpyGlsvfotfi +fll7izowYUlDcPy/QQX5uJ8a+3+/bEVDR3WB3nps8YwnLZG2NHhkPG5fh/ut +mOPSxvvO+Pfh+9PFcb+uFi/drepKQ5vXsq0BDwkUVWFV98idBnn966H9/xFo +3pKwmqdHaTCr/Nn/Fvd70c/DVTVnaPi2Xuq9LZ4HH4KuGVufo8Em4MmyEjwv +IrRYb1su0NDTSf+RxBaJcUB0AA2U5Xx1lEEgYbN3FePhNNRU7ZovlEYgJnm3 +QCWFhtDETFIkmUCt+sY7uKk09KpTCmuTCFRQ0U8lp9Owc3+cjnkirrdSAxmZ +HBrGpbZHBuH5VpjP9RUoouGe7dmpKjwfnVJUNrPf01DqnsfJicLzWaG2KbGa +hjveRXR8JL6fRN/jez/R4Fj5WvNSBIFK4qtSappouFnkGr01DOd17chsOZuG +h1l3D6YG4fr3y628/YsG6/T+2MTzBIoZ3+No85uGHyq9GibnCOR2dmpswQQN +l86Khw74zj0vy39CZ/B61vSG9T4EOuw5FHlmHg8MNXKPhJzA9WSnvdtKiQd+ +W6dVzVzwvGtu6xJX5kFQyTOJPCcCvbS5euX9Kh6sKdz3a54jgTx3fSk0XMMD +28b/+ZXaE+iVyXnZdbo8eB2n1t2A33eO6zz/Ps+cB96Pz3VP4/cp1PAl75kl +D1oU7StkthFo0cnhwCNWeP2SiDcrtxCIzFZlVdnyoML8lYaGPj4fcjd9rrjw +QH+f1KkZbQI9n3AXHz/Lg8EAa+K4Mq7f24Gc7As8MG8tlFJYge9nQ3LhXn8e +hNypYr5Zhuv1eL1d0RUeECkC26aW4DzaN2WdvMaDrrG7a+QkcZ6khEFHGg8E +rA7pfZpgIheH1VLxmTwwWqd4ffo3Ez0dN+JvfcCDPFWKv2qUiZy1/CLv5/Ig +2qrrr90gExWn878cKOUBW+ncMXMeEzlcfebVWMODRLHDOfCBiZ6s+Lz1Sh0P +fJw+3aypZCLi5YCsZiMPcr/rBpi/ZqKCMeXn0S080Ls968aqYCLGkesiO2ge +FDSJiW/NZaJcM9e0V79x/kKyzVVRTKSjvtIQJngQ8R/HsiKMiV5JUG3kXx5s +qrT4cj+YiRo+O8q9FuDDUKVgnP5FJhp1tI95K8YHu3f+5ws9mShgyxJ14wV8 +2KLFWKvizkTCKl8/VkryIaJJNjDYmYnku/cS72T50Oi/qE9kHxMZ+lhd+rCc +D2o8Rd9l25jo/V5JBbOVfDieOvCrT5+JrPTqyj6q8GFzmbBkph4TuU6Z/6pW +44OqIIt6r85E4WGmxz5t4IPefcOEpkVMJHVUWHSXLh+mlA631EoyUbJ5VXad +Hh+arS5/ypnHRHlSRnS9Ph8+5vpFTE4xUEOy4f6m7XwofnWH0U0zkH3A9JiN +GR+o2MDNL1sZiOf8Iv6zOR86ey0cDn9moNFVm+ubrfhQdWfJnlWVDBQgMnHC +dg8fUvdHROi+YCDh3jKxFls+VIZcs5EoYSD5At3tXw/wQcukrFEkk4EyYkf5 +do58iIndLboimYHUfYuDvjnz4VYB48ngTQYy3LT+5Xd3PvicFyTvBDDQ+6XD +Bw4c4cO/sw367mcYyGrm8Z/WozjfRbOT1Z4M5PpWXafdiw/cEVNfAxsG6svu +azx4mg+Bdukemtvx/5+IR97sM3xw7RHrDtvEQDPHji5wOscHOsl4i6Y6A4Vb +quVyLvBB92lMgsRyBpLS6trh7M+H85tH+xiSDJQs86CTG8CH9PgguY4ZIaQy +5n7V5Qq+f5+R+74DQijvq4oyHcyHnMzTPa6tQki3gkceCuVDNWXgql0lhF6l +pDvywvmQe87nxbJ8IWQa6PLXNYoPr2Xk6aKbQqjhkFISP5oPltHLZgtOCCF7 +Y85G9xs4fzdi3y4dIfR9u6yX8U0+iDtoHGTPCqL/A6lQidA= + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVk3k41Psex4e6t0WXDkm4NdZBZ44U6vLg46SyRZI1u5C4hYMskbiEEg5O +RdOikyRZsmeZ7y8zKPt6iRjz+80MNW4SRoPhdP94P6+/X6/neSv7htj7i5NI +pCs/9n9a+c8OYJ8cTbYUpp4NnOLBVjOFUJa8HlSEVijFpvBA9/F9WrX8CYhJ +IF589uQB559qiVEUW2B2DLjTTXlg3TNa9VDeAaYc277NUHiglrG/aJPsCile +M90jUjxYt7pwz4fiCfNxg9saV7ng7LfSkiPvByc7ugyLh7nANFOoZ9ACwEbu +u6UWgws6qsaVS+RLIKxC9tFVXNiOJz11olyBcKOFop25XJg8lGp2Rz4Cjg5l +7xO5ciHv8T5M3S0SJLJCNYpPc8FK6qURnXYV9rS8W3gEXKj70q0/T44BvKU1 +yZPChcwyac2zlOsgLZNq8oeAA09kz9y8JZ8MvQFG9RKPOXCt5x7TqTEZJtL0 +lSvzOOCUMi2u6pYC1kN9YaG3OLBrOSyhiXYTgokHbXCVA9HDedFz5HTgOzX0 +rNpy4GzueJAtJRMmA3MXVbZyIHF7tUa6fB60JcxpHY8h4KD572lVoXngSIs/ +YxpKwGBKyKeJjjx478mOt7xIgNoWaqn21T+A0WfSfs2RgHbRM+3hwbvw/rS6 +j8sRAnYt3tUnZ+TDaf/l+ub/4XB/MtasduMRSHq0tH+6hIOLa2HF14Vn4L66 +oakTxgaJzUR38wMVkFScQ4qIY4H62S+zjNs1EPeyLNDB6iNE9RrA/aU3oBxo +P/eBNQZyNT9NiYkhwM3lyxfKR6AON+vuM2qF2SiZ5MxDg9Aa9FDRdY4JmX2+ +NEuvHlimGEuVXe4AJ908fd/KdhAXlu5WVO+EQEXTgfxYOhzd9K47V94N90Oa +Z6qVK6E7Wa+3/WAf3GbuDTMqiQFyNl9Y8qUfjF2s9jVGlyH+CmtqRGcQIr5G +WuTl0dGzz02dkplD8Ezv7Y4lo3dIcn/xPIczDBlv2nz86/pRxqXagCdq/4Wp +ZIbz7OERJDAt5QQmjIKE0uUD69bjKOIIs2YvYwyUbKz2HDvDQqxy5u0B5XFY +PJi/W+kmjtaChh9oxU7AWcbRHIdkLvr5120bAU0fQeFF0bxV/Cwyf6p88bXc +FKjqVdSJLfBR1H4vFbY7C+a37VsKD55H1DlHiVGbaXAL19BIzlpAMlJftzNX +p8GH8rErpH0RbUUPKlRpbCDHuuzXVBCgcaMTFqxHbIj6GEz9piZAFY1z0wWF +bChQo/7EOCRA5+uMpaWL2WA8xsiKOSlAr8tYEaQqNgy9qh01DBMgT5qq4WQH +G9J51RyFTgFqiCll3l1kw7DeyS564grKXDnnYS9gQ5az1uMbd1bQhcj15X8I +2UB1pNlb568gyTAbjZQNNthOpJWuVa4g/8D59PAdOBRpJd3onF5B0s66Z+zI +OLj6qHTrHf+OgvWaPuywxmGMhbcv7hIirH/kVYMNDu/5BTSmvBDJXv6acNEO +B/OY+HOFFCFCReqUNkccvgRXf7tuKkQyctm/3fDGoWKpUrwvQoiahH4SK5E4 +UHXtErksIZJAksacJzikBIr/Yvh2FXm7ae3O/ROH76fiH072raLaFTPi1+c4 +vAinuuROrSIvnZj0x6U48EK0Jo+ur6LqQmLkfB0Otxtk1gL+tYbckhuuDHTh +oCjML2+sWUOllr5P6AIcGp4Yq3lh60iPqmwCQhwah426ZvrXEV1yegKt4WCQ +WHIvk72O+oc85N6SCHhArb9rsEWEljxcMxk7Cfju09qqaCFCJr/Zxb07QEB2 +nQFjZkyEOhykFCyVCVAa7PLF+CJkd6y3/r0qAc9LCiTebYiQ77r1YqcmAWO9 +6bwg9Q2UetM8qOfHj7WwmvDDkRuov8DEZfAUAdKzf/PsVNpErvGiZXtLAl4X +LCfnH9tEuFdz7pA1ARP0z3sZtptoSc2wb9iOAB+Dp7cMrm8i+Qr9U6PnCcCX +Px33xDfR09+XCGcPAu5IanqVrG8iakR14pgXAaK/N/MZEiTMxOBwywc/AkyP +tIrd0yBhvgyq3scrBLQMtOzkXyBh/CL+gHvYD78cm5FjESQsIu1lyGQ4Aduy +Sw+RU0hYqo1m6VQ0AbIy2vdkS0jYbp0ZC69rBAi0lqO8mklYgfRzHiuegNos +2z/p/SRMddkv2fsGAa0XrmZf5pGwV6OqKuwkAi4/0p+gi0iYfiOOfFIIYIK5 +rLacGEanFXrgqQSovEjJ+I+uGGae4L3me4uAsK5JZ20HMazfh5xPZBCQE3je +IixODHM9MXXUL4uA8bR/H5B5I4Z9OLXnyonsHz0iNtdI82LYX2cFo5c= + "]]}, "Charting`Private`Tag#2"]}}, {}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>]]& )[<| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 1.}, {-16.34123920227253, + 2.0794415416798357`}}, "Frame" -> {{True, True}, {True, True}}, + "AxesOrigin" -> {0, -16.341239202272494`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> Directive[ + GrayLevel[0], FontFamily -> "Times", FontSize -> 10], + "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, {Log, Exp}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJw9mGk4Fe8bxw/nDEUhS6JFCalEhfz0x62QZAklVIhKStnSopKUPalQliSU +VMiarZgnW4VsabOdOefYyVKWyPIfL8Yr1+cyzDPfuZ/7fuazzsHV/CQ3jUbz +4aLR5n/uO9nTgHottM7Kl3eOLe/GGTqSbkwJFUjTA72zfj248pPouBwJXajO +qvqPb00v3rFKxveSnAkcbxxUNYvsxQ0/f89+LHEQEh7YmRr/7cVlQlcnz0lZ +Q3P1zaFo0z58et/xKHs5W8h3caBHJfThlicmisMlToAN77pldKV+vFxHMr8s +zhGmw7ekvHTtx7eu18wclToNuUq8s91p/fgi9s2kQ3IusLdJ5b2g1ADephSo +c0fCE+qWXea73TeARz5ZgWSPXID/dinqu0j8wvcJvtIoibsI6dF5cdz6v/C8 +wRrVISkvGImf1H0Z/wsPSxeWN5O7Dqe3TY8q7R7EE8T2B4RI+IHKs4gsOcch +/OrnqPJDRX5AFzfgEgkawg/5E9zrj/jD91bpnFsvh/AlY+4+b+MCIP3CUAhv +/xB+uSny8oBUMDwa27zvjsowbhbRfMZELgzOie+X3/9qGPddlLMhWCISVn6i +SyzxHsE36d8PynaLhNuS1tEOISN4o79rb8uHSDCP9OyLjxrBZegKqYoXH8CR +qZH71VkjeOXMM8WmxocgZ5R2FOscwZf8eagqFRoDw9gj3nP6v/Hotis6b2bj +oe1k27762d+4lXVixvDIM9Cv6YnQ2zOKD7Uc5EuSTIY1ieNLd+wfxQNsF508 +oJMMlhnOrWusRvGc466SeZHJsCx2Z+3P06O4gIum/1W15+C1s+PgROgoXnHz +52Ee7xS4LGSoym4YxbenLeNZtegVVDTTvg0fGsP553yP6q/JALud+2z+mI7j +smaDPWW3c8FMQs/KZMlf/FKtOkSPFsKbX1PcIW8ncfHcZe1cXDi8n+o/EK7w +D89j69TUaZTCU7m9UJwwjZeeebzSeqAcCk/luQZNzOBjcpqC6ec+wE0zj+AT +cnM492Sq0ErZKggwyjx3SomGdswdyzvwugYCdo7z3SiioRo/ldrKTXXgd2mZ +2BJNLiR1r3/y5WA91MwkqweXc6H+CWb7162NkNbRq39Ukxs963tbJRD2Bf58 +SDTZU8SNBFanDHV0NAHr4amidEU6Cj39xjFB5huYdLmIXnxAR+PaqR1OPt8h +Pr++VmuWjjy3l+cuL/sB2P5aJS1rBmK+Lr/dsK4ZXv2+cS0hh4H+nWl6tPFK +C+zbrnRyjBtDB3fY3Ff0aQH+NBYfHwNDaVxdAcp+LaD9w1lyDYYh2+gJd82w +Frh18tkFXV4Mva+Q3GuW1AIp71qEQ/gxFLjWfvRyVQu0byuM/iuCIZFvv4w+ +SraCdGS11jEZDG3exTvr+LYV+mOT2nV0MJT5KnXDD9QKj/akfdHRxZCKqKmZ +QWUrBOpNTu7Ww5BGd/SzzQ2tYDo8/V1LH0MmdzYZDne1QpZN60cFQwx5/DSO +9hJug/MjzaOEOYYK3SO3h55uA3q3Bu25PYa0Wv47OuPSBrHe5t0hDhgq1W3z +d/FsA49Bvn6X4xiqEpf7aerTBm3CEXoqJzHUWpzvI/agDZqaBZVznTBE42up +iUdtwN5CGAW5Ykg/ad2pLPF2+O69fMu1a+R6owXkKle1Q3qrDP8ObwytDfvX +0byuHY4kJS4dInnK66sDQ6EdFJbIe9v6YCjdLNjWUrsdzl2e/b39JpkP14jF +jFM77K8weJQViCGmHdI1KGqHq9lrcmnhGKqxSKfb4u1wYbKy4DHJBYaxpR7l +7SB8a+yTegSGwtU8teNq22Fx+mMB10gM6QnKawyx28FtGbG49iGGUkvuKj/g +Z0KpoSafzSMMXVptJ806yoTd8jEzBU8x5GC69VCrPROECjM8lJ+R+d7iCvnu +yIQlt+TYaSTL9T4d+ezGhFGRS2lxyRhqyu1BRX5M0BGQbnJNwdBWIw+7yDQm +BJnEPOS8wtAqH52Iu1lM4AUbLeNUDPFmi34IyWPC+Knm+jckt4vnK/oiJugO +tGfdSsNQKOffzLkmJkys+LhH+DWGeq74x+lPM6HzqXTNZCaG+g5tdkqkEfBg +xfQTsywMHRsW4ZaiEyCip2X8gmTD9Z2qkosICHB3UjLPxpB0cOBjIWECil7/ +GInKwVC0tJtamCgBXDnBbl0kC7yzauAXJ2BZsD6unIuhycGNGO8qAp4ZiX2s +Irn+YM3ZGVkCCnpyT/S9wdCewVyeq/IEXL/6cJdSHobeBT5O+LuJgM/tzzo8 +SE4pcmn6o0SA8gvFy+MkX1+7TKNPnYDbiyoyB/MxNFo4+fWUBnl/y/7YjQUY +OnOA7dqpRUAHq077OMkWATlPCR0COmNdixpJVhiw4P9uTIDH/TKtpELyfR80 +wkNMCRhjJ418I1mnePd5rQPkentLJfiKMHQ4TKkl2YqAHyyvUmeSu8Zl71of +IeCTgAPEkuxht0pnqS0BgmZbNn0gOXjr4lTP4wRc0enateothkRj5mzlHQnQ +GeVx1iU5gWtcuNWJAMdEt6kzJBc0sq/ouBAQU2Wtm0Oy7v9+Kk64ERDyfGCq +ieS6p3XsV+cJCNowJDhGcpfnu33CXgR8y9Yw3vqOvH9b9mzFVQJ86VfcDUme +0XuZ7XWdgI3u/AMnSA56/cRxiy8B9x2ZRddIFhV/KMm6RQC9h9kSTnKCT2ht +ZAABPnd/G6WQrNBz8+beYAJkL//lLyK5wNRrx/RtAmjba5dXk6xT6NqXEUaA +bYGhczPJtesc44/fJ2D4qC5vD8mHQ46ai0cSII4Cev6Q3PXbnKf6IQH+Ja1L +ZufXe8Sg6HoMAceO83vwFGNotgxctseR9//eKLaU5GCFHdJd8QQcshocW0ay +6AOFbzGJBKSsExUSIzlhRjrE+BmZ77Ex++UkKzhKaHGlEGAoq9U7//v8WsHf +uS8JkN7/4KkIybvVeJ47pZH1diMuTJDk2ifT1qsyCEjiG05ZTPLhRX+W1meR +9cKU+8VFcpdb7/tbuQRsu9Z45O/8en8yL6jlk/Xedn1kYD7fXd829heSeZ7O +TmXO5/uqpi3+HQFpbb8C6+fzFSm7b46T12ul3cLn871aqMdTSkBWhUNc2ny+ +HRmTheUEqFffqIuaz9foOTneCLDMcpX0nc/3TZz9uioC7jSHX3GazzMg+FNQ +HVmPDR2u2+bzHPTx1mgkr/d7OydMsrvlxW3DTQSErVwe+5usjyD54zGWzQQk +Rh/jpM7X331rY/42AsyHykP9SX4yuZ8LZxIQzXqgakNyXpXGablOAhbdWHWF +h+Tdysqrm7sJ0LQTEvpB1vPnRxsb7vQRoMj/KyaF5I6zy9XHhgjglr3rrU2y +iODwovIp8n0LS221JvdPY3FIoMEMAWX3i63XkBx+Vpanbo6ASoWOZBa5/4Sr +DtObGSyQ3+b8yGGe/StmhgRYYDTokmVM7udG5WPXLixjAXYlIJlOcjh7ampK +hAXnsIrn+eT+X6a99S8mwQIprZAKCZKF/sX+XrmeBWI1l2Qq5/vLS1X3RFkW +8Jv/t/0syfes6ofk5FngeVRwhxDJgnnYr21bWKAvNyhiPt+v3N269dVYkN6m +3viO7HdLuvVazxuy4Nv1H6GbyX5Z84A4PGnMgpsR//lmpJP9VPfqz+umLOio +ylDbRjJ/Uua3YAsWOCa9bt1C9ls+m5UNT+zIvw+0SuQn+3UVf95+WQcWtLis +3uX9EkMhRaa1r06wQELjjv/ACwwtXhFQ/eY0CwzKfw+Ukf1+0ZfhiurzLPix +TeiDBTkPPvre1jW7yAJz78zVueS8CNoqV/btMgt6u1h/BUnmDTuCWN4sIIyX +KKAkDPEYVBZNBLKgusJkCXcChhj4o4z1cSzwj36K88ZiqFlDdy8zngV9CoTk +5hgMZRQNELGJLNhnFa5iGE3WW56msHAKCyaE9gT7kvMtK53pSctmwWOLC9MV +5Hy0jVu/s+0DC/JOpLWnhJDzWbKmMbqKBVGu2ayIYPJ5oj2dD35mgU35e6Vr +QRjKjaiIq25kwb1sh9BdAWRet0/NFbax4OWzR0fjfcn690otf/iHBWaJA/ej +L2EobOKAjfk4CzrX9ynqXcTQ8QvTY0snWXDtAr//L8/592W8wX+WvF5uZvs2 +DwyddBoKPr+YDVqKqadunSXryVJ5v6kUG7x2zcgaHCPnXVNLN780G3xzCwTS +bDFUbO5344MMGzZlHfqz2AZDTiZfs7Q2scGi4X9eedYYKtG7JLpFlQ3vw+V7 +6snzjrPK25+LDdng+vpizwx5nkL1X9MKjNnwbZV1kfBuDImdG/Y5ZUpevyKo +dJ02hvBkWbkKCzYUGZYoKmqQ+0P8nseNY2zQOCTkNquMobeTJ/gnLrBh0NsM +c5Ym6/ehT3vyZTYYNmcJSa4ln2d7bNbBq2y4FVXBKF1N1qtznWX2DTZgcbTd +0yvIPFrVn527zYbusUebxAXJPHEBzY4ENtBM7dU+TzLQsSMbhSKeskFny6o7 +M+MM9GZCh7PrORvSZAmOzCgD2W31Cn6SyoZQ0+5/loMMlJPI+Xo4jw1tUhfP +GLIZ6IhfgUtDNRui+U6mwEcGylz7ZdeNWjZ42H6+V13OQFjxL1GlBjak/lT1 +NnzPQBlj0m9Dv7FB7eHccbkiBqKfusO7l8WGjEY+/l2pDJRq4JBQMk7mzy3a +VBHCQCoK67Rgkg1BL9qNiwIYqESAaMH/sUG93Ojrk5sMVP/FRvw9jQND5Vzh +GlcYaNTGOqyMjwOWlVcvZTkxkLf2CgXdpRzQ3krfvP4EA/Gs//6pXJADQY2i +PjftGEii5yBWKcqBhqti/byHGEjLw/TaxzUckGev8ly9m4E+HBSUNFjHAef4 +X3/6NRjIVK02/9N6DuzM5xF8qsZADtOGf6rkOSDLJUd8UGCgwAD9M5+3c0Dt +iVZkoxgDCZ3mWWSiyoFpqZPfagQZKNawIrlWjQNNptc/pyxmoDQhHVadBgc+ +pXoFTU3TUX2sllXjHg7klETRe1h0ZO09M2ZuwAHivs/O4mY6Ytu9i/hiyIGu +PqMjJ7/Q0ajMzromUw5URK04IFNOR968k2ctDnAg3iooSPUdHfH05fN9s+BA ++a3b5gK5dCSRobrn+2EObNXLb+B9SkdJ90c5ljYcCLu/f9HaWDpS8Mzx/WHH +gQcZ9MzBe3Skpb6t+OcJDnhc4sKjvOnow8rhw4dPceC/uXqNE+fpyHT29d/m +02S+YnNTVU505FCmoNLqwgHmiL6npjkd9Sf3Nxx154CPZaKj0h7y+yfolWvb +eQ449PL1BKjT0eyZ00ttL3KAFaOrraRAR4HG8qntlzmg+iYsUmANHQlt7d5r +d5UDl3aO9tMF6ShW+HkX05sDiRG+4h2z3Gj92Am/YzfI5/cYeeL5ixulfV8v +zbrJgZSn7r0OzdxItYiN2/tzoIrQdFCu4EYlcYk27EAOpF70eLc6nRvp+xz7 +5xDCgffCEqzse9yo3l4qhhPKAePQ1XMZZ7mRtW77jhN3yfyPY4dMVLjRzz2i +Lrr3OMB/RPFo2xzXArM95/7RhrgWrm8OOrtGpJBr4f+FOx3e636Na+F+7tVt +looHuRbWI/3CP/SWMtfCestBX0xRnGvhec7Fq7aUzNAWnrf0+MV757poC3m8 +uWvytKSetpDX+MaxS3bvaAt5iokoRom9pC3kz3svVUnKn7bwfu6FG39V86Qt +vL/ihmK+/uO0hfevvb2UK2oDbaE+Znje9Zfx0xbq546AvN3L6Tmcqi/2WO9u +W/YcTtWnvXpSiPr1OZyq35aSvuVlJnM4Vd9ZsWN+MWpzOFX/wj2YbdXaOZza +TxtR7vltF2Zxar/9qA3uOiM7i1P78fnLWP6PszM4tV/XNlY7oP4ZnNrP9/LU +y7p/zOBUf/hrX1q6cu8MTvWPRwr5D9XpM/hCf/F9GRXGmsap/lPUpFHdXT+N +U/2pIEFTxg5N41T/WzkZ87oo9x9O9cfbBSL/HP/7h1P9s8t1Y9uO6Smc6q8v +zitYRbRP4VT//bvH+3Fb3RRO9Wd/J+4tO99P4VT/V1A29e1kTuLUfMgYzeSu +85zEqfkx6Jzz+7r2JE7NF30v7wOJcpM4NX8+9cfGlUtM4tR8+sFkV/5ZMolT +88/aXrpGZfdfnJqPyRtv3qgiJnBqfpq0BKX+y5zAqfmqYBFnbhgzgVPz967l +xic37kzg1HxuUtGrLvGdwKn5H9yV0yFZNY5T54MvaW++73Qfx6nzg+aPsrte +euM4db6IlVFYVqY0jlPnj0utzgq/ZcZx6nwidcVqtbzkOE6dJ+3lWqtdK//g +1PfakfMbNvjdHcGp7+sh3hWj552HcMoXrFfJyOMa6ccp/yH5Inlon3cPTvkX +s7Id4Qf9OnHKz/zZFCO0NoCNU/5mrfE+UbX9TJzyO/xrz62ZNmzGKf/T7ldm +2bPtK075odDCCvuTefU45Y+eqbxfPKrxEaf8kufwhb2RkSU45Z80rfatKLqc +jlN+6nb5cneNl15A+ato13fdOesygfJbTiu1G2KulADlvw4pR6o6ZFYC5cfC +6hziDOw+A+XPei6J+IUpNQLl19j6Eq9HXn8Fyr+tczIf+Mn8AZSfu/Yq3eng +vlag/N3NlHCa5zUmUP7w6NSs/FZ3FlB+UcCmuLL3NBso/2h0ciz/3S82UH7y +k5GsvRW5ryl/WVanVXmVnFOU3/xky/I2IOcM5T8t4rz3a7txgPKjFT4DG3d7 +cYDyp21OEX+kGR1A+dX+QwWfp0w6gPKvzpxHFXCxAyg/a/ilzt0tpAMof9sS +pLouM7IDKL9b66iRz/+kAyj/KywSqPVgvAMoP8wuLr1pK9cJlD8WLf44Eg+d +QPll/rtuG1KMOoHyzzu+3FsxY90JlJ8+rzGSzBfRCZS/nszGzS9ndwLlt43F +/xpsLOsEyn/rfajemdLUCZQfH7rWyFs01QmUP/e36675KtgFlF9vt6j43S3X +BZR/L//QcLREuwsoP+/lw3nRZ9sFlL/PcMtYe8W/Cyi/T08MNHNq74L/AxGi +K8g= + "]]}}]}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwV13k4VO0bB3DMHETZUiKlyLwqoZC3frgrJKFQkmQJSRslLZKErElZKiRZ +8xaSJaLlPBUtyJZUmJlzZmRfi0SW3+OvuT7XPHOd53zP/dz3mZWu3jaHhQQE +BKQFBQTmPnce7m5EPbaGJ9Qqf4wt7iKZRgqnuPI6kGcCJieudpPa9xNTiuWN +oaaw+l+x5T1kh+KqoPOsXeDWNKhrndBDmn/6WnRPfi+k3XK2svzTQ66KXpY9 +q2QPrTXBQ4lWveTUTrc7h1hOUOblyriT1kvauY+/jJN3B0eRldIMzT6y0kih +7G2KB0zFrct56N1HaqkYPBlVOgolmiIzXXl9pCgvOGMfywt2NOu8llTqJ9ma +4UbX5X2hXvqC2LXefjLh/hKk6nAW/t2qYeolP0DulHyk/yrlHOQnlqYImQ6Q +pYO1ukNKfjCSOmH8MHWAjMmXUbNmXYaj66dGNbcNkmmLdodFyV8Fnaz4QpbH +EOn/6U7lvoqrwJAzE1wYMUTuC6WEVBxC4Wu7cnHIwyFy/tjpwOcpYZB/dihK +pG+IvNCccKFfKRLujq3deV1nmLSObz22ixUDJ+V2q+1+NEwGiRb/EymfAEs/ +MuTnB4yQa0xjI4pOJcA1BftE16gRsinUu6ftfQLYJPj2pt4ZIVcx1HM1zt0C +h8mR2JrCEfLddJZGc9NtYFnkHSR+jJDzf93WVYpOgmHirshJ059kIvui0dOZ +VGAfZu9smPlJ7rdPLxgeyQLT2u54k+2j5FDbXrEMhWxYnv57wcbdo2SYk+jh +PUbZYFdwvH35/lGy2M1boTQhG6STN9d9PzpKSngZhPrrPQC/zR17x6NHyarg +7weEA3LggpS5Lq9xlNyQJy2sKPoIqloFWob3jZHis0EHTZcXgPPmnY6/rH6T +qtaD3W+vlYC1vMn+XfP/kOfrNkHiaDk8HZgUino+QcqVSHMEBUl4Pdm3J079 +L1nKM6qt138Dmawd8DJtinxz7N5S+/5KKD9S6h0xPk2OsQwk80++h2Brn0h3 +1iwpNJErtVS1GsIsnpw8oimANs66lO55XAthm3+LXakQQLVXdereramHq+el +F803EERKN/smHg42QO109qbISkHUN87lfNFqgryOHtODBkIoq/d5tUTMZ/j1 +Pn3X9gohJLEsZ6ijoxno20cq8jUYKProU4+0VS2wq9NL9twtBvq9JbfDM/Ar +pJY11BnOMJDvhsqSxW+/AbG7TtPQnom4jyuvNa5shUc/r1xKK2aiv8ea766+ +2AY7N2geHhMi0N6NjrEagW0gnkeLiTEJlCfYGaZ9tQ22fDuusJwgkFPi+GmD +mDYIOZx11liEQK+rFHZYZ7RBzos2mShxAoWvODR6oboNOOvLE/8sJNDClgGL +DwrtoJxQY+iyikBrt4rMeDxvh77kDI6REYGePMr95xtqh7vb8z4bGRNIR9bK +2uxdO4SbTExsMyGQfldi1trGdrAanvpqaEqgXdfXmA93tkOhY/sHdXMC+Xy3 +TPSTYcOZkdZRyoZA5acTNkQfZQOjS1/gwSECGbb9e3Daiw3JATZdUa4EemPM +DvXyZYPPoFiflxuBquVY360C2cCWiTfROUyg9pdlgYtusaG5VVK7xJNAAmJt +tamIDbx1lEWEN4FMM1YeKZTjwNeAxesuXcL7TZRgvVPkQH77KvGNAQRaEfO3 +o3UlBxwy0hcMYU/6fXFlqnNAfb5agFMggfKtI53stnDg5IWZnxuCcT6CI7bT +nhzYXWV2tzCcQFxnZGxWwQH/ouUlAnEEqrXNZziRHDg78e7ZPexn5slvfCo5 +IBMy9nFTPIHi9Hy3pNRxYF7+PQnvBAKZSKrpD/E4cEqamld3m0C5r25o3xLn +whtzAzHHuwQ6v8xZmT7IhW1qSdPPMgnkaqW1r/0QF6TKC3y0s3C+IYJRXz24 +MD+ExcvDZvVkjnw6xYXRhefzUrIJ1FzSjSqucsFIQrnZO4dAWhY+zgl5XIjY +lXSb/4hAioFG8TcKuSACjoaWuQQSKZJ9H1XKhd9HWhueYnPkyjSCEBeM+zmF +IXkEiub/nT7ZzIXxJR+2yzwmUPfF0BTTKS78yFSunXhCoN59az3TBSi4tWTq +vnUhgVyGFwopMShYaGJo+R+2ucoPXQVRCsJOe2raFBFIOTL8npQMBRWPv43c +KSZQovIpvRhZCgSLI091Yku82N8oLkeBdKQpqV1CoInB1YSIIgVZFos+VGM3 +7K09Ma1KwbPuEvfepwTaPlgi7K9GwWX/21s1Swn0Ivxe2p81FHziZHX4YOdU +eDX/0qRA+z+NC7+xL6+Q1u/dRME10aong2UEGi2f+HJEH1/fri959TMCHdvD +8/5hSEEHXb/FDds2rDiTMqLgR7J3RRO2er+t+FdLCnxi3xpmlOPnvdeCjLKi +YIyXMdKCbfRy2xnDPXi/PW/kxSoIdCBGsy17PwXfaL83x7E7f6vesHeg4KOE +KyRj+zgrGi1wokDSet2a99iRWvNyfd0ouGjUuVXxOYFkk2ad1DwoMBoVPm6M +nSb4W6bdkwKP9FOTx7CfNfEuGnlRkFRtb1yMbfy/7xrjpyiIetA/2Yxdn1nP +e3SGgoh/hiTHsDt9X+yU8aOgpUjfUusFvj67aKbKn4IgxsXT5tjTJg+L/C5T +sPq0eL87dsTj+x7rgiiI9eBWXMKWlbutQIdQwOjmtsVhpwVG1yWEURB446dF +DrZ6d3DwjkgKVC/8Ea/Afmblt3HqGgUCG+oW12AblXv3FsRQ4PTM/Hgrdt1K +j1S3WAqGDxqLdGMfiDpoI5dAgRwK6/6F3fnTRrjmNgWhr9rnz8zt18Gs4nIS +BS5u4j7CLwk08xa8NqTg639tWrQAO1J9o3JnKgX79g+OSWPL3lJvSUqnIGel +rNQi7LRp5SjLLJyvy9ihxdjqHvKGgjkUmKsa9sx9X1Yn+bPkIQXKu29lLsTe +pif8wDMP19uVlBhJ7Lr7U/aKBRRkiA3nzMM+IPprQUMhrhcua0AQu/NUz+uQ +EgrWX2py+DO33+/cs3pluN7Zl0f65/Ld2rK6rxznebQolzuX76NaduoLCvLY +A+ENc/kufBtrQ+L1hnkh5Fy+/uUmwm8oKKxyTcmby7ejYKK8koJNNVfq78zl +a/EAjzcK7Aq9FYLm8n2acmhlNQXXW+Mues7lGRb5MaIe12Njh/f6uTwHAwP0 +m/D6q89nZbBP251bP9xMQczSxck/cX1EqLkl2bVSkJ7ows+dq79Ye0txNgU2 +Q5XRodj3J3YLklwKEulbuo7YpdX6R1k/KBC9onhRGHubtvay1i4KDJylpL7h +ev50d3Xj9V4KNMQHknKwO04s3jQ2RIGQ6o2ALdgLJYdFKyfx85ZR0rLH56fp +ZVS42TQFb2Nf2i/HjjuhKlw/S8E79Y5sGp8/meoDjFYmDWrrj991nXNo1fSQ +BA0Wg16Flvg8N2m7XDorTQNxMSybgR3Hm5ycXEjDSaLqQRk+/9JbtP4Q8jQo +GUZVyWNL/U3+uVSFhkW151e9m+svD3VPp6vSIG7z74YT2Df3Nwyx1GjwPSi5 +UQpbspQYWL+OBlPW4EKbuX51+lSXqR4N+exNTS9wv5vfZdJ+xpyGlsvfotfi +fll7izowYUlDcPy/QQX5uJ8a+3+/bEVDR3WB3nps8YwnLZG2NHhkPG5fh/ut +mOPSxvvO+Pfh+9PFcb+uFi/drepKQ5vXsq0BDwkUVWFV98idBnn966H9/xFo +3pKwmqdHaTCr/Nn/Fvd70c/DVTVnaPi2Xuq9LZ4HH4KuGVufo8Em4MmyEjwv +IrRYb1su0NDTSf+RxBaJcUB0AA2U5Xx1lEEgYbN3FePhNNRU7ZovlEYgJnm3 +QCWFhtDETFIkmUCt+sY7uKk09KpTCmuTCFRQ0U8lp9Owc3+cjnkirrdSAxmZ +HBrGpbZHBuH5VpjP9RUoouGe7dmpKjwfnVJUNrPf01DqnsfJicLzWaG2KbGa +hjveRXR8JL6fRN/jez/R4Fj5WvNSBIFK4qtSappouFnkGr01DOd17chsOZuG +h1l3D6YG4fr3y628/YsG6/T+2MTzBIoZ3+No85uGHyq9GibnCOR2dmpswQQN +l86Khw74zj0vy39CZ/B61vSG9T4EOuw5FHlmHg8MNXKPhJzA9WSnvdtKiQd+ +W6dVzVzwvGtu6xJX5kFQyTOJPCcCvbS5euX9Kh6sKdz3a54jgTx3fSk0XMMD +28b/+ZXaE+iVyXnZdbo8eB2n1t2A33eO6zz/Ps+cB96Pz3VP4/cp1PAl75kl +D1oU7StkthFo0cnhwCNWeP2SiDcrtxCIzFZlVdnyoML8lYaGPj4fcjd9rrjw +QH+f1KkZbQI9n3AXHz/Lg8EAa+K4Mq7f24Gc7As8MG8tlFJYge9nQ3LhXn8e +hNypYr5Zhuv1eL1d0RUeECkC26aW4DzaN2WdvMaDrrG7a+QkcZ6khEFHGg8E +rA7pfZpgIheH1VLxmTwwWqd4ffo3Ez0dN+JvfcCDPFWKv2qUiZy1/CLv5/Ig +2qrrr90gExWn878cKOUBW+ncMXMeEzlcfebVWMODRLHDOfCBiZ6s+Lz1Sh0P +fJw+3aypZCLi5YCsZiMPcr/rBpi/ZqKCMeXn0S080Ls968aqYCLGkesiO2ge +FDSJiW/NZaJcM9e0V79x/kKyzVVRTKSjvtIQJngQ8R/HsiKMiV5JUG3kXx5s +qrT4cj+YiRo+O8q9FuDDUKVgnP5FJhp1tI95K8YHu3f+5ws9mShgyxJ14wV8 +2KLFWKvizkTCKl8/VkryIaJJNjDYmYnku/cS72T50Oi/qE9kHxMZ+lhd+rCc +D2o8Rd9l25jo/V5JBbOVfDieOvCrT5+JrPTqyj6q8GFzmbBkph4TuU6Z/6pW +44OqIIt6r85E4WGmxz5t4IPefcOEpkVMJHVUWHSXLh+mlA631EoyUbJ5VXad +Hh+arS5/ypnHRHlSRnS9Ph8+5vpFTE4xUEOy4f6m7XwofnWH0U0zkH3A9JiN +GR+o2MDNL1sZiOf8Iv6zOR86ey0cDn9moNFVm+ubrfhQdWfJnlWVDBQgMnHC +dg8fUvdHROi+YCDh3jKxFls+VIZcs5EoYSD5At3tXw/wQcukrFEkk4EyYkf5 +do58iIndLboimYHUfYuDvjnz4VYB48ngTQYy3LT+5Xd3PvicFyTvBDDQ+6XD +Bw4c4cO/sw367mcYyGrm8Z/WozjfRbOT1Z4M5PpWXafdiw/cEVNfAxsG6svu +azx4mg+Bdukemtvx/5+IR97sM3xw7RHrDtvEQDPHji5wOscHOsl4i6Y6A4Vb +quVyLvBB92lMgsRyBpLS6trh7M+H85tH+xiSDJQs86CTG8CH9PgguY4ZIaQy +5n7V5Qq+f5+R+74DQijvq4oyHcyHnMzTPa6tQki3gkceCuVDNWXgql0lhF6l +pDvywvmQe87nxbJ8IWQa6PLXNYoPr2Xk6aKbQqjhkFISP5oPltHLZgtOCCF7 +Y85G9xs4fzdi3y4dIfR9u6yX8U0+iDtoHGTPCqL/A6lQidA= + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVk3k41Psex4e6t0WXDkm4NdZBZ44U6vLg46SyRZI1u5C4hYMskbiEEg5O +RdOikyRZsmeZ7y8zKPt6iRjz+80MNW4SRoPhdP94P6+/X6/neSv7htj7i5NI +pCs/9n9a+c8OYJ8cTbYUpp4NnOLBVjOFUJa8HlSEVijFpvBA9/F9WrX8CYhJ +IF589uQB559qiVEUW2B2DLjTTXlg3TNa9VDeAaYc277NUHiglrG/aJPsCile +M90jUjxYt7pwz4fiCfNxg9saV7ng7LfSkiPvByc7ugyLh7nANFOoZ9ACwEbu +u6UWgws6qsaVS+RLIKxC9tFVXNiOJz11olyBcKOFop25XJg8lGp2Rz4Cjg5l +7xO5ciHv8T5M3S0SJLJCNYpPc8FK6qURnXYV9rS8W3gEXKj70q0/T44BvKU1 +yZPChcwyac2zlOsgLZNq8oeAA09kz9y8JZ8MvQFG9RKPOXCt5x7TqTEZJtL0 +lSvzOOCUMi2u6pYC1kN9YaG3OLBrOSyhiXYTgokHbXCVA9HDedFz5HTgOzX0 +rNpy4GzueJAtJRMmA3MXVbZyIHF7tUa6fB60JcxpHY8h4KD572lVoXngSIs/ +YxpKwGBKyKeJjjx478mOt7xIgNoWaqn21T+A0WfSfs2RgHbRM+3hwbvw/rS6 +j8sRAnYt3tUnZ+TDaf/l+ub/4XB/MtasduMRSHq0tH+6hIOLa2HF14Vn4L66 +oakTxgaJzUR38wMVkFScQ4qIY4H62S+zjNs1EPeyLNDB6iNE9RrA/aU3oBxo +P/eBNQZyNT9NiYkhwM3lyxfKR6AON+vuM2qF2SiZ5MxDg9Aa9FDRdY4JmX2+ +NEuvHlimGEuVXe4AJ908fd/KdhAXlu5WVO+EQEXTgfxYOhzd9K47V94N90Oa +Z6qVK6E7Wa+3/WAf3GbuDTMqiQFyNl9Y8qUfjF2s9jVGlyH+CmtqRGcQIr5G +WuTl0dGzz02dkplD8Ezv7Y4lo3dIcn/xPIczDBlv2nz86/pRxqXagCdq/4Wp +ZIbz7OERJDAt5QQmjIKE0uUD69bjKOIIs2YvYwyUbKz2HDvDQqxy5u0B5XFY +PJi/W+kmjtaChh9oxU7AWcbRHIdkLvr5120bAU0fQeFF0bxV/Cwyf6p88bXc +FKjqVdSJLfBR1H4vFbY7C+a37VsKD55H1DlHiVGbaXAL19BIzlpAMlJftzNX +p8GH8rErpH0RbUUPKlRpbCDHuuzXVBCgcaMTFqxHbIj6GEz9piZAFY1z0wWF +bChQo/7EOCRA5+uMpaWL2WA8xsiKOSlAr8tYEaQqNgy9qh01DBMgT5qq4WQH +G9J51RyFTgFqiCll3l1kw7DeyS564grKXDnnYS9gQ5az1uMbd1bQhcj15X8I +2UB1pNlb568gyTAbjZQNNthOpJWuVa4g/8D59PAdOBRpJd3onF5B0s66Z+zI +OLj6qHTrHf+OgvWaPuywxmGMhbcv7hIirH/kVYMNDu/5BTSmvBDJXv6acNEO +B/OY+HOFFCFCReqUNkccvgRXf7tuKkQyctm/3fDGoWKpUrwvQoiahH4SK5E4 +UHXtErksIZJAksacJzikBIr/Yvh2FXm7ae3O/ROH76fiH072raLaFTPi1+c4 +vAinuuROrSIvnZj0x6U48EK0Jo+ur6LqQmLkfB0Otxtk1gL+tYbckhuuDHTh +oCjML2+sWUOllr5P6AIcGp4Yq3lh60iPqmwCQhwah426ZvrXEV1yegKt4WCQ +WHIvk72O+oc85N6SCHhArb9rsEWEljxcMxk7Cfju09qqaCFCJr/Zxb07QEB2 +nQFjZkyEOhykFCyVCVAa7PLF+CJkd6y3/r0qAc9LCiTebYiQ77r1YqcmAWO9 +6bwg9Q2UetM8qOfHj7WwmvDDkRuov8DEZfAUAdKzf/PsVNpErvGiZXtLAl4X +LCfnH9tEuFdz7pA1ARP0z3sZtptoSc2wb9iOAB+Dp7cMrm8i+Qr9U6PnCcCX +Px33xDfR09+XCGcPAu5IanqVrG8iakR14pgXAaK/N/MZEiTMxOBwywc/AkyP +tIrd0yBhvgyq3scrBLQMtOzkXyBh/CL+gHvYD78cm5FjESQsIu1lyGQ4Aduy +Sw+RU0hYqo1m6VQ0AbIy2vdkS0jYbp0ZC69rBAi0lqO8mklYgfRzHiuegNos +2z/p/SRMddkv2fsGAa0XrmZf5pGwV6OqKuwkAi4/0p+gi0iYfiOOfFIIYIK5 +rLacGEanFXrgqQSovEjJ+I+uGGae4L3me4uAsK5JZ20HMazfh5xPZBCQE3je +IixODHM9MXXUL4uA8bR/H5B5I4Z9OLXnyonsHz0iNtdI82LYX2cFo5c= + "]]}, "Charting`Private`Tag#2"]}}, {}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>], + ImageSizeCache->{{4.503599627370496*^15, -4.503599627370496*^15}, { + 4.503599627370496*^15, -4.503599627370496*^15}}]}, + Annotation[{ + GraphicsComplex[CompressedData[" +1:eJx1mHk0Vd/fxy/3HopCKNGgFKlEhXz1w0chyRBKqBCVlDKlQSUpc1KhQlIq +qZAMIcXZmSpkSpPpnnuvmQxliAzP/q71O561nrUe/1hn7XP3OWfv9+ez36/3 +cid3y8P8DAbDn4/B+Pf/jsOdtajLSue4Yknb8IIO0n9W1qpQ6WhY9JEpPcd3 +kLSxTUwfGHwMhpWdUQbbhkjhaf/9hkvTwWHzDrvf5iOkvEVfZ/HVbLCQNrAx +m/OHPFOlCTFDr+HVz3H+sDdjpFT2vBY+PhLejffsilT6S+Zw9SqrtYrgkcJ2 +KHgwQRYdu7fItrcEXh/JcQ8ZnSSHFbRF0068h8sWXqGHFKZJ/rEUsUXy5RBk +8vLEERUG2jR9IGfXi0oI2jwidCmfgSoD1KrK1lRDwJl58+do8yHZGz1jz/pq +oHIySTO0hA/1jLJbvqyvg9TWLsP92vzocfebcpGIz/D7faLZtnx+JLIkub+1 +tR44t4/kpykzUfjRV84PVn4Fs3Y3ydO3mGhEN6XVxe8bJOTWVOlMMZH3xpLs +BcXfgdhZpaJjy0LsFyVXa5c3wPNfly48yGKhv8fq764+1wg7NqocHuYn0Not +glPOb5qgJ+5hi54egQwfLj+SIdUC33wXrLtwgUBnljjIcfazYati7GTeIwIp +9VoJfzOlwOtmsc7D1wSSEB2YVTJOgZS47HpbfM0i76aviOdAYMwjUjCOQK5q +b37MNuaC+4vTnZN4/hQjpweFI1zw55esLw1jIcb/+Xswf2dQmHQAqD2OylBw +7idjms/pvZpKgObDzTtqpn6RG1PnCSye9RxKGxhfB/YMkxJff5p8kGkCuegK +nQMrCfTaM3pj+NFmYHZoMZ44EojtgPSN8lvgfObSbEYkgTrPBcYbTrCh7ZFc +5dhLAj2YlAszfUzBuQPDjgsKCDSnw6DppDEHvl78Hr72BYHyfFJKbv/mgEVi +782YMwQSJkW0Wx9wgWHuqPFpjIVq4nRs6rbxIKvwDrOTw0TNKsF616S9oXre +WaGr3b3knN+31WXDY2GAuCt4wvAXWXr5x14B32Q4K2aszq0dIoOXOQ6dLW+E +lg2vY/5IEMjrh2mMj3gznBxsGKIs8fryDVpNurTAzlKjuxnBBFpv4uUQncqG +ELPY27znBGr3frtD3IeCr5lapuvfEkjsb9yvRSs4ML/yzMqybALZx6/Y3Pye +AzmHUluSwwj0ZuyQ8OgpLvT5WhCucgTS8TK/8GEpDxS5i72XbGUhi6iGY2YK +EXBCaqfizucDJEOosTIBNQN3HWUS4o73r/C66i1hNhQZawvZ3SVQze7K45Py +FOR1Zh/qfkWgvUGhH0OqKXhc2+q+Ab/PrM8DpRUnOfB9g9h7qyQCiVur7jSX +5YLPlkl5owME2heQ51ZbwYUYocPJ8IGFnIqV1JrceMAeNPTWtmQi60OjBZHS +h8BOcPk8pkoPWTb5WLm+7jYomKTuJ9oGSRE37cDzGk/AZ3Pr7tHwIfJdqcx2 +i4eNkPy2UTxMmEBm19YYD7Q3QYZd0wclYwKlWYTaW+u2wImzU782XiZQfXYn +yg9gg56IXL17MoFC189O8T6I9aDXvmXxG/y+gaWT/SIcMOlzyzDNJVBGGtub +kcmBe1anJkqxniSkbnhdOsAFrT1iHlOqBBqys40oFuKBddn5MxkuLHS2Pvps +r2wo3B1eu+Oa2gDZVJDrN/9WM9Q3iKpmuxDIQFRRq5/bAh7zqNlVtwkkFxp8 +T0ycgvwX3wfvZBFocsvX1T2vKbh5NDOFjddTyG5R7X0HrM9gm0RhvP+HXfpD +T87mgo5yypErxwmUlcj7sjeHC82yp48Zc1lIOl1927e9PFhvkFsr+IiJItLE +FS0ULsLRDRNDKlv7yHDe38kT9WwYXfhhmzjWu95r9+70CArs84xdG/DzRDw9 +Ogw1OJDWrFn3NgPr/+qR6dfNHHj2+O7+BH8CHWzSfHziKhc6hu+ukRIlUHCQ +4bFPG3mgcV8num4+C11cNk+rW5OCq7NKX/bh9csp1zqq0EbBrEuLzwng9RUw +KssfDeZARanZHP4HBCo0OCO5Tp0L7yIVO2uw/plHrglu53AhvU5IeEsKC6V+ +WyHHucyD5EeeXU4N/Mj407fMe9K74cEtB3PTP13kSqZSivLpW7BvfPBmRcYg +mXXQXSYnOgnmxW2u+nF0iLSPGfXUjmiEK4cfn9IXJJBWR8zjtbVNYD4w8U3H +kEDjPl+cWEotoDRH0dfej0AKXY8GP3mwYUjiTGo81u/eCJXGJBsKvnN8ilzz +sT7K9zIbWBxQ3OB61ykPj+doi4snc2BUbFuoP95PMkleodSKC/nGhcrKWrhe +PttJvWPwoL+EL1LrHAvNGfb0exMfBGmn+sMEe/rJcimFH+Z+zdAsHmWgdphA +kRreuvFVLTA77Z6IezSBjFe0qcvMoiDI00XFMpNAVfcnbBenU/BQaCB5Nu5f +wg9ffg214oDzwxdN61L/3T/TVYFTuH8pTG7c4EUgh/U+ofdTuBBu3vHXuo+F +hlZurq4350HpnYW7VpYwUU5fpXq/rA8MJozpP0v4SbZI5Sr7Izbo97ZkXMHz +SUrdluFcoYDZyW6MxPoQzSF+bljHAUOFPglLrNfsqNL4ijoO3Mh0Ct8ShMdd +q60zL3GBiGdsnVhIIKcJ49/lijyQ51Og3iuxUHK+W/1vFQpUnyqfHckhUIji +wVjrBgoSYw7wUrA+BCP2IY4vByjTOUroIYFczL5k6KzhglXtf3xybAmUPiz3 +JvwrFzRuTx9UyGehYFPFlJazPFB/FREtspSJZnEvP9yj4Abb69Xeicr2knl1 +3HN6bhTEltvqZ+H55+mu/0NIc0BWJ6xUGj9funM3USbJg9rz83sE97DQWN9q +QnAx7mcm8z+U436q1Jo+9rqEAs2KS9V38PfPXhhU8eooB4xKfvUW4/6ho7mh +4MchHnid4SPv+DKR1z6j/IuxFBw4KOwlgPcnVUyPU63Fg48pPiHjE0xkFZT1 +iNKjoC3OPb8O66f1+ALN4X4K+OWv++pifdU4ysbywnlgGr5kOv04P1K9HxOf +Ja0PFRnl/wgt7SLrAt27Gt9Hg2W0d3fCnUEyyH7W4V16SWCd7tq01GaITOVr +D1INaATd764ySwkCqUmaWxiVNUGwwdjYVgMCLYv429qwvAX2PUyc2++L++UV +vrBvzmyYc0WBm/oY94OCrSd1duHv7yqSFsLvE3lcXqB6moIypdYkDn7f9Pxe +Ki6RAztsItWMYwg0/8SA3xFzXL8LQ4qW6+J6FqEayb9c0Cwx+XL/MgvtCaT4 +V+wLhG9NcllXnvWTRfrNgW7ezeDVJ9TjdhCft8ZxRV4lLSB+ZfijZhSBDgxI +8MsyKZAw0DF9ivtPbpXor+xnFMjtvPVIAq9nuP75HxfNOdBanq6xIQ33o1MT +w3PHOHDhlHDgT28CvRrV4215woVUeYq3coiFuA5voz4b86C922Tf4c9MtEP0 +uVZh/GlIi8mJ5zf8SQpmSr4Py2HDyJGGmlcpuP8aPMv0uUjBak/h3kN4v2/Y +1PQrKHLAe7/oJjGsh9kx3q67P3HAruSdyoUQ3I83xmXsPs+FK3dKWUVLCGSu +UZX7cQUPNucKiD7SYKG3wfce/FlDwaeWx61eWG+e1qc3DNRTELFoQdwvrMeQ +9QrFX89yoKud80cUr3+BZcCl9yu5sCZjz+/ZdgQiCn5KqtRyIeWHuq/xOxby +Dnnu3nySB05dQp1Bmky0foX2yyHZo5CtIjjVkdpDPuAbEW9yocA50WP8GJ4/ +kjs+Pi7BgRNE6ZNc/HyBFd8+lojyIKRO0u+yAwuJvLWpFZaiYF6oIamKv09S +ovimJYnPI53UKyT+/rB886rnhzggrXUtsPcprgfvLP/vDjy4lc582XeDifaG +7beUisZ+EAV1/sb3xxmXJlVp8KDe/OKn5NksdGwX171Nh4JWTrXuQayfT3dX +117rpkBZ+GdsMtZXYXyiHTeYBymnvd4uSeNHEzsO3nFUsIdcNyfmnQfdpJfD +Yr259hSIWqxb8x7f3+7R9e5KNgUbLtTt+4OfJ9CdK/TVigclV65aimQzkVLn +5cvbQymQP/tHOB+P3x/byUeyKYjh3FK3w+sRJ/6kne3Lg8Qof6nWKX5U/aia ++/wkBSGr+kWH8bjeq3jH5eUUXGuIPOeCf28+9eJPw1Ee6M6fHi93YaJQpU1y +7QkU7LHpG56H9fhjm6Sb/g0eCO9T3t88zYdYejIebGk1SDUAg+MBneQaw5sh +mR7RcFXGNsYpbJDsb9wt9FAmCZYmjszdtHOI3L3J7qayXyMIp3KEhFgEevk8 +ZdV31AR3t6V+1tPH9RsjolC2uAXSmlYKb8L16mS+fk+TIxvEXqd7qWK95O02 +IcPMKRjmPhz8iv14XUFYsNEkBcU3C2yX4usGLf3t7AQOdCtRMmtjCYRqvqTm +mXLh62LbfPGteH6l5TowxoWQpy2m+UEsdP7TnZI9+QHAlDLikwjpJ3Ua/9k/ +6dYMcb6WHWFOBKq0SmPaky1waqws7x72Q9171rokMii4tXDivgWuVyVnaR2+ +ZAqM5XW65uP1qbxF7R0z5cDlqH/803G9RozusrMc4UDbim5lg9O43vetFot6 +xAW9dYuvTY6wkK3v5LClEQ+om36bCxqYKPr+QiS/7xT8s0XZ0E36J7nYTy/q +egYbBMFOxxTXq1dz5lTpeQr8mec8jfF+1TxT90yU54Cw5T8bj2M9q8lU1sWU +c+COeyYnKhT75dt+LUlnuWDckCEms4xA73eLyhgt54Frws/fPVostK0vW+C8 +IgUXz9/eooLrpb3Pz1erjgLrgDfT4nj+D/5X9S1Oc8DS9+WSbLz+nfWNHcJy +mG+y80RS7fH+Lfu85VIVF7zsP92oKGGhnqSe2v2ePPCzTnRW2cZEJXoyucXx +zjARuS75mXsPKRk7ba/oTIHekICrPtZfneqBC6fmcYA4F5TExP7JV3ehkv5c +rL/1zLUrDrFQjJyHRoQkBXxZoR7t+PwNeV7ZnPCWgtTmn8E1+P3KhXN2yjtx +oNFtyRbfZwR6eHOIZ23Hg4ibO2cti2OiquXOCQdvUjCwX1+w819+OCowy0yd +BxOyh79WimJ/8HrsyxEtPL91T9xqXK9bVVWXNHRQoO0gJvYd1596Ppd0DORB +OaXtpFrKj1aGL0malrWFhorL/THm3WT7iPx1230UfBRxgjh8/95Zv+fWZOD6 +Zyv85MN68BUcO261iwcJNiEh6m+Z6IFfeFV0EAV+13+ZJOP3kbxpayrcTIFl +f0l4IF4PsfUd2x3O8+DM5qEepigT6f/nh/KoBwVhT3rH6/F4nskTjOd4fzLc +Zfzx798vGti79wgP/pmu0Tp0kommisFtYzye/1vd/Ln4+bb6LZsOXcf6Okjs +MVPjR62LV/qfUTCDg3V96hbRXeRWDYEnLqmYby7FR4ji+0Ne3Hde54/9uDM7 +/wKef+rY0bn2p3nAidXXVVHC73/+tYFAEQUZpU7xqXi8/ZelQMVtCgILm+ZM +4WtDvwN/ncJ48E5cmpN5gx/zHvuURi7ur80XB3vxeJ65z6aJqxQwNlYtqMDX +K4YPBRy4hP2Z1+B975/8SPKW0tfYRAqSl0uK/VtPdD7CTAy2cGlpBzofKfXr +Xb3Vhwd0PrJ/fEpxvSeug//mI5eTIxneF9hA5yMXnqe57N7RBHQ+stzFsvcH ++zvQ+QjXUPrF4IsvQOcjnWckAiJU6oDORyKqneKNHD4BnY/sUY1Wd3pZBnQ+ +4rJItzb2XCHQ+UiM+9uOrOUvgc5HrpYs8NR65gN0PqJts2Nh/tk0ks5HvAdO +bY+OLiTpfOSx2rvZQ1ofSDofCX9d6ng4p4ak85GWgGLrzg1fSDofEV52YumE +cQNJ5yPLTHdIauxkk3Q+8ntNrNiyIC5J5yMWxZsidwe0kXQ+IvM0qX+HbydJ +5yMr1NJz+AZ7SDof6RdcOHTStZ+k85F9J1etCrg+SNL5iKNCU4V72W+Szkdk +z9ksUZQZIel85DubW/Z7zhhJ5yN5D7RXOqAJ8v/LR6qctXKF77cCnY+I2BWU +dR3Fv/tvXlGvZlBR6D9K0nlFoAv/us3vxkk6rxDvJOzLl02TdF6x6fONhZO2 +bUDnFSaHh3Pf/uQCnR+Etme1ypSPkHR+oKRq7t/GHiPp/OBGjmZxx/dJks4P +ml2ifsuxWoHmfVtHuUq1rX9ImvcXjcW+yM/+S9K8X1BbINRzkDHD+wbvKzYn +17cBzfsfTeQdbTBX0vz9OfXVt82eIyTN3+lDL/mrvcdImr//OBYVLdo+SdL8 +3bMn79O4WSvQvJy0+vKlcmqUpHn5ap7EX+d//pI0L3OHu7bac6dJmpfFJYJ1 +bo20As23q1H2yQ2npkiaR08kqDcWTjJmeLTFqvRXh0I70DxaXK1Tdh77EpoP +tb8XX/cxGCFpPuxzzfp1UXeMpPnwrlLubU3mJEnzoSvvbimcbgWa58waQ1L+ +vhwlaZ5rd1/dvGlinKR5zlHzYZjmxWmS5jluQdFle4U2oPnre1Vo+zH5KZLm +pfkSynfmP2PM8NJJrcEkoag2oHlGd2MR351VjBkeiXTZu93zAt8Mj/j48Z52 +27cDzSMf7Tm+Rrjv0nwQt1JpXrHKCEnzgaGP765EhTFyhg/8n92J4EyQNB8Y +f6729AhrBdrPK1nFWxrHjpK0n396UskmqmWcpP18Y2H3gmKzaZL285IFHwYT +oA1o//3kWZzwh6lJkvbLgjdSVGQDGTN+eSyTtDyb2Qa0n50UeNtTLMyY8aNy +TwPDr6jyzfjR/gt1gvnjbUD7x1fXzR4V1jBm/B/Xe/ovo/9//V+6R/qyc4Ht +QPs/q3jfnboePKD92JkmV6VfK0dI2o997ImLL5EeI2k/ll+vVdFRM0HSfqwx +RH35y+hWoP3TdevV9y9dGyVp//Rnm++95upxkvZPGXHDAbEa0yTtn4Sve6xK +NmkD2u8sq6twQj2TJO1PbkSaftHwZsz4E1OpP0ari9uA9g/XRBQdnk1Mk/T5 +XwKG85Wl+GbO/0CHjsovou1An9cjq4fPOLxlzJy3DSHHl0q85ps5b0ve1+4v +1G0H+nz0rGi2Vt7NN3P+FR08feNEOwP9D+hvK8o= + "], {{{}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{ + Polygon[CompressedData[" +1:eJwl1GWUUEUYgOFdQkqppXsp2SUllu5u2KC7a3cB6ZJOxQADFFABJZRUOpWy +CRMFlRQULEAF65nDj+e8Z+bPvWe+uTe6X2p8SpqIiIhIHou83494howW/fVv +DtOQn3mLOZTjAq8zgU4U4ytWkkpbahCe8TFLGEAjyhNNWj5hKQNpTAWKk470 +PECG8E5kIjNZeJCHyEo2spODnESRi9zk4STPMogmVKQEeTnFcwymKZUoyVlW +MZJ21CQfp3meITTjEUrxNS8zivbUIj9neIGhNKcyF1nHRDpTmm94hdF04Hd2 +sYDaXGNTmBU9+YsDPEkBPmUZw/iHd2jBL7zN3HDe5npCq3CJ9eE87U3ScAGO +hnPULvoH+8IZWz8R5qAfhPPVh/Ucr4aZWD+q//JumIV1R73F7jAn64Vhnvqe +1uE6m5keHmv/mPbiLgd5ioJ8xnKG05Jf2cE8qnKZDUymK2U4z2rGEM9t9rCI +uvzIFmbQm0J8zouMoBXVuMJGptCNGL5lDWNJoB4/sZWZ9KEwX/ASybQmjqu8 +wVS6E8t3rGUcidTnBtuYRV+K8CUrSKENv7GT+VTnB95kGj34k/0spizf8xrj ++Y8jJHGHvTxOenN4Xxtwk+1ksTdb0+hxzaH99B6HyGr9tGbQD8P56TS6MZ2h +TKY1MxjOVDoziAnUpytDwj2kBYnh/8NY4mhFJwYynto0oQO9GUUl6tGchPCe +jKEatWhMe3oxkorUpRnx9A33mKrUpBHt6EkqFShPOcoSSwxlwvdAaUpRkhIU +J5piFKUIhSlEQQqQn3zkJQ+5qUINGtKWHqSQizo0Dd8XfRhNZVqSxADGUT18 +wwxmIg0YxhTaRN7/33cnmSj+By7Yoqg= + "]]}]}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwl1GWUVWUUgOFxcGiGlIZFSikdSncjMJSKCAydg0h3d3co3SggqIAgHUo3 +SoPS3R3PWfx4zrv29+fe++27TvrIqIj2H4SEhCT0CLqfCcQ0NNFXbKUUd/mV +wXzCJRbTjbqk419mEUU1PiOUA0ykKaX5lPRE4yCTaEYZcpKBDwkjOjGC70Qs +YhOHuMQjnPgkCH4HiUhMEj4iKYeYTHPKkouMJOMwU2hBOXKTiVPMpgNf8DnJ +OcJUWlKePGTmNHP4juoUJgVHmUYrKpCX/1hCd+rxMWeYS0dq8JB1DKcI11lB +XxrwnE2MJSXHmE5rXrONitzjN4YE922vf2k+/mdpcJ/OemjwB9gZ3KN+qU/Z +GNyxeXSwB90b3K9m0bPMC3Zi/l7fsD3YhbmmPmJ9sCfziGCf+rcW5QYr6Rd8 +rPNd+i0v2Mw4UnGcGbShEvf5naHk5zLL6MlXZOUc8+lEBI/5g5EU4yar6E9D +UnOCmbSlMgW4wnJ68TXZOM8COlOL4tziFwbQiDSc5AfaUYWCXOUnelOf7Fxg +IV2oTQlus5qBNCYt//Aj7anKA9YyjEJc42f68A3P+JMx5OAii+jKW3ZQhyds +YBRh9rBHS3KHNcRxNkhDdXfI+/dEpL5kC+Hm8RpD9+k7Fm6B5Q== + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVzcVSQgEAQNHnN1jYgt2Ogt3dAWIndoHd+eceF2fm7m44lYmns4IgSJMt +rtnimzk+uGCIV05Zp5MnjkgyTRt37LPMOH3k8D/ZZp5humgnlzzyCVFAIUUU +U0IpZZQTJkIFlVRRTQ211FFPA4000UyGHRYYoZso9xwQZ4J+Wrhhl0VG6SHG +AykSTDJAK7fsscQYvTxzzCozdPDIIStM8cYZGwzywglrfHLJL7O8c84Pm3xx +xR+uLiJ1 + "]]}, "Charting`Private`Tag#2"]}}], {}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 1.}, {-16.34123920227253, 2.0794415416798357`}}, + "Frame" -> {{True, True}, {True, True}}, + "AxesOrigin" -> {0, -16.341239202272494`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> Directive[ + GrayLevel[0], FontFamily -> "Times", FontSize -> 10], + "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, {Log, Exp}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJw9mGk4Fe8bxw/nDEUhS6JFCalEhfz0x62QZAklVIhKStnSopKUPalQliSU +VMiarZgnW4VsabOdOefYyVKWyPIfL8Yr1+cyzDPfuZ/7fuazzsHV/CQ3jUbz +4aLR5n/uO9nTgHottM7Kl3eOLe/GGTqSbkwJFUjTA72zfj248pPouBwJXajO +qvqPb00v3rFKxveSnAkcbxxUNYvsxQ0/f89+LHEQEh7YmRr/7cVlQlcnz0lZ +Q3P1zaFo0z58et/xKHs5W8h3caBHJfThlicmisMlToAN77pldKV+vFxHMr8s +zhGmw7ekvHTtx7eu18wclToNuUq8s91p/fgi9s2kQ3IusLdJ5b2g1ADephSo +c0fCE+qWXea73TeARz5ZgWSPXID/dinqu0j8wvcJvtIoibsI6dF5cdz6v/C8 +wRrVISkvGImf1H0Z/wsPSxeWN5O7Dqe3TY8q7R7EE8T2B4RI+IHKs4gsOcch +/OrnqPJDRX5AFzfgEgkawg/5E9zrj/jD91bpnFsvh/AlY+4+b+MCIP3CUAhv +/xB+uSny8oBUMDwa27zvjsowbhbRfMZELgzOie+X3/9qGPddlLMhWCISVn6i +SyzxHsE36d8PynaLhNuS1tEOISN4o79rb8uHSDCP9OyLjxrBZegKqYoXH8CR +qZH71VkjeOXMM8WmxocgZ5R2FOscwZf8eagqFRoDw9gj3nP6v/Hotis6b2bj +oe1k27762d+4lXVixvDIM9Cv6YnQ2zOKD7Uc5EuSTIY1ieNLd+wfxQNsF508 +oJMMlhnOrWusRvGc466SeZHJsCx2Z+3P06O4gIum/1W15+C1s+PgROgoXnHz +52Ee7xS4LGSoym4YxbenLeNZtegVVDTTvg0fGsP553yP6q/JALud+2z+mI7j +smaDPWW3c8FMQs/KZMlf/FKtOkSPFsKbX1PcIW8ncfHcZe1cXDi8n+o/EK7w +D89j69TUaZTCU7m9UJwwjZeeebzSeqAcCk/luQZNzOBjcpqC6ec+wE0zj+AT +cnM492Sq0ErZKggwyjx3SomGdswdyzvwugYCdo7z3SiioRo/ldrKTXXgd2mZ +2BJNLiR1r3/y5WA91MwkqweXc6H+CWb7162NkNbRq39Ukxs963tbJRD2Bf58 +SDTZU8SNBFanDHV0NAHr4amidEU6Cj39xjFB5huYdLmIXnxAR+PaqR1OPt8h +Pr++VmuWjjy3l+cuL/sB2P5aJS1rBmK+Lr/dsK4ZXv2+cS0hh4H+nWl6tPFK +C+zbrnRyjBtDB3fY3Ff0aQH+NBYfHwNDaVxdAcp+LaD9w1lyDYYh2+gJd82w +Frh18tkFXV4Mva+Q3GuW1AIp71qEQ/gxFLjWfvRyVQu0byuM/iuCIZFvv4w+ +SraCdGS11jEZDG3exTvr+LYV+mOT2nV0MJT5KnXDD9QKj/akfdHRxZCKqKmZ +QWUrBOpNTu7Ww5BGd/SzzQ2tYDo8/V1LH0MmdzYZDne1QpZN60cFQwx5/DSO +9hJug/MjzaOEOYYK3SO3h55uA3q3Bu25PYa0Wv47OuPSBrHe5t0hDhgq1W3z +d/FsA49Bvn6X4xiqEpf7aerTBm3CEXoqJzHUWpzvI/agDZqaBZVznTBE42up +iUdtwN5CGAW5Ykg/ad2pLPF2+O69fMu1a+R6owXkKle1Q3qrDP8ObwytDfvX +0byuHY4kJS4dInnK66sDQ6EdFJbIe9v6YCjdLNjWUrsdzl2e/b39JpkP14jF +jFM77K8weJQViCGmHdI1KGqHq9lrcmnhGKqxSKfb4u1wYbKy4DHJBYaxpR7l +7SB8a+yTegSGwtU8teNq22Fx+mMB10gM6QnKawyx28FtGbG49iGGUkvuKj/g +Z0KpoSafzSMMXVptJ806yoTd8jEzBU8x5GC69VCrPROECjM8lJ+R+d7iCvnu +yIQlt+TYaSTL9T4d+ezGhFGRS2lxyRhqyu1BRX5M0BGQbnJNwdBWIw+7yDQm +BJnEPOS8wtAqH52Iu1lM4AUbLeNUDPFmi34IyWPC+Knm+jckt4vnK/oiJugO +tGfdSsNQKOffzLkmJkys+LhH+DWGeq74x+lPM6HzqXTNZCaG+g5tdkqkEfBg +xfQTsywMHRsW4ZaiEyCip2X8gmTD9Z2qkosICHB3UjLPxpB0cOBjIWECil7/ +GInKwVC0tJtamCgBXDnBbl0kC7yzauAXJ2BZsD6unIuhycGNGO8qAp4ZiX2s +Irn+YM3ZGVkCCnpyT/S9wdCewVyeq/IEXL/6cJdSHobeBT5O+LuJgM/tzzo8 +SE4pcmn6o0SA8gvFy+MkX1+7TKNPnYDbiyoyB/MxNFo4+fWUBnl/y/7YjQUY +OnOA7dqpRUAHq077OMkWATlPCR0COmNdixpJVhiw4P9uTIDH/TKtpELyfR80 +wkNMCRhjJ418I1mnePd5rQPkentLJfiKMHQ4TKkl2YqAHyyvUmeSu8Zl71of +IeCTgAPEkuxht0pnqS0BgmZbNn0gOXjr4lTP4wRc0enateothkRj5mzlHQnQ +GeVx1iU5gWtcuNWJAMdEt6kzJBc0sq/ouBAQU2Wtm0Oy7v9+Kk64ERDyfGCq +ieS6p3XsV+cJCNowJDhGcpfnu33CXgR8y9Yw3vqOvH9b9mzFVQJ86VfcDUme +0XuZ7XWdgI3u/AMnSA56/cRxiy8B9x2ZRddIFhV/KMm6RQC9h9kSTnKCT2ht +ZAABPnd/G6WQrNBz8+beYAJkL//lLyK5wNRrx/RtAmjba5dXk6xT6NqXEUaA +bYGhczPJtesc44/fJ2D4qC5vD8mHQ46ai0cSII4Cev6Q3PXbnKf6IQH+Ja1L +ZufXe8Sg6HoMAceO83vwFGNotgxctseR9//eKLaU5GCFHdJd8QQcshocW0ay +6AOFbzGJBKSsExUSIzlhRjrE+BmZ77Ex++UkKzhKaHGlEGAoq9U7//v8WsHf +uS8JkN7/4KkIybvVeJ47pZH1diMuTJDk2ifT1qsyCEjiG05ZTPLhRX+W1meR +9cKU+8VFcpdb7/tbuQRsu9Z45O/8en8yL6jlk/Xedn1kYD7fXd829heSeZ7O +TmXO5/uqpi3+HQFpbb8C6+fzFSm7b46T12ul3cLn871aqMdTSkBWhUNc2ny+ +HRmTheUEqFffqIuaz9foOTneCLDMcpX0nc/3TZz9uioC7jSHX3GazzMg+FNQ +HVmPDR2u2+bzHPTx1mgkr/d7OydMsrvlxW3DTQSErVwe+5usjyD54zGWzQQk +Rh/jpM7X331rY/42AsyHykP9SX4yuZ8LZxIQzXqgakNyXpXGablOAhbdWHWF +h+Tdysqrm7sJ0LQTEvpB1vPnRxsb7vQRoMj/KyaF5I6zy9XHhgjglr3rrU2y +iODwovIp8n0LS221JvdPY3FIoMEMAWX3i63XkBx+Vpanbo6ASoWOZBa5/4Sr +DtObGSyQ3+b8yGGe/StmhgRYYDTokmVM7udG5WPXLixjAXYlIJlOcjh7ampK +hAXnsIrn+eT+X6a99S8mwQIprZAKCZKF/sX+XrmeBWI1l2Qq5/vLS1X3RFkW +8Jv/t/0syfes6ofk5FngeVRwhxDJgnnYr21bWKAvNyhiPt+v3N269dVYkN6m +3viO7HdLuvVazxuy4Nv1H6GbyX5Z84A4PGnMgpsR//lmpJP9VPfqz+umLOio +ylDbRjJ/Uua3YAsWOCa9bt1C9ls+m5UNT+zIvw+0SuQn+3UVf95+WQcWtLis +3uX9EkMhRaa1r06wQELjjv/ACwwtXhFQ/eY0CwzKfw+Ukf1+0ZfhiurzLPix +TeiDBTkPPvre1jW7yAJz78zVueS8CNoqV/btMgt6u1h/BUnmDTuCWN4sIIyX +KKAkDPEYVBZNBLKgusJkCXcChhj4o4z1cSzwj36K88ZiqFlDdy8zngV9CoTk +5hgMZRQNELGJLNhnFa5iGE3WW56msHAKCyaE9gT7kvMtK53pSctmwWOLC9MV +5Hy0jVu/s+0DC/JOpLWnhJDzWbKmMbqKBVGu2ayIYPJ5oj2dD35mgU35e6Vr +QRjKjaiIq25kwb1sh9BdAWRet0/NFbax4OWzR0fjfcn690otf/iHBWaJA/ej +L2EobOKAjfk4CzrX9ynqXcTQ8QvTY0snWXDtAr//L8/592W8wX+WvF5uZvs2 +DwyddBoKPr+YDVqKqadunSXryVJ5v6kUG7x2zcgaHCPnXVNLN780G3xzCwTS +bDFUbO5344MMGzZlHfqz2AZDTiZfs7Q2scGi4X9eedYYKtG7JLpFlQ3vw+V7 +6snzjrPK25+LDdng+vpizwx5nkL1X9MKjNnwbZV1kfBuDImdG/Y5ZUpevyKo +dJ02hvBkWbkKCzYUGZYoKmqQ+0P8nseNY2zQOCTkNquMobeTJ/gnLrBh0NsM +c5Ym6/ehT3vyZTYYNmcJSa4ln2d7bNbBq2y4FVXBKF1N1qtznWX2DTZgcbTd +0yvIPFrVn527zYbusUebxAXJPHEBzY4ENtBM7dU+TzLQsSMbhSKeskFny6o7 +M+MM9GZCh7PrORvSZAmOzCgD2W31Cn6SyoZQ0+5/loMMlJPI+Xo4jw1tUhfP +GLIZ6IhfgUtDNRui+U6mwEcGylz7ZdeNWjZ42H6+V13OQFjxL1GlBjak/lT1 +NnzPQBlj0m9Dv7FB7eHccbkiBqKfusO7l8WGjEY+/l2pDJRq4JBQMk7mzy3a +VBHCQCoK67Rgkg1BL9qNiwIYqESAaMH/sUG93Ojrk5sMVP/FRvw9jQND5Vzh +GlcYaNTGOqyMjwOWlVcvZTkxkLf2CgXdpRzQ3krfvP4EA/Gs//6pXJADQY2i +PjftGEii5yBWKcqBhqti/byHGEjLw/TaxzUckGev8ly9m4E+HBSUNFjHAef4 +X3/6NRjIVK02/9N6DuzM5xF8qsZADtOGf6rkOSDLJUd8UGCgwAD9M5+3c0Dt +iVZkoxgDCZ3mWWSiyoFpqZPfagQZKNawIrlWjQNNptc/pyxmoDQhHVadBgc+ +pXoFTU3TUX2sllXjHg7klETRe1h0ZO09M2ZuwAHivs/O4mY6Ytu9i/hiyIGu +PqMjJ7/Q0ajMzromUw5URK04IFNOR968k2ctDnAg3iooSPUdHfH05fN9s+BA ++a3b5gK5dCSRobrn+2EObNXLb+B9SkdJ90c5ljYcCLu/f9HaWDpS8Mzx/WHH +gQcZ9MzBe3Skpb6t+OcJDnhc4sKjvOnow8rhw4dPceC/uXqNE+fpyHT29d/m +02S+YnNTVU505FCmoNLqwgHmiL6npjkd9Sf3Nxx154CPZaKj0h7y+yfolWvb +eQ449PL1BKjT0eyZ00ttL3KAFaOrraRAR4HG8qntlzmg+iYsUmANHQlt7d5r +d5UDl3aO9tMF6ShW+HkX05sDiRG+4h2z3Gj92Am/YzfI5/cYeeL5ixulfV8v +zbrJgZSn7r0OzdxItYiN2/tzoIrQdFCu4EYlcYk27EAOpF70eLc6nRvp+xz7 +5xDCgffCEqzse9yo3l4qhhPKAePQ1XMZZ7mRtW77jhN3yfyPY4dMVLjRzz2i +Lrr3OMB/RPFo2xzXArM95/7RhrgWrm8OOrtGpJBr4f+FOx3e636Na+F+7tVt +looHuRbWI/3CP/SWMtfCestBX0xRnGvhec7Fq7aUzNAWnrf0+MV757poC3m8 +uWvytKSetpDX+MaxS3bvaAt5iokoRom9pC3kz3svVUnKn7bwfu6FG39V86Qt +vL/ihmK+/uO0hfevvb2UK2oDbaE+Znje9Zfx0xbq546AvN3L6Tmcqi/2WO9u +W/YcTtWnvXpSiPr1OZyq35aSvuVlJnM4Vd9ZsWN+MWpzOFX/wj2YbdXaOZza +TxtR7vltF2Zxar/9qA3uOiM7i1P78fnLWP6PszM4tV/XNlY7oP4ZnNrP9/LU +y7p/zOBUf/hrX1q6cu8MTvWPRwr5D9XpM/hCf/F9GRXGmsap/lPUpFHdXT+N +U/2pIEFTxg5N41T/WzkZ87oo9x9O9cfbBSL/HP/7h1P9s8t1Y9uO6Smc6q8v +zitYRbRP4VT//bvH+3Fb3RRO9Wd/J+4tO99P4VT/V1A29e1kTuLUfMgYzeSu +85zEqfkx6Jzz+7r2JE7NF30v7wOJcpM4NX8+9cfGlUtM4tR8+sFkV/5ZMolT +88/aXrpGZfdfnJqPyRtv3qgiJnBqfpq0BKX+y5zAqfmqYBFnbhgzgVPz967l +xic37kzg1HxuUtGrLvGdwKn5H9yV0yFZNY5T54MvaW++73Qfx6nzg+aPsrte +euM4db6IlVFYVqY0jlPnj0utzgq/ZcZx6nwidcVqtbzkOE6dJ+3lWqtdK//g +1PfakfMbNvjdHcGp7+sh3hWj552HcMoXrFfJyOMa6ccp/yH5Inlon3cPTvkX +s7Id4Qf9OnHKz/zZFCO0NoCNU/5mrfE+UbX9TJzyO/xrz62ZNmzGKf/T7ldm +2bPtK075odDCCvuTefU45Y+eqbxfPKrxEaf8kufwhb2RkSU45Z80rfatKLqc +jlN+6nb5cneNl15A+ato13fdOesygfJbTiu1G2KulADlvw4pR6o6ZFYC5cfC +6hziDOw+A+XPei6J+IUpNQLl19j6Eq9HXn8Fyr+tczIf+Mn8AZSfu/Yq3eng +vlag/N3NlHCa5zUmUP7w6NSs/FZ3FlB+UcCmuLL3NBso/2h0ciz/3S82UH7y +k5GsvRW5ryl/WVanVXmVnFOU3/xky/I2IOcM5T8t4rz3a7txgPKjFT4DG3d7 +cYDyp21OEX+kGR1A+dX+QwWfp0w6gPKvzpxHFXCxAyg/a/ilzt0tpAMof9sS +pLouM7IDKL9b66iRz/+kAyj/KywSqPVgvAMoP8wuLr1pK9cJlD8WLf44Eg+d +QPll/rtuG1KMOoHyzzu+3FsxY90JlJ8+rzGSzBfRCZS/nszGzS9ndwLlt43F +/xpsLOsEyn/rfajemdLUCZQfH7rWyFs01QmUP/e36675KtgFlF9vt6j43S3X +BZR/L//QcLREuwsoP+/lw3nRZ9sFlL/PcMtYe8W/Cyi/T08MNHNq74L/AxGi +K8g= + "]]}}]}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwV13k4VO0bB3DMHETZUiKlyLwqoZC3frgrJKFQkmQJSRslLZKErElZKiRZ +8xaSJaLlPBUtyJZUmJlzZmRfi0SW3+OvuT7XPHOd53zP/dz3mZWu3jaHhQQE +BKQFBQTmPnce7m5EPbaGJ9Qqf4wt7iKZRgqnuPI6kGcCJieudpPa9xNTiuWN +oaaw+l+x5T1kh+KqoPOsXeDWNKhrndBDmn/6WnRPfi+k3XK2svzTQ66KXpY9 +q2QPrTXBQ4lWveTUTrc7h1hOUOblyriT1kvauY+/jJN3B0eRldIMzT6y0kih +7G2KB0zFrct56N1HaqkYPBlVOgolmiIzXXl9pCgvOGMfywt2NOu8llTqJ9ma +4UbX5X2hXvqC2LXefjLh/hKk6nAW/t2qYeolP0DulHyk/yrlHOQnlqYImQ6Q +pYO1ukNKfjCSOmH8MHWAjMmXUbNmXYaj66dGNbcNkmmLdodFyV8Fnaz4QpbH +EOn/6U7lvoqrwJAzE1wYMUTuC6WEVBxC4Wu7cnHIwyFy/tjpwOcpYZB/dihK +pG+IvNCccKFfKRLujq3deV1nmLSObz22ixUDJ+V2q+1+NEwGiRb/EymfAEs/ +MuTnB4yQa0xjI4pOJcA1BftE16gRsinUu6ftfQLYJPj2pt4ZIVcx1HM1zt0C +h8mR2JrCEfLddJZGc9NtYFnkHSR+jJDzf93WVYpOgmHirshJ059kIvui0dOZ +VGAfZu9smPlJ7rdPLxgeyQLT2u54k+2j5FDbXrEMhWxYnv57wcbdo2SYk+jh +PUbZYFdwvH35/lGy2M1boTQhG6STN9d9PzpKSngZhPrrPQC/zR17x6NHyarg +7weEA3LggpS5Lq9xlNyQJy2sKPoIqloFWob3jZHis0EHTZcXgPPmnY6/rH6T +qtaD3W+vlYC1vMn+XfP/kOfrNkHiaDk8HZgUino+QcqVSHMEBUl4Pdm3J079 +L1nKM6qt138Dmawd8DJtinxz7N5S+/5KKD9S6h0xPk2OsQwk80++h2Brn0h3 +1iwpNJErtVS1GsIsnpw8oimANs66lO55XAthm3+LXakQQLVXdereramHq+el +F803EERKN/smHg42QO109qbISkHUN87lfNFqgryOHtODBkIoq/d5tUTMZ/j1 +Pn3X9gohJLEsZ6ijoxno20cq8jUYKProU4+0VS2wq9NL9twtBvq9JbfDM/Ar +pJY11BnOMJDvhsqSxW+/AbG7TtPQnom4jyuvNa5shUc/r1xKK2aiv8ea766+ +2AY7N2geHhMi0N6NjrEagW0gnkeLiTEJlCfYGaZ9tQ22fDuusJwgkFPi+GmD +mDYIOZx11liEQK+rFHZYZ7RBzos2mShxAoWvODR6oboNOOvLE/8sJNDClgGL +DwrtoJxQY+iyikBrt4rMeDxvh77kDI6REYGePMr95xtqh7vb8z4bGRNIR9bK +2uxdO4SbTExsMyGQfldi1trGdrAanvpqaEqgXdfXmA93tkOhY/sHdXMC+Xy3 +TPSTYcOZkdZRyoZA5acTNkQfZQOjS1/gwSECGbb9e3Daiw3JATZdUa4EemPM +DvXyZYPPoFiflxuBquVY360C2cCWiTfROUyg9pdlgYtusaG5VVK7xJNAAmJt +tamIDbx1lEWEN4FMM1YeKZTjwNeAxesuXcL7TZRgvVPkQH77KvGNAQRaEfO3 +o3UlBxwy0hcMYU/6fXFlqnNAfb5agFMggfKtI53stnDg5IWZnxuCcT6CI7bT +nhzYXWV2tzCcQFxnZGxWwQH/ouUlAnEEqrXNZziRHDg78e7ZPexn5slvfCo5 +IBMy9nFTPIHi9Hy3pNRxYF7+PQnvBAKZSKrpD/E4cEqamld3m0C5r25o3xLn +whtzAzHHuwQ6v8xZmT7IhW1qSdPPMgnkaqW1r/0QF6TKC3y0s3C+IYJRXz24 +MD+ExcvDZvVkjnw6xYXRhefzUrIJ1FzSjSqucsFIQrnZO4dAWhY+zgl5XIjY +lXSb/4hAioFG8TcKuSACjoaWuQQSKZJ9H1XKhd9HWhueYnPkyjSCEBeM+zmF +IXkEiub/nT7ZzIXxJR+2yzwmUPfF0BTTKS78yFSunXhCoN59az3TBSi4tWTq +vnUhgVyGFwopMShYaGJo+R+2ucoPXQVRCsJOe2raFBFIOTL8npQMBRWPv43c +KSZQovIpvRhZCgSLI091Yku82N8oLkeBdKQpqV1CoInB1YSIIgVZFos+VGM3 +7K09Ma1KwbPuEvfepwTaPlgi7K9GwWX/21s1Swn0Ivxe2p81FHziZHX4YOdU +eDX/0qRA+z+NC7+xL6+Q1u/dRME10aong2UEGi2f+HJEH1/fri959TMCHdvD +8/5hSEEHXb/FDds2rDiTMqLgR7J3RRO2er+t+FdLCnxi3xpmlOPnvdeCjLKi +YIyXMdKCbfRy2xnDPXi/PW/kxSoIdCBGsy17PwXfaL83x7E7f6vesHeg4KOE +KyRj+zgrGi1wokDSet2a99iRWvNyfd0ouGjUuVXxOYFkk2ad1DwoMBoVPm6M +nSb4W6bdkwKP9FOTx7CfNfEuGnlRkFRtb1yMbfy/7xrjpyiIetA/2Yxdn1nP +e3SGgoh/hiTHsDt9X+yU8aOgpUjfUusFvj67aKbKn4IgxsXT5tjTJg+L/C5T +sPq0eL87dsTj+x7rgiiI9eBWXMKWlbutQIdQwOjmtsVhpwVG1yWEURB446dF +DrZ6d3DwjkgKVC/8Ea/Afmblt3HqGgUCG+oW12AblXv3FsRQ4PTM/Hgrdt1K +j1S3WAqGDxqLdGMfiDpoI5dAgRwK6/6F3fnTRrjmNgWhr9rnz8zt18Gs4nIS +BS5u4j7CLwk08xa8NqTg639tWrQAO1J9o3JnKgX79g+OSWPL3lJvSUqnIGel +rNQi7LRp5SjLLJyvy9ihxdjqHvKGgjkUmKsa9sx9X1Yn+bPkIQXKu29lLsTe +pif8wDMP19uVlBhJ7Lr7U/aKBRRkiA3nzMM+IPprQUMhrhcua0AQu/NUz+uQ +EgrWX2py+DO33+/cs3pluN7Zl0f65/Ld2rK6rxznebQolzuX76NaduoLCvLY +A+ENc/kufBtrQ+L1hnkh5Fy+/uUmwm8oKKxyTcmby7ejYKK8koJNNVfq78zl +a/EAjzcK7Aq9FYLm8n2acmhlNQXXW+Mues7lGRb5MaIe12Njh/f6uTwHAwP0 +m/D6q89nZbBP251bP9xMQczSxck/cX1EqLkl2bVSkJ7ows+dq79Ye0txNgU2 +Q5XRodj3J3YLklwKEulbuo7YpdX6R1k/KBC9onhRGHubtvay1i4KDJylpL7h +ev50d3Xj9V4KNMQHknKwO04s3jQ2RIGQ6o2ALdgLJYdFKyfx85ZR0rLH56fp +ZVS42TQFb2Nf2i/HjjuhKlw/S8E79Y5sGp8/meoDjFYmDWrrj991nXNo1fSQ +BA0Wg16Flvg8N2m7XDorTQNxMSybgR3Hm5ycXEjDSaLqQRk+/9JbtP4Q8jQo +GUZVyWNL/U3+uVSFhkW151e9m+svD3VPp6vSIG7z74YT2Df3Nwyx1GjwPSi5 +UQpbspQYWL+OBlPW4EKbuX51+lSXqR4N+exNTS9wv5vfZdJ+xpyGlsvfotfi +fll7izowYUlDcPy/QQX5uJ8a+3+/bEVDR3WB3nps8YwnLZG2NHhkPG5fh/ut +mOPSxvvO+Pfh+9PFcb+uFi/drepKQ5vXsq0BDwkUVWFV98idBnn966H9/xFo +3pKwmqdHaTCr/Nn/Fvd70c/DVTVnaPi2Xuq9LZ4HH4KuGVufo8Em4MmyEjwv +IrRYb1su0NDTSf+RxBaJcUB0AA2U5Xx1lEEgYbN3FePhNNRU7ZovlEYgJnm3 +QCWFhtDETFIkmUCt+sY7uKk09KpTCmuTCFRQ0U8lp9Owc3+cjnkirrdSAxmZ +HBrGpbZHBuH5VpjP9RUoouGe7dmpKjwfnVJUNrPf01DqnsfJicLzWaG2KbGa +hjveRXR8JL6fRN/jez/R4Fj5WvNSBIFK4qtSappouFnkGr01DOd17chsOZuG +h1l3D6YG4fr3y628/YsG6/T+2MTzBIoZ3+No85uGHyq9GibnCOR2dmpswQQN +l86Khw74zj0vy39CZ/B61vSG9T4EOuw5FHlmHg8MNXKPhJzA9WSnvdtKiQd+ +W6dVzVzwvGtu6xJX5kFQyTOJPCcCvbS5euX9Kh6sKdz3a54jgTx3fSk0XMMD +28b/+ZXaE+iVyXnZdbo8eB2n1t2A33eO6zz/Ps+cB96Pz3VP4/cp1PAl75kl +D1oU7StkthFo0cnhwCNWeP2SiDcrtxCIzFZlVdnyoML8lYaGPj4fcjd9rrjw +QH+f1KkZbQI9n3AXHz/Lg8EAa+K4Mq7f24Gc7As8MG8tlFJYge9nQ3LhXn8e +hNypYr5Zhuv1eL1d0RUeECkC26aW4DzaN2WdvMaDrrG7a+QkcZ6khEFHGg8E +rA7pfZpgIheH1VLxmTwwWqd4ffo3Ez0dN+JvfcCDPFWKv2qUiZy1/CLv5/Ig +2qrrr90gExWn878cKOUBW+ncMXMeEzlcfebVWMODRLHDOfCBiZ6s+Lz1Sh0P +fJw+3aypZCLi5YCsZiMPcr/rBpi/ZqKCMeXn0S080Ls968aqYCLGkesiO2ge +FDSJiW/NZaJcM9e0V79x/kKyzVVRTKSjvtIQJngQ8R/HsiKMiV5JUG3kXx5s +qrT4cj+YiRo+O8q9FuDDUKVgnP5FJhp1tI95K8YHu3f+5ws9mShgyxJ14wV8 +2KLFWKvizkTCKl8/VkryIaJJNjDYmYnku/cS72T50Oi/qE9kHxMZ+lhd+rCc +D2o8Rd9l25jo/V5JBbOVfDieOvCrT5+JrPTqyj6q8GFzmbBkph4TuU6Z/6pW +44OqIIt6r85E4WGmxz5t4IPefcOEpkVMJHVUWHSXLh+mlA631EoyUbJ5VXad +Hh+arS5/ypnHRHlSRnS9Ph8+5vpFTE4xUEOy4f6m7XwofnWH0U0zkH3A9JiN +GR+o2MDNL1sZiOf8Iv6zOR86ey0cDn9moNFVm+ubrfhQdWfJnlWVDBQgMnHC +dg8fUvdHROi+YCDh3jKxFls+VIZcs5EoYSD5At3tXw/wQcukrFEkk4EyYkf5 +do58iIndLboimYHUfYuDvjnz4VYB48ngTQYy3LT+5Xd3PvicFyTvBDDQ+6XD +Bw4c4cO/sw367mcYyGrm8Z/WozjfRbOT1Z4M5PpWXafdiw/cEVNfAxsG6svu +azx4mg+Bdukemtvx/5+IR97sM3xw7RHrDtvEQDPHji5wOscHOsl4i6Y6A4Vb +quVyLvBB92lMgsRyBpLS6trh7M+H85tH+xiSDJQs86CTG8CH9PgguY4ZIaQy +5n7V5Qq+f5+R+74DQijvq4oyHcyHnMzTPa6tQki3gkceCuVDNWXgql0lhF6l +pDvywvmQe87nxbJ8IWQa6PLXNYoPr2Xk6aKbQqjhkFISP5oPltHLZgtOCCF7 +Y85G9xs4fzdi3y4dIfR9u6yX8U0+iDtoHGTPCqL/A6lQidA= + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVk3k41Psex4e6t0WXDkm4NdZBZ44U6vLg46SyRZI1u5C4hYMskbiEEg5O +RdOikyRZsmeZ7y8zKPt6iRjz+80MNW4SRoPhdP94P6+/X6/neSv7htj7i5NI +pCs/9n9a+c8OYJ8cTbYUpp4NnOLBVjOFUJa8HlSEVijFpvBA9/F9WrX8CYhJ +IF589uQB559qiVEUW2B2DLjTTXlg3TNa9VDeAaYc277NUHiglrG/aJPsCile +M90jUjxYt7pwz4fiCfNxg9saV7ng7LfSkiPvByc7ugyLh7nANFOoZ9ACwEbu +u6UWgws6qsaVS+RLIKxC9tFVXNiOJz11olyBcKOFop25XJg8lGp2Rz4Cjg5l +7xO5ciHv8T5M3S0SJLJCNYpPc8FK6qURnXYV9rS8W3gEXKj70q0/T44BvKU1 +yZPChcwyac2zlOsgLZNq8oeAA09kz9y8JZ8MvQFG9RKPOXCt5x7TqTEZJtL0 +lSvzOOCUMi2u6pYC1kN9YaG3OLBrOSyhiXYTgokHbXCVA9HDedFz5HTgOzX0 +rNpy4GzueJAtJRMmA3MXVbZyIHF7tUa6fB60JcxpHY8h4KD572lVoXngSIs/ +YxpKwGBKyKeJjjx478mOt7xIgNoWaqn21T+A0WfSfs2RgHbRM+3hwbvw/rS6 +j8sRAnYt3tUnZ+TDaf/l+ub/4XB/MtasduMRSHq0tH+6hIOLa2HF14Vn4L66 +oakTxgaJzUR38wMVkFScQ4qIY4H62S+zjNs1EPeyLNDB6iNE9RrA/aU3oBxo +P/eBNQZyNT9NiYkhwM3lyxfKR6AON+vuM2qF2SiZ5MxDg9Aa9FDRdY4JmX2+ +NEuvHlimGEuVXe4AJ908fd/KdhAXlu5WVO+EQEXTgfxYOhzd9K47V94N90Oa +Z6qVK6E7Wa+3/WAf3GbuDTMqiQFyNl9Y8qUfjF2s9jVGlyH+CmtqRGcQIr5G +WuTl0dGzz02dkplD8Ezv7Y4lo3dIcn/xPIczDBlv2nz86/pRxqXagCdq/4Wp +ZIbz7OERJDAt5QQmjIKE0uUD69bjKOIIs2YvYwyUbKz2HDvDQqxy5u0B5XFY +PJi/W+kmjtaChh9oxU7AWcbRHIdkLvr5120bAU0fQeFF0bxV/Cwyf6p88bXc +FKjqVdSJLfBR1H4vFbY7C+a37VsKD55H1DlHiVGbaXAL19BIzlpAMlJftzNX +p8GH8rErpH0RbUUPKlRpbCDHuuzXVBCgcaMTFqxHbIj6GEz9piZAFY1z0wWF +bChQo/7EOCRA5+uMpaWL2WA8xsiKOSlAr8tYEaQqNgy9qh01DBMgT5qq4WQH +G9J51RyFTgFqiCll3l1kw7DeyS564grKXDnnYS9gQ5az1uMbd1bQhcj15X8I +2UB1pNlb568gyTAbjZQNNthOpJWuVa4g/8D59PAdOBRpJd3onF5B0s66Z+zI +OLj6qHTrHf+OgvWaPuywxmGMhbcv7hIirH/kVYMNDu/5BTSmvBDJXv6acNEO +B/OY+HOFFCFCReqUNkccvgRXf7tuKkQyctm/3fDGoWKpUrwvQoiahH4SK5E4 +UHXtErksIZJAksacJzikBIr/Yvh2FXm7ae3O/ROH76fiH072raLaFTPi1+c4 +vAinuuROrSIvnZj0x6U48EK0Jo+ur6LqQmLkfB0Otxtk1gL+tYbckhuuDHTh +oCjML2+sWUOllr5P6AIcGp4Yq3lh60iPqmwCQhwah426ZvrXEV1yegKt4WCQ +WHIvk72O+oc85N6SCHhArb9rsEWEljxcMxk7Cfju09qqaCFCJr/Zxb07QEB2 +nQFjZkyEOhykFCyVCVAa7PLF+CJkd6y3/r0qAc9LCiTebYiQ77r1YqcmAWO9 +6bwg9Q2UetM8qOfHj7WwmvDDkRuov8DEZfAUAdKzf/PsVNpErvGiZXtLAl4X +LCfnH9tEuFdz7pA1ARP0z3sZtptoSc2wb9iOAB+Dp7cMrm8i+Qr9U6PnCcCX +Px33xDfR09+XCGcPAu5IanqVrG8iakR14pgXAaK/N/MZEiTMxOBwywc/AkyP +tIrd0yBhvgyq3scrBLQMtOzkXyBh/CL+gHvYD78cm5FjESQsIu1lyGQ4Aduy +Sw+RU0hYqo1m6VQ0AbIy2vdkS0jYbp0ZC69rBAi0lqO8mklYgfRzHiuegNos +2z/p/SRMddkv2fsGAa0XrmZf5pGwV6OqKuwkAi4/0p+gi0iYfiOOfFIIYIK5 +rLacGEanFXrgqQSovEjJ+I+uGGae4L3me4uAsK5JZ20HMazfh5xPZBCQE3je +IixODHM9MXXUL4uA8bR/H5B5I4Z9OLXnyonsHz0iNtdI82LYX2cFo5c= + "]]}, "Charting`Private`Tag#2"]}}, {}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>, "DynamicHighlight"]], AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], Axes->{True, True}, AxesLabel->{None, None}, AxesOrigin->{0, -16.341239202272494`}, - CoordinatesToolOptions:>{"DisplayFunction" -> ({ - Part[#, 1], - Exp[ - Part[#, 2]]}& ), "CopiedValueFunction" -> ({ - Part[#, 1], - Exp[ - Part[#, 2]]}& )}, DisplayFunction->Identity, Frame->{{True, True}, {True, True}}, FrameLabel->{{ @@ -242515,14 +295123,1106 @@ xR+uLiJ1 "freeformCursorMode" -> True, "placement" -> {"x" -> "All", "y" -> "None"}}}}, "DefaultMeshStyle" -> AbsolutePointSize[6], "ScalingFunctions" -> None, - "AxesInFront" -> True}, + "CoordinatesToolOptions" -> {"DisplayFunction" -> ({ + (Identity[#]& )[ + Part[#, 1]], + (Exp[#]& )[ + Part[#, 2]]}& ), "CopiedValueFunction" -> ({ + (Identity[#]& )[ + Part[#, 1]], + (Exp[#]& )[ + Part[#, 2]]}& )}, "AxesInFront" -> True}, PlotRange->{{0., 1.}, {-16.34123920227253, 2.0794415416798357`}}, PlotRangeClipping->True, PlotRangePadding->{{0, 0}, {0, 0}}, Ticks->{Automatic, Charting`ScaledTicks[{Log, Exp}, {Log, Exp}, "Nice", WorkingPrecision -> MachinePrecision, RotateLabel -> 0]}], ",", - GraphicsBox[{GraphicsComplexBox[CompressedData[" + GraphicsBox[ + InterpretationBox[{ + TagBox[{GraphicsComplexBox[CompressedData[" +1:eJx12Hk0VV/YB3DzPM+H1E8kZIpQGp5CoclYSCkqqUwNSgolFJoTKkpUUhlC +ReLspFSGZJYMGe89514SEon3/NbPue9a71qvf6yzuOeevffz7LO/HzWvAMe9 +PFxcXN3cXFz//l6/l/EFMbesMvDK/Cyc/Rs/I5S/MAaLB2W9tYv186ZxV7d7 +OT+G7wO64lwh08CFRGfObLeemwMdq8Qlc0e40QKHQcbbuAJ4/24FX9AQDzpe +swySRosgeGntXTsWL1IskO7g5sYB213U1FLJh150W1Z9XlEGVs6S+UMF/Kjs +QIqKG6scHmFqY/uuC6AxzZWSWX4VsIEg/KV2CiKeiSdSKgs+wefO1MZFy4SQ +6cyuF07ZVVD8aHKJLa8wqopcUvNe5zNcfov2NnwQRvOukBOZg7Vw9Ra3pMVd +EUSOd3Y0GtaBpktuirCPKLpPFH+SuFQPz+vPvxa1FEMSqhlDvb0NENIfcmlK +RRxd2P/cO1WjCZxUNiw9xRBHv1Y/6fUJb4Z0mbc/53yUQEeNygsU3rZA6umn +N7+kSKLO7PK4L2pfwbb42n1eKyn050DDbe2QNvi+3G6edJEUWrRGcNq7+Btw +LXEjbbSkkXWa2r5nih3QdL1izDxdGh1X3Tn/+/ZO0N3x/KCHkgzSZW0Rbd7U +BYoWy7MiLsogWckfQuWTXdC5t6tvtaQs4sNv56gnfwfRE/rD7bGy6OCS4lbh +Dd2w4LRycKKsHHpi65Va+qsbGOvS8dPJcmiMVJbqT+iBVyVWi3zmyqPnTta8 +8Va9YDPQ5e6YJY/CbaLuFvT3Qu2Ha9xzlyggtfb1LelRfXCCdfvzl0IFZG4W +GY8Z9cNf+1+w0kYRbZ/7eYK7vh/ctr6IGahURGOfLnYHnhkA/ZjyFcVblFAS +n8dRRw0GdA5zfwurUUKyHc/TeMoZkLvviPm8ZRjiDz3jvOwQE7jyJipakzDE +lemR0y1DwJlSM+OXTRg6fCvnokwZAdz2h5yn2BgqWXReSNqfBLMBgUPXyjEk +vf6wboQEC0oer27WW4+hb3q19Sdes2A65sOTbeZKKPcOaPR6sMFcRbjy4DYF +tCPHVFp1mg3RWd6E3jZpxPV/flLl7aJjsUiw5S3sV2+dwpPaQyyfT9+BDPuN +uXYaXMjoqbTAHKHHUCO0Rv6XDzfaOhwTp3OUAW/dvzXd4MfQhazbf1KUmaBk +Vzyl4oshHtkf3BJlTMjfbW77thhDRWrn7oQcIKBNv8dnHhNDSj0qldJKJEyV +M97Xd2NowDz0lm0pCZLb85MvXMfQr+VqXGF7WdBx8dCJwhYltK5fcCpbnA2S +N9xGXQMVkcDC4luns9lQ3SpejX2Smx1f++z4uFG7wTnLi9hRePJsw6Bmwh9c +bCTBZN6Fm2BgIrvOL3oGfxfRuk0gNANC4l3Wcv/DjcYnR8JXbWRANu8JViCh +hGrfadnZDzFgqlYZOThhiO/D0ifpN5nQ8kT0o+kTDG3v+/kwexUBXz33bDr6 +HUPvSvfqyrAJWL7R4NA1AkOO+REOegkkdB6NTvySTX3+ra0ClzkLzrRqTLor +UOsnwton18eCuUWb/PjbFVGbV+jOvGg2lHtHZrs/kkfRvMyqG2H9YMt3q9NK +UAQ5XP96YLPmJTiuLPbXvvkvbnND4hG5lgmw+76by1kMcXtkuAYTTDBaHuPk +9AlDCh4qCesSCFjgrpe+n6qfA4J1+6UtSZj3qI/XkaqvU2XHe/b2k7BKS9HR +9DCGmAW/mX+usKDt3qmxoctK6GSZ+hVbUzasD3p1SXFYARmRvxs3N7FhcWxL +7OoU2dn5rp6d72HcZc94yTVsD9g4+kXwvZzE3/+9r99QlwDnvb7Y/5o7g0v4 +r4w6afYQTBcOdx2a5EIfZrRCbJYyABWar7zyTQn9dBgKzmxlwHXZmyt3r8NQ +5Pz2cP8oJiweP/sa0jCkX6H15IMWAXcu3HI78g1DyU9FdlxrJqCiJTeqkoWh +8wH8evMiSFCHAY9trzAUK+ChtkaDBe9arwT5LqbqSaVDtaKWBT0LeS4eEldC +7093rKk8TNXPaSuDGn4FdLhVMsCNWs/HOcbbandIoOCG+GDWvBgobDhfTOz4 +ix9Qa/xiZciEch4XmcHjGIoo3KBn2cSEgwf70aa3GGKAw61dpwnIN7Bpe05i +aM3YsVtX9UhgGiemG7VjKC9sstSljoQgbXXdLGq9An+Lja04yYIUxzl/ap8r +oV0N8uum1NhgIdaWs8FYEc0R3la4r4wNRXPD+8LWyM3WQ9NsPUzil7JktBw0 +w+CL9OM31lZT+Fm/+KaWLCastJB6W5RPjZ+3JC3emQCL5Yvbc/swNFiL/lzl +IWF9utcWqwEMzRmfCVr+mIQLaf6CjHsYCg03EcXtWLDWcB13y28lpPt74pnn +BAsElwFvxSNF9MNqTujNW2y4+OUqQ2W1PLqlV6ZTV0rtr65ce4ty+dFpXt7I +rGkmZF0iyepaDFmd6ruq+5SAzeKVTwwGMRSP6S276kpC7679OvNrMLROYEzw +6CQJ05ljm2R3Yighd/HXhPVsmN9zCuQyFZBNdeKICcGGOdIW1l8rZGbrrWS2 +3r7jG6qb81IwZ3jFxb83X2US1+DVfaJ/7AbcrR19vpE5jefvDlB+Ef8A9J2P +ROwY4EKGEZbHN+kzIFmuLuRioxLiSaoTb6phwOvewgeVgKFyOwPC6SQTzvI/ +2XwrBUOKhZZZjaoEvIz8rPq0FUPn1HcE1VURECHBZRhJ9VNa9XtlpWASWh7n +Rt/FMbRZi/HQE2PB5/T1vXKrqH7SaA5Ofc+C6ldi0w4aSqhYUjK/2YcNxQnu +9+YuVkA+Vuqrl89lQbYFEo2j3pdiY4fCi5OjIX1bZKW+6l/85L5C70MLmHBe +sbhamurPsr6URK0qJjxybS1Lor6v1tA32S+IgMZbzhuiqf1G1/dj3pf5VD9v +jVys04Whqm/FT+0/UP2+PeN6TRyGjJUTQ3YEsqBVzi03tUIJObVL3GtUZMPf +dG1xf0dFJJ0Uq320kA3v+AXU4s7LzfbD19l+mMZfDFaZDM07AfafbS2sh//g +5bf6V5emM8E5Xl31XA6Gjow2379nS8D9i2pFT3ow9NVaVq5unIA/4R+aJKj9 +3mzLm91LUkno+e03EvMIQ6vv4Vc6rVhwWeJuEyGIobncI2LLh1iQ1qfye+9b +RdRfv3HwwRU2MF56S5YEyaMrSY1/8u/0QpL+pvkzbYIIGW4ZvDbKBFvWN6+0 +agzp+XHFL7tHgKONhAJJrU/4lXCHL5tIELgmzbu3DkOee16M+f4gYcdf2dw2 +H2q/KNIxCVzNBvlObmZbtQJauf3DHosuNjx2z/DWWC4722/vZ/uNwIW6I9K2 +avrDmc2/5l01+IOvbRLPLDYm4G6K1yfRTgzlmhRaOXYTcHVmf1Ut1f+n/KdS +jnswYLK1PznWSAzNbDZNcoojYPBtZtlTar/aGqYR4bCUev+dYCwjqfpaGKJt +7V7Fho93huyejcjO9lfdbH+N4WkyaanndhKwxUwiIoLqX95t/j0O4iR8+LDI +fDHV3/fLst1+dHSB2dn8jCNRvMg97tPleS8JsPnWTppQ/ee4al+E9igb7Fva +NATM6H7Kmu2nL7jx3aTkfMwKUM1DJ/GcCbwuKoDZVhEPtQ909gxWT+PRHkJ7 +nSwfADf/GIa3c6E9b2vH7LQZ0PhINE2wTgmJLLdy9f3IgMCcLu7gFRja4+16 +d90xav92Pr9n5W3qfGDooL9diQDP+V83mrVgaMoj+szhCgL8nN6af6DWK/dU +xlW5IyQIVzksUC/DkOGyLOawLAt+nFwqamyFIa9MJ82Lb1hgKZr4PtBACZm6 +ZJDtu9mw5NGKQZ51CohPRZtbkGcQ4hwd05YckEJbo7p41N2j4KBEwKnkP1N4 +pIjOM8F/mODaGC2mHEDdb+r9RpUKJjxd1yycV0LtV5knVEcDqPpt2JbTStVr +WsbP0kOqJLyouZFynnq/f41LVt/0lgQFHe/2ossYklu0vYR9gAVhkyaGlrVK +qMozbnOZDBtkGoL3xngqogud871C8tlwuuyLzM9MObRe8vGK0uRjwMWW0esr +/4PveSb5K/cOExy+q93xyqLeH759C7SsCPjEL7QsgToP2Z9d8c/hnwRE16t6 +//s8VnxpXw1vk7DYJ4RVSJ0/5udF1gcAC74UzbEzk8RQUlTAq4UEC1zaawnn +WkW0S6VP82kcdV6KK8H2XZZHu6zD0qOGmNCu6gIzldT4+sTl0W0CPs/IfX9G +zf9o5aMdh2xISGNvVPVuwJC/bKLvfpKEpIH3FxL8qPPcqozu7cvZUPbC43Zm +pwIqTN+VYtPGBunDbUmEnywyVF+ZOzpvPziaqxzX+zWJv9qsomOhT0CHw+7Q +d9T7T/PQd/uf3whor+k8u5Wq/6MVltjXKAJeESUnllLXVUWbLg0bkZB6aEjo +Zhv1fG/ehHtWsGFDLroZoyKHFOJy1CS2EZDYu844tR9DwTcSh4YFSep8f/eX +JXVdy9si9fAZAces/LPNqXqvjpp5ZTDEhvQojecnImXQ1PrdiZ6aHrDGeet0 +UcAkLsMw3m9UR8DcCJLXkBr/9kIPlYEQAli1NTILqP7dI0YIGv0lgO3ZedGI +gaHC0Z8y+Q8JGEvolpWn7l8mZ6i7oo8Nl00mFLT5ZJH55KWleQMEiC9M1TWn +Ph/bpKVYfYUA26mZGBnq/v0yJRI3XxMQLB9vrEZ9vuVXja36BBuWjtql7G6V +RnyWyoGd2BJA3As+VnpN4DrWV8/nBcZD5oEHTcpoGh9qcxZJU34A4S5W+UQL +F5rerWjyRZMB23Vlk/+tv0tioaEv3zPAiytuTZU5hgqGWRP4ESZMP3Y+KHYL +Q+9Zzf535QnQLxp5GNyMoZdTt86VlRMgoYZb9FLPZ9qgWH0tkATLVzUOO6jz +TOobSVFzaRZIJp5uWGKNobukdCgvzoLr223WspYooZGQEUFPTzaEsixC1tkr +oBfbfkvUcg3CWanfUhWEFDpZnVi+9VUkXH9z2TaMmMKFvnk1Oaoy4d6ofvVt +qp7yzrlnxJdT+Ycpkln8GkNhe7k8zPwIIK/Urwqj6n3HWsF3b5RJ8JwkEwyp +ftjVI7azEpHQPXjT9uFVDEXll3aY+LDAbE12wNkGJSR83/bBUik2pEVbR0/6 +KCJb3oCwv7lsYIq4Keu+kkPxd5XQAvcg8Lmpr9GS9QcvWHHfXieZCTYzfCmb +nlL9pO3rfXANAclXrWsEqO8TUXPQLBsi4EVDl9JS6v1a6S6jkJNEQtfGy4I2 +VL9eNx8YfbmCBV0/bsTXyGCooUJP+s4AC0o//egpaFJEc2GxpUEM9X7Zpx44 +flse5dbJTE+zmGBvwdilQ/Xft3vxW3hvEiA73zP1MjX/OftLdr9ZS0Jw0lie +RyOGxg4IPhpgkFBwZKCKSe1Xq07vXVa/lA3KcTfcfRkKKKjiaVFlCxvaVh0u +mIiSReWWyi/fJnvDWOu1owHNk/ioSNqBqEUEnAqS7VWl+u+7xK+tFl8JiLN2 +VbpO9Vv22U9uqmep68rgn7+peo128YpfY0gCMTDk6kOdr3f2iSf3llP9Y8Un +WaIvh+ytTb0cXAiI1crU1KH6zdj46dgafhLEJVf4v6euRZCHAzObgMI8NN+M +qu/YzA3NeSw23Mnd+HRxhgzSuKD6YGaeGxBhTOUy60m8KsomIOIzAR/TtNW2 +U+NPONrnrhNMQFrROt9mar7nPZ4Wj5ik+mdVNyuN6r+fuSecfqVT+5Xc+2lu +6v6nl56ywbvZcO+VXPKKf2TRWGL6Kp4+Aub1ouRz1HjKr+W4SF0iwO3GphPf +qfH+89nS7lsRAcKhYVf1qM/fUFbxfviLDYOtLItWERnUO0fjzHHNzdClGuPe +zZzALd4cOjn/EwH9cZGmj6jn89E+13DqDgGhYZd31lLX5qIlLQnnCZjKSDt4 +lLp/grVDrVcBAeobv9j8u/9IeeXfeTrMhri5k8nWO2WQy/p5u7sbCNhlrVk3 +Q/1/2FLfuoBMAnb7R9tpUP9vr5zWFHOdALstOkNA3f/pVtOaLTgB5t1jlVLU +35XrI/RS/7AhVvfK9WWp0oj2qsSBrMHwACpXznpVl53HEQENEmivevIpiM/G +jQDaq1YdKR+3zmUC7VWnhq74hMkwgfYq7w/rD3keZADtVYF2Uk8bageA9iqP +OefPGmsPAO1Vb1a412w82w+0V631ipmO7e8D2qsaGuSy7i3rA9qrglaTLq3x +vUB71YVkq4WpQz1Ae9Vp/daDGWY9QHuV+oeT/kLnuoH2qkCNPVdj33wH2qu0 +As0Fun53Ae1VMaY8okJmXUB71c/HC3wCijqA9ip7g6zDJtLtQHuVG0l+913c +BrRXuSrxCnZatgLtVeq5t60WejYD7VURL+q7Ks0bgfYqrczje9/OqQfaq/qT +jKIYZC3QXrWrQBwkq6uA9irHYKcDSZ8qgPaqomUeefZTb4D2qvPaOl/nFrwE +2quObHjd9a30IdBeJRvkG3dk+DxOe9W0tZvKi2/PcNqrvvaY+E3VIZz2qt7i +lTEXrD7htFfJZztnXxesw2mvmiwTfZP6pxmnvUqkYKTV1KYDp70KzEPOuT/q +xmmvWq+lctZWdgCnvao0O3pzOIvEaa96uHxlTv+cYZz2KuJWynXToVGc9qoj ++5an8gVO4LRXCfrMz6oZmsFpr/IymLThDuDjeFW3k7z1KyTx/3qVf5JsGV8C +dU6Z9SoTzHhs9SsCaH96NWS2bJc3E6f9ab7f48WTxCBO+1OYjWBZCfETp/3J +9cJF3aNi4zjtT1cijpXBwSmc9idj/j3HpEe4Of7Uf3j9q8R3ghx/+u95p3Ha +n/hVH1ztaieB9ifrqZKSTDYBtCdxdW543m7KwGlPaozN0DnpxcZpT7qRcSv0 +5t9hnPYk6SBrCfbYGE570tGQY5o/OiZx2pMcGrIqexhcHE9qzd1wxGaYn+NJ +xLTd8zgpQY4nlRWvIPm3kUD7UP9Ws5TwvhGc9iGuQFGe0CW/cdqHYq1Khy5j +0zjtQ1ELr768P4eX40NQ2rvM31CE40P/zccgx4d+1x69GivGAtqHpmwiHu4T +IoH2Hn3rduG09AGc9p5z5TVplg9YOO09pdig3tu4YZz2Hl9TV5vcwDGc9p6m +5stB4hqTOO09MTdC31304+J4z0BXS4+CFj/He7wzjabTGCIc73m30MhV7DgJ +tN8UZ3CnbfUewWm/aXx0/KLOnXGc9puMEOVNdSv/4rTfuP5dZXToLA/Hb6pi +FGOWdQlx/Oa/+R/n+E3nuZI5ZS9JoL1lp7GLfPgBbo63ZFzyeB9aI8DxFn9n +P9+jS3k5PhL593KO8XdRjo/8N98dHB/5Gts6cH4RC2gfeTxGKiopkEB7x2cZ +nq/K7gM47R3C0+kft9iwcNo7MlWN8HGnYZz2jtRJFzLUYAynvaM8IY/P5OME +TntHQb+HsLgRF8c7HD/Omyr6yMfxjvvT6WnZDWIc78hRLhuAaGr8s35hcDe3 +UVlrBKf9wuH6g3e48zhO+8UFolEvoH4Kp/1ivEX10lJzHo5fvBZ0IlI3CXH8 +4r/1neT4xaH1mffmfCKB9oY3UYv2d2hxc7xB8uLPGdkdAhxveJrZIXVag5/j +A84PSuPbxkU4PvDfeg5wfKAw+f3r9BES6Pyv5fzGLXFKiJPvt8ztaR8IF+bk ++//WcwSn87vhzJ8Kn43cnLx+8peJtB6/OCev/7e+NZy8PmG0oSl4NQvovL4w +JPwfQSq30vm7JeXyPRWzAZzO3wtrVo5ZK7NwOn/f9x7VcV06jNP52yC3y2yl +5BhO5+8X2XJZPskTOJ2/Nbn8Pn2W4+Lk7+SAFN/B23yc/L1Gd7nYffv/zd8i +q+ZfkLhE5crZPB2UplZhLzyC03nay0/rwjHDcZzO09/TdDxk7k7hdJ4uWCtV +v0eBh5Onf+xwPXVASIiTpxfeXiL8qZ7a/2fzr67nuRdZQtyc/Mt72u5ClKYA +J69KKMu9KLsiwsmr2tWnWDdmqOebzZszgycPLNcX5uTHjAf76xftF+Pkx3Hb +kRZSmQV0/nNJEfS02CfKyXNqxUzNgDfinDznuuP79crNLKDz3JyNhXmR/1Dn ++tl81pReOaq7YACn89lmm8cC7rwsnM5na0M6n93UGcbpfCahVJNtNDOK0/nM +29G0UDt6AqfzmV1MlLyDABcnnwlqk0+2R/Fx8hlD241n7K4kJ5+l85uF8F0j +gc5bqrqxflyTP3FO3vr867Lv3HGczluNAfqjilFTOJ23zoZv2fqEj4eTt2Kt +ds0p7Bfk5K28XAueihYS6Hzk+85Y5vVvLk4+4jO9rFgqKsDJM/dT7+TX7xTh +5JnViXg+wU/ti7N5xODx9bVVAsKcfBFkuWtaXV2Mky9inySd65jPAjofhA3z +V3vri3LO+77bzk0LeYhzzvtNyzENUxMW0Of1yP6eZ/8UiHHO24/nnis3XSKB +/geSWz5k + "], {{{}, {}, {}, {}, {}, {}, + {RGBColor[1, 0, 0], Opacity[0.2], EdgeForm[None], + GraphicsGroupBox[PolygonBox[CompressedData[" +1:eJwl1He4zmUYB/BzrDJakjIKDUVG9sx2kH1sWR2y4ljJKHsU6SAjZF5ytVD2 +3rsoRZKIJiqKoj0+93X++Fzf57ne5x3Pfd/vr1BKv+TUDAkJCYnsTkzPi6yi +kM1z8k55VrbiJutP5FKq8R8HmEEfSnGd7UwihYbEd3zPakbQmuqUJiM/sIaR +tKEGZchEZrJwAzeSlWxkJ0f8Jm7mFm7lNnJyO7m4g9xxD+4iD3nJR37u5h4K +UDDuzb3cx/08QGF+ZC2jaEtNyvIgl1jHaNpRi3L8xg4m05VGPMRl1jOG9tRO +SG/CQTGTvpTnd3byIt1oHHVw7kM5n8EU4Sc2MJbHox7OnJCvUSc+2v6QnEVq +1Mb+c/k2FfiDXUyJ+njtS/lk1NP6ZNRBvh51kt/Fd8om0Q95NGolF0RN5ddx +R/lM1F6eiRrKlVFjeV4WlUXlz2yMetuPi/7Ic1FT2SF6Kj+Vy6JP1t/KumSw +fk/Ojl5afyX7Rb+tT8vlVORPdvMS3WM2vP6ZfIOmMUv2H8mFDOFhrrCJ8XQk +iYzOvS9foT+V+Is9pNGDZmRz7mO5iKEU4yqbmUAn6pHJucNyDgOozN/sZSo9 +aU5xfmELE+lMfTJ7/xE5l4FU4R/2MY1eJJPduWNyMcMowa9s5Xm60IAszn0g +5zGIqvzLfqbTm5zOnJJv0oIc9sflEvJbfyOHk9v6C1lYviMLygtRW1lSXmMb +62KOaR/9Y188Z3gr5i8x/Xl0minsjc9kKTuihwyOmWRXzDITYwbZEs8oujGZ +JWyPOSaVUcxmbdyZdjzNBOazOe5FVyaxmG0xh/RlJLNYEz2gLYMYz6tsipqT +Qh9GMJPV0XPaMDDmnXlsjJnhCZ6KZy4zWBUzR2sGMJa5bIiZpQu9eZaXeTf+ +E7SiM70YzvToA4doSSd6Moxp8b/kIC1IpjnNaEoTGtOIhjxGA+pTjyTqUofa +1KImNahONR6lKlWoTCUqUoHylKMsZShNKR6hJCXoSA+GMpUVHKA4/RnDHNZz +lA68wCK2coLuLGMnpxjCHs6QxjmWs59i/A/ABNZU + "]]]}, {}, {}, {}}, {{}, {}, {}, + TagBox[ + {RGBColor[1, 0, 0], AbsoluteThickness[2], Opacity[1.], + LineBox[CompressedData[" +1:eJwl0mVsllcYgOEOirU4DJfiMiG4BrcFh+AbEBwCxYIG1+AQfEEDBAgEgrts +MGwb7u7u7nCd8OPqfU6+87V9n/Nma92tfuwPERERGf0Ivc9astkM1LR6VRuS +xPq0LqYsXznANLpQkDfsYiytqUEcHrCOQTSiHIWIy0PWM5jGlKcwkcQjPglI +SCKiiCZx+J9ISjKSk4KUpCI1P5ImPAfpSE+G8LxkIjNZyEpMeG6yk4Oc5CI3 +j9jAEJpQgSLk4TEbGUpTKlKUt+xmHG2oSV6esIlhNKMSYfgHZTpdKcY79jCe +ttQKc3DuqM6lN/l4ymaG0zzMw5kzuoTK4VfbH9IZxIbZ2F/UlRTnPX8xIczH +Z9e1XZin9bkwB10W5qR3wt/U2uE+9FiYlc6L+P4O3QzPqH3C7PVymKGuDjPW +u5pf8+sztoR5248I96PXwkz193CnelaXhnuyvq1ViGN9WGeGu7S+od3CfVtf +0lWU4AN/M5H24d3w+XldTp3wLtkf1/n05Sees5WR/EFV4jr3r86iOyX5yF4m +0YG6RDl3QhfQj595wTZG0YJqRDr3n86mB6X4xD4m05F6/MJLtjOallQnnu// +r3PoSWk+8w9T6ER9op07qQvpz6+8YgdjaMVvxHfuiP5JL8rwhf1MpTMpnbmg +K2hAYvtTuohM1rd0AGmsr2huXaMxei/MVgvoa3byDWFNfWQ= + "]]}, + Annotation[#, "Charting`Private`Tag#1"]& ], + TagBox[ + {RGBColor[1, 0, 0], AbsoluteThickness[2], Opacity[1.], + LineBox[CompressedData[" +1:eJwV0mlPDgAAwPHHR+l9qFyVHB2O5EiSY4oeRtKhYrnSdC3WlKPmyNSsPZs5 +OglRIZHKTYoOn8SvF7/t/wH+YcHCtIIFgUAgRLh4xwNmucxf3lDKJAO0cZif +9HGXWvbxmSfc4ALHWcgwD6nnFEfIZBGLiSCSKJawlGUsZwXRxBDLSuJYxWrW +sJZ4EkgkiXWsZwMbSWYTKWxmC1vZRirbSWMH73lEA6fJIYt0PvCYK5zhKPvZ +yQjtXOUsuRzgC0+5SQVFZPCRDq5xjmNk85VeblFJMbsYpZPrlJFHkG884zZV +lLCbMbpo5Dz5/OIlLVzkIN95TjPVnGAP43TTRDnzs0zwilYucYgfvOAONUwx +SIiT/Kafe0zzljr+8Jo57jPDEP/Yyyd6+A+Uq1qi + "]]}, + Annotation[#, "Charting`Private`Tag#2"]& ]}}], {}}, + {"WolframDynamicHighlight", <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>}], + DynamicBox[(Charting`HighlightActionBox["DynamicHighlight", {}, + Slot["HighlightElements"], + Slot["LayoutOptions"], + Slot["Meta"], + Charting`HighlightActionFunction["DynamicHighlight", { + GraphicsComplex[CompressedData[" +1:eJx12Hk0VV/YB3DzPM+H1E8kZIpQGp5CoclYSCkqqUwNSgolFJoTKkpUUhlC +ReLspFSGZJYMGe89514SEon3/NbPue9a71qvf6yzuOeevffz7LO/HzWvAMe9 +PFxcXN3cXFz//l6/l/EFMbesMvDK/Cyc/Rs/I5S/MAaLB2W9tYv186ZxV7d7 +OT+G7wO64lwh08CFRGfObLeemwMdq8Qlc0e40QKHQcbbuAJ4/24FX9AQDzpe +swySRosgeGntXTsWL1IskO7g5sYB213U1FLJh150W1Z9XlEGVs6S+UMF/Kjs +QIqKG6scHmFqY/uuC6AxzZWSWX4VsIEg/KV2CiKeiSdSKgs+wefO1MZFy4SQ +6cyuF07ZVVD8aHKJLa8wqopcUvNe5zNcfov2NnwQRvOukBOZg7Vw9Ra3pMVd +EUSOd3Y0GtaBpktuirCPKLpPFH+SuFQPz+vPvxa1FEMSqhlDvb0NENIfcmlK +RRxd2P/cO1WjCZxUNiw9xRBHv1Y/6fUJb4Z0mbc/53yUQEeNygsU3rZA6umn +N7+kSKLO7PK4L2pfwbb42n1eKyn050DDbe2QNvi+3G6edJEUWrRGcNq7+Btw +LXEjbbSkkXWa2r5nih3QdL1izDxdGh1X3Tn/+/ZO0N3x/KCHkgzSZW0Rbd7U +BYoWy7MiLsogWckfQuWTXdC5t6tvtaQs4sNv56gnfwfRE/rD7bGy6OCS4lbh +Dd2w4LRycKKsHHpi65Va+qsbGOvS8dPJcmiMVJbqT+iBVyVWi3zmyqPnTta8 +8Va9YDPQ5e6YJY/CbaLuFvT3Qu2Ha9xzlyggtfb1LelRfXCCdfvzl0IFZG4W +GY8Z9cNf+1+w0kYRbZ/7eYK7vh/ctr6IGahURGOfLnYHnhkA/ZjyFcVblFAS +n8dRRw0GdA5zfwurUUKyHc/TeMoZkLvviPm8ZRjiDz3jvOwQE7jyJipakzDE +lemR0y1DwJlSM+OXTRg6fCvnokwZAdz2h5yn2BgqWXReSNqfBLMBgUPXyjEk +vf6wboQEC0oer27WW4+hb3q19Sdes2A65sOTbeZKKPcOaPR6sMFcRbjy4DYF +tCPHVFp1mg3RWd6E3jZpxPV/flLl7aJjsUiw5S3sV2+dwpPaQyyfT9+BDPuN +uXYaXMjoqbTAHKHHUCO0Rv6XDzfaOhwTp3OUAW/dvzXd4MfQhazbf1KUmaBk +Vzyl4oshHtkf3BJlTMjfbW77thhDRWrn7oQcIKBNv8dnHhNDSj0qldJKJEyV +M97Xd2NowDz0lm0pCZLb85MvXMfQr+VqXGF7WdBx8dCJwhYltK5fcCpbnA2S +N9xGXQMVkcDC4luns9lQ3SpejX2Smx1f++z4uFG7wTnLi9hRePJsw6Bmwh9c +bCTBZN6Fm2BgIrvOL3oGfxfRuk0gNANC4l3Wcv/DjcYnR8JXbWRANu8JViCh +hGrfadnZDzFgqlYZOThhiO/D0ifpN5nQ8kT0o+kTDG3v+/kwexUBXz33bDr6 +HUPvSvfqyrAJWL7R4NA1AkOO+REOegkkdB6NTvySTX3+ra0ClzkLzrRqTLor +UOsnwton18eCuUWb/PjbFVGbV+jOvGg2lHtHZrs/kkfRvMyqG2H9YMt3q9NK +UAQ5XP96YLPmJTiuLPbXvvkvbnND4hG5lgmw+76by1kMcXtkuAYTTDBaHuPk +9AlDCh4qCesSCFjgrpe+n6qfA4J1+6UtSZj3qI/XkaqvU2XHe/b2k7BKS9HR +9DCGmAW/mX+usKDt3qmxoctK6GSZ+hVbUzasD3p1SXFYARmRvxs3N7FhcWxL +7OoU2dn5rp6d72HcZc94yTVsD9g4+kXwvZzE3/+9r99QlwDnvb7Y/5o7g0v4 +r4w6afYQTBcOdx2a5EIfZrRCbJYyABWar7zyTQn9dBgKzmxlwHXZmyt3r8NQ +5Pz2cP8oJiweP/sa0jCkX6H15IMWAXcu3HI78g1DyU9FdlxrJqCiJTeqkoWh +8wH8evMiSFCHAY9trzAUK+ChtkaDBe9arwT5LqbqSaVDtaKWBT0LeS4eEldC +7093rKk8TNXPaSuDGn4FdLhVMsCNWs/HOcbbandIoOCG+GDWvBgobDhfTOz4 +ix9Qa/xiZciEch4XmcHjGIoo3KBn2cSEgwf70aa3GGKAw61dpwnIN7Bpe05i +aM3YsVtX9UhgGiemG7VjKC9sstSljoQgbXXdLGq9An+Lja04yYIUxzl/ap8r +oV0N8uum1NhgIdaWs8FYEc0R3la4r4wNRXPD+8LWyM3WQ9NsPUzil7JktBw0 +w+CL9OM31lZT+Fm/+KaWLCastJB6W5RPjZ+3JC3emQCL5Yvbc/swNFiL/lzl +IWF9utcWqwEMzRmfCVr+mIQLaf6CjHsYCg03EcXtWLDWcB13y28lpPt74pnn +BAsElwFvxSNF9MNqTujNW2y4+OUqQ2W1PLqlV6ZTV0rtr65ce4ty+dFpXt7I +rGkmZF0iyepaDFmd6ruq+5SAzeKVTwwGMRSP6S276kpC7679OvNrMLROYEzw +6CQJ05ljm2R3Yighd/HXhPVsmN9zCuQyFZBNdeKICcGGOdIW1l8rZGbrrWS2 +3r7jG6qb81IwZ3jFxb83X2US1+DVfaJ/7AbcrR19vpE5jefvDlB+Ef8A9J2P +ROwY4EKGEZbHN+kzIFmuLuRioxLiSaoTb6phwOvewgeVgKFyOwPC6SQTzvI/ +2XwrBUOKhZZZjaoEvIz8rPq0FUPn1HcE1VURECHBZRhJ9VNa9XtlpWASWh7n +Rt/FMbRZi/HQE2PB5/T1vXKrqH7SaA5Ofc+C6ldi0w4aSqhYUjK/2YcNxQnu +9+YuVkA+Vuqrl89lQbYFEo2j3pdiY4fCi5OjIX1bZKW+6l/85L5C70MLmHBe +sbhamurPsr6URK0qJjxybS1Lor6v1tA32S+IgMZbzhuiqf1G1/dj3pf5VD9v +jVys04Whqm/FT+0/UP2+PeN6TRyGjJUTQ3YEsqBVzi03tUIJObVL3GtUZMPf +dG1xf0dFJJ0Uq320kA3v+AXU4s7LzfbD19l+mMZfDFaZDM07AfafbS2sh//g +5bf6V5emM8E5Xl31XA6Gjow2379nS8D9i2pFT3ow9NVaVq5unIA/4R+aJKj9 +3mzLm91LUkno+e03EvMIQ6vv4Vc6rVhwWeJuEyGIobncI2LLh1iQ1qfye+9b +RdRfv3HwwRU2MF56S5YEyaMrSY1/8u/0QpL+pvkzbYIIGW4ZvDbKBFvWN6+0 +agzp+XHFL7tHgKONhAJJrU/4lXCHL5tIELgmzbu3DkOee16M+f4gYcdf2dw2 +H2q/KNIxCVzNBvlObmZbtQJauf3DHosuNjx2z/DWWC4722/vZ/uNwIW6I9K2 +avrDmc2/5l01+IOvbRLPLDYm4G6K1yfRTgzlmhRaOXYTcHVmf1Ut1f+n/KdS +jnswYLK1PznWSAzNbDZNcoojYPBtZtlTar/aGqYR4bCUev+dYCwjqfpaGKJt +7V7Fho93huyejcjO9lfdbH+N4WkyaanndhKwxUwiIoLqX95t/j0O4iR8+LDI +fDHV3/fLst1+dHSB2dn8jCNRvMg97tPleS8JsPnWTppQ/ee4al+E9igb7Fva +NATM6H7Kmu2nL7jx3aTkfMwKUM1DJ/GcCbwuKoDZVhEPtQ909gxWT+PRHkJ7 +nSwfADf/GIa3c6E9b2vH7LQZ0PhINE2wTgmJLLdy9f3IgMCcLu7gFRja4+16 +d90xav92Pr9n5W3qfGDooL9diQDP+V83mrVgaMoj+szhCgL8nN6af6DWK/dU +xlW5IyQIVzksUC/DkOGyLOawLAt+nFwqamyFIa9MJ82Lb1hgKZr4PtBACZm6 +ZJDtu9mw5NGKQZ51CohPRZtbkGcQ4hwd05YckEJbo7p41N2j4KBEwKnkP1N4 +pIjOM8F/mODaGC2mHEDdb+r9RpUKJjxd1yycV0LtV5knVEcDqPpt2JbTStVr +WsbP0kOqJLyouZFynnq/f41LVt/0lgQFHe/2ossYklu0vYR9gAVhkyaGlrVK +qMozbnOZDBtkGoL3xngqogud871C8tlwuuyLzM9MObRe8vGK0uRjwMWW0esr +/4PveSb5K/cOExy+q93xyqLeH759C7SsCPjEL7QsgToP2Z9d8c/hnwRE16t6 +//s8VnxpXw1vk7DYJ4RVSJ0/5udF1gcAC74UzbEzk8RQUlTAq4UEC1zaawnn +WkW0S6VP82kcdV6KK8H2XZZHu6zD0qOGmNCu6gIzldT4+sTl0W0CPs/IfX9G +zf9o5aMdh2xISGNvVPVuwJC/bKLvfpKEpIH3FxL8qPPcqozu7cvZUPbC43Zm +pwIqTN+VYtPGBunDbUmEnywyVF+ZOzpvPziaqxzX+zWJv9qsomOhT0CHw+7Q +d9T7T/PQd/uf3whor+k8u5Wq/6MVltjXKAJeESUnllLXVUWbLg0bkZB6aEjo +Zhv1fG/ehHtWsGFDLroZoyKHFOJy1CS2EZDYu844tR9DwTcSh4YFSep8f/eX +JXVdy9si9fAZAces/LPNqXqvjpp5ZTDEhvQojecnImXQ1PrdiZ6aHrDGeet0 +UcAkLsMw3m9UR8DcCJLXkBr/9kIPlYEQAli1NTILqP7dI0YIGv0lgO3ZedGI +gaHC0Z8y+Q8JGEvolpWn7l8mZ6i7oo8Nl00mFLT5ZJH55KWleQMEiC9M1TWn +Ph/bpKVYfYUA26mZGBnq/v0yJRI3XxMQLB9vrEZ9vuVXja36BBuWjtql7G6V +RnyWyoGd2BJA3As+VnpN4DrWV8/nBcZD5oEHTcpoGh9qcxZJU34A4S5W+UQL +F5rerWjyRZMB23Vlk/+tv0tioaEv3zPAiytuTZU5hgqGWRP4ESZMP3Y+KHYL +Q+9Zzf535QnQLxp5GNyMoZdTt86VlRMgoYZb9FLPZ9qgWH0tkATLVzUOO6jz +TOobSVFzaRZIJp5uWGKNobukdCgvzoLr223WspYooZGQEUFPTzaEsixC1tkr +oBfbfkvUcg3CWanfUhWEFDpZnVi+9VUkXH9z2TaMmMKFvnk1Oaoy4d6ofvVt +qp7yzrlnxJdT+Ycpkln8GkNhe7k8zPwIIK/Urwqj6n3HWsF3b5RJ8JwkEwyp +ftjVI7azEpHQPXjT9uFVDEXll3aY+LDAbE12wNkGJSR83/bBUik2pEVbR0/6 +KCJb3oCwv7lsYIq4Keu+kkPxd5XQAvcg8Lmpr9GS9QcvWHHfXieZCTYzfCmb +nlL9pO3rfXANAclXrWsEqO8TUXPQLBsi4EVDl9JS6v1a6S6jkJNEQtfGy4I2 +VL9eNx8YfbmCBV0/bsTXyGCooUJP+s4AC0o//egpaFJEc2GxpUEM9X7Zpx44 +flse5dbJTE+zmGBvwdilQ/Xft3vxW3hvEiA73zP1MjX/OftLdr9ZS0Jw0lie +RyOGxg4IPhpgkFBwZKCKSe1Xq07vXVa/lA3KcTfcfRkKKKjiaVFlCxvaVh0u +mIiSReWWyi/fJnvDWOu1owHNk/ioSNqBqEUEnAqS7VWl+u+7xK+tFl8JiLN2 +VbpO9Vv22U9uqmep68rgn7+peo128YpfY0gCMTDk6kOdr3f2iSf3llP9Y8Un +WaIvh+ytTb0cXAiI1crU1KH6zdj46dgafhLEJVf4v6euRZCHAzObgMI8NN+M +qu/YzA3NeSw23Mnd+HRxhgzSuKD6YGaeGxBhTOUy60m8KsomIOIzAR/TtNW2 +U+NPONrnrhNMQFrROt9mar7nPZ4Wj5ik+mdVNyuN6r+fuSecfqVT+5Xc+2lu +6v6nl56ywbvZcO+VXPKKf2TRWGL6Kp4+Aub1ouRz1HjKr+W4SF0iwO3GphPf +qfH+89nS7lsRAcKhYVf1qM/fUFbxfviLDYOtLItWERnUO0fjzHHNzdClGuPe +zZzALd4cOjn/EwH9cZGmj6jn89E+13DqDgGhYZd31lLX5qIlLQnnCZjKSDt4 +lLp/grVDrVcBAeobv9j8u/9IeeXfeTrMhri5k8nWO2WQy/p5u7sbCNhlrVk3 +Q/1/2FLfuoBMAnb7R9tpUP9vr5zWFHOdALstOkNA3f/pVtOaLTgB5t1jlVLU +35XrI/RS/7AhVvfK9WWp0oj2qsSBrMHwACpXznpVl53HEQENEmivevIpiM/G +jQDaq1YdKR+3zmUC7VWnhq74hMkwgfYq7w/rD3keZADtVYF2Uk8bageA9iqP +OefPGmsPAO1Vb1a412w82w+0V631ipmO7e8D2qsaGuSy7i3rA9qrglaTLq3x +vUB71YVkq4WpQz1Ae9Vp/daDGWY9QHuV+oeT/kLnuoH2qkCNPVdj33wH2qu0 +As0Fun53Ae1VMaY8okJmXUB71c/HC3wCijqA9ip7g6zDJtLtQHuVG0l+913c +BrRXuSrxCnZatgLtVeq5t60WejYD7VURL+q7Ks0bgfYqrczje9/OqQfaq/qT +jKIYZC3QXrWrQBwkq6uA9irHYKcDSZ8qgPaqomUeefZTb4D2qvPaOl/nFrwE +2quObHjd9a30IdBeJRvkG3dk+DxOe9W0tZvKi2/PcNqrvvaY+E3VIZz2qt7i +lTEXrD7htFfJZztnXxesw2mvmiwTfZP6pxmnvUqkYKTV1KYDp70KzEPOuT/q +xmmvWq+lctZWdgCnvao0O3pzOIvEaa96uHxlTv+cYZz2KuJWynXToVGc9qoj ++5an8gVO4LRXCfrMz6oZmsFpr/IymLThDuDjeFW3k7z1KyTx/3qVf5JsGV8C +dU6Z9SoTzHhs9SsCaH96NWS2bJc3E6f9ab7f48WTxCBO+1OYjWBZCfETp/3J +9cJF3aNi4zjtT1cijpXBwSmc9idj/j3HpEe4Of7Uf3j9q8R3ghx/+u95p3Ha +n/hVH1ztaieB9ifrqZKSTDYBtCdxdW543m7KwGlPaozN0DnpxcZpT7qRcSv0 +5t9hnPYk6SBrCfbYGE570tGQY5o/OiZx2pMcGrIqexhcHE9qzd1wxGaYn+NJ +xLTd8zgpQY4nlRWvIPm3kUD7UP9Ws5TwvhGc9iGuQFGe0CW/cdqHYq1Khy5j +0zjtQ1ELr768P4eX40NQ2rvM31CE40P/zccgx4d+1x69GivGAtqHpmwiHu4T +IoH2Hn3rduG09AGc9p5z5TVplg9YOO09pdig3tu4YZz2Hl9TV5vcwDGc9p6m +5stB4hqTOO09MTdC31304+J4z0BXS4+CFj/He7wzjabTGCIc73m30MhV7DgJ +tN8UZ3CnbfUewWm/aXx0/KLOnXGc9puMEOVNdSv/4rTfuP5dZXToLA/Hb6pi +FGOWdQlx/Oa/+R/n+E3nuZI5ZS9JoL1lp7GLfPgBbo63ZFzyeB9aI8DxFn9n +P9+jS3k5PhL593KO8XdRjo/8N98dHB/5Gts6cH4RC2gfeTxGKiopkEB7x2cZ +nq/K7gM47R3C0+kft9iwcNo7MlWN8HGnYZz2jtRJFzLUYAynvaM8IY/P5OME +TntHQb+HsLgRF8c7HD/Omyr6yMfxjvvT6WnZDWIc78hRLhuAaGr8s35hcDe3 +UVlrBKf9wuH6g3e48zhO+8UFolEvoH4Kp/1ivEX10lJzHo5fvBZ0IlI3CXH8 +4r/1neT4xaH1mffmfCKB9oY3UYv2d2hxc7xB8uLPGdkdAhxveJrZIXVag5/j +A84PSuPbxkU4PvDfeg5wfKAw+f3r9BES6Pyv5fzGLXFKiJPvt8ztaR8IF+bk ++//WcwSn87vhzJ8Kn43cnLx+8peJtB6/OCev/7e+NZy8PmG0oSl4NQvovL4w +JPwfQSq30vm7JeXyPRWzAZzO3wtrVo5ZK7NwOn/f9x7VcV06jNP52yC3y2yl +5BhO5+8X2XJZPskTOJ2/Nbn8Pn2W4+Lk7+SAFN/B23yc/L1Gd7nYffv/zd8i +q+ZfkLhE5crZPB2UplZhLzyC03nay0/rwjHDcZzO09/TdDxk7k7hdJ4uWCtV +v0eBh5Onf+xwPXVASIiTpxfeXiL8qZ7a/2fzr67nuRdZQtyc/Mt72u5ClKYA +J69KKMu9KLsiwsmr2tWnWDdmqOebzZszgycPLNcX5uTHjAf76xftF+Pkx3Hb +kRZSmQV0/nNJEfS02CfKyXNqxUzNgDfinDznuuP79crNLKDz3JyNhXmR/1Dn ++tl81pReOaq7YACn89lmm8cC7rwsnM5na0M6n93UGcbpfCahVJNtNDOK0/nM +29G0UDt6AqfzmV1MlLyDABcnnwlqk0+2R/Fx8hlD241n7K4kJ5+l85uF8F0j +gc5bqrqxflyTP3FO3vr867Lv3HGczluNAfqjilFTOJ23zoZv2fqEj4eTt2Kt +ds0p7Bfk5K28XAueihYS6Hzk+85Y5vVvLk4+4jO9rFgqKsDJM/dT7+TX7xTh +5JnViXg+wU/ti7N5xODx9bVVAsKcfBFkuWtaXV2Mky9inySd65jPAjofhA3z +V3vri3LO+77bzk0LeYhzzvtNyzENUxMW0Of1yP6eZ/8UiHHO24/nnis3XSKB +/geSWz5k + "], {{{}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{ + Polygon[CompressedData[" +1:eJwl1He4zmUYB/BzrDJakjIKDUVG9sx2kH1sWR2y4ljJKHsU6SAjZF5ytVD2 +3rsoRZKIJiqKoj0+93X++Fzf57ne5x3Pfd/vr1BKv+TUDAkJCYnsTkzPi6yi +kM1z8k55VrbiJutP5FKq8R8HmEEfSnGd7UwihYbEd3zPakbQmuqUJiM/sIaR +tKEGZchEZrJwAzeSlWxkJ0f8Jm7mFm7lNnJyO7m4g9xxD+4iD3nJR37u5h4K +UDDuzb3cx/08QGF+ZC2jaEtNyvIgl1jHaNpRi3L8xg4m05VGPMRl1jOG9tRO +SG/CQTGTvpTnd3byIt1oHHVw7kM5n8EU4Sc2MJbHox7OnJCvUSc+2v6QnEVq +1Mb+c/k2FfiDXUyJ+njtS/lk1NP6ZNRBvh51kt/Fd8om0Q95NGolF0RN5ddx +R/lM1F6eiRrKlVFjeV4WlUXlz2yMetuPi/7Ic1FT2SF6Kj+Vy6JP1t/KumSw +fk/Ojl5afyX7Rb+tT8vlVORPdvMS3WM2vP6ZfIOmMUv2H8mFDOFhrrCJ8XQk +iYzOvS9foT+V+Is9pNGDZmRz7mO5iKEU4yqbmUAn6pHJucNyDgOozN/sZSo9 +aU5xfmELE+lMfTJ7/xE5l4FU4R/2MY1eJJPduWNyMcMowa9s5Xm60IAszn0g +5zGIqvzLfqbTm5zOnJJv0oIc9sflEvJbfyOHk9v6C1lYviMLygtRW1lSXmMb +62KOaR/9Y188Z3gr5i8x/Xl0minsjc9kKTuihwyOmWRXzDITYwbZEs8oujGZ +JWyPOSaVUcxmbdyZdjzNBOazOe5FVyaxmG0xh/RlJLNYEz2gLYMYz6tsipqT +Qh9GMJPV0XPaMDDmnXlsjJnhCZ6KZy4zWBUzR2sGMJa5bIiZpQu9eZaXeTf+ +E7SiM70YzvToA4doSSd6Moxp8b/kIC1IpjnNaEoTGtOIhjxGA+pTjyTqUofa +1KImNahONR6lKlWoTCUqUoHylKMsZShNKR6hJCXoSA+GMpUVHKA4/RnDHNZz +lA68wCK2coLuLGMnpxjCHs6QxjmWs59i/A/ABNZU + "]]}]}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwl0mVsllcYgOEOirU4DJfiMiG4BrcFh+AbEBwCxYIG1+AQfEEDBAgEgrts +MGwb7u7u7nCd8OPqfU6+87V9n/Nma92tfuwPERERGf0Ivc9astkM1LR6VRuS +xPq0LqYsXznANLpQkDfsYiytqUEcHrCOQTSiHIWIy0PWM5jGlKcwkcQjPglI +SCKiiCZx+J9ISjKSk4KUpCI1P5ImPAfpSE+G8LxkIjNZyEpMeG6yk4Oc5CI3 +j9jAEJpQgSLk4TEbGUpTKlKUt+xmHG2oSV6esIlhNKMSYfgHZTpdKcY79jCe +ttQKc3DuqM6lN/l4ymaG0zzMw5kzuoTK4VfbH9IZxIbZ2F/UlRTnPX8xIczH +Z9e1XZin9bkwB10W5qR3wt/U2uE+9FiYlc6L+P4O3QzPqH3C7PVymKGuDjPW +u5pf8+sztoR5248I96PXwkz193CnelaXhnuyvq1ViGN9WGeGu7S+od3CfVtf +0lWU4AN/M5H24d3w+XldTp3wLtkf1/n05Sees5WR/EFV4jr3r86iOyX5yF4m +0YG6RDl3QhfQj595wTZG0YJqRDr3n86mB6X4xD4m05F6/MJLtjOallQnnu// +r3PoSWk+8w9T6ER9op07qQvpz6+8YgdjaMVvxHfuiP5JL8rwhf1MpTMpnbmg +K2hAYvtTuohM1rd0AGmsr2huXaMxei/MVgvoa3byDWFNfWQ= + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwV0mlPDgAAwPHHR+l9qFyVHB2O5EiSY4oeRtKhYrnSdC3WlKPmyNSsPZs5 +OglRIZHKTYoOn8SvF7/t/wH+YcHCtIIFgUAgRLh4xwNmucxf3lDKJAO0cZif +9HGXWvbxmSfc4ALHWcgwD6nnFEfIZBGLiSCSKJawlGUsZwXRxBDLSuJYxWrW +sJZ4EkgkiXWsZwMbSWYTKWxmC1vZRirbSWMH73lEA6fJIYt0PvCYK5zhKPvZ +yQjtXOUsuRzgC0+5SQVFZPCRDq5xjmNk85VeblFJMbsYpZPrlJFHkG884zZV +lLCbMbpo5Dz5/OIlLVzkIN95TjPVnGAP43TTRDnzs0zwilYucYgfvOAONUwx +SIiT/Kafe0zzljr+8Jo57jPDEP/Yyyd6+A+Uq1qi + "]]}, "Charting`Private`Tag#2"]}}], {}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 1.}, {-16.34123920227253, + 2.0794415416798357`}}, "Frame" -> {{True, True}, {True, True}}, + "AxesOrigin" -> {0, -16.341239202272494`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> Directive[ + GrayLevel[0], FontFamily -> "Times", FontSize -> 10], + "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, {Log, Exp}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxFeXk4Vt/7tXme52NIIiFThGi4C4UmY1FKUUlFqJQUSobQTKgoUUkyhMoQ +ZyelMiSzJDI/5zwPCRWJ3/lc7/c871+udeGcffa+77XuvZaal7/TAS4ODo4q +Tg6O/35uPDDyGTG2rTHwyv4kmPcH57FSDOjBlgPiXPyh1msaN76XklqEWQNq +eOQsmj+NDyhrnD+luRV6VWLd+xjT+Kb69sI0zAXKOHgPFCnN4BqXVB7Oq+4A +IoyhWGUzg89u3JfsqekB61y2z5X6z+Cu+39X3MD2g62TXwTPyxm82krx5ZtU +b5jqvHHCv30GN1RfXTCpegicLJRO6f2awQX6IjK2ax6F81t/qV43+It3G8RY +XcZOQM6zTaOaSX/xxHsKaLF7EPjc0tfoyP2LbxR/sqoy9SRwsKT0Bqv/4i9G +60zGVE+Dwyc7S5vxv/iVXCktR80w+Cz55LWN9SyeLmsfHYdFgh13yZB65yx+ +pj65entZJCS8vmoXRszi26N6udTdo+CImP/Z1L+zuMhUYHh5ajRk7oys1Vf5 +hwe3JAYzVWOhpOViObH7H+6Y8OXwVs0rcEpR5J9D+z/8vEDRklgsERT11i/T +L5zDdWyuXywMSITsww/bFNEc3hTlz+iqSYTGhzr7R+vncA1u3Rz9kzfhXuPk +882MOfzdvwf6LU1JcNHrs8OvBfO4yESSieqlW2BgIr3BL3oeT+kOsXo+dxey +HDYX2GtwILcd9/N/jD8AdM2lRqqFA411uQhlKD6EcFfrIqKDA0V7CBxwtnoI +nLxTGN7NgYr2+Su+SHwI+i7HI3YPcyCxo6ujzpg9AtMl472BMxzobUTnTr7Q +LAhJdF3PuZATGT2V5FMWeAINAutkf/lwIuH587tsFuTDtzWi4gUTnGix4+jI +m/hiePd2FU/QGBc61WAOKZOlELyi8Z49kxvJF0t+4+TEAdtX2tZRy4Ne9FnV +fVpVBdYu4kVjxbyo6nCa0g5mNTzG1KYOJvChKc3V4rl+NbCJII5K7OFHXNM5 +EkqLP8KnnvTWpeYCyHR+7wvnvDoofzyz3I5bENVFLm94p/MJrr5BB1reCyLV +a+R09mgjXL/NKW55TwiRv3u+tRo2gaZrQZqgjzB6QJR/FLvSDM+bL74SthJB +YipZYwMDLRAyFHJlVkkUXTr03Dtdow2clTatODsiin6tzRnwCW+HTKk3P5U/ +iKETRtXFcm86IP3c01uf08RRT151/Ge1L2BXfuMBt7UE+nu45Y52SBd8X2mv +KlkqgZau45/zLv8KHMt3kLZaksgmQ+3gM/lv0JZQM2WRKYlOqexZ9H1XD+ju +fn7EQ0EK6TK3Cbdv6QV5y5W5EZelkLT4D4HqmV7oOdA7uFZcGvHgd/LVU7+D +8Gn98e44aXRkeXmn4KY+WHxOMThZWgbl2HmlV/7qg5ENmfi5VBk0RSpKDCX1 +Q1mF9VKfBbLoubMNd6L1ANgO97o75cqicNuoe8VDA9D4/gbnguVySK17Y0dm +1CCcZt759LlEDlmYRSZiRkPwz+EXrLaVR7sWfJrmbB6CHdtfxA7XyqOpj5f7 +As4Pg35s9arybQoohcfjhJPGCPSMc34Na1BAc/vkTT5rjsAuXelUq0YFtP9N +45S99gi0PhbO4G9SQIYRVqe26I9AqkxTyOVWBfR+XivEdsUIoBKL1de+KqDf +MxPhazaPQB73aWYAoYC2j8fG65wYgTfuX9tu8mJI+tvzDK7qESg4eNxC1RxD +V0RCQ1++GwEvjvh1dRYYElpp7eb7YQQC8ns5g1dhiCulSbStYQReDZQ8rAUM +/XQcC87uHIEE6Vur923AUONbLXuHsRGYbVREjs4YupR752+aIgMU7MtnlXwx +JPDVq81JhQH3J/Xr7/hhKFJI5xn/Qga4tUaLKPpj6MzBEu/AxQy4KF9eL3kM +Q4fVWj9bGzKgmstVavQUhmxvij0m1zMA9j3Y4XoBQ7yh513MAxnAUThd05mC +oeJx5jR+nAFzT1yOiNzG0H5vt3sbTjJgmcvF/avvYKja3oBwPsOAC7w5W2+n +Ue9f1B1+NIr6/e8LryADQzzvV+Rk3mJAR47wB9Mc6nmrHjjopDLAdp4nbctT +6nnPxH8V3GWA43e1u1651PNuD62tzGSAS6K6Skw+hi74JbZ15DJgtaXEm9Ii +ar+kf3CKVTGgaJ+F3ZtyDBXGuGclVlPrZQhll7/CkNfsu81KNQx4uqFdsLAC +Q1WDacladQx47NZZlYJjKKJkk55VGwOOHBlCW95giNMjyy2YYIDRylhn548Y +KmiSmptjMsDBcmSvTi2G9tqEZUaNMaBbxRXmKYwMt43emGSAHfOrV0Y9hs5x +c0fmzjEg9wpJ1jdiiCPbI79PioDzlWbGL9sw9I7ZfvSeLAH6pROPgtup8zN0 +1N+lQIDnoi+bzTowJF9ilduqQsDLyE8qTzsxpF+jlfNei4C7l27vOP4VQ5NC +GYejlhJwNkh6QKUbQ2VblXQs9Qn45rgv9C2F17eJZpcbE3AvzeujcA+Gdg3+ +fJS3hoAvnvu3nPiOoUXavt5H1hGQet2mga8PQyO+g4u1rAn4yCtgnkTh45Pt +D+7bEfDgslppTj+G4rgrMhJdCLBcuay7YBBDDjamXo6uBMRpZWvqDGFILj5f +TWwnAckDG4zTKZwhlZEes4eAbWZiERHDGCpVi7kbcpiALv1+H1UGhsIOcHiY ++RFAXmteE0Zh6+zTKpP+1PtaduZ3UrjR0DfVL4iA1tsum6IJDCWdGHTXCSYg +o3SDbzuFd5V4KA2HEMBsbJBaTFLrB8fbe88RUGRg2/WcwnkXPu5QuUBAfG3w +zz8UPlFjhX2JIqCMqDi9gokhC+GKjqSLBMxmZRw5QeH5raYpzvEEjL7JrnpK +4eob+a4SVwjYcXPL6e8UjmvTkq+/RoDd7HysFIv6fsWMttgEAuy36YwBheU8 +lJI2JBGw2F0v8xCFv95P3MZ9iwDpRZ7pVymcMSgqi+4Q8Gle5vszCvtox7Sc +vUtAaNjVPY0U1vPjSDS/T4CTrZgcSeGfBaedf2VSfy/zbo5zFEMlkz+lih4R +MJXUJy1L4bAVvk3+2QTsOxptr0Fh67OD13WfErBVtDbHgMJCyMORkUdASSFa +ZEbhRu4OiUfPCDhpfTTPgsJJNo6NXsUEqG/+bPsfdo//eFX1JQG2X7tJEwov +/GRl/7WUAMHQsOt6FB6SqhC79YqAYNlEYzUKP91u2rANJ8Cib6pWgsLHbudf +lqoigNMh0GWWWv/L2dsxVdUEiKnhlgMUnvWIPn+shgA/5zcW7yls+TrwzKKP +BAzFR5o+pnCM+u6gpjoCIsQ4DCMpXBdl6x/xiYAPGdpquygsNWJ8yKiJgAUR +JLchhV03qu7rayFgr41m0zx1PqlPhXbfaCegpqMgqpbC38V+bbf8Qp2/jZtC +AoU1A787/PxKQHdDz4XtFC4wKbF26iPg+vyhukaqPqaSM9dwDRKgOoBSYyhs +MXNlReEwAaJL0nUtKPy28oCuFIuAlZsNAm9Q9Sek5qhZNUbAi5ZehRUUdriw +auGxnwREN6t4/1e/X2ykZZp+E/A3/H2bGIVVn8yJRsxQ9bOmj5kxQvGbCMFv +9I8AlmfPZSMKjzaiv9e5SNiY6bXNmuoXY+OnU+t4SRAVX3X0HdVPwTeTx8b5 +SUov7/2yojD3zqP9jqIkvH+/1GIZ1Y8K/Uq1kgokzFaPvGum+nf3ev63rxVJ +8JwhkwwpnJH1szJQhYQXDTfTLlL9r+v7ofDzIhLWbI9cptOLoXVTJ29f1yOB +YZycaUTxR7SrV+I6QxKI4TE3H4pv6kq3XBk3IiE9cEzgVheGtodpRDiuoN53 +esScpPjpMH/TIUkrElQfD3I7UfyWf6hi3+v1JASnTBV6tFJ8Vft4d6AtCRms +zSreLRgKvxbu+HkLCXw3JLkPNGEoEdMzv+5GwsDeQzqLGjBUsfSigORREsyG ++QJvVGPItEW+/kYACVZlDY67KX4uOJt1XeY4CYJ1jovVq6jvq3+nqBBMQseT +guh7FJ9f9OfVU40gQR2GPXaWYcipKMJRL4mEnhPRyZ/zMFTrLiWXn0JC7+ar +/LaUvljzZHwxvEPCMp8QZgmlR2bbXu9bnk5C/x+/idjHGFL+PR+08gkJlzKO +8o/cx9CwRehtu0oSxHcVpV5KoPSgX2RPLSKhb/SW3aPr1PnHp6pveUOCnI53 +d+lVav++lj91eE/tz66shIZ4Sp/CZipdm0gI0lbXzaX09WzVqf4DQ9R5aMk7 +mVJ6PHWY//HwCAnFx4frGJReH5VO9j1EkpAy/O5SEqXnnvtfTPn+IGH3P+mC +Lh8MbeCb4j8xQ8Jc9tQW6T0Yktx4TDdCjAkVT9a2623EUPprcWELSSaIJ59r +WW6DIUPzXMa4NBN+nFkhbGyNoa1aI488MSZ8ytw4ILOG4js+D7V1Gkx423kt +yHcZpddv7OQ4LJhwvlNjxl0OQwkWw5MvVzGh98fNxAYpSk8KI5v9gQmfS5Xt +zcQxtPY+fq3HmglXxe61EfwYCg03EcbtmbDecANnxx8F9GulGkfYASZ8uxx4 +uqRDAUUVVX4z8WGC2bo8/wstCkhm6a4K1mEmhM2YGP43jxkrJofsDmBCp8yO +gvQaBRTwR2Rq1RkmpDkp/218roAYxX8Yf68xoev+2amxqwroq15j8+lXTJiL +fZ+z00IB3SMlQ7lxJiTssl3PXK6AvLKdNS+/ZoKVcPK7AAPq/zXag9PfMaG+ +TGTOUYNan9I3lZpGJvQv4bocKKqAJIWYB2UGmbCgdIsfb7c8aqnRk7w7zITK +jz/6i9vkUUqUf9kSggmu3Y2ES6M8WsA5IbJyjAkZg0p/DryRR7p/pp95TjOB +3xy4ax7Low1D/LN5oiwQv7lj0i1AHgk+sHu4QoIFGdE20TM+8qjOM35rlRQL +pFqCD8R6yiPnbrH7rfIs+JepLXrUSR7tbZHdMKvGAkuRrvxNxvLoTJX6NTtT +FmwMKrsiPy6H1pw7YN68ggWK8TfdfUfkENearL5dK1lQ9cLjTnaPHLpYqmMS +sJYFsj2cjK56OZRUsOxL0kYWLOo/CzLZcqjgLmgMeLDAQkmw9shOOTQRMsHv +6cmCUKZlyAYHOWTqmkV272PB8serRrk2yKFycfGidh8WlCe531+wTA69O/dt +Xe0xFtSfszZo4JVDXV6hewqjWVDtHZnn/lgWLYBlVgax1PsPqgf8viOL9ioN +aj6Np/YjvgI7eFUWDTVvHn14jQUjL73FK4Jk0Q9r5dBbt1lw+fP1EaW1sohv +Sfntc3nU8ztF67GPMsiO2z/sXwELGEI7FHXLZNClnkVeIUUsOFf1WepntgyS +TInTPlHCgre8fGrxF2WQsuDOkoNVLChdED4Ytk4G7RkUTR2oZkGmNY94hb4M +ynj9OtyzhgWbCtCtWCUZtCRE28a9jgUf7o7ZP5uQRkbkn9atbSxYFtcRtzZN +GgXVPC2t7WBB15pjxdNR0qgkc2+abRcLJI91pRB+0mj1rvf7LXtZ8MQ9y1tj +pTQ6t+KsLd7HgvtlMqmrFkqjKhlD3VWDLLhqMi2nzSONbOuTJ0wIFihLWtp8 +qZFCcdmb2guZLLhbsPnpsiwpVB81X2YwRq03SuP56UgpJOFVdPfpOAviF8yk +2uyRQk5rDkZoT7LAoaNLg89MCt1UVPJ+9IsFo51My04hKdTxq8FOfZoFKybt +0/Z1SiLF5gi99L8siNO9lmCeLol255tKqsyxIDrXm9DbKYle7Pwj1sgxChck +/kjUEBKIR0mbk59rFOKdnDKWH/7/eJ3uSpEHDhLsvx/R3sE1dU+c/bw+Z1mb +MiTGft+TBTHVpsvF2OtRK2do+r8WZa/Xd2fMnICHKPt7zvwykdTjFWV/b+RQ +/7OFxSLs/ch6eKh56SER9n4FWe2dU1cXYe9n5L+r+cbfhdn77ZrG72l5UJh9 +HmHjvPXe+sLs83J5WJnY9VuIfZ5iijIvqq4Jsc/7QfrdouY9Qux6gMoB86OG +Qux62bagv3s4XJBdT/OjZw6v1Bdk15vBk4T1dXyC7Hqsi5WPNe8VYNfrK35n +In2LALuef+x2O3tYQIBd73HWe5VLhvjZ/TB0bGNZ8lt+dr9kXfF4F9rAx+4n +8cs/56V387H7jfuc/aUoTT52P/KYXpWvFOZj92tnwabjtuO87H4e7u3ol9Pi +Zfe70wfV2dIPPGw+SPVP8x29w8PmC35tMmdXFA+bT7wMZmw5/XnYfBW15PrL +B8rcbD5z+7fGKPACF5vvfneoXFlhwcXmw+L1Es375bjYfHkhfNv2HB4uNp8a +8+4/KTnByebbPcausuGHOdl8/Dpq6aFvWpxsvtb1jHmRK8DJ5nPft8ZSr/5w +sPnesSW3tn+Eg60HsTdD317242DrRfGQh6CoEQdbTzQ5/D5+kuFg6419bJSs +Ix8HW4/4fRblNozN47RexVlXjl3F5nBaz7JCFLc0rf6H03p3iWjV82+exWk9 +/J6h4yF1bxan9bLVX39SPmoWp/X0WsTJKjgyi9N6fSLkpOaPbzM4redt7VeD +RDVmcFrvq5MKeUw+TOP0PPAiTybXJ3Uap+cFbyfTEu3oaZyeJ44fXJnOEzCN +0/MKR4AwV+jyPzg9z7Q+PnVZ5+5vnJ53HBMevsVdfuP0POTlp3XppOFvnD0v +ffp11XfBb5yep9wuXdY9IfIbp+c1ySAbMdbUFE7Pc76mbrYFAVM4Pe+lz7iS +oQZTOD0PGhT0mq0Wn8LpeVFMoSHPaH4Sp+dJ4nZagunYJE7Pq0PbzdLCBydw +ev4tz+LM2O49gdPzscG9glZFrQmcnp+DMtRqHAQncHq+VtGN8+OY+YnT83eY +LX9VBfETp+8LN7Nuh976N47T95NKbFTvTfw4Tt93slWM8N/O4zh9P3rgPanj +tmIcp+9P60N6nt3SGcfp+9Wjlavzh5THcfo+vcjvybIZYhSn7/etcVk6Z7xY +OO0XxFQ3ZFg9ZOK0nyA4l/lhmy0Tp/2GJQ2rp2wUmTjtR2y1fcLnzs3Eab+i +Mi96aziTxGl/pWzMzHyvNwOn/RuOnk3Pu01HcNrf0bfpFszIHMZp/+eTFNcX +RfdhnPaHOtKu3lcyG8Zp/6gts3ZSd/EwTvtLG7WULthJD+O0XwYWITHuj/tw +2q8TKp7oNLX9htN+3kyV8Ov0v+047ffJ5rnkJfA34bQfOFC+OvaS9Uec9gu/ +9Jv4zTYhnPYT52x2KL34+gyn/UbpIN/44+MXcdqPPL7pVe/XykdA+5UXtXW+ +LCh+CbSfWWruUegw+xpov9Mp2PlwyscaoP3QvcWiIF5fB7RfOpRiFDVCNgLt +p2plnzrwRrkZaL814kVzb61FK9B+rHrBHeslnu1A+7VuCtz8PVadQPu5O0jy +u++yLqD9XgeD3GMmkt1A+8E/nyz28S/9BrRfHGvKJSxg1gu0n6wVYMHX+6cX +aL85QGP/9bjX34H2o9XfnzkqENMHtF99Tr/zSJZZP9B+9qVU6yXpY/1A+91B +a0nXzsQBoP3wlhaZ3Pvmg0D75eu9YufihgaB9tNfr3Jv2HxhCGi/3UP54gVj +7WGg/fgAe4mnLY3DQPv13u83BnoeGQHazz87ds0nTIoBtN+/5nj1b5sCBtB5 +Q87HIB7bHQTQeYQJZjy1towAOq+wma2oyKb6lM4zZm0jHh0UIIHOO55MkfIK +ciTQeciSkPCF/BQP0HmJ8uaSwsiFJNB5Sq+9x3E+jf947//lLVXlq0jendQ9 ++H95zNslRm4ip0ig85p8xaphiCaBznOE1iy6JHaFBDrvyeQ1C+G5QfHc//Kg +oynSVTwUL9J5UU9MhXLVS+pe/788KXBj9n3ljyTQedOSO8sFPzaTQOdRhQWW +XDUdJNB5Fa/Kw+u93STQeVZJ6rtXmRMk0HmXdv1Z5s15Eug8bG0yXkTwUvec +/+VlfxpPXI8TYQKdp/22m+ggFZlA521xOSkx3xYxgc7jvsR1Dl9cygQ6r2tb +iWmYmjCBzvOmjTa1Ba9lAp33ue3+nlC7lQl0Hpg8nDsa7s+E/wMd/0QL + "]]}}]}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwV1nk8VN8bB3Bbqexjm0vyFQkh2izJU8jSZi2JFCKVJS3fpFBCpIUIiWhU +yBotlq/mhKjskiVEsszcO0MKZYnf+f01r/drZs4595zzPJ+r5O5v58nHw8Mj +z8vD8//PXZ6sVsTeb7zePad5ecEfpoCp3Ol+YhMg3jUf6t1nmBvTk1NLCDNA +TU/tRQpnmEMrVa5eUN0HAwrRzoPsGebuxs7iNMIBynmWeJbIzzJVbio8WVR0 +AjKELVdlMcuc3+WR5KbqCjscDiyU+c8yHY/9rrxLHANLO98wgdezzBpTudfV +qV4w1X33nH/nLFNHeVvRpOIJsDOUv6A1PctcNhjGOKDqB1f3TSvGrZ9j9q2/ +bnqLOAe5z3ePqSbOMRPS6WiN83nwvq+t0pU/x9wl9szoTeq/wMOlaQ3XzDFf +jTVsHle8CDbNViYWE3PM2/k0NVvVEGiVePbWwmyemSFtHXmDCAcr/tIR5e55 +5qXGpJoD5eEQ//aOVQg5zzwQMcCn7BwBp0T9L6fOzTOFpwJCK1IjIfNQeL22 +wl9mYHtCIEcxGkrboyrIw3+ZtvFfTu5TvQ0X5IT/2nT+ZV5dVrI2mkgAOa2d +utrFC0wNi7io4tMJkHPySYccWmC2Rfize+oSoOWJxrGxxgWmCr9mrva/9yC9 +ZfLlHvYCs/bvY+32tkSIcm+1mV61yBT+lbhZ8eZ9WL9Z0tw3cpGZ3Bdk+nLh +IWTZ7CmyVuFBB50eFf6YeAwo1qGO1s6DxnscVjDknkCoo1kJ2cWDIl2Xedqb +PgHeJVMEs48HlXj4y71KeALaDmfDDo/yIFG/bRGX9J7ClrUTAwGzPOhdWPeh +pcFZEJTguJP3H160IU9i6cplz6Bp2Q7paW9eJLR41cViVSF8NRYRK/rFi9bY +jrGqY15A7TsjgfPjfOhCkwEkT5ZBoH5LujWHH8m+kPjKy8sEwqOso6teAL0a +NG1oNqoCMwexkvEXS1DVyTR5J04NZBNKU8fjl6Ip1W1i+b51sJsk/cSPCCK+ +mVxx+TUfobk/4/M6g2Voy+LRV/YFDVCRPbvJin85agjf1FSr0Qx3qpFn+/vl +SDGWmskZa4G4FF4xk/QViPrd//WzThuoOhalLfcWQo/Jio+itz/By09R/wmZ +CiNRhazxoaF2CBoJuj0vL4JunnjplaHSAfbyu/Uvs0TQ9PbcIe/QTsikVf9c ++UEUndtQ80KmugsyruTdb00TQ/0FNTGtSl/AquLuY34zcTR3sv2BelAPfNtq +rShRJo7W7RBc8KroBZ5NTpSlmgSyYCgdfy77FTri66YMMyXQBYUjq7+59IPm +4ZenXOk0pMnZL9S5dwBkTbbmh92iIUmxH8tqZgeg33NgeLuYJBJgPihUTv0G +Qhe1J/puSKJTmyq6l+8ehDVX5AKTJKVQrpV7xpvpQWCZZzKvpEqhKUpOfCTx +O5RXmq3zXiWNXtpb8CeYDYHl6ICzXb40CrWMSH8xMgQt7+/yrtokg5T6dnVl +RgzDRc6D5tZSGWSoF55AbBiBvzbTsM1SFrmsap7h/TQCTgdeRY/Wy6Kpj7cG +T18dBe3oGqOK/XSULOB6zk6FBf0TvL0hTXS04CG7uVWVBS6akqmmLXR0rLpl +ylqdBZ+zhRiCbXSkE2Z6Ya82C1Kl2oJufaaj94tqQZb6LEClhttie+no9+yv +UOM9LCjgv8g5TdLRgYnoGI1zLKh27u24t4RAkl9fMvhqWFB0/KyhogGBbgsH +B7+uZYE7T8yOBkMCrdhqdtDnAwtOFw7wBhoRiC+5TaSjiQX/DZU+qQcC/bQd +D8zpZkG85P1tHuYEanmnZm0zzoL5Fjlka0+gm/kP5tLk2EC3rpiX9yHQsl73 +DjsFNjya1G584Eug8BUazwX/YcPBz5HCcv4EunS81CtgDRuiZCsaJc4Q6KTS +51YzHTbU8DnSxi4QyPKeaDa1kw3g8djJ8RqBlgRfdTAIYANP8UxddzKBXkxw +Zphn2bDwzOGUcAqBjnkdTDf/lw26DlHHtj0gUI31etL+EhuuLcndl5KG51/d +F+oXgb//fe0/YBBI4L1+buZ9NnTlCn3YkovHM3pso5HKBstFgbS9eXi852LT +RQ/ZYPtN6aF7Ph4vZWT7m0w2OCQoK1wvJNA134SOrnw2bDMRry4rwfsl+YNX +tIoNJR6GVtUVBCq+7pyVUIPXy16RU/Efgdzna/fI17Ehz7xzeXElgaqG05LU +GtiQfbC7KplJoLDS3VqmHWw4dWoE7a0mEK9r1sFAkg0btkbb238kUFEbbWGB +wwYbE9ZRjXoCHbUIyYwYZ0OfgiMsYiOd/WN3J9lgxel1ZzQS6Ao/f3j+Ahvy +b1NUYwuBeHJcCwdpJFx9o7fxdQeBajmdfunSJGiX/Xoa2InPT8dW24VOgtvq +L3v0uggkW2qa/1mBhNfhzQp53QTSrlPLfa9GwsObKU5newk0uYJxMmIdCZfP +Sw4p9BGofJ+8hok2CV9tPYLfYe/sEMmp2EhCepr7R6F+ArkM/3xaYEzCF7dj +e899I9BqdR+vUztISI2zaFo6SCCWz/AaNTMSPi5ZZpCIfXay8/EjKxIe31Iq +y/1OoBv8lYwEBxJMtur2FQ0TyMZii7utIwk31HJUNUYIJBNTqCR6iISkIfON +GdgMGiPj+hES9uuJhoWNEqhM6frDoJMk9Gh/91ZkEyjEk8dVz5cEKvaTcQi2 +Wc5FhUl/PF/7ocJu7BYdn1Tf8yR8TnHYHUkSKPHcsLNGIAmMMnOfTmyXUlf5 +0SASOC1NtDUUXj/Yphy9QkLJesuel9gF1z46KVwjIaY+8Ocf7HN1psSXCBLK +ycqL+hwCGQpVdiVGkTCfxTh1Dntx35Zk+xgSxqpzqvKwa+4WOorfJsHp3t6L +37BvdKjJNsaSYDW/GE3j4ueXY3REx5NgvV9jHLBlXOUTzRNJWOOslXkCu/dR +wn7++yRIrnbLuIPNGBaRRg9IaF6U+vYc21v9evvlhyQEh9w50oKt5cuTYPCI +BDtLURkK+2fRRfvpTPx7qdoF3jEClU7+pJU8JWEqcVBSGjtE36fNP4cED79I +axVss8vDcZp5JOwTqc9dj70CudqyC0goLUar9bBb+LvEnz4n4V8zvwJD7EQL +2xb3FyQo72m1/L+dYz7eUXxNgmVvH7UZ+59mU+veMhKWB4fEaWGP0CpF7/9H +QqB0wkYl7LwDW5r2M0kwHJyqF8c+k1J4i1ZFAq9NgMM8Xv/r+ZTrVTUkiCox +TYaw510jr56pI8HXvtrwPbbJ24BLqz+SMBITviUb+7ry4fNtDSSEifLohGM3 +RFj6hzWT8IGhruSCTWNtPLGhjYRVYRS/DrbjLkWPwXYSjlqoti3i80nNW3H4 +bicJdV1FEfXY30SnD5h8wedvcZAej60a8M3mZy8JfU391w5gF20uNbMbJCFu +8URDC74fU0mZxnzDJCgOodTr2Iazt/WLR0kQWZuhaYj97o2nJo1LwtY96wPu +4vu3QslWtWqchFftA3R9bJtrRv+c+UlC5CcFr//f3y8WklJtv0mYC33fIYqt ++GxBJGwW3x/jQQ6DhfubMCm44S8JXLf+Wxuwx1rQXBwfBbsy3feb4XrZuDFv +ascSCkTEjPxqcT0F3ksanxCkcF6mT5ti8x/y+24rQsH79+sMdXE90r/L10vQ +KZivYdV+wvV7eKfgu7dyFLjNUok62Iysn28CFCh41XQvLQrXv6bPh+LW1RQY +HwjX1Rgg0I6pf1PitChgb0zK3ID7R6Sje8IOHQrI0fGD3rjfNJTtvT2xgYKM +gPFl93sIdCBEJcxWH893kWVA4f50UrDthIQpBYrZw/x2uL8Vnqj0eLuTgsDk +qWLXz7hf1WcfDrCkgMHdo+DVTqDQ2FDb1r0ULL0rwe/ZRqAEQssg7iAFQ0dP +aKxuIlDluqhlEn4U6I0uDbhbQ6At7bKNd09TYFreZHsY9+eiy1lxUmcpWN5g +u0a5Cj9fY60cPZCCrmdFkem4n0f5L9FSDKNAGUZdD5UTyK4kzFYrkYL+c5FJ +rQUEqnemyRQmUzCw546gJc4XMwHGF50HFOh6B3FKcR7p7X/rsSmDgu9/fH9F +ZxNo5e/F81ufUXCT4SfIekSgUcPgFKs3FIi5lKTejMd58F34SD2iYHDsvtXT +OHz+ManKe6spkNHw6iu7g/evtyLP5j3eH5es+KYYnE8hs28c2yg4r66smY/z +9XLVhe+eI/g81GTttuA8njopmD3KouDF2dEGNs5rP8kknxMUBcmjtTcTcZ67 +HXs15fODgsN/JYt6vAlkvnRK8NwsBQs5U3sljxBIYtcZzTBRDlQ+296ptYtA +GW/FhAwlOCCWdKV9kwWBdAzy2ROSHPhxSV9ooxmB9qmxnroRHGjO3DUkZYz7 +3VJXpR0qHHjXHXveRxfndbWVDI8hB652q8w6yxAo3nB08rURBwZ+3EtoouE8 +KQ7/5A8caC1baa0nRqDtj5ix/WYcuCOa3kEKEig4dLMQ05oDO3XMebv+0NH0 +ViWeEE8OfL0VcLG0i44iSt583ezNAb0dBf7X2ulIap1LJfckB0JmN+v8/31s +o1xS0OHTHOiWcirKqKOj03+Ep4wucSDNbuVcy0s6Yr/4w56L5UDPo8tT43fo +qFer5dPF/ziwEP0+95AhHaVTEsH8TA7Eu1ju5GyiI/cce9VbbzlgKpRUe3o9 +/r9KZ2BGLQcay4UXbFXw+uS/KtS1cOD7Wr5bASJ0JLGCc1xqmAOryvb6LumT +Re11WhIPRznw5uOP7y86ZFFyhH/5WpIDjn0tpEOLLFrF+0t46zgHGMPyfzyr +ZZHmn5nnbjMcEDQA/rpsWWQ+IjhfIMIFsXtOkwdPy6Llj62e6ItzgRFpETnr +LYsa3GL2VdG4QGsP9Ix2k0X2faKPPsty4W+muoifnSw62i5tPq/EBRPhnsLd +G2XRpSrlWKstXNh1vvy27IQMMr7iafBJnwtyMfecfVgyiM84a9BlKxeqXrk+ +yOmXQVFlGptPb+eCdD8vu6dRBiUW6X5J3MWF1d8vg1SODCp6CCpDrlwwlF9e +f+qQDPoV9EvQzY0LwRyTIHMbGbTFMYvq8+DCpmyjMT5zGVQhJlbS6c2FikTn +R6t0ZVDtla876s9wofGK2fqmJTKoxz34SHEkF2q8wgucs6XRKtA1XR+N5z+u +fPr3A2l0VH5YNS8G70dMJXH8jjQa+bRn7EksF1ivvcQqz0ujH2Yrg++ncOFW +axxLfrs0Wrq2IuVKAR6/W6SR+CiFrPj9Q/4WcYG9wklOs1wK3exf7R5UwoUr +Va20nzlSSCL5hvq5Ui68W7JUKSZKCq1cfqj0eBUXylaFDofskEJHhkVSh2q4 +kGkmIFapLYUYb9+GutVxYXcRuh8tL4XWBqlbODdw4cPDcevnvyTRBurP530d +XNC90XVje5okOl+XV1bfxYUe4zMvZiIkUWnm0TTLHi5InOlJJn0l0TaX98dM +BrjwzDnLS2WrJLqif9mSOciFR+VSqUb/SKIqKR1No2Eu3Nk8I6MuIIksG5N+ +bSa5sFLCxOJLHQ3dyNndWczhwsOiPXm6WTTUGLFYvn4crzdC5eXFcBoSdy95 +mDfBhZhVs6kWR2jIzvh4mPokF2y6elSW6tHQPTl5r6fTXBjr5ph0r6Chrukm +K+UZLuhPWqd5dEsguU9hWhlzXLihGRtvkCGBDhdukVBY4EJkvhepdUgCvTr0 +R7SFZwyuif8RryPFkYC8Oq8g3xjE2NkxNp0UR/8DoiDyzw== + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVlXk41PsXx8cYxs6gMLZryZalS4jSqUvX0k2LFhXCLZUUJfQjKTXZi1JJ +RJZcyRKSUj5fkyhbXUu0WLLMmPl+vyTGlvi5f5zn/HOec85z3s/7dbR8A3cd +oVIolILl+C+7HBn9F+Pt2XiHWzwWFUgAzZ4Z1K+yFtw9v91sdiXAIisto0LF +AebMt348t4mAYTXdS2F6rvBxvYqulSUBW1u7yzNVdsPn+E/c2NUE6Caq5y9p +7of4orSYPm0CFlz+vuOj5wUzzpM9OJOAfYdnXt1QOQyzH86mxEsRUG/PfPY6 +ww823UEVfBEC1ujYlU1pHgfD1vPErSUcxAajc/bqnYLqjIaXuZM49JrF2Cep +nAUR9fyUgV4cUrOUsVUHQ6C87A9qYw8OLrKPNtRmhIL+vbXiTR04VI21WI5r +/g9OuxQ+UGvC4VqxvMFOvQvQH/NKjf0Mh+wV26/Gq1yBU2kKbNptHCJa79Tv +fXEFckWsw2k3cNjLGqDqHGSBxEbtRJlrOEgJTkfVZFyFUiabC1dxONeZeo7Q +jIM3+ubuUmE47Lz52d9V7xqwazbgIgdwuCRWoR+nkgoD272CRXVxMHJMiS0P +SgW1v6rLr/yGQzsrkPelMRX0w6N+o6vjoCtsXGQaegseCXAl5ZU4NPzKM+1s +vw0LTtEPj4otz5+8bamZeBccF169KiT5kNYbbv908T5YqlgINr3gg/v+B6Xf +J/KgqCmE5rSfD5JLlzwcNUphY3D9jGMZD1btHBt9nVAJ58eTj12Q50FYmw2k +TT0Hv7cup31OjIJSJaNPSAhB0Ha5x50fuFA1aN/yfgMbvNRiL1sYcoHtn6m6 +n6iHug0H2/66zAGBnp1s8clG2OIbtxjPGQHqXJGc6qom6OxULH5gMwJWS95V +biUtELIJ3/cpdRharqxtazB6D4kZDvrZ40OgmYzPFY59gIumn04UWA8BPtPf +17WmHXTeRpwSixmEPH5Nk8y1DgjSPZwSX/cNZNQLxoeHO8EgyFZ0YHYAEo8/ +9cvW/QhxVlRJMesBmN5UNHwsqht+PFp1LPB5H5w1r69c+boHdpgVn7Fk9EJ/ +SX3Cv1qfYT+Ofwv4/Qv89O+8Zxj+BdyVhen99p9g9Wb6ol/NV9Apu+eg79MN +jjlaR58o9UF0VcdAs20XhKkf0v7m0Q8GhWFHXqt1gDGxR7J72wBw0sxZo/gH +UJD9LlY/PwDeldIg29oCNHSvVCfjG+w65+af1tQIJ9bWfBLfOgjPbbzKdyzU +QZGzb3bt9CDEGhp91qh8BgKcKce5PQTBW18OfK19CE/dHIVTHYZBISQgIXgi +FkU5sbIqOcOw6LhfterrE6TV69KTyxqBz0OWJxfaMWRrfSVVxZwDwzV2cYkO +TchD4/2cUAcHVpTsLrlJb0eCpqTBoEtcmGdL1mX/7EZpNK+zu3RHQaJy8pOV +Ux9S6HuaQ60fBbANjzn4zyASiby02+Y0D1wMVC87K3BR5QQxh4J58DG3ecp4 +FRcd9nPP+jOUBz2Z1x+oWnNR/XYzvlsED97LUz8zD3LRFe3eqFMsHpg69orn +5HIR7e26oty7PKD0b33aazWKqArfhWTYPHgxbm3j7cdDlEKv0kF5PtSWXHWN +InDUQHSfylrBB1enR6IHhQmUuGanqYcyH/Tb7ASOTAIpVdsXd6nzQXwx990e +JwKZNhoUvTXgQ0x9W459PoE8Rn48LNnIh674AqMIXxI914q5H+7PB+2Tj36f +54+hM+mlSfJsPjxcb1fKUZtAzxbSY9j1fNgS3v/krtEEWvC6eulMIx/y/KaM +3NdNoBgdz5D2Fj4UqpujGbcJlPFYwvNG9/K+KmMmrxMm0JvaI8byy768VZAe +effXBFIeUm1mKONwwYnOfsX/gTy30N/UMXFQN44/SZn/gXIKftSeXvZ9SI5W +4w7xSWQc8K78X20czLLKupgGk2izIDQ9xQSHmgKhnL1+k8if3n6cYY8DZ691 +ZtTIJHq1OlaMcQoHfnrmTavxKWTVqdR6IwgHGeW2EvOlKVR2viBFMXi5X9mA +tZ2sAOW0NjCVzy1zb34fHmkmQLGBIiaa0TgEWLk7lQUJ0K6K6J0myxxkhDjK +kAIB4tpGpjvX4uCemGR8VmoGeQ9JHWrGcBh8P309QGMGfU7I0Nn2GgffkwaJ +oWtmUMvXmsc73v7Hwfw3aPcMKr8wX7uvHYeuf8KSjO7PoPPssKEjHBwoQZLU +yLWziOFyxjhahoDgo+uzaUFzKLtOVtKWQYDfLqtqw6tzaI1NMW9CgYCqEsXi +YxlzyNVg9KGPyvLfuF1Os3w3h+JFvbQ26xLwsft6iLTuPKK9dl5JsSXgbHio +3ve+eTS9Xoty4QgBydGhbDixgFgVtX2WxwjoCjSdUmItIMXVHq9IfwK+5Rh5 +yWctIAvmnXDPIAIS+V0mgR0LKGhWSrAhgoCCcOa2drtfiFc5y/uZTEC8Q+34 +dZVF9NXkQ8f/XhJAP6Zd3Da+hLJwRqQwImB7HGvFTlEK5lvoppdUR4Ae5WTT +e0UKxtPtPpfdQEAlx0tc2pyCTav2qTd+ICDuVuSbpJMUjCFBHFUcIWBnZ3Hz +0CgF62w0YdznEhDwxkL+5SwFS2MFvtDnE2DsE1NVLCaEaQhNSq0fJ6COtfp4 +n4EQZjw798RnjoBDFvtWRPkLYX9y6Asl0iRYiBwOZUwKYeJ5zvnr5Ei4HLVn +bxGNirX4JLiy5Umo3CLXcXglFXPrlXnQpUTCTI/6tXW2VMy7c8WfC1okuP/a +aH76MhWLYOskO1uRwNJPeZanJoyV3QfdYS8SfM3mnYQCadhk+CTdx4cEuiFe +5MGiYVb7CvDev0nICMwMGLtHw2pkZSu6j5Gw653mwvN3NKzhYt/m5jMkcAd6 +hlYaiGBffCMPlV8l4VPZ1mCnCRFMA363N4sjgWZ1XalWUhTzVh3Re5xAgvDF +7YksPVGM0/HXWH4yCbJJP5YUPEWx7w5qkXfTSSi45tUQ2SaKierXpF8sIYFz +xuXFnTd0zFk48MKvMnJZP2+1ag4dS+zX9g2vIOG7p/t5fzExjJEWb3i2moSX +dDd+9jYxTE38QPVRNgktcUpxNgNi2KER6YzhehLMHt3c0iIqjuXU1UX5NJKw +NBbhv95UHNMPN3Q82ELCHo2hXm6UOGaOz3a5fiQBaodtTq2RwEIaHz9v7iEh +L/t+RcchCaw61zvT6QsJMkzFKnayBGbn8fbwHwMk7M6vTf0yI4FdXHfeCQ2S +cGFCpNXPVBJjK64x3jBCwr5Mus8fRyUxp9Y7k5Z8Eq78ul5q8U0Siy/c2l1O +kBBi772ooyOFtbKWXpiNL98j/3jH6uNSmJxvxf3HE8v1nKEnv1VKYbs2Ho02 +nCIhYtqSYSIijd1iqvo9nCYh4EDMopiXNNYz3easM0eCVg1PL7BOGmN2RJtk +/yThkUZMvdVaGcyz1IqhvkjCoNsKxxeYDFZ1YFbmA2UMRg33UwVZshhN1VCI +Th2DzcbrpfJ2yGH/B+5TUts= + "]]}, "Charting`Private`Tag#2"]}}, {}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>]]& )[<| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 1.}, {-16.34123920227253, + 2.0794415416798357`}}, "Frame" -> {{True, True}, {True, True}}, + "AxesOrigin" -> {0, -16.341239202272494`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> Directive[ + GrayLevel[0], FontFamily -> "Times", FontSize -> 10], + "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, {Log, Exp}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxFeXk4Vt/7tXme52NIIiFThGi4C4UmY1FKUUlFqJQUSobQTKgoUUkyhMoQ +ZyelMiSzJDI/5zwPCRWJ3/lc7/c871+udeGcffa+77XuvZaal7/TAS4ODo4q +Tg6O/35uPDDyGTG2rTHwyv4kmPcH57FSDOjBlgPiXPyh1msaN76XklqEWQNq +eOQsmj+NDyhrnD+luRV6VWLd+xjT+Kb69sI0zAXKOHgPFCnN4BqXVB7Oq+4A +IoyhWGUzg89u3JfsqekB61y2z5X6z+Cu+39X3MD2g62TXwTPyxm82krx5ZtU +b5jqvHHCv30GN1RfXTCpegicLJRO6f2awQX6IjK2ax6F81t/qV43+It3G8RY +XcZOQM6zTaOaSX/xxHsKaLF7EPjc0tfoyP2LbxR/sqoy9SRwsKT0Bqv/4i9G +60zGVE+Dwyc7S5vxv/iVXCktR80w+Cz55LWN9SyeLmsfHYdFgh13yZB65yx+ +pj65entZJCS8vmoXRszi26N6udTdo+CImP/Z1L+zuMhUYHh5ajRk7oys1Vf5 +hwe3JAYzVWOhpOViObH7H+6Y8OXwVs0rcEpR5J9D+z/8vEDRklgsERT11i/T +L5zDdWyuXywMSITsww/bFNEc3hTlz+iqSYTGhzr7R+vncA1u3Rz9kzfhXuPk +882MOfzdvwf6LU1JcNHrs8OvBfO4yESSieqlW2BgIr3BL3oeT+kOsXo+dxey +HDYX2GtwILcd9/N/jD8AdM2lRqqFA411uQhlKD6EcFfrIqKDA0V7CBxwtnoI +nLxTGN7NgYr2+Su+SHwI+i7HI3YPcyCxo6ujzpg9AtMl472BMxzobUTnTr7Q +LAhJdF3PuZATGT2V5FMWeAINAutkf/lwIuH587tsFuTDtzWi4gUTnGix4+jI +m/hiePd2FU/QGBc61WAOKZOlELyi8Z49kxvJF0t+4+TEAdtX2tZRy4Ne9FnV +fVpVBdYu4kVjxbyo6nCa0g5mNTzG1KYOJvChKc3V4rl+NbCJII5K7OFHXNM5 +EkqLP8KnnvTWpeYCyHR+7wvnvDoofzyz3I5bENVFLm94p/MJrr5BB1reCyLV +a+R09mgjXL/NKW55TwiRv3u+tRo2gaZrQZqgjzB6QJR/FLvSDM+bL74SthJB +YipZYwMDLRAyFHJlVkkUXTr03Dtdow2clTatODsiin6tzRnwCW+HTKk3P5U/ +iKETRtXFcm86IP3c01uf08RRT151/Ge1L2BXfuMBt7UE+nu45Y52SBd8X2mv +KlkqgZau45/zLv8KHMt3kLZaksgmQ+3gM/lv0JZQM2WRKYlOqexZ9H1XD+ju +fn7EQ0EK6TK3Cbdv6QV5y5W5EZelkLT4D4HqmV7oOdA7uFZcGvHgd/LVU7+D +8Gn98e44aXRkeXmn4KY+WHxOMThZWgbl2HmlV/7qg5ENmfi5VBk0RSpKDCX1 +Q1mF9VKfBbLoubMNd6L1ANgO97o75cqicNuoe8VDA9D4/gbnguVySK17Y0dm +1CCcZt759LlEDlmYRSZiRkPwz+EXrLaVR7sWfJrmbB6CHdtfxA7XyqOpj5f7 +As4Pg35s9arybQoohcfjhJPGCPSMc34Na1BAc/vkTT5rjsAuXelUq0YFtP9N +45S99gi0PhbO4G9SQIYRVqe26I9AqkxTyOVWBfR+XivEdsUIoBKL1de+KqDf +MxPhazaPQB73aWYAoYC2j8fG65wYgTfuX9tu8mJI+tvzDK7qESg4eNxC1RxD +V0RCQ1++GwEvjvh1dRYYElpp7eb7YQQC8ns5g1dhiCulSbStYQReDZQ8rAUM +/XQcC87uHIEE6Vur923AUONbLXuHsRGYbVREjs4YupR752+aIgMU7MtnlXwx +JPDVq81JhQH3J/Xr7/hhKFJI5xn/Qga4tUaLKPpj6MzBEu/AxQy4KF9eL3kM +Q4fVWj9bGzKgmstVavQUhmxvij0m1zMA9j3Y4XoBQ7yh513MAxnAUThd05mC +oeJx5jR+nAFzT1yOiNzG0H5vt3sbTjJgmcvF/avvYKja3oBwPsOAC7w5W2+n +Ue9f1B1+NIr6/e8LryADQzzvV+Rk3mJAR47wB9Mc6nmrHjjopDLAdp4nbctT +6nnPxH8V3GWA43e1u1651PNuD62tzGSAS6K6Skw+hi74JbZ15DJgtaXEm9Ii +ar+kf3CKVTGgaJ+F3ZtyDBXGuGclVlPrZQhll7/CkNfsu81KNQx4uqFdsLAC +Q1WDacladQx47NZZlYJjKKJkk55VGwOOHBlCW95giNMjyy2YYIDRylhn548Y +KmiSmptjMsDBcmSvTi2G9tqEZUaNMaBbxRXmKYwMt43emGSAHfOrV0Y9hs5x +c0fmzjEg9wpJ1jdiiCPbI79PioDzlWbGL9sw9I7ZfvSeLAH6pROPgtup8zN0 +1N+lQIDnoi+bzTowJF9ilduqQsDLyE8qTzsxpF+jlfNei4C7l27vOP4VQ5NC +GYejlhJwNkh6QKUbQ2VblXQs9Qn45rgv9C2F17eJZpcbE3AvzeujcA+Gdg3+ +fJS3hoAvnvu3nPiOoUXavt5H1hGQet2mga8PQyO+g4u1rAn4yCtgnkTh45Pt +D+7bEfDgslppTj+G4rgrMhJdCLBcuay7YBBDDjamXo6uBMRpZWvqDGFILj5f +TWwnAckDG4zTKZwhlZEes4eAbWZiERHDGCpVi7kbcpiALv1+H1UGhsIOcHiY ++RFAXmteE0Zh6+zTKpP+1PtaduZ3UrjR0DfVL4iA1tsum6IJDCWdGHTXCSYg +o3SDbzuFd5V4KA2HEMBsbJBaTFLrB8fbe88RUGRg2/WcwnkXPu5QuUBAfG3w +zz8UPlFjhX2JIqCMqDi9gokhC+GKjqSLBMxmZRw5QeH5raYpzvEEjL7JrnpK +4eob+a4SVwjYcXPL6e8UjmvTkq+/RoDd7HysFIv6fsWMttgEAuy36YwBheU8 +lJI2JBGw2F0v8xCFv95P3MZ9iwDpRZ7pVymcMSgqi+4Q8Gle5vszCvtox7Sc +vUtAaNjVPY0U1vPjSDS/T4CTrZgcSeGfBaedf2VSfy/zbo5zFEMlkz+lih4R +MJXUJy1L4bAVvk3+2QTsOxptr0Fh67OD13WfErBVtDbHgMJCyMORkUdASSFa +ZEbhRu4OiUfPCDhpfTTPgsJJNo6NXsUEqG/+bPsfdo//eFX1JQG2X7tJEwov +/GRl/7WUAMHQsOt6FB6SqhC79YqAYNlEYzUKP91u2rANJ8Cib6pWgsLHbudf +lqoigNMh0GWWWv/L2dsxVdUEiKnhlgMUnvWIPn+shgA/5zcW7yls+TrwzKKP +BAzFR5o+pnCM+u6gpjoCIsQ4DCMpXBdl6x/xiYAPGdpquygsNWJ8yKiJgAUR +JLchhV03qu7rayFgr41m0zx1PqlPhXbfaCegpqMgqpbC38V+bbf8Qp2/jZtC +AoU1A787/PxKQHdDz4XtFC4wKbF26iPg+vyhukaqPqaSM9dwDRKgOoBSYyhs +MXNlReEwAaJL0nUtKPy28oCuFIuAlZsNAm9Q9Sek5qhZNUbAi5ZehRUUdriw +auGxnwREN6t4/1e/X2ykZZp+E/A3/H2bGIVVn8yJRsxQ9bOmj5kxQvGbCMFv +9I8AlmfPZSMKjzaiv9e5SNiY6bXNmuoXY+OnU+t4SRAVX3X0HdVPwTeTx8b5 +SUov7/2yojD3zqP9jqIkvH+/1GIZ1Y8K/Uq1kgokzFaPvGum+nf3ev63rxVJ +8JwhkwwpnJH1szJQhYQXDTfTLlL9r+v7ofDzIhLWbI9cptOLoXVTJ29f1yOB +YZycaUTxR7SrV+I6QxKI4TE3H4pv6kq3XBk3IiE9cEzgVheGtodpRDiuoN53 +esScpPjpMH/TIUkrElQfD3I7UfyWf6hi3+v1JASnTBV6tFJ8Vft4d6AtCRms +zSreLRgKvxbu+HkLCXw3JLkPNGEoEdMzv+5GwsDeQzqLGjBUsfSigORREsyG ++QJvVGPItEW+/kYACVZlDY67KX4uOJt1XeY4CYJ1jovVq6jvq3+nqBBMQseT +guh7FJ9f9OfVU40gQR2GPXaWYcipKMJRL4mEnhPRyZ/zMFTrLiWXn0JC7+ar +/LaUvljzZHwxvEPCMp8QZgmlR2bbXu9bnk5C/x+/idjHGFL+PR+08gkJlzKO +8o/cx9CwRehtu0oSxHcVpV5KoPSgX2RPLSKhb/SW3aPr1PnHp6pveUOCnI53 +d+lVav++lj91eE/tz66shIZ4Sp/CZipdm0gI0lbXzaX09WzVqf4DQ9R5aMk7 +mVJ6PHWY//HwCAnFx4frGJReH5VO9j1EkpAy/O5SEqXnnvtfTPn+IGH3P+mC +Lh8MbeCb4j8xQ8Jc9tQW6T0Yktx4TDdCjAkVT9a2623EUPprcWELSSaIJ59r +WW6DIUPzXMa4NBN+nFkhbGyNoa1aI488MSZ8ytw4ILOG4js+D7V1Gkx423kt +yHcZpddv7OQ4LJhwvlNjxl0OQwkWw5MvVzGh98fNxAYpSk8KI5v9gQmfS5Xt +zcQxtPY+fq3HmglXxe61EfwYCg03EcbtmbDecANnxx8F9GulGkfYASZ8uxx4 +uqRDAUUVVX4z8WGC2bo8/wstCkhm6a4K1mEmhM2YGP43jxkrJofsDmBCp8yO +gvQaBRTwR2Rq1RkmpDkp/218roAYxX8Yf68xoev+2amxqwroq15j8+lXTJiL +fZ+z00IB3SMlQ7lxJiTssl3PXK6AvLKdNS+/ZoKVcPK7AAPq/zXag9PfMaG+ +TGTOUYNan9I3lZpGJvQv4bocKKqAJIWYB2UGmbCgdIsfb7c8aqnRk7w7zITK +jz/6i9vkUUqUf9kSggmu3Y2ES6M8WsA5IbJyjAkZg0p/DryRR7p/pp95TjOB +3xy4ax7Low1D/LN5oiwQv7lj0i1AHgk+sHu4QoIFGdE20TM+8qjOM35rlRQL +pFqCD8R6yiPnbrH7rfIs+JepLXrUSR7tbZHdMKvGAkuRrvxNxvLoTJX6NTtT +FmwMKrsiPy6H1pw7YN68ggWK8TfdfUfkENearL5dK1lQ9cLjTnaPHLpYqmMS +sJYFsj2cjK56OZRUsOxL0kYWLOo/CzLZcqjgLmgMeLDAQkmw9shOOTQRMsHv +6cmCUKZlyAYHOWTqmkV272PB8serRrk2yKFycfGidh8WlCe531+wTA69O/dt +Xe0xFtSfszZo4JVDXV6hewqjWVDtHZnn/lgWLYBlVgax1PsPqgf8viOL9ioN +aj6Np/YjvgI7eFUWDTVvHn14jQUjL73FK4Jk0Q9r5dBbt1lw+fP1EaW1sohv +Sfntc3nU8ztF67GPMsiO2z/sXwELGEI7FHXLZNClnkVeIUUsOFf1WepntgyS +TInTPlHCgre8fGrxF2WQsuDOkoNVLChdED4Ytk4G7RkUTR2oZkGmNY94hb4M +ynj9OtyzhgWbCtCtWCUZtCRE28a9jgUf7o7ZP5uQRkbkn9atbSxYFtcRtzZN +GgXVPC2t7WBB15pjxdNR0qgkc2+abRcLJI91pRB+0mj1rvf7LXtZ8MQ9y1tj +pTQ6t+KsLd7HgvtlMqmrFkqjKhlD3VWDLLhqMi2nzSONbOuTJ0wIFihLWtp8 +qZFCcdmb2guZLLhbsPnpsiwpVB81X2YwRq03SuP56UgpJOFVdPfpOAviF8yk +2uyRQk5rDkZoT7LAoaNLg89MCt1UVPJ+9IsFo51My04hKdTxq8FOfZoFKybt +0/Z1SiLF5gi99L8siNO9lmCeLol255tKqsyxIDrXm9DbKYle7Pwj1sgxChck +/kjUEBKIR0mbk59rFOKdnDKWH/7/eJ3uSpEHDhLsvx/R3sE1dU+c/bw+Z1mb +MiTGft+TBTHVpsvF2OtRK2do+r8WZa/Xd2fMnICHKPt7zvwykdTjFWV/b+RQ +/7OFxSLs/ch6eKh56SER9n4FWe2dU1cXYe9n5L+r+cbfhdn77ZrG72l5UJh9 +HmHjvPXe+sLs83J5WJnY9VuIfZ5iijIvqq4Jsc/7QfrdouY9Qux6gMoB86OG +Qux62bagv3s4XJBdT/OjZw6v1Bdk15vBk4T1dXyC7Hqsi5WPNe8VYNfrK35n +In2LALuef+x2O3tYQIBd73HWe5VLhvjZ/TB0bGNZ8lt+dr9kXfF4F9rAx+4n +8cs/56V387H7jfuc/aUoTT52P/KYXpWvFOZj92tnwabjtuO87H4e7u3ol9Pi +Zfe70wfV2dIPPGw+SPVP8x29w8PmC35tMmdXFA+bT7wMZmw5/XnYfBW15PrL +B8rcbD5z+7fGKPACF5vvfneoXFlhwcXmw+L1Es375bjYfHkhfNv2HB4uNp8a +8+4/KTnByebbPcausuGHOdl8/Dpq6aFvWpxsvtb1jHmRK8DJ5nPft8ZSr/5w +sPnesSW3tn+Eg60HsTdD317242DrRfGQh6CoEQdbTzQ5/D5+kuFg6419bJSs +Ix8HW4/4fRblNozN47RexVlXjl3F5nBaz7JCFLc0rf6H03p3iWjV82+exWk9 +/J6h4yF1bxan9bLVX39SPmoWp/X0WsTJKjgyi9N6fSLkpOaPbzM4redt7VeD +RDVmcFrvq5MKeUw+TOP0PPAiTybXJ3Uap+cFbyfTEu3oaZyeJ44fXJnOEzCN +0/MKR4AwV+jyPzg9z7Q+PnVZ5+5vnJ53HBMevsVdfuP0POTlp3XppOFvnD0v +ffp11XfBb5yep9wuXdY9IfIbp+c1ySAbMdbUFE7Pc76mbrYFAVM4Pe+lz7iS +oQZTOD0PGhT0mq0Wn8LpeVFMoSHPaH4Sp+dJ4nZagunYJE7Pq0PbzdLCBydw +ev4tz+LM2O49gdPzscG9glZFrQmcnp+DMtRqHAQncHq+VtGN8+OY+YnT83eY +LX9VBfETp+8LN7Nuh976N47T95NKbFTvTfw4Tt93slWM8N/O4zh9P3rgPanj +tmIcp+9P60N6nt3SGcfp+9Wjlavzh5THcfo+vcjvybIZYhSn7/etcVk6Z7xY +OO0XxFQ3ZFg9ZOK0nyA4l/lhmy0Tp/2GJQ2rp2wUmTjtR2y1fcLnzs3Eab+i +Mi96aziTxGl/pWzMzHyvNwOn/RuOnk3Pu01HcNrf0bfpFszIHMZp/+eTFNcX +RfdhnPaHOtKu3lcyG8Zp/6gts3ZSd/EwTvtLG7WULthJD+O0XwYWITHuj/tw +2q8TKp7oNLX9htN+3kyV8Ov0v+047ffJ5rnkJfA34bQfOFC+OvaS9Uec9gu/ +9Jv4zTYhnPYT52x2KL34+gyn/UbpIN/44+MXcdqPPL7pVe/XykdA+5UXtXW+ +LCh+CbSfWWruUegw+xpov9Mp2PlwyscaoP3QvcWiIF5fB7RfOpRiFDVCNgLt +p2plnzrwRrkZaL814kVzb61FK9B+rHrBHeslnu1A+7VuCtz8PVadQPu5O0jy +u++yLqD9XgeD3GMmkt1A+8E/nyz28S/9BrRfHGvKJSxg1gu0n6wVYMHX+6cX +aL85QGP/9bjX34H2o9XfnzkqENMHtF99Tr/zSJZZP9B+9qVU6yXpY/1A+91B +a0nXzsQBoP3wlhaZ3Pvmg0D75eu9YufihgaB9tNfr3Jv2HxhCGi/3UP54gVj +7WGg/fgAe4mnLY3DQPv13u83BnoeGQHazz87ds0nTIoBtN+/5nj1b5sCBtB5 +Q87HIB7bHQTQeYQJZjy1towAOq+wma2oyKb6lM4zZm0jHh0UIIHOO55MkfIK +ciTQeciSkPCF/BQP0HmJ8uaSwsiFJNB5Sq+9x3E+jf947//lLVXlq0jendQ9 ++H95zNslRm4ip0ig85p8xaphiCaBznOE1iy6JHaFBDrvyeQ1C+G5QfHc//Kg +oynSVTwUL9J5UU9MhXLVS+pe/788KXBj9n3ljyTQedOSO8sFPzaTQOdRhQWW +XDUdJNB5Fa/Kw+u93STQeVZJ6rtXmRMk0HmXdv1Z5s15Eug8bG0yXkTwUvec +/+VlfxpPXI8TYQKdp/22m+ggFZlA521xOSkx3xYxgc7jvsR1Dl9cygQ6r2tb +iWmYmjCBzvOmjTa1Ba9lAp33ue3+nlC7lQl0Hpg8nDsa7s+E/wMd/0QL + "]]}}]}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwV1nk8VN8bB3Bbqexjm0vyFQkh2izJU8jSZi2JFCKVJS3fpFBCpIUIiWhU +yBotlq/mhKjskiVEsszcO0MKZYnf+f01r/drZs4595zzPJ+r5O5v58nHw8Mj +z8vD8//PXZ6sVsTeb7zePad5ecEfpoCp3Ol+YhMg3jUf6t1nmBvTk1NLCDNA +TU/tRQpnmEMrVa5eUN0HAwrRzoPsGebuxs7iNMIBynmWeJbIzzJVbio8WVR0 +AjKELVdlMcuc3+WR5KbqCjscDiyU+c8yHY/9rrxLHANLO98wgdezzBpTudfV +qV4w1X33nH/nLFNHeVvRpOIJsDOUv6A1PctcNhjGOKDqB1f3TSvGrZ9j9q2/ +bnqLOAe5z3ePqSbOMRPS6WiN83nwvq+t0pU/x9wl9szoTeq/wMOlaQ3XzDFf +jTVsHle8CDbNViYWE3PM2/k0NVvVEGiVePbWwmyemSFtHXmDCAcr/tIR5e55 +5qXGpJoD5eEQ//aOVQg5zzwQMcCn7BwBp0T9L6fOzTOFpwJCK1IjIfNQeL22 +wl9mYHtCIEcxGkrboyrIw3+ZtvFfTu5TvQ0X5IT/2nT+ZV5dVrI2mkgAOa2d +utrFC0wNi7io4tMJkHPySYccWmC2Rfize+oSoOWJxrGxxgWmCr9mrva/9yC9 +ZfLlHvYCs/bvY+32tkSIcm+1mV61yBT+lbhZ8eZ9WL9Z0tw3cpGZ3Bdk+nLh +IWTZ7CmyVuFBB50eFf6YeAwo1qGO1s6DxnscVjDknkCoo1kJ2cWDIl2Xedqb +PgHeJVMEs48HlXj4y71KeALaDmfDDo/yIFG/bRGX9J7ClrUTAwGzPOhdWPeh +pcFZEJTguJP3H160IU9i6cplz6Bp2Q7paW9eJLR41cViVSF8NRYRK/rFi9bY +jrGqY15A7TsjgfPjfOhCkwEkT5ZBoH5LujWHH8m+kPjKy8sEwqOso6teAL0a +NG1oNqoCMwexkvEXS1DVyTR5J04NZBNKU8fjl6Ip1W1i+b51sJsk/cSPCCK+ +mVxx+TUfobk/4/M6g2Voy+LRV/YFDVCRPbvJin85agjf1FSr0Qx3qpFn+/vl +SDGWmskZa4G4FF4xk/QViPrd//WzThuoOhalLfcWQo/Jio+itz/By09R/wmZ +CiNRhazxoaF2CBoJuj0vL4JunnjplaHSAfbyu/Uvs0TQ9PbcIe/QTsikVf9c ++UEUndtQ80KmugsyruTdb00TQ/0FNTGtSl/AquLuY34zcTR3sv2BelAPfNtq +rShRJo7W7RBc8KroBZ5NTpSlmgSyYCgdfy77FTri66YMMyXQBYUjq7+59IPm +4ZenXOk0pMnZL9S5dwBkTbbmh92iIUmxH8tqZgeg33NgeLuYJBJgPihUTv0G +Qhe1J/puSKJTmyq6l+8ehDVX5AKTJKVQrpV7xpvpQWCZZzKvpEqhKUpOfCTx +O5RXmq3zXiWNXtpb8CeYDYHl6ICzXb40CrWMSH8xMgQt7+/yrtokg5T6dnVl +RgzDRc6D5tZSGWSoF55AbBiBvzbTsM1SFrmsap7h/TQCTgdeRY/Wy6Kpj7cG +T18dBe3oGqOK/XSULOB6zk6FBf0TvL0hTXS04CG7uVWVBS6akqmmLXR0rLpl +ylqdBZ+zhRiCbXSkE2Z6Ya82C1Kl2oJufaaj94tqQZb6LEClhttie+no9+yv +UOM9LCjgv8g5TdLRgYnoGI1zLKh27u24t4RAkl9fMvhqWFB0/KyhogGBbgsH +B7+uZYE7T8yOBkMCrdhqdtDnAwtOFw7wBhoRiC+5TaSjiQX/DZU+qQcC/bQd +D8zpZkG85P1tHuYEanmnZm0zzoL5Fjlka0+gm/kP5tLk2EC3rpiX9yHQsl73 +DjsFNjya1G584Eug8BUazwX/YcPBz5HCcv4EunS81CtgDRuiZCsaJc4Q6KTS +51YzHTbU8DnSxi4QyPKeaDa1kw3g8djJ8RqBlgRfdTAIYANP8UxddzKBXkxw +Zphn2bDwzOGUcAqBjnkdTDf/lw26DlHHtj0gUI31etL+EhuuLcndl5KG51/d +F+oXgb//fe0/YBBI4L1+buZ9NnTlCn3YkovHM3pso5HKBstFgbS9eXi852LT +RQ/ZYPtN6aF7Ph4vZWT7m0w2OCQoK1wvJNA134SOrnw2bDMRry4rwfsl+YNX +tIoNJR6GVtUVBCq+7pyVUIPXy16RU/Efgdzna/fI17Ehz7xzeXElgaqG05LU +GtiQfbC7KplJoLDS3VqmHWw4dWoE7a0mEK9r1sFAkg0btkbb238kUFEbbWGB +wwYbE9ZRjXoCHbUIyYwYZ0OfgiMsYiOd/WN3J9lgxel1ZzQS6Ao/f3j+Ahvy +b1NUYwuBeHJcCwdpJFx9o7fxdQeBajmdfunSJGiX/Xoa2InPT8dW24VOgtvq +L3v0uggkW2qa/1mBhNfhzQp53QTSrlPLfa9GwsObKU5newk0uYJxMmIdCZfP +Sw4p9BGofJ+8hok2CV9tPYLfYe/sEMmp2EhCepr7R6F+ArkM/3xaYEzCF7dj +e899I9BqdR+vUztISI2zaFo6SCCWz/AaNTMSPi5ZZpCIfXay8/EjKxIe31Iq +y/1OoBv8lYwEBxJMtur2FQ0TyMZii7utIwk31HJUNUYIJBNTqCR6iISkIfON +GdgMGiPj+hES9uuJhoWNEqhM6frDoJMk9Gh/91ZkEyjEk8dVz5cEKvaTcQi2 +Wc5FhUl/PF/7ocJu7BYdn1Tf8yR8TnHYHUkSKPHcsLNGIAmMMnOfTmyXUlf5 +0SASOC1NtDUUXj/Yphy9QkLJesuel9gF1z46KVwjIaY+8Ocf7HN1psSXCBLK +ycqL+hwCGQpVdiVGkTCfxTh1Dntx35Zk+xgSxqpzqvKwa+4WOorfJsHp3t6L +37BvdKjJNsaSYDW/GE3j4ueXY3REx5NgvV9jHLBlXOUTzRNJWOOslXkCu/dR +wn7++yRIrnbLuIPNGBaRRg9IaF6U+vYc21v9evvlhyQEh9w50oKt5cuTYPCI +BDtLURkK+2fRRfvpTPx7qdoF3jEClU7+pJU8JWEqcVBSGjtE36fNP4cED79I +axVss8vDcZp5JOwTqc9dj70CudqyC0goLUar9bBb+LvEnz4n4V8zvwJD7EQL +2xb3FyQo72m1/L+dYz7eUXxNgmVvH7UZ+59mU+veMhKWB4fEaWGP0CpF7/9H +QqB0wkYl7LwDW5r2M0kwHJyqF8c+k1J4i1ZFAq9NgMM8Xv/r+ZTrVTUkiCox +TYaw510jr56pI8HXvtrwPbbJ24BLqz+SMBITviUb+7ry4fNtDSSEifLohGM3 +RFj6hzWT8IGhruSCTWNtPLGhjYRVYRS/DrbjLkWPwXYSjlqoti3i80nNW3H4 +bicJdV1FEfXY30SnD5h8wedvcZAej60a8M3mZy8JfU391w5gF20uNbMbJCFu +8URDC74fU0mZxnzDJCgOodTr2Iazt/WLR0kQWZuhaYj97o2nJo1LwtY96wPu +4vu3QslWtWqchFftA3R9bJtrRv+c+UlC5CcFr//f3y8WklJtv0mYC33fIYqt ++GxBJGwW3x/jQQ6DhfubMCm44S8JXLf+Wxuwx1rQXBwfBbsy3feb4XrZuDFv +ascSCkTEjPxqcT0F3ksanxCkcF6mT5ti8x/y+24rQsH79+sMdXE90r/L10vQ +KZivYdV+wvV7eKfgu7dyFLjNUok62Iysn28CFCh41XQvLQrXv6bPh+LW1RQY +HwjX1Rgg0I6pf1PitChgb0zK3ID7R6Sje8IOHQrI0fGD3rjfNJTtvT2xgYKM +gPFl93sIdCBEJcxWH893kWVA4f50UrDthIQpBYrZw/x2uL8Vnqj0eLuTgsDk +qWLXz7hf1WcfDrCkgMHdo+DVTqDQ2FDb1r0ULL0rwe/ZRqAEQssg7iAFQ0dP +aKxuIlDluqhlEn4U6I0uDbhbQ6At7bKNd09TYFreZHsY9+eiy1lxUmcpWN5g +u0a5Cj9fY60cPZCCrmdFkem4n0f5L9FSDKNAGUZdD5UTyK4kzFYrkYL+c5FJ +rQUEqnemyRQmUzCw546gJc4XMwHGF50HFOh6B3FKcR7p7X/rsSmDgu9/fH9F +ZxNo5e/F81ufUXCT4SfIekSgUcPgFKs3FIi5lKTejMd58F34SD2iYHDsvtXT +OHz+ManKe6spkNHw6iu7g/evtyLP5j3eH5es+KYYnE8hs28c2yg4r66smY/z +9XLVhe+eI/g81GTttuA8njopmD3KouDF2dEGNs5rP8kknxMUBcmjtTcTcZ67 +HXs15fODgsN/JYt6vAlkvnRK8NwsBQs5U3sljxBIYtcZzTBRDlQ+296ptYtA +GW/FhAwlOCCWdKV9kwWBdAzy2ROSHPhxSV9ooxmB9qmxnroRHGjO3DUkZYz7 +3VJXpR0qHHjXHXveRxfndbWVDI8hB652q8w6yxAo3nB08rURBwZ+3EtoouE8 +KQ7/5A8caC1baa0nRqDtj5ix/WYcuCOa3kEKEig4dLMQ05oDO3XMebv+0NH0 +ViWeEE8OfL0VcLG0i44iSt583ezNAb0dBf7X2ulIap1LJfckB0JmN+v8/31s +o1xS0OHTHOiWcirKqKOj03+Ep4wucSDNbuVcy0s6Yr/4w56L5UDPo8tT43fo +qFer5dPF/ziwEP0+95AhHaVTEsH8TA7Eu1ju5GyiI/cce9VbbzlgKpRUe3o9 +/r9KZ2BGLQcay4UXbFXw+uS/KtS1cOD7Wr5bASJ0JLGCc1xqmAOryvb6LumT +Re11WhIPRznw5uOP7y86ZFFyhH/5WpIDjn0tpEOLLFrF+0t46zgHGMPyfzyr +ZZHmn5nnbjMcEDQA/rpsWWQ+IjhfIMIFsXtOkwdPy6Llj62e6ItzgRFpETnr +LYsa3GL2VdG4QGsP9Ix2k0X2faKPPsty4W+muoifnSw62i5tPq/EBRPhnsLd +G2XRpSrlWKstXNh1vvy27IQMMr7iafBJnwtyMfecfVgyiM84a9BlKxeqXrk+ +yOmXQVFlGptPb+eCdD8vu6dRBiUW6X5J3MWF1d8vg1SODCp6CCpDrlwwlF9e +f+qQDPoV9EvQzY0LwRyTIHMbGbTFMYvq8+DCpmyjMT5zGVQhJlbS6c2FikTn +R6t0ZVDtla876s9wofGK2fqmJTKoxz34SHEkF2q8wgucs6XRKtA1XR+N5z+u +fPr3A2l0VH5YNS8G70dMJXH8jjQa+bRn7EksF1ivvcQqz0ujH2Yrg++ncOFW +axxLfrs0Wrq2IuVKAR6/W6SR+CiFrPj9Q/4WcYG9wklOs1wK3exf7R5UwoUr +Va20nzlSSCL5hvq5Ui68W7JUKSZKCq1cfqj0eBUXylaFDofskEJHhkVSh2q4 +kGkmIFapLYUYb9+GutVxYXcRuh8tL4XWBqlbODdw4cPDcevnvyTRBurP530d +XNC90XVje5okOl+XV1bfxYUe4zMvZiIkUWnm0TTLHi5InOlJJn0l0TaX98dM +BrjwzDnLS2WrJLqif9mSOciFR+VSqUb/SKIqKR1No2Eu3Nk8I6MuIIksG5N+ +bSa5sFLCxOJLHQ3dyNndWczhwsOiPXm6WTTUGLFYvn4crzdC5eXFcBoSdy95 +mDfBhZhVs6kWR2jIzvh4mPokF2y6elSW6tHQPTl5r6fTXBjr5ph0r6Chrukm +K+UZLuhPWqd5dEsguU9hWhlzXLihGRtvkCGBDhdukVBY4EJkvhepdUgCvTr0 +R7SFZwyuif8RryPFkYC8Oq8g3xjE2NkxNp0UR/8DoiDyzw== + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVlXk41PsXx8cYxs6gMLZryZalS4jSqUvX0k2LFhXCLZUUJfQjKTXZi1JJ +RJZcyRKSUj5fkyhbXUu0WLLMmPl+vyTGlvi5f5zn/HOec85z3s/7dbR8A3cd +oVIolILl+C+7HBn9F+Pt2XiHWzwWFUgAzZ4Z1K+yFtw9v91sdiXAIisto0LF +AebMt348t4mAYTXdS2F6rvBxvYqulSUBW1u7yzNVdsPn+E/c2NUE6Caq5y9p +7of4orSYPm0CFlz+vuOj5wUzzpM9OJOAfYdnXt1QOQyzH86mxEsRUG/PfPY6 +ww823UEVfBEC1ujYlU1pHgfD1vPErSUcxAajc/bqnYLqjIaXuZM49JrF2Cep +nAUR9fyUgV4cUrOUsVUHQ6C87A9qYw8OLrKPNtRmhIL+vbXiTR04VI21WI5r +/g9OuxQ+UGvC4VqxvMFOvQvQH/NKjf0Mh+wV26/Gq1yBU2kKbNptHCJa79Tv +fXEFckWsw2k3cNjLGqDqHGSBxEbtRJlrOEgJTkfVZFyFUiabC1dxONeZeo7Q +jIM3+ubuUmE47Lz52d9V7xqwazbgIgdwuCRWoR+nkgoD272CRXVxMHJMiS0P +SgW1v6rLr/yGQzsrkPelMRX0w6N+o6vjoCtsXGQaegseCXAl5ZU4NPzKM+1s +vw0LTtEPj4otz5+8bamZeBccF169KiT5kNYbbv908T5YqlgINr3gg/v+B6Xf +J/KgqCmE5rSfD5JLlzwcNUphY3D9jGMZD1btHBt9nVAJ58eTj12Q50FYmw2k +TT0Hv7cup31OjIJSJaNPSAhB0Ha5x50fuFA1aN/yfgMbvNRiL1sYcoHtn6m6 +n6iHug0H2/66zAGBnp1s8clG2OIbtxjPGQHqXJGc6qom6OxULH5gMwJWS95V +biUtELIJ3/cpdRharqxtazB6D4kZDvrZ40OgmYzPFY59gIumn04UWA8BPtPf +17WmHXTeRpwSixmEPH5Nk8y1DgjSPZwSX/cNZNQLxoeHO8EgyFZ0YHYAEo8/ +9cvW/QhxVlRJMesBmN5UNHwsqht+PFp1LPB5H5w1r69c+boHdpgVn7Fk9EJ/ +SX3Cv1qfYT+Ofwv4/Qv89O+8Zxj+BdyVhen99p9g9Wb6ol/NV9Apu+eg79MN +jjlaR58o9UF0VcdAs20XhKkf0v7m0Q8GhWFHXqt1gDGxR7J72wBw0sxZo/gH +UJD9LlY/PwDeldIg29oCNHSvVCfjG+w65+af1tQIJ9bWfBLfOgjPbbzKdyzU +QZGzb3bt9CDEGhp91qh8BgKcKce5PQTBW18OfK19CE/dHIVTHYZBISQgIXgi +FkU5sbIqOcOw6LhfterrE6TV69KTyxqBz0OWJxfaMWRrfSVVxZwDwzV2cYkO +TchD4/2cUAcHVpTsLrlJb0eCpqTBoEtcmGdL1mX/7EZpNK+zu3RHQaJy8pOV +Ux9S6HuaQ60fBbANjzn4zyASiby02+Y0D1wMVC87K3BR5QQxh4J58DG3ecp4 +FRcd9nPP+jOUBz2Z1x+oWnNR/XYzvlsED97LUz8zD3LRFe3eqFMsHpg69orn +5HIR7e26oty7PKD0b33aazWKqArfhWTYPHgxbm3j7cdDlEKv0kF5PtSWXHWN +InDUQHSfylrBB1enR6IHhQmUuGanqYcyH/Tb7ASOTAIpVdsXd6nzQXwx990e +JwKZNhoUvTXgQ0x9W459PoE8Rn48LNnIh674AqMIXxI914q5H+7PB+2Tj36f +54+hM+mlSfJsPjxcb1fKUZtAzxbSY9j1fNgS3v/krtEEWvC6eulMIx/y/KaM +3NdNoBgdz5D2Fj4UqpujGbcJlPFYwvNG9/K+KmMmrxMm0JvaI8byy768VZAe +effXBFIeUm1mKONwwYnOfsX/gTy30N/UMXFQN44/SZn/gXIKftSeXvZ9SI5W +4w7xSWQc8K78X20czLLKupgGk2izIDQ9xQSHmgKhnL1+k8if3n6cYY8DZ691 +ZtTIJHq1OlaMcQoHfnrmTavxKWTVqdR6IwgHGeW2EvOlKVR2viBFMXi5X9mA +tZ2sAOW0NjCVzy1zb34fHmkmQLGBIiaa0TgEWLk7lQUJ0K6K6J0myxxkhDjK +kAIB4tpGpjvX4uCemGR8VmoGeQ9JHWrGcBh8P309QGMGfU7I0Nn2GgffkwaJ +oWtmUMvXmsc73v7Hwfw3aPcMKr8wX7uvHYeuf8KSjO7PoPPssKEjHBwoQZLU +yLWziOFyxjhahoDgo+uzaUFzKLtOVtKWQYDfLqtqw6tzaI1NMW9CgYCqEsXi +YxlzyNVg9KGPyvLfuF1Os3w3h+JFvbQ26xLwsft6iLTuPKK9dl5JsSXgbHio +3ve+eTS9Xoty4QgBydGhbDixgFgVtX2WxwjoCjSdUmItIMXVHq9IfwK+5Rh5 +yWctIAvmnXDPIAIS+V0mgR0LKGhWSrAhgoCCcOa2drtfiFc5y/uZTEC8Q+34 +dZVF9NXkQ8f/XhJAP6Zd3Da+hLJwRqQwImB7HGvFTlEK5lvoppdUR4Ae5WTT +e0UKxtPtPpfdQEAlx0tc2pyCTav2qTd+ICDuVuSbpJMUjCFBHFUcIWBnZ3Hz +0CgF62w0YdznEhDwxkL+5SwFS2MFvtDnE2DsE1NVLCaEaQhNSq0fJ6COtfp4 +n4EQZjw798RnjoBDFvtWRPkLYX9y6Asl0iRYiBwOZUwKYeJ5zvnr5Ei4HLVn +bxGNirX4JLiy5Umo3CLXcXglFXPrlXnQpUTCTI/6tXW2VMy7c8WfC1okuP/a +aH76MhWLYOskO1uRwNJPeZanJoyV3QfdYS8SfM3mnYQCadhk+CTdx4cEuiFe +5MGiYVb7CvDev0nICMwMGLtHw2pkZSu6j5Gw653mwvN3NKzhYt/m5jMkcAd6 +hlYaiGBffCMPlV8l4VPZ1mCnCRFMA363N4sjgWZ1XalWUhTzVh3Re5xAgvDF +7YksPVGM0/HXWH4yCbJJP5YUPEWx7w5qkXfTSSi45tUQ2SaKierXpF8sIYFz +xuXFnTd0zFk48MKvMnJZP2+1ag4dS+zX9g2vIOG7p/t5fzExjJEWb3i2moSX +dDd+9jYxTE38QPVRNgktcUpxNgNi2KER6YzhehLMHt3c0iIqjuXU1UX5NJKw +NBbhv95UHNMPN3Q82ELCHo2hXm6UOGaOz3a5fiQBaodtTq2RwEIaHz9v7iEh +L/t+RcchCaw61zvT6QsJMkzFKnayBGbn8fbwHwMk7M6vTf0yI4FdXHfeCQ2S +cGFCpNXPVBJjK64x3jBCwr5Mus8fRyUxp9Y7k5Z8Eq78ul5q8U0Siy/c2l1O +kBBi772ooyOFtbKWXpiNL98j/3jH6uNSmJxvxf3HE8v1nKEnv1VKYbs2Ho02 +nCIhYtqSYSIijd1iqvo9nCYh4EDMopiXNNYz3easM0eCVg1PL7BOGmN2RJtk +/yThkUZMvdVaGcyz1IqhvkjCoNsKxxeYDFZ1YFbmA2UMRg33UwVZshhN1VCI +Th2DzcbrpfJ2yGH/B+5TUts= + "]]}, "Charting`Private`Tag#2"]}}, {}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>], + ImageSizeCache->{{4.503599627370496*^15, -4.503599627370496*^15}, { + 4.503599627370496*^15, -4.503599627370496*^15}}]}, + Annotation[{ + GraphicsComplex[CompressedData[" 1:eJx12Hk0VV/YB3DzPM+H1E8kZIpQGp5CoclYSCkqqUwNSgolFJoTKkpUUhlC ReLspFSGZJYMGe89514SEon3/NbPue9a71qvf6yzuOeevffz7LO/HzWvAMe9 PFxcXN3cXFz//l6/l/EFMbesMvDK/Cyc/Rs/I5S/MAaLB2W9tYv186ZxV7d7 @@ -242665,9 +296365,13 @@ ds0p7Bfk5K28XAueihYS6Hzk+85Y5vVvLk4+4jO9rFgqKsDJM/dT7+TX7xTh 5JnViXg+wU/ti7N5xODx9bVVAsKcfBFkuWtaXV2Mky9inySd65jPAjofhA3z V3vri3LO+77bzk0LeYhzzvtNyzENUxMW0Of1yP6eZ/8UiHHO24/nnis3XSKB /geSWz5k - "], {{{}, {}, {}, {}, {}, {}, - {RGBColor[1, 0, 0], Opacity[0.2], EdgeForm[None], - GraphicsGroupBox[PolygonBox[CompressedData[" + "], {{{}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{ + Polygon[CompressedData[" 1:eJwl1He4zmUYB/BzrDJakjIKDUVG9sx2kH1sWR2y4ljJKHsU6SAjZF5ytVD2 3rsoRZKIJiqKoj0+93X++Fzf57ne5x3Pfd/vr1BKv+TUDAkJCYnsTkzPi6yi kM1z8k55VrbiJutP5FKq8R8HmEEfSnGd7UwihYbEd3zPakbQmuqUJiM/sIaR @@ -242686,10 +296390,13 @@ Qh9GMJPV0XPaMDDmnXlsjJnhCZ6KZy4zWBUzR2sGMJa5bIiZpQu9eZaXeTf+ E7SiM70YzvToA4doSSd6Moxp8b/kIC1IpjnNaEoTGtOIhjxGA+pTjyTqUofa 1KImNahONR6lKlWoTCUqUoHylKMsZShNKR6hJCXoSA+GMpUVHKA4/RnDHNZz lA68wCK2coLuLGMnpxjCHs6QxjmWs59i/A/ABNZU - "]]]}, {}, {}, {}}, {{}, {}, {}, - TagBox[ - {RGBColor[1, 0, 0], AbsoluteThickness[1.6], Opacity[1.], - LineBox[CompressedData[" + "]]}]}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" 1:eJwl0mVsllcYgOEOirU4DJfiMiG4BrcFh+AbEBwCxYIG1+AQfEEDBAgEgrts MGwb7u7u7nCd8OPqfU6+87V9n/Nma92tfuwPERERGf0Ivc9astkM1LR6VRuS xPq0LqYsXznANLpQkDfsYiytqUEcHrCOQTSiHIWIy0PWM5jGlKcwkcQjPglI @@ -242702,11 +296409,13 @@ u5pf8+sztoR5248I96PXwkz193CnelaXhnuyvq1ViGN9WGeGu7S+od3CfVtf 0YG6RDl3QhfQj595wTZG0YJqRDr3n86mB6X4xD4m05F6/MJLtjOallQnnu// r3PoSWk+8w9T6ER9op07qQvpz6+8YgdjaMVvxHfuiP5JL8rwhf1MpTMpnbmg K2hAYvtTuohM1rd0AGmsr2huXaMxei/MVgvoa3byDWFNfWQ= - "]]}, - Annotation[#, "Charting`Private`Tag$70044#1"]& ], - TagBox[ - {RGBColor[1, 0, 0], AbsoluteThickness[1.6], Opacity[1.], - LineBox[CompressedData[" + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" 1:eJwV0mlPDgAAwPHHR+l9qFyVHB2O5EiSY4oeRtKhYrnSdC3WlKPmyNSsPZs5 OglRIZHKTYoOn8SvF7/t/wH+YcHCtIIFgUAgRLh4xwNmucxf3lDKJAO0cZif 9HGXWvbxmSfc4ALHWcgwD6nnFEfIZBGLiSCSKJawlGUsZwXRxBDLSuJYxWrW @@ -242714,19 +296423,349 @@ sJZ4EkgkiXWsZwMbSWYTKWxmC1vZRirbSWMH73lEA6fJIYt0PvCYK5zhKPvZ yQjtXOUsuRzgC0+5SQVFZPCRDq5xjmNk85VeblFJMbsYpZPrlJFHkG884zZV lLCbMbpo5Dz5/OIlLVzkIN95TjPVnGAP43TTRDnzs0zwilYucYgfvOAONUwx SIiT/Kafe0zzljr+8Jo57jPDEP/Yyyd6+A+Uq1qi - "]]}, - Annotation[#, "Charting`Private`Tag$70044#2"]& ]}}], {}}, + "]]}, "Charting`Private`Tag#2"]}}], {}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 1.}, {-16.34123920227253, 2.0794415416798357`}}, + "Frame" -> {{True, True}, {True, True}}, + "AxesOrigin" -> {0, -16.341239202272494`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> Directive[ + GrayLevel[0], FontFamily -> "Times", FontSize -> 10], + "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, {Log, Exp}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxFeXk4Vt/7tXme52NIIiFThGi4C4UmY1FKUUlFqJQUSobQTKgoUUkyhMoQ +ZyelMiSzJDI/5zwPCRWJ3/lc7/c871+udeGcffa+77XuvZaal7/TAS4ODo4q +Tg6O/35uPDDyGTG2rTHwyv4kmPcH57FSDOjBlgPiXPyh1msaN76XklqEWQNq +eOQsmj+NDyhrnD+luRV6VWLd+xjT+Kb69sI0zAXKOHgPFCnN4BqXVB7Oq+4A +IoyhWGUzg89u3JfsqekB61y2z5X6z+Cu+39X3MD2g62TXwTPyxm82krx5ZtU +b5jqvHHCv30GN1RfXTCpegicLJRO6f2awQX6IjK2ax6F81t/qV43+It3G8RY +XcZOQM6zTaOaSX/xxHsKaLF7EPjc0tfoyP2LbxR/sqoy9SRwsKT0Bqv/4i9G +60zGVE+Dwyc7S5vxv/iVXCktR80w+Cz55LWN9SyeLmsfHYdFgh13yZB65yx+ +pj65entZJCS8vmoXRszi26N6udTdo+CImP/Z1L+zuMhUYHh5ajRk7oys1Vf5 +hwe3JAYzVWOhpOViObH7H+6Y8OXwVs0rcEpR5J9D+z/8vEDRklgsERT11i/T +L5zDdWyuXywMSITsww/bFNEc3hTlz+iqSYTGhzr7R+vncA1u3Rz9kzfhXuPk +882MOfzdvwf6LU1JcNHrs8OvBfO4yESSieqlW2BgIr3BL3oeT+kOsXo+dxey +HDYX2GtwILcd9/N/jD8AdM2lRqqFA411uQhlKD6EcFfrIqKDA0V7CBxwtnoI +nLxTGN7NgYr2+Su+SHwI+i7HI3YPcyCxo6ujzpg9AtMl472BMxzobUTnTr7Q +LAhJdF3PuZATGT2V5FMWeAINAutkf/lwIuH587tsFuTDtzWi4gUTnGix4+jI +m/hiePd2FU/QGBc61WAOKZOlELyi8Z49kxvJF0t+4+TEAdtX2tZRy4Ne9FnV +fVpVBdYu4kVjxbyo6nCa0g5mNTzG1KYOJvChKc3V4rl+NbCJII5K7OFHXNM5 +EkqLP8KnnvTWpeYCyHR+7wvnvDoofzyz3I5bENVFLm94p/MJrr5BB1reCyLV +a+R09mgjXL/NKW55TwiRv3u+tRo2gaZrQZqgjzB6QJR/FLvSDM+bL74SthJB +YipZYwMDLRAyFHJlVkkUXTr03Dtdow2clTatODsiin6tzRnwCW+HTKk3P5U/ +iKETRtXFcm86IP3c01uf08RRT151/Ge1L2BXfuMBt7UE+nu45Y52SBd8X2mv +KlkqgZau45/zLv8KHMt3kLZaksgmQ+3gM/lv0JZQM2WRKYlOqexZ9H1XD+ju +fn7EQ0EK6TK3Cbdv6QV5y5W5EZelkLT4D4HqmV7oOdA7uFZcGvHgd/LVU7+D +8Gn98e44aXRkeXmn4KY+WHxOMThZWgbl2HmlV/7qg5ENmfi5VBk0RSpKDCX1 +Q1mF9VKfBbLoubMNd6L1ANgO97o75cqicNuoe8VDA9D4/gbnguVySK17Y0dm +1CCcZt759LlEDlmYRSZiRkPwz+EXrLaVR7sWfJrmbB6CHdtfxA7XyqOpj5f7 +As4Pg35s9arybQoohcfjhJPGCPSMc34Na1BAc/vkTT5rjsAuXelUq0YFtP9N +45S99gi0PhbO4G9SQIYRVqe26I9AqkxTyOVWBfR+XivEdsUIoBKL1de+KqDf +MxPhazaPQB73aWYAoYC2j8fG65wYgTfuX9tu8mJI+tvzDK7qESg4eNxC1RxD +V0RCQ1++GwEvjvh1dRYYElpp7eb7YQQC8ns5g1dhiCulSbStYQReDZQ8rAUM +/XQcC87uHIEE6Vur923AUONbLXuHsRGYbVREjs4YupR752+aIgMU7MtnlXwx +JPDVq81JhQH3J/Xr7/hhKFJI5xn/Qga4tUaLKPpj6MzBEu/AxQy4KF9eL3kM +Q4fVWj9bGzKgmstVavQUhmxvij0m1zMA9j3Y4XoBQ7yh513MAxnAUThd05mC +oeJx5jR+nAFzT1yOiNzG0H5vt3sbTjJgmcvF/avvYKja3oBwPsOAC7w5W2+n +Ue9f1B1+NIr6/e8LryADQzzvV+Rk3mJAR47wB9Mc6nmrHjjopDLAdp4nbctT +6nnPxH8V3GWA43e1u1651PNuD62tzGSAS6K6Skw+hi74JbZ15DJgtaXEm9Ii +ar+kf3CKVTGgaJ+F3ZtyDBXGuGclVlPrZQhll7/CkNfsu81KNQx4uqFdsLAC +Q1WDacladQx47NZZlYJjKKJkk55VGwOOHBlCW95giNMjyy2YYIDRylhn548Y +KmiSmptjMsDBcmSvTi2G9tqEZUaNMaBbxRXmKYwMt43emGSAHfOrV0Y9hs5x +c0fmzjEg9wpJ1jdiiCPbI79PioDzlWbGL9sw9I7ZfvSeLAH6pROPgtup8zN0 +1N+lQIDnoi+bzTowJF9ilduqQsDLyE8qTzsxpF+jlfNei4C7l27vOP4VQ5NC +GYejlhJwNkh6QKUbQ2VblXQs9Qn45rgv9C2F17eJZpcbE3AvzeujcA+Gdg3+ +fJS3hoAvnvu3nPiOoUXavt5H1hGQet2mga8PQyO+g4u1rAn4yCtgnkTh45Pt +D+7bEfDgslppTj+G4rgrMhJdCLBcuay7YBBDDjamXo6uBMRpZWvqDGFILj5f +TWwnAckDG4zTKZwhlZEes4eAbWZiERHDGCpVi7kbcpiALv1+H1UGhsIOcHiY ++RFAXmteE0Zh6+zTKpP+1PtaduZ3UrjR0DfVL4iA1tsum6IJDCWdGHTXCSYg +o3SDbzuFd5V4KA2HEMBsbJBaTFLrB8fbe88RUGRg2/WcwnkXPu5QuUBAfG3w +zz8UPlFjhX2JIqCMqDi9gokhC+GKjqSLBMxmZRw5QeH5raYpzvEEjL7JrnpK +4eob+a4SVwjYcXPL6e8UjmvTkq+/RoDd7HysFIv6fsWMttgEAuy36YwBheU8 +lJI2JBGw2F0v8xCFv95P3MZ9iwDpRZ7pVymcMSgqi+4Q8Gle5vszCvtox7Sc +vUtAaNjVPY0U1vPjSDS/T4CTrZgcSeGfBaedf2VSfy/zbo5zFEMlkz+lih4R +MJXUJy1L4bAVvk3+2QTsOxptr0Fh67OD13WfErBVtDbHgMJCyMORkUdASSFa +ZEbhRu4OiUfPCDhpfTTPgsJJNo6NXsUEqG/+bPsfdo//eFX1JQG2X7tJEwov +/GRl/7WUAMHQsOt6FB6SqhC79YqAYNlEYzUKP91u2rANJ8Cib6pWgsLHbudf +lqoigNMh0GWWWv/L2dsxVdUEiKnhlgMUnvWIPn+shgA/5zcW7yls+TrwzKKP +BAzFR5o+pnCM+u6gpjoCIsQ4DCMpXBdl6x/xiYAPGdpquygsNWJ8yKiJgAUR +JLchhV03qu7rayFgr41m0zx1PqlPhXbfaCegpqMgqpbC38V+bbf8Qp2/jZtC +AoU1A787/PxKQHdDz4XtFC4wKbF26iPg+vyhukaqPqaSM9dwDRKgOoBSYyhs +MXNlReEwAaJL0nUtKPy28oCuFIuAlZsNAm9Q9Sek5qhZNUbAi5ZehRUUdriw +auGxnwREN6t4/1e/X2ykZZp+E/A3/H2bGIVVn8yJRsxQ9bOmj5kxQvGbCMFv +9I8AlmfPZSMKjzaiv9e5SNiY6bXNmuoXY+OnU+t4SRAVX3X0HdVPwTeTx8b5 +SUov7/2yojD3zqP9jqIkvH+/1GIZ1Y8K/Uq1kgokzFaPvGum+nf3ev63rxVJ +8JwhkwwpnJH1szJQhYQXDTfTLlL9r+v7ofDzIhLWbI9cptOLoXVTJ29f1yOB +YZycaUTxR7SrV+I6QxKI4TE3H4pv6kq3XBk3IiE9cEzgVheGtodpRDiuoN53 +esScpPjpMH/TIUkrElQfD3I7UfyWf6hi3+v1JASnTBV6tFJ8Vft4d6AtCRms +zSreLRgKvxbu+HkLCXw3JLkPNGEoEdMzv+5GwsDeQzqLGjBUsfSigORREsyG ++QJvVGPItEW+/kYACVZlDY67KX4uOJt1XeY4CYJ1jovVq6jvq3+nqBBMQseT +guh7FJ9f9OfVU40gQR2GPXaWYcipKMJRL4mEnhPRyZ/zMFTrLiWXn0JC7+ar +/LaUvljzZHwxvEPCMp8QZgmlR2bbXu9bnk5C/x+/idjHGFL+PR+08gkJlzKO +8o/cx9CwRehtu0oSxHcVpV5KoPSgX2RPLSKhb/SW3aPr1PnHp6pveUOCnI53 +d+lVav++lj91eE/tz66shIZ4Sp/CZipdm0gI0lbXzaX09WzVqf4DQ9R5aMk7 +mVJ6PHWY//HwCAnFx4frGJReH5VO9j1EkpAy/O5SEqXnnvtfTPn+IGH3P+mC +Lh8MbeCb4j8xQ8Jc9tQW6T0Yktx4TDdCjAkVT9a2623EUPprcWELSSaIJ59r +WW6DIUPzXMa4NBN+nFkhbGyNoa1aI488MSZ8ytw4ILOG4js+D7V1Gkx423kt +yHcZpddv7OQ4LJhwvlNjxl0OQwkWw5MvVzGh98fNxAYpSk8KI5v9gQmfS5Xt +zcQxtPY+fq3HmglXxe61EfwYCg03EcbtmbDecANnxx8F9GulGkfYASZ8uxx4 +uqRDAUUVVX4z8WGC2bo8/wstCkhm6a4K1mEmhM2YGP43jxkrJofsDmBCp8yO +gvQaBRTwR2Rq1RkmpDkp/218roAYxX8Yf68xoev+2amxqwroq15j8+lXTJiL +fZ+z00IB3SMlQ7lxJiTssl3PXK6AvLKdNS+/ZoKVcPK7AAPq/zXag9PfMaG+ +TGTOUYNan9I3lZpGJvQv4bocKKqAJIWYB2UGmbCgdIsfb7c8aqnRk7w7zITK +jz/6i9vkUUqUf9kSggmu3Y2ES6M8WsA5IbJyjAkZg0p/DryRR7p/pp95TjOB +3xy4ax7Low1D/LN5oiwQv7lj0i1AHgk+sHu4QoIFGdE20TM+8qjOM35rlRQL +pFqCD8R6yiPnbrH7rfIs+JepLXrUSR7tbZHdMKvGAkuRrvxNxvLoTJX6NTtT +FmwMKrsiPy6H1pw7YN68ggWK8TfdfUfkENearL5dK1lQ9cLjTnaPHLpYqmMS +sJYFsj2cjK56OZRUsOxL0kYWLOo/CzLZcqjgLmgMeLDAQkmw9shOOTQRMsHv +6cmCUKZlyAYHOWTqmkV272PB8serRrk2yKFycfGidh8WlCe531+wTA69O/dt +Xe0xFtSfszZo4JVDXV6hewqjWVDtHZnn/lgWLYBlVgax1PsPqgf8viOL9ioN +aj6Np/YjvgI7eFUWDTVvHn14jQUjL73FK4Jk0Q9r5dBbt1lw+fP1EaW1sohv +Sfntc3nU8ztF67GPMsiO2z/sXwELGEI7FHXLZNClnkVeIUUsOFf1WepntgyS +TInTPlHCgre8fGrxF2WQsuDOkoNVLChdED4Ytk4G7RkUTR2oZkGmNY94hb4M +ynj9OtyzhgWbCtCtWCUZtCRE28a9jgUf7o7ZP5uQRkbkn9atbSxYFtcRtzZN +GgXVPC2t7WBB15pjxdNR0qgkc2+abRcLJI91pRB+0mj1rvf7LXtZ8MQ9y1tj +pTQ6t+KsLd7HgvtlMqmrFkqjKhlD3VWDLLhqMi2nzSONbOuTJ0wIFihLWtp8 +qZFCcdmb2guZLLhbsPnpsiwpVB81X2YwRq03SuP56UgpJOFVdPfpOAviF8yk +2uyRQk5rDkZoT7LAoaNLg89MCt1UVPJ+9IsFo51My04hKdTxq8FOfZoFKybt +0/Z1SiLF5gi99L8siNO9lmCeLol255tKqsyxIDrXm9DbKYle7Pwj1sgxChck +/kjUEBKIR0mbk59rFOKdnDKWH/7/eJ3uSpEHDhLsvx/R3sE1dU+c/bw+Z1mb +MiTGft+TBTHVpsvF2OtRK2do+r8WZa/Xd2fMnICHKPt7zvwykdTjFWV/b+RQ +/7OFxSLs/ch6eKh56SER9n4FWe2dU1cXYe9n5L+r+cbfhdn77ZrG72l5UJh9 +HmHjvPXe+sLs83J5WJnY9VuIfZ5iijIvqq4Jsc/7QfrdouY9Qux6gMoB86OG +Qux62bagv3s4XJBdT/OjZw6v1Bdk15vBk4T1dXyC7Hqsi5WPNe8VYNfrK35n +In2LALuef+x2O3tYQIBd73HWe5VLhvjZ/TB0bGNZ8lt+dr9kXfF4F9rAx+4n +8cs/56V387H7jfuc/aUoTT52P/KYXpWvFOZj92tnwabjtuO87H4e7u3ol9Pi +Zfe70wfV2dIPPGw+SPVP8x29w8PmC35tMmdXFA+bT7wMZmw5/XnYfBW15PrL +B8rcbD5z+7fGKPACF5vvfneoXFlhwcXmw+L1Es375bjYfHkhfNv2HB4uNp8a +8+4/KTnByebbPcausuGHOdl8/Dpq6aFvWpxsvtb1jHmRK8DJ5nPft8ZSr/5w +sPnesSW3tn+Eg60HsTdD317242DrRfGQh6CoEQdbTzQ5/D5+kuFg6419bJSs +Ix8HW4/4fRblNozN47RexVlXjl3F5nBaz7JCFLc0rf6H03p3iWjV82+exWk9 +/J6h4yF1bxan9bLVX39SPmoWp/X0WsTJKjgyi9N6fSLkpOaPbzM4redt7VeD +RDVmcFrvq5MKeUw+TOP0PPAiTybXJ3Uap+cFbyfTEu3oaZyeJ44fXJnOEzCN +0/MKR4AwV+jyPzg9z7Q+PnVZ5+5vnJ53HBMevsVdfuP0POTlp3XppOFvnD0v +ffp11XfBb5yep9wuXdY9IfIbp+c1ySAbMdbUFE7Pc76mbrYFAVM4Pe+lz7iS +oQZTOD0PGhT0mq0Wn8LpeVFMoSHPaH4Sp+dJ4nZagunYJE7Pq0PbzdLCBydw +ev4tz+LM2O49gdPzscG9glZFrQmcnp+DMtRqHAQncHq+VtGN8+OY+YnT83eY +LX9VBfETp+8LN7Nuh976N47T95NKbFTvTfw4Tt93slWM8N/O4zh9P3rgPanj +tmIcp+9P60N6nt3SGcfp+9Wjlavzh5THcfo+vcjvybIZYhSn7/etcVk6Z7xY +OO0XxFQ3ZFg9ZOK0nyA4l/lhmy0Tp/2GJQ2rp2wUmTjtR2y1fcLnzs3Eab+i +Mi96aziTxGl/pWzMzHyvNwOn/RuOnk3Pu01HcNrf0bfpFszIHMZp/+eTFNcX +RfdhnPaHOtKu3lcyG8Zp/6gts3ZSd/EwTvtLG7WULthJD+O0XwYWITHuj/tw +2q8TKp7oNLX9htN+3kyV8Ov0v+047ffJ5rnkJfA34bQfOFC+OvaS9Uec9gu/ +9Jv4zTYhnPYT52x2KL34+gyn/UbpIN/44+MXcdqPPL7pVe/XykdA+5UXtXW+ +LCh+CbSfWWruUegw+xpov9Mp2PlwyscaoP3QvcWiIF5fB7RfOpRiFDVCNgLt +p2plnzrwRrkZaL814kVzb61FK9B+rHrBHeslnu1A+7VuCtz8PVadQPu5O0jy +u++yLqD9XgeD3GMmkt1A+8E/nyz28S/9BrRfHGvKJSxg1gu0n6wVYMHX+6cX +aL85QGP/9bjX34H2o9XfnzkqENMHtF99Tr/zSJZZP9B+9qVU6yXpY/1A+91B +a0nXzsQBoP3wlhaZ3Pvmg0D75eu9YufihgaB9tNfr3Jv2HxhCGi/3UP54gVj +7WGg/fgAe4mnLY3DQPv13u83BnoeGQHazz87ds0nTIoBtN+/5nj1b5sCBtB5 +Q87HIB7bHQTQeYQJZjy1towAOq+wma2oyKb6lM4zZm0jHh0UIIHOO55MkfIK +ciTQeciSkPCF/BQP0HmJ8uaSwsiFJNB5Sq+9x3E+jf947//lLVXlq0jendQ9 ++H95zNslRm4ip0ig85p8xaphiCaBznOE1iy6JHaFBDrvyeQ1C+G5QfHc//Kg +oynSVTwUL9J5UU9MhXLVS+pe/788KXBj9n3ljyTQedOSO8sFPzaTQOdRhQWW +XDUdJNB5Fa/Kw+u93STQeVZJ6rtXmRMk0HmXdv1Z5s15Eug8bG0yXkTwUvec +/+VlfxpPXI8TYQKdp/22m+ggFZlA521xOSkx3xYxgc7jvsR1Dl9cygQ6r2tb +iWmYmjCBzvOmjTa1Ba9lAp33ue3+nlC7lQl0Hpg8nDsa7s+E/wMd/0QL + "]]}}]}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwV1nk8VN8bB3Bbqexjm0vyFQkh2izJU8jSZi2JFCKVJS3fpFBCpIUIiWhU +yBotlq/mhKjskiVEsszcO0MKZYnf+f01r/drZs4595zzPJ+r5O5v58nHw8Mj +z8vD8//PXZ6sVsTeb7zePad5ecEfpoCp3Ol+YhMg3jUf6t1nmBvTk1NLCDNA +TU/tRQpnmEMrVa5eUN0HAwrRzoPsGebuxs7iNMIBynmWeJbIzzJVbio8WVR0 +AjKELVdlMcuc3+WR5KbqCjscDiyU+c8yHY/9rrxLHANLO98wgdezzBpTudfV +qV4w1X33nH/nLFNHeVvRpOIJsDOUv6A1PctcNhjGOKDqB1f3TSvGrZ9j9q2/ +bnqLOAe5z3ePqSbOMRPS6WiN83nwvq+t0pU/x9wl9szoTeq/wMOlaQ3XzDFf +jTVsHle8CDbNViYWE3PM2/k0NVvVEGiVePbWwmyemSFtHXmDCAcr/tIR5e55 +5qXGpJoD5eEQ//aOVQg5zzwQMcCn7BwBp0T9L6fOzTOFpwJCK1IjIfNQeL22 +wl9mYHtCIEcxGkrboyrIw3+ZtvFfTu5TvQ0X5IT/2nT+ZV5dVrI2mkgAOa2d +utrFC0wNi7io4tMJkHPySYccWmC2Rfize+oSoOWJxrGxxgWmCr9mrva/9yC9 +ZfLlHvYCs/bvY+32tkSIcm+1mV61yBT+lbhZ8eZ9WL9Z0tw3cpGZ3Bdk+nLh +IWTZ7CmyVuFBB50eFf6YeAwo1qGO1s6DxnscVjDknkCoo1kJ2cWDIl2Xedqb +PgHeJVMEs48HlXj4y71KeALaDmfDDo/yIFG/bRGX9J7ClrUTAwGzPOhdWPeh +pcFZEJTguJP3H160IU9i6cplz6Bp2Q7paW9eJLR41cViVSF8NRYRK/rFi9bY +jrGqY15A7TsjgfPjfOhCkwEkT5ZBoH5LujWHH8m+kPjKy8sEwqOso6teAL0a +NG1oNqoCMwexkvEXS1DVyTR5J04NZBNKU8fjl6Ip1W1i+b51sJsk/cSPCCK+ +mVxx+TUfobk/4/M6g2Voy+LRV/YFDVCRPbvJin85agjf1FSr0Qx3qpFn+/vl +SDGWmskZa4G4FF4xk/QViPrd//WzThuoOhalLfcWQo/Jio+itz/By09R/wmZ +CiNRhazxoaF2CBoJuj0vL4JunnjplaHSAfbyu/Uvs0TQ9PbcIe/QTsikVf9c ++UEUndtQ80KmugsyruTdb00TQ/0FNTGtSl/AquLuY34zcTR3sv2BelAPfNtq +rShRJo7W7RBc8KroBZ5NTpSlmgSyYCgdfy77FTri66YMMyXQBYUjq7+59IPm +4ZenXOk0pMnZL9S5dwBkTbbmh92iIUmxH8tqZgeg33NgeLuYJBJgPihUTv0G +Qhe1J/puSKJTmyq6l+8ehDVX5AKTJKVQrpV7xpvpQWCZZzKvpEqhKUpOfCTx +O5RXmq3zXiWNXtpb8CeYDYHl6ICzXb40CrWMSH8xMgQt7+/yrtokg5T6dnVl +RgzDRc6D5tZSGWSoF55AbBiBvzbTsM1SFrmsap7h/TQCTgdeRY/Wy6Kpj7cG +T18dBe3oGqOK/XSULOB6zk6FBf0TvL0hTXS04CG7uVWVBS6akqmmLXR0rLpl +ylqdBZ+zhRiCbXSkE2Z6Ya82C1Kl2oJufaaj94tqQZb6LEClhttie+no9+yv +UOM9LCjgv8g5TdLRgYnoGI1zLKh27u24t4RAkl9fMvhqWFB0/KyhogGBbgsH +B7+uZYE7T8yOBkMCrdhqdtDnAwtOFw7wBhoRiC+5TaSjiQX/DZU+qQcC/bQd +D8zpZkG85P1tHuYEanmnZm0zzoL5Fjlka0+gm/kP5tLk2EC3rpiX9yHQsl73 +DjsFNjya1G584Eug8BUazwX/YcPBz5HCcv4EunS81CtgDRuiZCsaJc4Q6KTS +51YzHTbU8DnSxi4QyPKeaDa1kw3g8djJ8RqBlgRfdTAIYANP8UxddzKBXkxw +Zphn2bDwzOGUcAqBjnkdTDf/lw26DlHHtj0gUI31etL+EhuuLcndl5KG51/d +F+oXgb//fe0/YBBI4L1+buZ9NnTlCn3YkovHM3pso5HKBstFgbS9eXi852LT +RQ/ZYPtN6aF7Ph4vZWT7m0w2OCQoK1wvJNA134SOrnw2bDMRry4rwfsl+YNX +tIoNJR6GVtUVBCq+7pyVUIPXy16RU/Efgdzna/fI17Ehz7xzeXElgaqG05LU +GtiQfbC7KplJoLDS3VqmHWw4dWoE7a0mEK9r1sFAkg0btkbb238kUFEbbWGB +wwYbE9ZRjXoCHbUIyYwYZ0OfgiMsYiOd/WN3J9lgxel1ZzQS6Ao/f3j+Ahvy +b1NUYwuBeHJcCwdpJFx9o7fxdQeBajmdfunSJGiX/Xoa2InPT8dW24VOgtvq +L3v0uggkW2qa/1mBhNfhzQp53QTSrlPLfa9GwsObKU5newk0uYJxMmIdCZfP +Sw4p9BGofJ+8hok2CV9tPYLfYe/sEMmp2EhCepr7R6F+ArkM/3xaYEzCF7dj +e899I9BqdR+vUztISI2zaFo6SCCWz/AaNTMSPi5ZZpCIfXay8/EjKxIe31Iq +y/1OoBv8lYwEBxJMtur2FQ0TyMZii7utIwk31HJUNUYIJBNTqCR6iISkIfON +GdgMGiPj+hES9uuJhoWNEqhM6frDoJMk9Gh/91ZkEyjEk8dVz5cEKvaTcQi2 +Wc5FhUl/PF/7ocJu7BYdn1Tf8yR8TnHYHUkSKPHcsLNGIAmMMnOfTmyXUlf5 +0SASOC1NtDUUXj/Yphy9QkLJesuel9gF1z46KVwjIaY+8Ocf7HN1psSXCBLK +ycqL+hwCGQpVdiVGkTCfxTh1Dntx35Zk+xgSxqpzqvKwa+4WOorfJsHp3t6L +37BvdKjJNsaSYDW/GE3j4ueXY3REx5NgvV9jHLBlXOUTzRNJWOOslXkCu/dR +wn7++yRIrnbLuIPNGBaRRg9IaF6U+vYc21v9evvlhyQEh9w50oKt5cuTYPCI +BDtLURkK+2fRRfvpTPx7qdoF3jEClU7+pJU8JWEqcVBSGjtE36fNP4cED79I +axVss8vDcZp5JOwTqc9dj70CudqyC0goLUar9bBb+LvEnz4n4V8zvwJD7EQL +2xb3FyQo72m1/L+dYz7eUXxNgmVvH7UZ+59mU+veMhKWB4fEaWGP0CpF7/9H +QqB0wkYl7LwDW5r2M0kwHJyqF8c+k1J4i1ZFAq9NgMM8Xv/r+ZTrVTUkiCox +TYaw510jr56pI8HXvtrwPbbJ24BLqz+SMBITviUb+7ry4fNtDSSEifLohGM3 +RFj6hzWT8IGhruSCTWNtPLGhjYRVYRS/DrbjLkWPwXYSjlqoti3i80nNW3H4 +bicJdV1FEfXY30SnD5h8wedvcZAej60a8M3mZy8JfU391w5gF20uNbMbJCFu +8URDC74fU0mZxnzDJCgOodTr2Iazt/WLR0kQWZuhaYj97o2nJo1LwtY96wPu +4vu3QslWtWqchFftA3R9bJtrRv+c+UlC5CcFr//f3y8WklJtv0mYC33fIYqt ++GxBJGwW3x/jQQ6DhfubMCm44S8JXLf+Wxuwx1rQXBwfBbsy3feb4XrZuDFv +ascSCkTEjPxqcT0F3ksanxCkcF6mT5ti8x/y+24rQsH79+sMdXE90r/L10vQ +KZivYdV+wvV7eKfgu7dyFLjNUok62Iysn28CFCh41XQvLQrXv6bPh+LW1RQY +HwjX1Rgg0I6pf1PitChgb0zK3ID7R6Sje8IOHQrI0fGD3rjfNJTtvT2xgYKM +gPFl93sIdCBEJcxWH893kWVA4f50UrDthIQpBYrZw/x2uL8Vnqj0eLuTgsDk +qWLXz7hf1WcfDrCkgMHdo+DVTqDQ2FDb1r0ULL0rwe/ZRqAEQssg7iAFQ0dP +aKxuIlDluqhlEn4U6I0uDbhbQ6At7bKNd09TYFreZHsY9+eiy1lxUmcpWN5g +u0a5Cj9fY60cPZCCrmdFkem4n0f5L9FSDKNAGUZdD5UTyK4kzFYrkYL+c5FJ +rQUEqnemyRQmUzCw546gJc4XMwHGF50HFOh6B3FKcR7p7X/rsSmDgu9/fH9F +ZxNo5e/F81ufUXCT4SfIekSgUcPgFKs3FIi5lKTejMd58F34SD2iYHDsvtXT +OHz+ManKe6spkNHw6iu7g/evtyLP5j3eH5es+KYYnE8hs28c2yg4r66smY/z +9XLVhe+eI/g81GTttuA8njopmD3KouDF2dEGNs5rP8kknxMUBcmjtTcTcZ67 +HXs15fODgsN/JYt6vAlkvnRK8NwsBQs5U3sljxBIYtcZzTBRDlQ+296ptYtA +GW/FhAwlOCCWdKV9kwWBdAzy2ROSHPhxSV9ooxmB9qmxnroRHGjO3DUkZYz7 +3VJXpR0qHHjXHXveRxfndbWVDI8hB652q8w6yxAo3nB08rURBwZ+3EtoouE8 +KQ7/5A8caC1baa0nRqDtj5ix/WYcuCOa3kEKEig4dLMQ05oDO3XMebv+0NH0 +ViWeEE8OfL0VcLG0i44iSt583ezNAb0dBf7X2ulIap1LJfckB0JmN+v8/31s +o1xS0OHTHOiWcirKqKOj03+Ep4wucSDNbuVcy0s6Yr/4w56L5UDPo8tT43fo +qFer5dPF/ziwEP0+95AhHaVTEsH8TA7Eu1ju5GyiI/cce9VbbzlgKpRUe3o9 +/r9KZ2BGLQcay4UXbFXw+uS/KtS1cOD7Wr5bASJ0JLGCc1xqmAOryvb6LumT +Re11WhIPRznw5uOP7y86ZFFyhH/5WpIDjn0tpEOLLFrF+0t46zgHGMPyfzyr +ZZHmn5nnbjMcEDQA/rpsWWQ+IjhfIMIFsXtOkwdPy6Llj62e6ItzgRFpETnr +LYsa3GL2VdG4QGsP9Ix2k0X2faKPPsty4W+muoifnSw62i5tPq/EBRPhnsLd +G2XRpSrlWKstXNh1vvy27IQMMr7iafBJnwtyMfecfVgyiM84a9BlKxeqXrk+ +yOmXQVFlGptPb+eCdD8vu6dRBiUW6X5J3MWF1d8vg1SODCp6CCpDrlwwlF9e +f+qQDPoV9EvQzY0LwRyTIHMbGbTFMYvq8+DCpmyjMT5zGVQhJlbS6c2FikTn +R6t0ZVDtla876s9wofGK2fqmJTKoxz34SHEkF2q8wgucs6XRKtA1XR+N5z+u +fPr3A2l0VH5YNS8G70dMJXH8jjQa+bRn7EksF1ivvcQqz0ujH2Yrg++ncOFW +axxLfrs0Wrq2IuVKAR6/W6SR+CiFrPj9Q/4WcYG9wklOs1wK3exf7R5UwoUr +Va20nzlSSCL5hvq5Ui68W7JUKSZKCq1cfqj0eBUXylaFDofskEJHhkVSh2q4 +kGkmIFapLYUYb9+GutVxYXcRuh8tL4XWBqlbODdw4cPDcevnvyTRBurP530d +XNC90XVje5okOl+XV1bfxYUe4zMvZiIkUWnm0TTLHi5InOlJJn0l0TaX98dM +BrjwzDnLS2WrJLqif9mSOciFR+VSqUb/SKIqKR1No2Eu3Nk8I6MuIIksG5N+ +bSa5sFLCxOJLHQ3dyNndWczhwsOiPXm6WTTUGLFYvn4crzdC5eXFcBoSdy95 +mDfBhZhVs6kWR2jIzvh4mPokF2y6elSW6tHQPTl5r6fTXBjr5ph0r6Chrukm +K+UZLuhPWqd5dEsguU9hWhlzXLihGRtvkCGBDhdukVBY4EJkvhepdUgCvTr0 +R7SFZwyuif8RryPFkYC8Oq8g3xjE2NkxNp0UR/8DoiDyzw== + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVlXk41PsXx8cYxs6gMLZryZalS4jSqUvX0k2LFhXCLZUUJfQjKTXZi1JJ +RJZcyRKSUj5fkyhbXUu0WLLMmPl+vyTGlvi5f5zn/HOec85z3s/7dbR8A3cd +oVIolILl+C+7HBn9F+Pt2XiHWzwWFUgAzZ4Z1K+yFtw9v91sdiXAIisto0LF +AebMt348t4mAYTXdS2F6rvBxvYqulSUBW1u7yzNVdsPn+E/c2NUE6Caq5y9p +7of4orSYPm0CFlz+vuOj5wUzzpM9OJOAfYdnXt1QOQyzH86mxEsRUG/PfPY6 +ww823UEVfBEC1ujYlU1pHgfD1vPErSUcxAajc/bqnYLqjIaXuZM49JrF2Cep +nAUR9fyUgV4cUrOUsVUHQ6C87A9qYw8OLrKPNtRmhIL+vbXiTR04VI21WI5r +/g9OuxQ+UGvC4VqxvMFOvQvQH/NKjf0Mh+wV26/Gq1yBU2kKbNptHCJa79Tv +fXEFckWsw2k3cNjLGqDqHGSBxEbtRJlrOEgJTkfVZFyFUiabC1dxONeZeo7Q +jIM3+ubuUmE47Lz52d9V7xqwazbgIgdwuCRWoR+nkgoD272CRXVxMHJMiS0P +SgW1v6rLr/yGQzsrkPelMRX0w6N+o6vjoCtsXGQaegseCXAl5ZU4NPzKM+1s +vw0LTtEPj4otz5+8bamZeBccF169KiT5kNYbbv908T5YqlgINr3gg/v+B6Xf +J/KgqCmE5rSfD5JLlzwcNUphY3D9jGMZD1btHBt9nVAJ58eTj12Q50FYmw2k +TT0Hv7cup31OjIJSJaNPSAhB0Ha5x50fuFA1aN/yfgMbvNRiL1sYcoHtn6m6 +n6iHug0H2/66zAGBnp1s8clG2OIbtxjPGQHqXJGc6qom6OxULH5gMwJWS95V +biUtELIJ3/cpdRharqxtazB6D4kZDvrZ40OgmYzPFY59gIumn04UWA8BPtPf +17WmHXTeRpwSixmEPH5Nk8y1DgjSPZwSX/cNZNQLxoeHO8EgyFZ0YHYAEo8/ +9cvW/QhxVlRJMesBmN5UNHwsqht+PFp1LPB5H5w1r69c+boHdpgVn7Fk9EJ/ +SX3Cv1qfYT+Ofwv4/Qv89O+8Zxj+BdyVhen99p9g9Wb6ol/NV9Apu+eg79MN +jjlaR58o9UF0VcdAs20XhKkf0v7m0Q8GhWFHXqt1gDGxR7J72wBw0sxZo/gH +UJD9LlY/PwDeldIg29oCNHSvVCfjG+w65+af1tQIJ9bWfBLfOgjPbbzKdyzU +QZGzb3bt9CDEGhp91qh8BgKcKce5PQTBW18OfK19CE/dHIVTHYZBISQgIXgi +FkU5sbIqOcOw6LhfterrE6TV69KTyxqBz0OWJxfaMWRrfSVVxZwDwzV2cYkO +TchD4/2cUAcHVpTsLrlJb0eCpqTBoEtcmGdL1mX/7EZpNK+zu3RHQaJy8pOV +Ux9S6HuaQ60fBbANjzn4zyASiby02+Y0D1wMVC87K3BR5QQxh4J58DG3ecp4 +FRcd9nPP+jOUBz2Z1x+oWnNR/XYzvlsED97LUz8zD3LRFe3eqFMsHpg69orn +5HIR7e26oty7PKD0b33aazWKqArfhWTYPHgxbm3j7cdDlEKv0kF5PtSWXHWN +InDUQHSfylrBB1enR6IHhQmUuGanqYcyH/Tb7ASOTAIpVdsXd6nzQXwx990e +JwKZNhoUvTXgQ0x9W459PoE8Rn48LNnIh674AqMIXxI914q5H+7PB+2Tj36f +54+hM+mlSfJsPjxcb1fKUZtAzxbSY9j1fNgS3v/krtEEWvC6eulMIx/y/KaM +3NdNoBgdz5D2Fj4UqpujGbcJlPFYwvNG9/K+KmMmrxMm0JvaI8byy768VZAe +effXBFIeUm1mKONwwYnOfsX/gTy30N/UMXFQN44/SZn/gXIKftSeXvZ9SI5W +4w7xSWQc8K78X20czLLKupgGk2izIDQ9xQSHmgKhnL1+k8if3n6cYY8DZ691 +ZtTIJHq1OlaMcQoHfnrmTavxKWTVqdR6IwgHGeW2EvOlKVR2viBFMXi5X9mA +tZ2sAOW0NjCVzy1zb34fHmkmQLGBIiaa0TgEWLk7lQUJ0K6K6J0myxxkhDjK +kAIB4tpGpjvX4uCemGR8VmoGeQ9JHWrGcBh8P309QGMGfU7I0Nn2GgffkwaJ +oWtmUMvXmsc73v7Hwfw3aPcMKr8wX7uvHYeuf8KSjO7PoPPssKEjHBwoQZLU +yLWziOFyxjhahoDgo+uzaUFzKLtOVtKWQYDfLqtqw6tzaI1NMW9CgYCqEsXi +YxlzyNVg9KGPyvLfuF1Os3w3h+JFvbQ26xLwsft6iLTuPKK9dl5JsSXgbHio +3ve+eTS9Xoty4QgBydGhbDixgFgVtX2WxwjoCjSdUmItIMXVHq9IfwK+5Rh5 +yWctIAvmnXDPIAIS+V0mgR0LKGhWSrAhgoCCcOa2drtfiFc5y/uZTEC8Q+34 +dZVF9NXkQ8f/XhJAP6Zd3Da+hLJwRqQwImB7HGvFTlEK5lvoppdUR4Ae5WTT +e0UKxtPtPpfdQEAlx0tc2pyCTav2qTd+ICDuVuSbpJMUjCFBHFUcIWBnZ3Hz +0CgF62w0YdznEhDwxkL+5SwFS2MFvtDnE2DsE1NVLCaEaQhNSq0fJ6COtfp4 +n4EQZjw798RnjoBDFvtWRPkLYX9y6Asl0iRYiBwOZUwKYeJ5zvnr5Ei4HLVn +bxGNirX4JLiy5Umo3CLXcXglFXPrlXnQpUTCTI/6tXW2VMy7c8WfC1okuP/a +aH76MhWLYOskO1uRwNJPeZanJoyV3QfdYS8SfM3mnYQCadhk+CTdx4cEuiFe +5MGiYVb7CvDev0nICMwMGLtHw2pkZSu6j5Gw653mwvN3NKzhYt/m5jMkcAd6 +hlYaiGBffCMPlV8l4VPZ1mCnCRFMA363N4sjgWZ1XalWUhTzVh3Re5xAgvDF +7YksPVGM0/HXWH4yCbJJP5YUPEWx7w5qkXfTSSi45tUQ2SaKierXpF8sIYFz +xuXFnTd0zFk48MKvMnJZP2+1ag4dS+zX9g2vIOG7p/t5fzExjJEWb3i2moSX +dDd+9jYxTE38QPVRNgktcUpxNgNi2KER6YzhehLMHt3c0iIqjuXU1UX5NJKw +NBbhv95UHNMPN3Q82ELCHo2hXm6UOGaOz3a5fiQBaodtTq2RwEIaHz9v7iEh +L/t+RcchCaw61zvT6QsJMkzFKnayBGbn8fbwHwMk7M6vTf0yI4FdXHfeCQ2S +cGFCpNXPVBJjK64x3jBCwr5Mus8fRyUxp9Y7k5Z8Eq78ul5q8U0Siy/c2l1O +kBBi772ooyOFtbKWXpiNL98j/3jH6uNSmJxvxf3HE8v1nKEnv1VKYbs2Ho02 +nCIhYtqSYSIijd1iqvo9nCYh4EDMopiXNNYz3easM0eCVg1PL7BOGmN2RJtk +/yThkUZMvdVaGcyz1IqhvkjCoNsKxxeYDFZ1YFbmA2UMRg33UwVZshhN1VCI +Th2DzcbrpfJ2yGH/B+5TUts= + "]]}, "Charting`Private`Tag#2"]}}, {}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>, "DynamicHighlight"]], AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], Axes->{True, True}, AxesLabel->{None, None}, AxesOrigin->{0, -16.341239202272494`}, - CoordinatesToolOptions:>{"DisplayFunction" -> ({ - Part[#, 1], - Exp[ - Part[#, 2]]}& ), "CopiedValueFunction" -> ({ - Part[#, 1], - Exp[ - Part[#, 2]]}& )}, DisplayFunction->Identity, Frame->{{True, True}, {True, True}}, FrameLabel->{{ @@ -242835,14 +296874,24 @@ SIiT/Kafe0zzljr+8Jo57jPDEP/Yyyd6+A+Uq1qi "freeformCursorMode" -> True, "placement" -> {"x" -> "All", "y" -> "None"}}}}, "DefaultMeshStyle" -> AbsolutePointSize[6], "ScalingFunctions" -> None, - "AxesInFront" -> True}, + "CoordinatesToolOptions" -> {"DisplayFunction" -> ({ + (Identity[#]& )[ + Part[#, 1]], + (Exp[#]& )[ + Part[#, 2]]}& ), "CopiedValueFunction" -> ({ + (Identity[#]& )[ + Part[#, 1]], + (Exp[#]& )[ + Part[#, 2]]}& )}, "AxesInFront" -> True}, PlotRange->{{0., 1.}, {-16.34123920227253, 2.0794415416798357`}}, PlotRangeClipping->True, PlotRangePadding->{{0, 0}, {0, 0}}, Ticks->{Automatic, Charting`ScaledTicks[{Log, Exp}, {Log, Exp}, "Nice", WorkingPrecision -> MachinePrecision, RotateLabel -> 0]}], ",", - GraphicsBox[{GraphicsComplexBox[CompressedData[" + GraphicsBox[ + InterpretationBox[{ + TagBox[{GraphicsComplexBox[CompressedData[" 1:eJx113k4VO/bAPCxhOxj3zXMUVFSWRJ1V3yJFpG9EKEdlQpRKEs7KoQWFSrK Eu05T4iifgilbIWQOTOjEGV753e932f+eK/rnX/mmus6Z859nudeng/DL9gp QJBGo70QoNH++20fMNCIfrisnMydvPzt2iQZI/Zw7inVS7A0zJ+xYoUAcvfI @@ -242974,9 +297023,9 @@ ffV5v1YrM66XxL5iCC/JdkSDJPYV3eC39tz0nyT2VcPYqpRAvzES+2p19oS9 zuJ7Zo/r5L5P86T4XpG5s73UO1eM7xO7Smm5xHA2YH9UREyNW7yR4PvCfemK r3dZcnxPhEdsv3SjQ5rvhQ1jU0e2JLABe+Cq+NPGzKt0/vn/aJLq2+BRKf55 PrG9dLkzRwb9D/Nu5Oc= - "], {{{}, {}, {}, {}, {}, {}, - {RGBColor[1, 0, 0], Opacity[0.2], EdgeForm[None], - GraphicsGroupBox[PolygonBox[CompressedData[" + "], {{{}, {}, {}, {}, {}, {}, + {RGBColor[1, 0, 0], Opacity[0.2], EdgeForm[None], + GraphicsGroupBox[PolygonBox[CompressedData[" 1:eJwl1GOUFggUBuCZbNuTXSfbdpNtbTWTbdu2bbt2M3Zr7WzXbt6tnu/04znv z3vOfc+9IW3DQ8MiBAUFBbMv+EueYjav6cojDlGLG+xgAiX4hbUMpTX5+I6l 9KUxlQjMOM0culGbkuQnImeYS3fqUIoCRCIyUYhKNKITg5jEIjZxiEs84pOA @@ -242993,10 +297042,10 @@ WULpzGhWkJPKNCaMiayjEGWoSydGsZwcFKQ0dejISJaRnQKUojYdGMFSspGV LGQmExnJQHpCSEda0pCaVKQkBclJRlKSkJhEJCQB8YlHXOIQm1jEJAbRiUZU ohCZSEQkPyWpRXuGs4QIVKIR3ZnAWvLRkj5MZwslGMgcdlKTBeylHQcZxmKC +Qz6T8XQ - "]]]}, {}, {}, {}}, {{}, {}, {}, - TagBox[ - {RGBColor[1, 0, 0], AbsoluteThickness[1.6], Opacity[1.], - LineBox[CompressedData[" + "]]]}, {}, {}, {}}, {{}, {}, {}, + TagBox[ + {RGBColor[1, 0, 0], AbsoluteThickness[2], Opacity[1.], + LineBox[CompressedData[" 1:eJwl0vO3FwYAxuFv2uKWjd3sOtk2Vt3suvlU97a4zC1jJ3PZbdm2bWOZy66n 0w/P+fwB7xsUEhYcGi4QCHziW3czlte05SEb+ZUbLGcIhTjLPHrThBwcZTpd qEMZwrOHcbSjCoXJSQT2Mp72VKUIuYhIJH7gRyIThahEIzox+ImfiUksYhOH @@ -243007,11 +297056,11 @@ HzxjG615wAb+4hW7yMJBJvMbj9hEDW6xkmGU4AIL6Ucz7rGO0eTjJLPoTgNu s5oRVOAa//AnbcjKIabQkZqU5CKL6E9z8nOK2fSgIRXJxmGm0olalOISixlA Cwpwmjn0pBGVyM4RptGZ2pTmMksYSEvus54xFOQMc+nFE7bQmDus4QMjecEO vlCZ6/zLOwbzP18BsfuBBQ== - "]]}, - Annotation[#, "Charting`Private`Tag$70713#1"]& ], - TagBox[ - {RGBColor[1, 0, 0], AbsoluteThickness[1.6], Opacity[1.], - LineBox[CompressedData[" + "]]}, + Annotation[#, "Charting`Private`Tag#1"]& ], + TagBox[ + {RGBColor[1, 0, 0], AbsoluteThickness[2], Opacity[1.], + LineBox[CompressedData[" 1:eJwV0FdXDgAAgOEvGUkJkWS0rBAOSka0iOyULSWRVcmWQyhFyUhGKCV7ZpTo x3lcPOe812/8wcr8iqBAIDBAkHjGFQYo5RdP2EQvD7nISj5xlzMUsYjXNHKS naxlCM+5yiE2k85ighnKMIYzghBGEsoowghnNBGMYSzjiGQ8E4hiItFMIobJ @@ -243020,19 +297069,1394 @@ ecMt/g/fRS7zeMkNysknk6W85TaV7GYdyXRRx1G2k0Ua72iiij2sZz7d1HOM ArJZxnuaOcVe8ljAK25ynEJy+Mw9znKA5XzgDtXsYwNfecB5SlhIDw2cYAff aeMSa/jCfc5RzE8ec5kVfKSF0/TxlP384BF/qeE37QyykW+08ocL9PMPyrhH fA== - "]]}, - Annotation[#, "Charting`Private`Tag$70713#2"]& ]}}], {}}, + "]]}, + Annotation[#, "Charting`Private`Tag#2"]& ]}}], {}}, + {"WolframDynamicHighlight", <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>}], + DynamicBox[(Charting`HighlightActionBox["DynamicHighlight", {}, + Slot["HighlightElements"], + Slot["LayoutOptions"], + Slot["Meta"], + Charting`HighlightActionFunction["DynamicHighlight", { + GraphicsComplex[CompressedData[" +1:eJx113k4VO/bAPCxhOxj3zXMUVFSWRJ1V3yJFpG9EKEdlQpRKEs7KoQWFSrK +Eu05T4iifgilbIWQOTOjEGV753e932f+eK/rnX/mmus6Z859nudeng/DL9gp +QJBGo70QoNH++20fMNCIfrisnMydvPzt2iQZI/Zw7inVS7A0zJ+xYoUAcvfI +Lhz6eRucv+xXvP9QEEnMxGy11SoE909/4coHIUQ4cgYqz5RCfaRzRm+9MDry +H3NIH3kKjzRUWUENs5ByKb1TQICE3QO3G/TKRNCjbqt39ZYVYGuxP7YkRxRV +7L6q7kFVQd8054TXOTE0qrdC5v6+GtgQumfQdftsJPgnX1adqIWW2ytssu3F +kenMtkebH7wDu0VdPVK6EujdSeP/VOvXw88G7uLccQmkncT6c5fTAJK6o3kj +3ZKINdbV2WL0AYzVVpbVIyl0e/B5rfT5JkhQy9EoK5NG0pp53N7eZmhc6WYe +kS+Dzu4qC7zB/Aja4b42jHWy6Peq/N6dxz/B/CNvJofey6LQJVWlSpWtYK9F +hJavo6OuB1VnGhlfwN2xvuZqKx1N7G7OnB/RBlsrr1ep7JRDBqtFpwOft0MF +99Q6rWE5ZHuTsaNYuRO2x/1p1k2SR0c0fXS+be2Cnk1/aM7zFdACykXi04av +0EsMn/etVkDyMkNiVX+/QkNoEMs1XBEJk5mFulnfoOfCQUOX+Upoj/Hzz7PX +dYOCuAxNYVgJ5dv53Sj/3Q2suy0b771WRqMsNdm+1B6o2TOyvSRVBZVtthW6 +ZN0L541u0oYSVdHxtXHXS/t6QTHlw43LR9UQo8O+9Vbcd5DV2bVmKkIdLTc7 +eUl1SR+8Tc6wDsjVQFu16v8INPXBq6MTsjtaNNFo7bnukJh+CPP00Be30Ebp +wt6hTswB4IwVPX25eQ6S7yy7KVg1AOPJzIQ2RQaaFRXjbL7/B4Se8wyZ/sNA +tLvehd1ygzA5FZBv2qGDDmQUnpOrGIRiG+2r8l900UuDRDF6EAv8J20HG14x +Ed3+wIJYaQqqWmizk30I1L6woSn8BQUNks5DnWEEKroGzF5vNvz1Dd3YUsBE +XoWmdM1pNnRkj0SYfNRB0XHZMe33OCCwY9NCu99aiPZ/PjcUHeJPq54EhiLB +CnOhofSOCKuy6Wtg1XbjT8IsQbSkgC6iIXYPUgXSUa+tEFLpUa+jq7Cgs6an +wc2JifqXR2XYlbNg+wtZ37PqBPptwaAdC6DgXt/+gKQ4Atn0iU4+kOLFk5JZ +Y7eUQCJzn2dEP2DDZrHaopJeXRSktvdtpDMHhsbyAgW8GEjpsc/5QxMcuEC4 +H1nOVEMdixKszqmGwg33+wmCITOk5HCqifbZK7Cya25rcY4Aeh372VMkKg8C +PTpFFs0IotflAQvk2IMwX/zi3l9KTOT0MNZxYSoL8oVXTbcPMZFwpZ0SbTkF +sflzbYR560cXp3YofKdg00FDj1IvArX5RfmUxLPhl+ed3H2OTNTn78heP58D +P8y0tEUJHbTuGk1sfhMHxu9sFXWp00COF7/s3qh3HmbNGZhsbqSh3aIfdtGt +WBC48qzsxTNMFFlxpCegjwXrsnSzW1YR6Efp+I+JJAp200+dCo4n0NEK3SQ7 +Uza0b3RXuzLOREtY4y0bP7Jh12kDHb9AXTRWH59TcJwDee0mYXFH56Du+nKF +Cl0uRH5FAa0aSsjNf+xliqo//DT8mqebNk1WT902bP6QCgcMDLkvDggg6aAV +cUfNcqHxnSmr/rMgyioQ90r5NAjhhpf974zrosTgWQu1Y1nwip6/oOkzE50W +8WasZlIQfbv8ssQ+3n6qd2rWNFAgl+Fsps37XR3dubruABsGnkxN2MQwkY64 +9UypPAeOaklf8YnWQX30xipBkgMQz8qVozRRWPOlMEr7FLxtla/2S6Wh1aOH +M5IXskDavkXaNpiJSo79LXf7wILimp/JXEMChYxLjloepcDO0V6+JIFA25oV +bSYZbKguqN8ppkIgjdmeT3ZUsKHiuvYYytNFSw6FP1bYzcsXj+HcYu4cFGl1 +oTFThgvZ4s2heaEq6Px9uXmOescgOcf+4AdJGtIYmzlkcY8Feq0PGA0iBIo6 +biJBOlBwKkA2yCqaQAvG/xT7/qHAZse8x2H2BBqy1oi6ksEGmQP3pUCTie6R +3m29KzlAnPkyOlXJQEX6Rusc+jkQb/0oReCcOrIRGRUN/cuCK+fP5Kk4Eshv +f2/ns1wKhr/ff5AUQ6DUosVfUu3ZYOKUrNzbzPx3P7v+3U8RtO79p5Krqs4g +a6FfG0ObJplCC/IND1+GWpW41a7uAujh9mC1R5dyYNGwFrO1WhAl6Hod+vBu +EBrCfi0L/KGLbr6vVlMJY8E+/T123PdMtHHeQK6vKgV+djs0MwN5+cf8FHaj +mgIY2id44CCBnsvIPPy0kw1fRGTrUtOYCG1dIfZDjAOPaPZZ4/k66OE681vc +Ug5sp0W6PziohSRH9x9/nhUPn1/HHnh6mIYW7H1b0qjDgpNrzU27tzHRu/bn +BZvesGCp+xrHPQSBlqqlRXiFUODG3GYmztvPzR3S2S3KbLhsEmC8UI9Xf+mn +54c+YUNvosRYdbUuaqg5gNb6cMDDLsXDYC4D2UWNFSbO4sL8JwUiTu6q6BHn +nQlXOxysZ8STXr6ZIc1cXm03vsGCt472XhMTTLQqm0zqsqZAVMYh+VgkgbQE +hiUtuBRccW9pT3EmUF/Tek5OEhusZ31O+WbGRGvl3HtVjDkwIL13ueUoAx3z +T59v2cGBy6zS2RFGGsjX/9Ho3iEWzAnyH11oRyC9i0H+bZkUHM8tUjtykkCJ +T/VNQlaxwflrcO7afiZSTHU1bVnIBcvKU+bSIfJIrDv2pqteEAh63zGylJwh +a3qbMucsooC+4XNW2wECCct/r2B/oaBrzeL0bwEEKvgYG6wRT4GzBa0zmrde +QXUaLJ0FbBAx3SjXKkagwtlzhx+ockEjREFBaa8yeuaVH3fNk4K6pEi07gSB +zLk0t0QhXjz79xX5AoF6hmr2+v3kwLG3agnaXWrouoOnR0YxBSeMPkWXRRH/ +5l/9v/n3m1x6PT3roao1jP5HRtA+bYr8EBf8o63mEhx/81jrm70AivcWC9hs +lQNjn54vtHspiCa942MO1AzC1mdZH8u/6aKiyLxkhYMsGD8quri/homMzO// ++ClPQc0S/y/ztvPq4e5mvXOvKJBPFu61PkwgU7c8Vsd2NhSfytVwv8lE0iLP +JE8IcSBhMmPzmlc6KGPnPrm+Qg6wp5+8uFimhVzjvgrqbomDbZMx5zYF0tDN +vF/l+zV5/SN8PDbbg4m+nMnS3VDJgg/9+v3xcwikYLD1JXs3BQWxKuo7eP32 +ne+ZjRVybFCPOL+hfQGBznbp+EU8ZEPt42Spry26SHs/t6rbgwN/hne+s1vD +QEYZBtrHaVyYmPu96TlSRfYy9yzLsw7DkGXenBNXZ0hr4ZtfjDJZUOdIzTn0 +m9cPS042BQMFbyUW33GLIFB6XPCzuYMU7JO9tnuNB6+fqX/XKzjDBocejket +NROlPW8eKDHkwMONOukOUjrIP+Zd+pJWDkznp0n1HtNAQfJpe3exWJCjFmEk +8w+BxG4vzduTSsGHFea3/ztfBVfmdW+1YENJjrGFPG++TZaczqmbx4W+X563 +1c0UkZHuiqIR7V3gqf5xVVjzNHlnNL2zaD4FRvnPFMVCeP0vzTfkSwsFUz+l +ZK/tItD5ftuSgmgKdH6KS5bx8q/f4Uq6zFw2rNYq/5Ysy+tfelv8chS4EHfV +TNHmtzLKCgp5Y+jC6y+TORldvP5WcjBK+NA0BdKa6+lSvHhrpSbb3CkOXFtX +ATFm6ih6m3aWfgEFKbG/1CqOE2jSfnuar543LNW8aTvmOE2G3PCse1hHQVwm +dSeAF5/T7A9m7w9RkBgn+jGMF4/ftspVKeJcEJJxo0kPqiDvpL8bHOwp+HtI +UDvsGIGsY+8y1mVTQHL86Tq8eqh9/XLB2TMU/B7szFPg3f+G+nXiiRYXznkW +73BvVEKt6/vWSG+jwMFu5m88r57LV15WEn9Mgd7obqndR3n1aaUW0qVqDOZT +G9tPbJgi9W2TE0tCLoHgvWaGorUA4rY5i99UywG9r1O1kU8E0ePJjISKqkGo +jz1b9KNDF5k2K79PCWHBzmsn33VUMdGNVzISy+kUFF2STPX1JdB1Fj1KiKRg +xOji3/QjBBqOGBb19WVD5N2FptfzmOiR57h0A40DQnc2l1TX6SCpI6L2vvc5 +0DLrUoL8Ry109H1aleuzk/B2+rrJsBcNef0j+vqVGgvCqezKKRcm2tYj6VOH +WGBR/8xbUotAcQ/LO0128t73xHxCkFcPs2/b5SyTZcPpCWczdSMC2QkFH5sq +YoPllecGTrz4q18vrFnkxoG/K1VcSxwY6Nad165/pziwrJnQkxxWRZeuqyBi +yyHwWBzNpSfMkHVb5JQK01lwcfSQdf4wE11c3j/y2JKCgZn5YUvDCdRcs5B+ +rZ+Cx14cyRNbeP0ZFlstOsWGqVt+95nrmGilrDxrxoADfeK5NaaqOqj1QmDD +/RYOqGzfPNcjRwON7ha90z/AArqC05PRNQQKW5D65uFFCvbTr3mJ8t5nZXSA +edMyNnCdTF54jzBR8Ctmh40eF66tCaFzShRRlZXa48qsQHjln/Mu69E0qXps +YmZcj9c/RQT6zwcR6Nln7ZpNTRTcFtYTDtxDoJnge00jURRMUP6Bz3j5w0xx +48Yx2bB+UjPRRYG3fiajV+bIcWFHT+X156YqSHLsw4/DThQoBVCHlXn1wC2z +2MKaoGDb8uSmWlsCrdBIlW/+wYGkDY7Z6X7q6OdAzMsDdynI2easUsw7fzDP +aubMaHsA5TYYb2IwTRZ0q+jov6WA5vBCeng/gSqSjT0UD/LqJyKPuZYXz8cg +rzApMS6YpfdZTjFUUb2KV3CaLQUP3rymx/D6e5m4pNXFaxR4Pb8r38yrB73u +8wdHefPpvNBbh3wLeWSxcc7G5kQK7KsnGh15/2c5cfb5Ug0u1BUv8DJmKCO7 +lrX+rl4U3Hp18BSXd79hzZiZUykFN/q2Fabx5muvBjPmiN5GsLrqcRQapsjb +N/9k5uyjoP7OA32Stx9p6o1xulcosEglV0vw+lXi7aF9mwy44FYm1ep5RQFp ++nxP8j9BwS7Pv/3ZvOfrqLzS11fmwi+5Wz97SpSRQZ9UXp8bBa/li19kxhLo +W3SwUlwYBZ6JEouyeNd7rtgiYX2egtDiQqu3vOe5dLqvX8HgglqSbpzZUSW0 +o+oEo9qPgo3f48fpvOdj/y9+dunGnhI2YP+veTpqdJg3F7H/h4Tc3t6VpAD7 +XzUloz41hgXY/z6rxNKEZwYB+z+xOf/Y1tBBwP7fodNYLjLyA7D/a/0Mrft3 +/gDs/9qbnOP7ugYA+1/50XGpD14DgP2vb/hS95/6fsD+rzF4SfXY9gP2f4N1 +uvld1AfY/32/bIhy/T7A/s/4fuJnTOZ3wP5POTVRt3iyF7D/s6eXtdls6QXs +/4s606PVqAew/5dNmQex5HsA+39h/O72efu6Aftffbm22kzxN8D+Z9zefUdu ++Ctg/+foLLzFNf8K2P9K+R9nX27sBOx/734XgVzzDsD+bwoWe1ye1gbY/+1D +x56pvvgM2P9PhXKeTg98Auz/5tnXf3l9aAHs/51Sl3YY5DQB9n+hdJ1IZWQj +YP9vf7pJVvLve8D+934jraUy/gaw/7cMfDobV1QJ2P/pC53Wfhx+Btj/fSqR +1TYpBYD9/6aT80l55R4S+3/5t3PJNmlFJPZ/YmF3b/sQIrH/jdaH3SzPriOx +/zX9Uw1fXWkisf/hgbKkgVgbif3forKJbT7xjcT+v9Ih/XWrcT+J/W+1JyCI +dotDYv+bN6xo1SNGSOx/77JgC9eFEyT2f/gZpqriOuH/1/9fj0RN+EiyAft/ +397y4rYtFDxlJFyL2D0ISrv8njKYn0js/0Uvay4+WN1FYv/nnzx8bF1IL4n9 +f9P8mNSjm4Mk9n/p4cd6Iyd/ktj/EnpDzadtxkjs/64LsmrqW2l8/7/VLKVn +uorz/V/bGPU+25oN2P+Pi6VrL8dRsPX7r9wHKwdh5B9T+1znFhL7P1ur2G9Q +qYPE/s8g3qh8WtBDYv9vUY2WjmkbILH/t2wmt4X7DJHY/3ImYen22aMk9j/7 +yj2PVy+mSOx/2+uuk1c/i/D9vzuosutFOwXY+0yTE11BNd9J7P3xDbleJucp +Ent/j4LJn6DXv0jsfQfXCm6qxh8Se59yUhfcxRDke/+FRvGShzayfO9XB6gZ +nee5DXtfNrxJz5jnEsOaeflv5g2C5ENxn+ehzST2/kaJyypLJNtJ7H0iN+XF +QHI3ib1fPzfl5XzhARJ7X9px28nIfC6Jva8am0ge6xwhsfddHkWWGO+aJLH3 +lRUZzzdFzeJ7X62+b+/nYQqw752RhYOt6ncS+z6841x89kUWiX1P8zN9k8L4 +RWLfG59zvTWgP05i37/YtybNx1iA73siIio+tlaS7/uDgZaPfuixAftcsMOJ +YBrMkNjnVoax/rlBYuiF/qWL1pc5YN1XXLporhDf3/+7vjS+v13eX2iXj2YD +9nfRtdrbK3mOV35idb9FcxD8m+853xJpJrG/reb26nmdaiOxvyeNl6VJ2nST +2N/yA2uVPDL7SezvL1dN7w0SXBL7OzXQzKIoeoTE/j78z6KTkW8mSOxvY2ck +n9klzPf3U4tF/f0zFGBvq6v8ozavqpfE3mYUjGfQ1Fgk9rZZTpGK/4efJPb2 +2e8qwzqPx0jsbZug6t7Pr2l8b9/VLQmbCpPge7tTsOnEGhM2YC9XVfrq+4RM +k9jLS0+/a6zNFEWeUeeywk5zoAz5Tg3cEOR7WOykWvqsJ3J8D59MerIu1IUN +Kq07+xTfcqD/VMPRw4QI37ftnbrWRwKk+X7VXjT/y0eX2Xyv/u9+DfG9Kh6f +aPn2AhuwV0vPZEQ151Nw1sjRcKvKIJxe5dYv0dBEYq8Ktxo5Ja1tI7FXb5VG +Ho6d001ir94RFVybvaOfxF5d/8j0D6OXQ2Kv3rvHdLztNEJir4YVfnewjJgg +sVdHk0auW10S5ns1pzskSVGEDdinhGfZX3pGL4l9eoDu8ITeOUhin86dr2x7 +KP8niX3aoy0WdvDYGIl9ujecfW5HEo3vU9d93gXsbnG+T8s2l03WWbIB+1Ip +onqgRHGaxL7siSOb3i8TRXf0xLPl4jjwpJWZ6LlNkO/HowrWp59J0/l+jCyd +CLnFmzMzI1kuklUc+OJfWTZdP4vvQUFLUcr8rBTfe4ZiTSZ7u8T4vrNWvp4c +c5AN2G9/xPd73VWT5Hssbqtw2BMfGb63CpmZNcEZbMDealJtbbz1gIJq6lPQ +dcVBYCgk0DpKm0jsLd25cfWb5rWR2Fuvt62Q+CjVTWJvPbUkKoY29JPYW+Ot +thGRlRwSe0vcgvrVZDFCYm/ptslkX9s8QWJvzRvrXrkrWJjvLVefOUJms9mA +ffV5v1YrM66XxL5iCC/JdkSDJPYV3eC39tz0nyT2VcPYqpRAvzES+2p19oS9 +5mEa31ecIzeTcjLF+b4SGJ08UbCKDdhHclnvi4V+TJHYR+ZCQXteiYmiTUfX +3vKJ5dXL5dqthasE+f5Z6S8ZWJUty/fP8IL7SVG8PD4gZHYu4RUHTHNLLjjm +zuJ7Zo/r5L5P86T4XpG5s73UO1eM7xO7Smm5xHA2YH9UREyNW7yR4PvCfemK +r3dZcnxPhEdsv3SjQ5rvhQ1jU0e2JLABe+Cq+NPGzKt0/vn/aJLq2+BRKf55 +PrG9dLkzRwb9D/Nu5Oc= + "], {{{}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{ + Polygon[CompressedData[" +1:eJwl1GOUFggUBuCZbNuTXSfbdpNtbTWTbdu2bbt2M3Zr7WzXbt6tnu/04znv +z3vOfc+9IW3DQ8MiBAUFBbMv+EueYjav6cojDlGLG+xgAiX4hbUMpTX5+I6l +9KUxlQjMOM0culGbkuQnImeYS3fqUIoCRCIyUYhKNKITg5jEIjZxiEs84pOA +hCQiMUlISjKSk4KUpCI1aUhLOkJITwYykonMZCEr2cjOWeYRRl1KU5DLLKMf +TahMDs4xn3BCKcOvrGMYbSjEFZbTn6ZU4W+2MIZO5OQ8C+hBPW6yk4mU5TfW +M5xnHKMt9wJd8x/T+JdvCJRfWHzPCt4wgCcc4X+acZvdvGcyLznBR6pyla28 +ZSzPOU5nHnKQmbziJLm4wEJ68pjD1OcWu5hEOX5nAyNox332M50i/MBKBtKc +O+xhCtW4xjbG0YXcXGQRvWhAef5gIyNpT1F+ZBWDaEF18nCJxfSmIRX4k02M +ogPF+InVDKYlNcjLtyyhD42oyF9sZjQdecABZlCcn1nDEJ5ylFbcZS8fmMo/ +fM0nanKd7bxjPC8C+9P7AA4xmx3U4AiLOMBgDjOP3bRgYfCXn9GbaWymOEOY +zx5a04+ZbKMig5jLLhrSjfGsIS9t6M8stlOd5vRiKpsoRiv6MoOtVKABXRnH +avJQjWb0ZAobKUp56vMVY1lFbqrSlB5MZgNFKEc9ujCGleSiCk0IZxLrA3dE +WULpzGhWkJPKNCaMiayjEGWoSydGsZwcFKQ0dejISJaRnQKUojYdGMFSspGV +LGQmExnJQHpCSEda0pCaVKQkBclJRlKSkJhEJCQB8YlHXOIQm1jEJAbRiUZU +ohCZSEQkPyWpRXuGs4QIVKIR3ZnAWvLRkj5MZwslGMgcdlKTBeylHQcZxmKC ++Qz6T8XQ + "]]}]}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwl0vO3FwYAxuFv2uKWjd3sOtk2Vt3suvlU97a4zC1jJ3PZbdm2bWOZy66n +0w/P+fwB7xsUEhYcGi4QCHziW3czlte05SEb+ZUbLGcIhTjLPHrThBwcZTpd +qEMZwrOHcbSjCoXJSQT2Mp72VKUIuYhIJH7gRyIThahEIzox+ImfiUksYhOH +uMQjPglISCISk4SkJCM5KfiFIFKSitSkIS3pSE8GMrKPCYRSjaLk5hgz6Epd +ypKJ/UwkjGCKcY759KEpeTjO33SjHuW4wlIG0YrMHGASHajOTVYwlOKcZwF9 +ecpWQrjLWj4yipfs5Nv4eeUEM3nD7zxmc+D7P+rzH6t4z3Ces53PlOcqy3jL +HzxjG615wAb+4hW7yMJBJvMbj9hEDW6xkmGU4AIL6Ucz7rGO0eTjJLPoTgNu +s5oRVOAa//AnbcjKIabQkZqU5CKL6E9z8nOK2fSgIRXJxmGm0olalOISixlA +Cwpwmjn0pBGVyM4RptGZ2pTmMksYSEvus54xFOQMc+nFE7bQmDus4QMjecEO +vlCZ6/zLOwbzP18BsfuBBQ== + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwV0FdXDgAAgOEvGUkJkWS0rBAOSka0iOyULSWRVcmWQyhFyUhGKCV7ZpTo +x3lcPOe812/8wcr8iqBAIDBAkHjGFQYo5RdP2EQvD7nISj5xlzMUsYjXNHKS +naxlCM+5yiE2k85ighnKMIYzghBGEsoowghnNBGMYSzjiGQ8E4hiItFMIobJ +TGEq04gljngSSGQ6M5jJLGaTxAtqKWMLq1jCHDq4xmG2spoU5tLJdY6wjQxS +ecMt/g/fRS7zeMkNysknk6W85TaV7GYdyXRRx1G2k0Ua72iiij2sZz7d1HOM +ArJZxnuaOcVe8ljAK25ynEJy+Mw9znKA5XzgDtXsYwNfecB5SlhIDw2cYAff +aeMSa/jCfc5RzE8ec5kVfKSF0/TxlP384BF/qeE37QyykW+08ocL9PMPyrhH +fA== + "]]}, "Charting`Private`Tag#2"]}}], {}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 1.}, {-16.34123920227253, + 2.0794415416798357`}}, "Frame" -> {{True, True}, {True, True}}, + "AxesOrigin" -> {0, -16.341239202272494`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> Directive[ + GrayLevel[0], FontFamily -> "Times", FontSize -> 10], + "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, {Log, Exp}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxFmXk0Ve/3x68hZJ7nocs9CpHK8BG1Kz5Eg0hCIUKjoVmiokgzKoQGFSrK +EE3KeUIU9UWIMhVC7rn3KkSZfue71ve5v7+svdZdzjn72c977/16M/1DXQMF +GQzGEwEG479/nQIHGtCPjcsmsyevfrsxSQrbqod1qZmB1dS69pNrp8jFN1Mz +HqvZweh/ZASdUqbIXk1W9GH9dWB73fMo1E+Rqz+0FF1XcwNZa8OaaMY0yTqv +lTWj4wnUpsE4c6NpctJpW4qfvg8s1rrtMOYyTW4KGHuVpBYAP02+5uilTJOV +tupPKzKC4HVA1vuMJ9Okqd7SghGdneCl8Wl5eNM0KdYdc9tdPwQEfe6Z2kjO +kB0LTtteUDsAtzwenhYMmyGv3FRFxOaD4LnwBE/u9AzpJPPApizjEAzZ5Mw5 +eX2GfMJ9b87TOQJ2M+IJr97OkBcfys9z0T8GiVlO+z9KMtAtJee4s2qngKlE +sMM3MtDRDymV7i9Owbvpm+bD3gzkHvtVUG9zLGydjL6wPoiBJEf3Hi/NiIPP +b2L2PT/EQOFNV8IpnTPwrlWhyj+ZgVwuf9m1Tv8izJozMNnUwEDRYo/nnlG7 +AovDA5hLlwogQ4fE+KKwKyD4oImpZCeAPsaG/mirvgLH3z7V/uYkgFhC83NN +Dl2FGtXYFe4eAqhq6q5J08dk2Gdkwnu5TwBJDieb65y/Bsu65rYWZgmg1I4I +25LpG2DbduvP6VmCyMMzM3/o511w+7JX6eFjQcRrcxO/rZ4F+l+naiKfCaI4 +H7HADbZZMNZSauz4ShA93haq/uRKFiwY1ma1Vgki6ZClsUcts6HhvQW77rMg +ehPz2UskKgeCPDtFFswIokV5ciKaYg8gWSAV9ToIIYmZ6C0O2vng0fIXrn0U +QoQLd6DiXDHURbql9dYJo8P/sYLUkefwRFONHVI/C6kUy3UKCJCwa+BuvX6J +CHrSbfu+zqYcHKz3xhRliaLyXdc1PKlK6JvmnvS+IIZG9ZfKPAyuhrUHdg+6 +b5uNBP/kymoQNdB8d6l9ppM4spjZ+mTDo/fguKCrR0pPAr0/ZfafKsM6+FnP +W5g9LoF0Eth/7nPrQVJvNGekWxKxx7o6m00/gpn6spI6JIXuDpbWSF9shNPq +WZolJdJIWiuH19vbBA3LNllF5Mqg8ztLgm6xPoHOET975mpZ9Ht5bu+O4y1g +cPjt5NAHWXRgUWWxckUrOGkTB8pWy6GuR5XnGphfwMOlrvp6qxya2NWUbhDR +Blsqblaq7pBHRitEp4NK26Gcd2a19rA8crjN3F6o0gnbYv806SUooMNavrrf +tnRBz/o/DDcDRTSf2ijRsvYr9BLDF/2qFJGCzJBY5d+vUH8ghO1+RAkJk+n5 +ehnfoOfSfpONBspot1np59mru0FRXIahOKyMch39b5X97gb2/eZ1D96ooFG2 +umxfcg9U7x7ZVpSsiko2OAhdseuFi6a3GUPxauj4qtibxX29oJT08dbVo+qI +2eHUeif2O8jq7lw5FaGBllieuqK2qA/eJabZBWZroi3adX8EGvvg9dEJ2e3N +Wmi05kJ3WHQ/hHt5Gopb66BUYZ8DrqwB4I4VPH+1YQ5S6Cy5LVg5AOOJrNNt +Skw0KyrazWrvDzhwwSts+g8TMe775HfLD8LkVGCuRYcu2peWf0G+fBAK7XWu +K3zRQ08n006XVw5CXcz5gh8demjSJy56X/UgbHmR8ansmx46red98OP7QagP +//VP0A89lJEn7p3UMghHTK4G3BvXQ2/KAufLcwbBQPzynl/KLKTao1Erp8qG +zuqe+k2uLOT9r+ib1+psOEJlVkxtZKHbOb/K9mqxofDIeEymJwvN3/OuqEGX +DadWWVl0b2WhFaOH0hKN2SDt1CztEMpCu0Q/7pSzZUPQsvOyl8+x0CujeDG5 +EDYETDoM1r9mIYsmlQ9JYWzYcePU+45KFiqIzElU3M+G8aOiC/ur6ed9qFJX +DWdDsOFuR94HFooPnWWsE8OG13K58xs/s5Dr4xgX42Q25Aovn24fYqHazfLK ++alsuDx60C53mIXshG9/MU1nQ60LNefgbxay3Ph6m9ktNrxzcfKemGAhzbGZ +g9YP2KDf+ohZL0Kg/iVRaY5lbNj2UtbvvAaBtvZI+tYiNljXvfCR1CbQl3MZ +emsr2PCx37A/bg6B3reX5q1/y4bFHitddhMEKjr2t2zTRzo/1T8TeSYEiiw/ +3BPYx4bVGXqZzcsJNLpL9F7/ABvkFF2fja4kUIhCyp6dbDZkqUeYyvxLIL+A +J6N7htgwJyRg1NiRQPYio6IH/rLh2sVzOaouBJJz2jc/RpqCymbG7ERfAt16 +LSOxRI6CgiuSyX5+BDK1evjjpwIF1YsCvszbRqB18way/dQo8HfcrpUeRKCz +Ij7MFSwKTtwtuyoRTCC1YxMz4/oU1IoI9F8MIdC90dTOAgMKTHNfKImFEai6 +tzF9zgIK5NZ+zmjbRyDhCkdlxhIKYnLn2guHE+jykv6RpzYUDMwYhC8+QiDd +olONoUDBO4mF9zZFEGh5JpnQZUeBqIxz4rFIAtWpeoemOFDw6O0buegoAvkk +/F3r7ETB34OCOuHHCBR13FyCdKbgTKBsiO0JAkmOffxxyJUC5UDqkEo0gTJC +wt6abKS/ZzIrrYuOjfqkcvo2UfBGofBlegyBXnjnxt7wor8nIRKtPkkgx+ZV +Ae7eFNx5vf8Mj45b1/StlN5KgbPjzN+4UwTaXnmSWeVPwbrvceNysQT6bc1k +HAuk4EHf3sAEOo59XNZpvoP+/UkDQjCOQIpGW15xdlGQF6OqsZ2O797+k54V +TEHdvUeGJB0vVk+J8A6jYBNrq6X4aQKVJ5p5Ku2nICkih7WKjl1nf7T8cJCC ++FjRT+F0/O1EqHJsOAVe8RILMug4bFxy1OYoBY4uTgpFdDwT+qBxJIqCCSog +6AUdX+x3KMo7QYHuT3HJEjrW8v2eEHCSgp1ef/sz6TjvU0yoZhwFbtaMzhN0 +bL1uzrqmeAqcqiYaXOi45s2r+efPUfB7sDNHkY69lm6WsLtIwYHCfNt39Pv/ +KB7/MZFAwS65M2dC6Th8fvLbx5cp2Ct3w1uUjsXuLs7ZnUzBx6VWd/+bnxSN +hli9axRYJ5MrJOhY/3JIQFs6BcezC9QP0/ktEZe0vXyDAu/S+wpNdP7tYu4z +V2dSQHID5HTp2H9vb+eLbAqGvz98lECf58+B6Ff77lOQtdVNtZA+/xNbdTIM +8+j8xfxSLz9OoJvOXp5phRScNG05UULXj0n1mKVrMQW3+rbmp9D1VbbsqrL4 +Uwr0R3dJ7TpKoHbj+sYjLymol3Qb6qTr9SZbLkqIpGDE9PLf1MP08+9v0L/w +mgKFROFeu0P097Nawm9VUQBDwYL79tP57FbVNXxHAcP5pfTwXvp8bnnVPq6l +IDaduhdI34/fGp1a1fUUyKe5WerQ9+nFZ53q9Y0U3BXWFw7aTddzil/Yl2YK +pn5Kyd7YSd8fhe/lnC8UdK1cmPotkL7P4tR2xe8UrN9v4lnsTaCmamO5G/0U +PPXmSp7cTKDU2NAXcwcpCJa9sWulJ4G0BYYlrXkUXPNobk9yI9D88T+Ffn8o +sN8+72m4E4F4Jdab2RMUbF2S2FjjQOvR/ijhg9MUSGutkZOi9cWKx9gUL8QB +t73BBX5A60uf6OQjKQ50JKVXOy4m0Oy7jln/yHLg7ISbpYYprW9+59aVy3NA +I+Li2vb5BNrQIZ3ZrMKBq+aBZsb6tD42KdlPMjlQlVe3Q0yVQKykTbxYFgfW +TGrFb1Sk9dT5WqrMXA6s0C77lihL612tJlt3PgdELNbJt4oR6Gi5XoKjBQfa +13moXxtnoWUnAq0a/+EAz9X8pc8ICwkuy+neYs2BoiwzawVa3+OfG5qHLaff +/2to9qp+FkouWPgl2YkD5q6JKr1NdP+4AaxeHw789TuwrjmPhYYjhkX9/DgQ +ed/Y4mYO3W825bA7tnGg8Ey2psdtFiqVkXncsoMDX0Rka5NTWKjqROeK2n0c +GHg2NWEfzUJt/lG+RXEc+OV1LzvYhYW0YaHtgjMcmLrj/5C1moW2anzXzzvH +AecermeNHQv1Na7hZiVwwG7W56Rvliw0ZKcZdS2NAzL7HkqBFguJzC1NO/GI +AxvEagqKevWQo1DosakCDthcKzVypfv3+S5d/4jHHKh5mij1tVkPyaWeNTjw +jAO98RJjVVV6SHO217Pt5Rwov6kzhnL00CL2ePO6TxzYedZI1z9ID3nnW8hp +TdPnmTkSYf5JFz3xGpeuZ3BB6N6GoqpaXSQt8kLypBAXTk+mbVj5WhehLUvF +fohx4QnDKWM8VxfpitvNFCtw4ai29DXfE7qoL8CFs8aACz8stXVECV20TFaB +PWPEhT7x7GoLNV2UUto0UGTChcfrdFOdpXTRKnmPXlUzLgxI71liM8pED0if +tt5lXCDOfRmdqmCiEPU97yLduDA0lhMk4M1EVW+Mqxds4sLfZaruRc5MpLOX +V9ntyYU/wzveO65kovrqfWiVLxc8HZM8jeYy0aKDR54q7uLCJc/h7ELeHDRW +F5eVd5wLOe3m4bFH56ATsZnR7Q+4ILB9vbHjb20kdVjUye8hF5pnXTmt8Ekb +pe0Ilu/L5wJn+tnLyyXa6PFqqzu8Yi5sY0R6PNqvjfrkGioFSS5AHDtbntJC +q28wxAwauTB+b4voxlpN1HopqP5hMxdUt22Y65mliQKi36cuauXCdG6KVO8x +TXQsINXApoMLV9nFsyNMNVGBoelq534uxNk9SRK4oIGWaiYrNP3gQsJal8xU +fw1UIzXZ5kFx4cbqcoi21EA9Q9V7/H9y4dg79dM6XepI+anvxYMT9PcSHoeX +sNTRnXtv3P9OceGfJkJfclgNmaYZ6Rxn8GBi7vfGUqSGHKPG8uNn8cDgWZ6I +q4ca+hTiHS4lxgPL1D6bKaYa8t9asTxJnAdCMpsY0oOqKNL2UkO6DA8yxZsO +5BxQRbPNR6/NkefB9p6Km6UWqihZf7N/liIPYq9bKtn/VkG6qq8NDVV48Ev+ +zs+eIhWUP3vu8CM1HmiGKSoq71FBNhPnSxdr8qC2cL63GVMFvaV+nXymzYML +XoXbPRqU0cZOjzVLmTxQT9CLtTyqjLrryhTL9XgQ+RUFtmoqo9DXrA57fR7c +WBkmxy1SQpNFZ7Nq5/Gg75fXXQ1LJRR/dyh4vREPNpVItXpdU0RKye4WzcY8 +sKk4YyUdpoD0uy/uH6Xji0LvnHOt/z/2WLz06322PP/3YqfUU2c9k+f/v+vi +zxvSr8vxn3dU0e7sC2k5/vssC5AMqsyU5b/vS83CRY/tZfnfE99evMSNK8P/ +3tgtwuHPfGX4+TgSse3KrQ5pfr7aO/XsDgdK8/N5NEHtXeioFD/fgjailNV5 +Kf557HafDG6ZJ8U/LyIiKi6mRpJ/nn/E93rfV5fkn3d5xNS49VsJfj3c1ysK +nwqX4NeLe7BPHqdbnF9P3MO3E7LSxfn19k6rWC7dXZxfjzoLDL582jibX68m +Yo3me7rE+PUsc29bsU+2GL/ebU1iArJDxPj3YfHZ9w016aL8+9ITSzZ++EeU +f5+shEJ2vxYT5d83h5vuk9c/iyDV1h19Su+40H+m/ughQgTNjGRslKzkwpeA +ipLpullon5DlhdOvuWCRXXTJJXsW//6qKDFL10fN4t9vMzekkN4lzL//owkj +N22vCPP1Yd5Y97KdocJ8/ThyjqWmtFoYvTS8ctnuKhfs+gqLF8wVQl5RFzLC +z3KhBPlNDdwSRPf0xTPlY7nwrJUV77VVEK0/uuqObwydr6s1W/KXC/L1iXLV +ENzJFOTr18vglSm+ZgJ8fbMPqer9/IbB1789RzgXticw+Pq4InPCSesQg6+f +XZdk1TW2MPj6KtjhSrCMZkisv5UVfoa+YdMk1mfliKqBIqVpEuu3fMaHQqEf +UyTWd861B56vX06RWP83PoksMts5SeL+cOjfBaci306QuH+E5393tomYIHF/ +0WuTybyxYYLE/cenJNTa3XiCxP3J2b2cl6z5h8T9y+yC+50Bw3ES97fz31WH +dZ+Okbj/9eiIhe8/Nkbi/lg/tjwpyH+MxP1TQn+o6az9GIn7s7x5eKpT5iiJ ++7daTDx5rHOExP09OcjSuuDECIn7/4MHLJe7riMkng/EralfjdYjJJ4frOqX +tuoTIySeT3Yrmv8JefOLxPMOw9/ibRLzF4nnIcusAtWAjz9JPC/NNVBxOJj7 +k8TzlJzRb525qT9JPG8VH3qqP3LqJ4nnv80byK1HfIdIPE9Ku2w9FZnLI/E8 ++uW6xYNBgkfieXXNE4s/zF4uiefZ8VaHiMgKLonnXdvdgSGMO1wSz/Pja7O9 +zS9SJN4vjnRciMu8zCbxvsLMG09jqLNJvN/sk3N+Jtc5SOL9hym8KNMFDZJ4 +P7ptdUzqye1BEu+Dm9VOSEe3DZB4v6ybm/TKQHiAxPunwsAqZc/0fhLvp/dE +BVdlbu8n8f763IYoH1rbT+L99lqH9NctZv0k3p9Z5ie7Qqq/k3i/dkPWzg5q +30m8f2uo/qs+r7KXxPs54VXyVy6tl8T7++e92q2s2F4S7/e5pw4dWx3WS2J+ +kEa8VW2Z30NivkBkJ70cSOwmMX+YNPsnRdK+m8R84k5x5KGYOd0k5hdvti6V ++CTVTWK+0ay6nmM18Y3EPGXBq+rLj1Z0kZi3ZGoX+g8qd5CYx6yTuKq6SLKd +xLzGdm6vvveZNhLzHOFWU9eEVW0k5j16c2Pr1s9rIzEPgkcqkkZibeRz5ukb +EbsGQXmn/3Mmq4Xc8v1X9qNlgzDyr4VTtlszaVI9L/ftvEGQfCzuW3qgiVR5 +ZvuwWWsQApoeuN0RaSLPm7qYbFEdhLPLN/VL1DeSVVRLyE2lQWAqnmZ0FDeS +mEdpBSSbvL7WSGJeZbom/HZZZi2JeVZ8fndv+xAiMe9a8u1Con1KAYl52NtO +bovKst0k5mV9qpFV9kl5gHlaqrHrqk/DLwDzts0DLedjCyoA8zift9LaquNv +AfO6bc/Xy0r+/QCY5+VL14pURDYA5n07pK5sN8pqBMwDm2bf/OX9sRkwL3wu +lPV8eqAFME9sHzr2Qu3lZ8C8sTFU7GlZShtgHunTv1Eg26oDMK9Uzv00+2pD +J2CemaVrfIdn9RUw72Te3XVPfvgrYB6qsURHfabwG2Beahy3q31ecDdgnvrP +lFUIW6EHMG+9rDs9WoV6APPYzOl/2uw39wLmtUlnJmoXTvYC5rlp30/+jE7/ +Dpj39v2yJ8oM+wDz4Hq7VKv7qA8wL642ekX1OPQD5smGJq/0/q3rB8ybVZ4c +l/roPQCYR9fc5h4P7hoAzKtr/E3s+nf8AMyzt+s2lImM/ADMu+Obco9tOTAI +mIf7LhdLEZ4ZBMzL1ZLS6pKj2YB5/ZDQpnf3JSnAPD94T1lh22YKMO9/Wihd +czWWAuwHyB5p1DdLpwD7BQU3au4uy6YA+wnF59KimnIpwH5Do1prw51HFGA/ +YuXzUdNDhRRgv2JXSEXXy3YKsJ+hXte35/Mw/fz/+R3PrRf0989QgP2QrO6w +BCURDmC/xN13jpDlbA5gP+Xr4agJX0kOYL9lf5DNkx/6HMB+TKdg48mV5hzA +fk3JhpLJWhsOYD9HYHTyZB6992K/p6Yh6kOmHQewH3Qq4dnqAxs5gP2iyOKJ +sDt0H8N+0vD8hwlRdN/DflNVoLrpRbovYj/KTuVmYvR+DmC/yrFCWj7+CAew +n7Xxw6V2hRP0Hvo/v2vt2NThzac5gP0w8bh4m3eXOID9snxWenUovfdiP23h +iyu3dhdx4P8AYSfBrQ== + "]]}}]}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVlXk0lW0Xxg8JmY951nHOo9dUGvCK2hVfQhEpQ5G5UiGphMqQocmLypSU +MlSUKdWbcjaJUB8ZSioVMp3nnKMkMn7P98e97vVb615r3/vae1+b4RPs5C9I +o9HmqPP/29Z/+C2O7Fw/Wzh79VvuLFvIUjXki8oaMJuz/xS3bY69+kZmTqWK +FUz8V1rQNmOOPaDOijmhYw+W190ioW2ObffmfcV1FWeQMddrjqHNs1kXNQoW +tNyAdBlNMNafZ8/a+mZ463jCao1b1pOO82wXv8nnaSp+8GP51yJmxjy73lL1 +8YucAKj1K3id82iebcRcV/ZL6wC4q73bEN45zxbti721SycIBD3vGFlILLA/ +r0i0vKQSBjdd7ycKhiywr9xQRmL3MXBbGc2nJy6wbaXvWdTkHIcxi6KlcdcX +2I94r435WifBakEs5fmrBXbyfdm/HHVOQ2qB7dF2CRreVHBIOK9yFhgKBCd8 +Jw0j32TU73p6FprmbxiPe9BwV/xXQebuePCajbm0PYCGEhNHzlTnJMCHl7Gh +/x6nYXjnlXBS6xw0dcs1+KTT0PFyT6C9TjIsXjo82/mWhjGilcvOqVyB1eF+ +jHXrBFDPOjWpIuQKCN7rZChYCWB7fPDIx8YrcObVY81vtgLIWmRQvPz4VWhW +jt+4y1UAG+byl3e2p0Oo/nL+s1ABlBhPN9a6mAXrvyzrLi8QwMzPEZZV87lg ++fHmn8TFgujqllc69iMfnHuOKNyvFET+R2exW6oFoPN1rjnqiSAmeIr677As +gMn31YY2zwWx0jdY9dGVAlgxrsnqbhBEqaB18ZGmhfD2tQmn9YMgvoz94C58 +qggC3HqFVywI4qoSurC66D1IF8jEAetFKL4Qs8dasxRc309DVvsiJBx5wy8u +PITWKOfsgVYhPPFfM8j89S88UlfhBLUtRqWH9F4BATYEDue36VQJ46M+y9et +FnVgbX4ktqJABOsCr6u5kfUwOM+L87gkihM666TvH26EbWEHR3f5LkHBP8Uy +akQzdOWv25xnK4YmC16Pdjx4DTYrvvRLMsXx9dk1/23Qa4UfbfyVhVPiqJXC ++XOX1wYSzImiX30SyJn80ttl1A5rVNdXtaIk5o9WN0sld0CiaoF6VZUUSmkU +8QcGOuHteheziGJpvHigKuAm6x1onfTezLCTwd8bigf2n3kPuidezY69kcGw +VfUPFV90g60mEVZjR8cvD+ovvGX0gKtja+P1bjrOBHZe0434CHte3KhX3i+L ++htF5gOqP0Ed/5yd5rgsWt9i7CtX6gXf+D+dzBQ5PKGxV/vbni/Qv/0PzVlX +Hg3IneLvt32FAWI82btBHuWkx0Trp79CW1gQZ9dJBRRiXytl5nyD/n+OLt+p +q4gH11R/WGLXB/Ji0jT5cUUstvG5WfO7Dzh3u+zvvVTCCY6qzGB6PzQe/OVb +ka6MVTusF12xGoBko1u0sSQVPLMl/sbDwQFQSGu/eTVSFRmfbbtvx38HGe0D +m+Yi1HCt6dkrKqsGoSk128q/UB33aLb+EegYhNrIGZl9XRo40XypLyRmCMLd +3fTEzLUwU8gzzIk1DLzJsn+f71iKcr1VtwTrh2EqlZX4UYGBi0/FOJsdGYGw +S+4h838YSLvrWdonOwqzc/7FJp+1MTS79JJs3SiUb9a6LtfDxMez2Yl19aPQ +GnuxbOQzE2c9E2JCG0dhz9OcdzXfmJjI9DjW/noU2sJ//h0wwsScEjGPtPej +cHL5Vb87U0x8WeNvIMsdBV2xy4d+KrJQuV+tha7Mgd7G/jYXJxZ6/EfkZa0q +B06SeS/mdrLwVtHPmiMaHCg/ORWb58ZCg0NNFW+1OXB2i5lJnxcLN04cz041 +5ICUbZeUdTALA0XaD9AtORCw/qLM5QssfK6fJEoP4oDfrPVoWy0LTTqV3qSF +cGB/7tnXn+tZWBZVlCp/lANTkSIrhxqpeG8aVJXDOXBY76AN/w0Lk4IXG2rF +cqCWXmzQ8YGFTpWxjobpHCgW2jD/aYyFLbtlFUszOXB54phV8TgLrYRu9Rhd +40CLI7n02G8Wmu6s9V1zkwNNjrYeMzMsVJ9cOGZ+jwM63Q8YbcIEDq09lW1T +wwHfZzLeF9UI9OqX2NuCHDBvfeopoUlgz4Uc5rYXHGgf0htKWErg60/VJdtf +cWC16ybHgwSBFaena1zaKX0af6TylxMYVXei33+QA3Y5zLyuDQROBIrcGRrm +AF3e6cnEJgKD5DIOHeBwoEA1wkj6PwR6+z2aODTGgaVBfhOGNgRuFp4QCZvm +QFbyhSJlRwLptqEGsVIk1HfRlqTuJfBmrbT4WjoJZVck0r29CTQyuz/yQ46E +xlV+PX/5Emj/13ChtwoJPjb7NK4FEHhe2JOxkUVCdH7NVfHDBKqcnlmY0iGh +RVhgKDmIwDsTmb1luiQYFT9VEA0hsHGg49rSFSTQt33I+RhKoNALG0XaWhJi +i5dtFgon8PLaoV+PLUgYXtANX32SQO2Ksx3BQEKT+Mo7LhEEbshjp3yxIkFE +2iH1dBSBrcoewRnWJDx49ZIec4pAz5TpbQ62JEwfE9QKP03gqTPG4mwHEs75 +ywRZRhMoMdk+ctyJBEV/8rhSDIE5QSGvlu+k8pktyP5Csf6gZNGgCwkv5cqf +XYsl8KlHcXyuO5VPShTaxRFo07XFb5cHCbdrj57jU9y9dXCTlBcJDjYL0wln +CdxXH8do8CHB/nvCFD2ewN/mDNppfxLuDR7xT6E4vrKm13g/9T5OlxBMIFBe +f89zbiAJJbHKavsozr/151rBYRJa7zzQY1O8WjUjwiOEBBeWl6lYIoF1qWvc +FI6SkBZRxNpCsdOSdtM3x0hIihd5F07xt+hgxfhwEtyTxFfkUBwyJTFhEUmC +jaOtXAXFC8H3On6dImGG9At4SnHykHVFSTQJ2j/EJKoo1tj7PcUvjoQD7tND +eRSXvIsNVk8gwdmc1htNsbn9UvvOJBJsG2beOlLc/PK5wcULJPwe7S2Sp9h9 +3W5xq2QSwspLLZuo/488nBqZSSEhkH7uXDDF4Qbpryovk3CEnushQrFo/uqi +g+kktK8zy/+/Phlqb+OZWSSYp7M3ilOscznI7+M1Es4UlqmeoPStEpOwvJxL +gkf1XblOSn+r2LsMuzwS2Dw/ujbFPkcGep8WkjD+/f6DFKqeP4ZjnofeJaHA +y1m5nKp/tJdWjl4JpV/sT9W6MwTecHB3yy4nIc7ofXQV1T/LGydNnR6ScHPQ +qzSD6q+a9VcVxR6ToDMRKBkYSeAnw7aOk89IaJNwHuul+vUGh35qEZuEX0aX +pzNPUPHv7tC5VEuCXKrQgNVxKn/W+/CbDSTA2GHB0KOUnn3K2npNJNAcnkmN +H6Hqc9O9pbKFhPhr5B1/aj5+q/VqNLaRIJvtbKpFzdPTD1qN2ztIyBfSEQo4 +SPVzhndITxcJcz8kZXIPUPMj972O20PCl00rM7/5U/MsRu6T/07C9qPL3R56 +ENjZaEjPHSLhsQdPIm43gZnxwU+XjZJwWCY3cJMbgZoC4xLmfBKyXLs+pTkT +aDD1p9z7Dwmb9/31ONyWQH6V+W7ODAlea1M7mq0pPzp6SujYPAlSGlvpkpS/ +mPFpLkmLuOB85HCZN1D+Migy+0CSC5/TrjXarCZwSb5Nwd8yXDg/42yqZkT5 +m/cF+zpZLqhFJG/7ZEDgjs9SeV1KXLhq7L/GUIfyx06FzbMMLjSUtO4XVSaQ +lebCj2dxYeusRtJOecpPHbIypZdxYaNmzbdUGcrvWtQ52gZcEDaxl+0WJTCy +jpliY8KFT/auqllTLFwf7W/W8TcX+E7Gzzx/sVBwfVHfHnMuVBSsMZej/D3p +Xz3jkA3U/78GF24ZYmF62cqedFsuGDulKg10UvsjF1gDnlyY9g6z7yph4XjE +uIi3Nxei7hqa3Cii9o1LEeezLxfKzxWqu95iYbW0dOX7/VzoEZZpSc9gYUN0 +78aWUC4MP5mb2RzDwo8+p/ZWJHDhp/udwsOOLNSElZYrznFh7rbPfZYdC73U +vuuUXOCCQz/PrdmKhYMdW3kFKVywWvwh7ZspC8es1E9lZXNBOvS+JGiwUHhZ +dXb0Ay7sEG0uqxhgos2i4NNzZVywyKrWd6L298Uv2j4RlVxofpwq+bWLifTM +87phT7gwkCQ+2dDARPUl7k/21XGh7obWJBYxcRVnqsv+HRcOnNfX9glgokep +CV1jnqpn3q8I43fa+Mh9SqqNxoNFd3ZUNLRoo5TwU4m4RTxInM3esalWG3HP +OtERUR48otnmTBVro7aY1cJDOR5Eakpl7Y3WxkE/R+5WXR6MmGpqiRDauF5G +jrOgz4NBscJGExVtzKjuHK5YzoNKe+1MB0lt3CLrOqC8hgfDUofWWkww8B7b +8+PAeh4QF3om5l4wMEj1UFOUMw/GJosCBDwY2PDSsHGFCw+m1yvvqnBgoNYR +fn2fGw/+jO9/bbOJgW2NobhlLw/cbNLc9JcxcNWxk4/lA3nwj9t4YTl/KU62 +JhSUnOFB0Sfj8PjIpRgdnxfz6R4PBPZtN7T5rYmSJ0Rsve/zoGvxlUS5d5qY +vf+w7GApD7jzT55drtLESjuz2/yHPPClRbk+OKqJg/S39YJsHkACp1CW1EC7 +XJqobgcPpu7sEdnZoo7d/wS03e/igbLvjmVuBeroF/M6c1U3D+aLMyQHTqvj +ab9MXYvPPLjKebgkwkgdy/SM7ByGeJBg9ShN4JIarlNPl+sc4UHKNse8TB81 +bJac/ehK8iDXrg5iTNWwf6zxkM8PHpxuUk3U+qKKio/3Jh+bofIlXE+sZani +7Tsvd03P8eDvTkJHYlwFjbL1tc7Q+DCz7HtHNaqgzanJ0qTFfNB9UiLs5KqC +74I8wiVF+WCaOWgxx1BBH68XG9LE+LBI2oUmNaqMUZb/vL0mzYc8sc6wojBl +XGI8kbVUlg/7+l/cqDZRxnSd3T4F8nyIv26qsPm3Emor1+rpKfHhp+ztH/0V +Sli6ZNn4AxU+qIfIyyseUkKLmYvVq9X50FJu4LGGoYSvyJ9xTzT5cMm9fJ/r +W0Xc2eu6dR2DD6opzHjTSEXsa62Rr2PyIeor+nerK2JwLevzZh0+5G4KofMq +FHC24nxBy198GPzpnq9mqoBJ+WOHt+vzwaVKsts9Sx4V0neZdBnyweLFOTOp +EDnU6Us+OkFx8qImh2JzOfwf7mLWxA== + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVlXk01P0XxyfE2I0lRskzGUNEnkIpdeshSqtCm6WkTVnabKEISZQkhBLi +CWVfUz7fEBIPQpStxmDMfL/fUYiy/fz+uOeee97nnnvP+9x7Xgxnj4OnhSgU +SsVi/D9bnea2YaO2W/9+HfvsQiEBImaqngN0Q8hjJtV7JBKwPiUhuYhuDhJh +4aYf7hPAWcEM8mbtg71Tc97HbxOwu7mr8AndBmyb7/cq3CSAGamWsaB+FHbV +yMiH+xIwa3Uq/iTLEcyVUx4EXSHgsMvU2xi6C9SdVjW4d46AWjPVsprkMzC+ +5lV0wCkCDDS25E+onwf/4hnPdEcCqOzgNDuWO4REl+++aktA39rbZlH0q9DY +FtCcak5AbIoKpnn8GiyZnL31chsBVrLZplXJXlByqGT2oykBpWSTkUDdF/qF +2m/9Y0TAvVfy2tasQLhyxrR0lEXAM6X9YRH0EPjmHTDjJEXA9eb4WrvXIWDn +9JfwBnEC7EK/CWkcD4UMtme0kigBUpOXblQmh0HF5rUjIws4+HTE+uDqd0C1 +Zfjil3EcrB9+dd3Hugeu7jUDb3pxCKIWad2hx8I/FZMGXgU46Fg+CC/0jIV2 +endbei4On0I9RnvqY6H4bmJARw4OTOE1OfpejyD/aePzrZk41M091+/4FAdy +vu0swyQcpMbjjNQjH0NZgUzjo1AcEvr8zErmn4LbxaqCnuM4HDmamjf24zmM +CR/+kCWFg+RCkL3lyjygxyS2xAXxQdOa5NbcLQanbdR4kQUeeP9nAgkTFRDe +kRNof5UHysW0/iVLEJxd1VYlOjEKpWyzphbTamh01jcfOTcK1a5Plh/Fa6Ex +jbzhNsCFSdYW2Vdu9aBcekP6kwMXhH7nyC3XbAQd/bcaO1pGwHjhROmh3Cao +132LD1qOQFOI4X91Oi3Qap5gkoUNg3o0/3cW2QrDPy00q3SGgT810N9p8AkS +h279CEoague8ykaZe+0Qc2fm49+zHJBR+1fA4XRA6vzGHovjHIg8X3LmGfMz +PFw1P1mHDcKvbTmccze6YOOciTtfYRCurqstXlbTDXphrr3abmwYyK2928b4 +Css3qasuFHyHGdeOpNV+PcB47vpCfvwb6G4Xmz9T2QsZq/TSBSbfwDKNcbZA +uR+W5XwWf9TWD95qTqu+2w+A44jtkkyTPliD20p27f0G7R7Usqr4HlCQHaPW +/vkGvWOBr+lvvoAISsrTSP4OFcIZFfPcLrhgWPlFfDcbOsRTfjp86oScXc7P +qn6x4Zx07FndjHaY5KvKDccNQp7MR9Ea/7bFO7YUjjXnwKmKA3JSf5rhxs7Q +lOJhDjg2yKxUmW4ARp9Vd3roEBzndkWG5tfApg0hsfR1w5Cgd3Dn5/HXYL+y +5feS9mEYVvGvs4h5CZONUWzPoBFo6Ce7lLdeQAkijlcPMrmw6XvUA4v4fKTQ +X5ImVMuF8Dw2p3cMQ0sDgmxMLo2CwR6ftKrUj4iS5ZjHlueBmkuc/rvH7agO +73JPUeIBQ/E2pa+4HUUaWOvbq/AgYtvhEcnWdqRcbvaqU40HLh3ZNumiHUi/ +XjunQZsHUkUSTpVXO5D90M/M3K08mNhhbJVp04kqGLef+rnyYNl55woGswtd +TsyLkq/mAeQqS+lSe1DZbOLt6loeaGiFthzQ7kGzjmFBl+t5INJtcDB6Zw+6 +reFw7VMTD8y0OCyHOz0o+aWEQ0wXD/ZJPlJZJ9WL3ledXiNP8CB1ZYEzb1kf +Uhlc/pGmwoe1b+sf5m4fQG91w6k0dz50qhwgTGa+I+MO5eYYTz68P7FF8rM0 +G+X7//tA8Qof0ov9vYL/YqO05jpVFR8+zBpujJeyYKNwj6V66sGLf5YZ84b7 +gI0OFgVb68XxIVGzQaVrzSAa2RSQuKuKDzkhXoG7PTnoxKCU00eMD18urexm +hnLQ17vJGntrFvuPlfyhJXJQU2/lywMNfFiuskNVu5aDCgP/VB3+xAcbbPN+ +S/oQ8q/2Hjw9zAem0a0B9/ohRLO6vCZYBofHfTLf7A1H0LN3spKbaDhUmGpW +j+0dQQYmr0Z/KODwQkxoZ+rZEbRPm5t5ko6DAnfnsqNJIyhC1JGxnYlDi1bM +29UiXCRSs2sZZRMOx+k3ZYJ6uOjXZgYl8DQOaSaB0qVpPBRaVNVvdA4Hhsi6 +VGuMhxR17d8Srjhcpu0vp/Xz0HrVeD8Hz0X95XQiRZWPPKelJk2v4+DbFxWW ++pCPRounR2eicZjem+lgdA9HvXqt7b5vcDC7cNqdkk6iFD4tQBgt6t2Wfv41 +JHLOOsSKeofDnlLj3wwOiUaZXT7P6nD4+sQ4m6cpQL+W96vVt+IgY30ixD9H +gGgS+FnFocX9D6ETvk5jyGJYbDZXmoBirzLWRMgPJP58V8ZGOQJour/UtRJ+ +oKaTd/dVyxOgtVrZ8lrOD3SoTya1U5mADRn5Ki6ffqATHUoWswwCKM7GDTGM +n+h6tUb0LmMCLiga/XZ//xPlPwUmZ5FbJq1bulmaE2jcb1zs5EkCJDbjP9s3 +TyDjw//y+xY5l53NtH5+cAJVysoWdS1yMO7Mhs35NydQ3c3+7R8vE0APDkeB +/ROoxznAqTCMAHkjnwSr1EkkqlWZeDOXAEnWWEeExRTaJewROJdPQOvUtpgz +zlMocmCVs18RAYPqVJ8rgVOIlhCx+mo5AZFDKuOryqbQCvFj5WerCTCMskvn +6kyjdfzpzn2fCdhvVy2IW/EbOeQZ09TmCXAs8dhspzeDSo9Ny7RSSNDokU19 +emgGyYi+lrolTIJP3tB+U78ZhNlvoY5SSfDasTbEv2EGrZIwXyhWIMG21L/Q +8PwsGnaxJvasJoF4nH303Zs5tFVOgb+gS4J8cnOB8Ogciq/s4Bbqk7DMr45b +qDSPdsof4agYklBbc1LHyXMeZSPHHs5WEoT6DmoydReQu+rFD/42JAzcl1Nd +bk/B6t7r1a89TML21BkrNS8Kpn5JUMs+SsJFXyLqbDQFa62/jO10IsHCvY7z +5T0FW3fNt0zRlYQ3bv/EOxkuwaZawjJe3iABP7hc6DxDCDtwfWe6UzAJ6o8a +7fO2CWEvWBKp8qEklHczw4+dEMKOBUQl+0SQUIKdnOM+E8Le6MQ+NH9Egvlw +QfFaLWHsZmhqUG82Cb53mXSl3SKYtLeY1clXJGhPsbee9xDBEs+5yQ/nkTAZ +PZFiFiuCFe02SRcUk2BogykkDYhgw7S2WiFEgrISo/JAwFLssvCGqNvvSDDO +LLxvnbkUW5hItpWqJeGrS03JfMtSTKX73LDSBxJG7rRe99IUxXY/pVBXt5Ng +mWI3++SLKNZ9/0zrq04STITdL7yjimEuQU0J67pJGAxF7c0bxbBAl4TVpn0k +rI9oamtMEsPydQx27x8hwUw/2CXTnYptWRGn0DFKguyLU8WOmVSsUXq25whO +gj613ejiABUbHKu/6Pxj0a+1q79+thXHlpU53bs2Q8IHtWJakp0Elv7ivd2f +ORJI77TojCQJzCBRV/0GRQB2bo4vCbYEtitgKi98qQCyNAp95nwksc/uDj7S +VAFU+81Nb26QxJxP1GyLkRDAb4lLDlmqUpi/2f22JFkBaPoFhAU3SmHiRpOP +/5IXwAW7WbcubWksjnXcOUNRAEKmYrhJpDS2SuWdjo6yAK5H0z94TEpjeeJa +47l0AfT2a5h7n5bBTGciK9evEICv36nYZ30yWAP+81b5SgGE2ov4lDvJYrb9 +R/ZsYQggvLd4kw0pi7FbqhSrNQTwZkXBuiILOczjHbPPgiWArS5SZ2pT5bDZ +woiMj9qL8xTNI17L0LDw52NuB3QF8ESioi3pCQ1TirMz7tQTADVENWFpuTzG +Yt+7MrlYH1m/5VsWXx77H4OF7Yc= + "]]}, "Charting`Private`Tag#2"]}}, {}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>]]& )[<| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 1.}, {-16.34123920227253, + 2.0794415416798357`}}, "Frame" -> {{True, True}, {True, True}}, + "AxesOrigin" -> {0, -16.341239202272494`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> Directive[ + GrayLevel[0], FontFamily -> "Times", FontSize -> 10], + "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, {Log, Exp}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxFmXk0Ve/3x68hZJ7nocs9CpHK8BG1Kz5Eg0hCIUKjoVmiokgzKoQGFSrK +EE3KeUIU9UWIMhVC7rn3KkSZfue71ve5v7+svdZdzjn72c977/16M/1DXQMF +GQzGEwEG479/nQIHGtCPjcsmsyevfrsxSQrbqod1qZmB1dS69pNrp8jFN1Mz +HqvZweh/ZASdUqbIXk1W9GH9dWB73fMo1E+Rqz+0FF1XcwNZa8OaaMY0yTqv +lTWj4wnUpsE4c6NpctJpW4qfvg8s1rrtMOYyTW4KGHuVpBYAP02+5uilTJOV +tupPKzKC4HVA1vuMJ9Okqd7SghGdneCl8Wl5eNM0KdYdc9tdPwQEfe6Z2kjO +kB0LTtteUDsAtzwenhYMmyGv3FRFxOaD4LnwBE/u9AzpJPPApizjEAzZ5Mw5 +eX2GfMJ9b87TOQJ2M+IJr97OkBcfys9z0T8GiVlO+z9KMtAtJee4s2qngKlE +sMM3MtDRDymV7i9Owbvpm+bD3gzkHvtVUG9zLGydjL6wPoiBJEf3Hi/NiIPP +b2L2PT/EQOFNV8IpnTPwrlWhyj+ZgVwuf9m1Tv8izJozMNnUwEDRYo/nnlG7 +AovDA5hLlwogQ4fE+KKwKyD4oImpZCeAPsaG/mirvgLH3z7V/uYkgFhC83NN +Dl2FGtXYFe4eAqhq6q5J08dk2Gdkwnu5TwBJDieb65y/Bsu65rYWZgmg1I4I +25LpG2DbduvP6VmCyMMzM3/o511w+7JX6eFjQcRrcxO/rZ4F+l+naiKfCaI4 +H7HADbZZMNZSauz4ShA93haq/uRKFiwY1ma1Vgki6ZClsUcts6HhvQW77rMg +ehPz2UskKgeCPDtFFswIokV5ciKaYg8gWSAV9ToIIYmZ6C0O2vng0fIXrn0U +QoQLd6DiXDHURbql9dYJo8P/sYLUkefwRFONHVI/C6kUy3UKCJCwa+BuvX6J +CHrSbfu+zqYcHKz3xhRliaLyXdc1PKlK6JvmnvS+IIZG9ZfKPAyuhrUHdg+6 +b5uNBP/kymoQNdB8d6l9ppM4spjZ+mTDo/fguKCrR0pPAr0/ZfafKsM6+FnP +W5g9LoF0Eth/7nPrQVJvNGekWxKxx7o6m00/gpn6spI6JIXuDpbWSF9shNPq +WZolJdJIWiuH19vbBA3LNllF5Mqg8ztLgm6xPoHOET975mpZ9Ht5bu+O4y1g +cPjt5NAHWXRgUWWxckUrOGkTB8pWy6GuR5XnGphfwMOlrvp6qxya2NWUbhDR +Blsqblaq7pBHRitEp4NK26Gcd2a19rA8crjN3F6o0gnbYv806SUooMNavrrf +tnRBz/o/DDcDRTSf2ijRsvYr9BLDF/2qFJGCzJBY5d+vUH8ghO1+RAkJk+n5 +ehnfoOfSfpONBspot1np59mru0FRXIahOKyMch39b5X97gb2/eZ1D96ooFG2 +umxfcg9U7x7ZVpSsiko2OAhdseuFi6a3GUPxauj4qtibxX29oJT08dbVo+qI +2eHUeif2O8jq7lw5FaGBllieuqK2qA/eJabZBWZroi3adX8EGvvg9dEJ2e3N +Wmi05kJ3WHQ/hHt5Gopb66BUYZ8DrqwB4I4VPH+1YQ5S6Cy5LVg5AOOJrNNt +Skw0KyrazWrvDzhwwSts+g8TMe775HfLD8LkVGCuRYcu2peWf0G+fBAK7XWu +K3zRQ08n006XVw5CXcz5gh8demjSJy56X/UgbHmR8ansmx46red98OP7QagP +//VP0A89lJEn7p3UMghHTK4G3BvXQ2/KAufLcwbBQPzynl/KLKTao1Erp8qG +zuqe+k2uLOT9r+ib1+psOEJlVkxtZKHbOb/K9mqxofDIeEymJwvN3/OuqEGX +DadWWVl0b2WhFaOH0hKN2SDt1CztEMpCu0Q/7pSzZUPQsvOyl8+x0CujeDG5 +EDYETDoM1r9mIYsmlQ9JYWzYcePU+45KFiqIzElU3M+G8aOiC/ur6ed9qFJX +DWdDsOFuR94HFooPnWWsE8OG13K58xs/s5Dr4xgX42Q25Aovn24fYqHazfLK ++alsuDx60C53mIXshG9/MU1nQ60LNefgbxay3Ph6m9ktNrxzcfKemGAhzbGZ +g9YP2KDf+ohZL0Kg/iVRaY5lbNj2UtbvvAaBtvZI+tYiNljXvfCR1CbQl3MZ +emsr2PCx37A/bg6B3reX5q1/y4bFHitddhMEKjr2t2zTRzo/1T8TeSYEiiw/ +3BPYx4bVGXqZzcsJNLpL9F7/ABvkFF2fja4kUIhCyp6dbDZkqUeYyvxLIL+A +J6N7htgwJyRg1NiRQPYio6IH/rLh2sVzOaouBJJz2jc/RpqCymbG7ERfAt16 +LSOxRI6CgiuSyX5+BDK1evjjpwIF1YsCvszbRqB18way/dQo8HfcrpUeRKCz +Ij7MFSwKTtwtuyoRTCC1YxMz4/oU1IoI9F8MIdC90dTOAgMKTHNfKImFEai6 +tzF9zgIK5NZ+zmjbRyDhCkdlxhIKYnLn2guHE+jykv6RpzYUDMwYhC8+QiDd +olONoUDBO4mF9zZFEGh5JpnQZUeBqIxz4rFIAtWpeoemOFDw6O0buegoAvkk +/F3r7ETB34OCOuHHCBR13FyCdKbgTKBsiO0JAkmOffxxyJUC5UDqkEo0gTJC +wt6abKS/ZzIrrYuOjfqkcvo2UfBGofBlegyBXnjnxt7wor8nIRKtPkkgx+ZV +Ae7eFNx5vf8Mj45b1/StlN5KgbPjzN+4UwTaXnmSWeVPwbrvceNysQT6bc1k +HAuk4EHf3sAEOo59XNZpvoP+/UkDQjCOQIpGW15xdlGQF6OqsZ2O797+k54V +TEHdvUeGJB0vVk+J8A6jYBNrq6X4aQKVJ5p5Ku2nICkih7WKjl1nf7T8cJCC ++FjRT+F0/O1EqHJsOAVe8RILMug4bFxy1OYoBY4uTgpFdDwT+qBxJIqCCSog +6AUdX+x3KMo7QYHuT3HJEjrW8v2eEHCSgp1ef/sz6TjvU0yoZhwFbtaMzhN0 +bL1uzrqmeAqcqiYaXOi45s2r+efPUfB7sDNHkY69lm6WsLtIwYHCfNt39Pv/ +KB7/MZFAwS65M2dC6Th8fvLbx5cp2Ct3w1uUjsXuLs7ZnUzBx6VWd/+bnxSN +hli9axRYJ5MrJOhY/3JIQFs6BcezC9QP0/ktEZe0vXyDAu/S+wpNdP7tYu4z +V2dSQHID5HTp2H9vb+eLbAqGvz98lECf58+B6Ff77lOQtdVNtZA+/xNbdTIM +8+j8xfxSLz9OoJvOXp5phRScNG05UULXj0n1mKVrMQW3+rbmp9D1VbbsqrL4 +Uwr0R3dJ7TpKoHbj+sYjLymol3Qb6qTr9SZbLkqIpGDE9PLf1MP08+9v0L/w +mgKFROFeu0P097Nawm9VUQBDwYL79tP57FbVNXxHAcP5pfTwXvp8bnnVPq6l +IDaduhdI34/fGp1a1fUUyKe5WerQ9+nFZ53q9Y0U3BXWFw7aTddzil/Yl2YK +pn5Kyd7YSd8fhe/lnC8UdK1cmPotkL7P4tR2xe8UrN9v4lnsTaCmamO5G/0U +PPXmSp7cTKDU2NAXcwcpCJa9sWulJ4G0BYYlrXkUXPNobk9yI9D88T+Ffn8o +sN8+72m4E4F4Jdab2RMUbF2S2FjjQOvR/ijhg9MUSGutkZOi9cWKx9gUL8QB +t73BBX5A60uf6OQjKQ50JKVXOy4m0Oy7jln/yHLg7ISbpYYprW9+59aVy3NA +I+Li2vb5BNrQIZ3ZrMKBq+aBZsb6tD42KdlPMjlQlVe3Q0yVQKykTbxYFgfW +TGrFb1Sk9dT5WqrMXA6s0C77lihL612tJlt3PgdELNbJt4oR6Gi5XoKjBQfa +13moXxtnoWUnAq0a/+EAz9X8pc8ICwkuy+neYs2BoiwzawVa3+OfG5qHLaff +/2to9qp+FkouWPgl2YkD5q6JKr1NdP+4AaxeHw789TuwrjmPhYYjhkX9/DgQ +ed/Y4mYO3W825bA7tnGg8Ey2psdtFiqVkXncsoMDX0Rka5NTWKjqROeK2n0c +GHg2NWEfzUJt/lG+RXEc+OV1LzvYhYW0YaHtgjMcmLrj/5C1moW2anzXzzvH +AecermeNHQv1Na7hZiVwwG7W56Rvliw0ZKcZdS2NAzL7HkqBFguJzC1NO/GI +AxvEagqKevWQo1DosakCDthcKzVypfv3+S5d/4jHHKh5mij1tVkPyaWeNTjw +jAO98RJjVVV6SHO217Pt5Rwov6kzhnL00CL2ePO6TxzYedZI1z9ID3nnW8hp +TdPnmTkSYf5JFz3xGpeuZ3BB6N6GoqpaXSQt8kLypBAXTk+mbVj5WhehLUvF +fohx4QnDKWM8VxfpitvNFCtw4ai29DXfE7qoL8CFs8aACz8stXVECV20TFaB +PWPEhT7x7GoLNV2UUto0UGTChcfrdFOdpXTRKnmPXlUzLgxI71liM8pED0if +tt5lXCDOfRmdqmCiEPU97yLduDA0lhMk4M1EVW+Mqxds4sLfZaruRc5MpLOX +V9ntyYU/wzveO65kovrqfWiVLxc8HZM8jeYy0aKDR54q7uLCJc/h7ELeHDRW +F5eVd5wLOe3m4bFH56ATsZnR7Q+4ILB9vbHjb20kdVjUye8hF5pnXTmt8Ekb +pe0Ilu/L5wJn+tnLyyXa6PFqqzu8Yi5sY0R6PNqvjfrkGioFSS5AHDtbntJC +q28wxAwauTB+b4voxlpN1HopqP5hMxdUt22Y65mliQKi36cuauXCdG6KVO8x +TXQsINXApoMLV9nFsyNMNVGBoelq534uxNk9SRK4oIGWaiYrNP3gQsJal8xU +fw1UIzXZ5kFx4cbqcoi21EA9Q9V7/H9y4dg79dM6XepI+anvxYMT9PcSHoeX +sNTRnXtv3P9OceGfJkJfclgNmaYZ6Rxn8GBi7vfGUqSGHKPG8uNn8cDgWZ6I +q4ca+hTiHS4lxgPL1D6bKaYa8t9asTxJnAdCMpsY0oOqKNL2UkO6DA8yxZsO +5BxQRbPNR6/NkefB9p6Km6UWqihZf7N/liIPYq9bKtn/VkG6qq8NDVV48Ev+ +zs+eIhWUP3vu8CM1HmiGKSoq71FBNhPnSxdr8qC2cL63GVMFvaV+nXymzYML +XoXbPRqU0cZOjzVLmTxQT9CLtTyqjLrryhTL9XgQ+RUFtmoqo9DXrA57fR7c +WBkmxy1SQpNFZ7Nq5/Gg75fXXQ1LJRR/dyh4vREPNpVItXpdU0RKye4WzcY8 +sKk4YyUdpoD0uy/uH6Xji0LvnHOt/z/2WLz06322PP/3YqfUU2c9k+f/v+vi +zxvSr8vxn3dU0e7sC2k5/vssC5AMqsyU5b/vS83CRY/tZfnfE99evMSNK8P/ +3tgtwuHPfGX4+TgSse3KrQ5pfr7aO/XsDgdK8/N5NEHtXeioFD/fgjailNV5 +Kf557HafDG6ZJ8U/LyIiKi6mRpJ/nn/E93rfV5fkn3d5xNS49VsJfj3c1ysK +nwqX4NeLe7BPHqdbnF9P3MO3E7LSxfn19k6rWC7dXZxfjzoLDL582jibX68m +Yo3me7rE+PUsc29bsU+2GL/ebU1iArJDxPj3YfHZ9w016aL8+9ITSzZ++EeU +f5+shEJ2vxYT5d83h5vuk9c/iyDV1h19Su+40H+m/ughQgTNjGRslKzkwpeA +ipLpullon5DlhdOvuWCRXXTJJXsW//6qKDFL10fN4t9vMzekkN4lzL//owkj +N22vCPP1Yd5Y97KdocJ8/ThyjqWmtFoYvTS8ctnuKhfs+gqLF8wVQl5RFzLC +z3KhBPlNDdwSRPf0xTPlY7nwrJUV77VVEK0/uuqObwydr6s1W/KXC/L1iXLV +ENzJFOTr18vglSm+ZgJ8fbMPqer9/IbB1789RzgXticw+Pq4InPCSesQg6+f +XZdk1TW2MPj6KtjhSrCMZkisv5UVfoa+YdMk1mfliKqBIqVpEuu3fMaHQqEf +UyTWd861B56vX06RWP83PoksMts5SeL+cOjfBaci306QuH+E5393tomYIHF/ +0WuTybyxYYLE/cenJNTa3XiCxP3J2b2cl6z5h8T9y+yC+50Bw3ES97fz31WH +dZ+Okbj/9eiIhe8/Nkbi/lg/tjwpyH+MxP1TQn+o6az9GIn7s7x5eKpT5iiJ ++7daTDx5rHOExP09OcjSuuDECIn7/4MHLJe7riMkng/EralfjdYjJJ4frOqX +tuoTIySeT3Yrmv8JefOLxPMOw9/ibRLzF4nnIcusAtWAjz9JPC/NNVBxOJj7 +k8TzlJzRb525qT9JPG8VH3qqP3LqJ4nnv80byK1HfIdIPE9Ku2w9FZnLI/E8 ++uW6xYNBgkfieXXNE4s/zF4uiefZ8VaHiMgKLonnXdvdgSGMO1wSz/Pja7O9 +zS9SJN4vjnRciMu8zCbxvsLMG09jqLNJvN/sk3N+Jtc5SOL9hym8KNMFDZJ4 +P7ptdUzqye1BEu+Dm9VOSEe3DZB4v6ybm/TKQHiAxPunwsAqZc/0fhLvp/dE +BVdlbu8n8f763IYoH1rbT+L99lqH9NctZv0k3p9Z5ie7Qqq/k3i/dkPWzg5q +30m8f2uo/qs+r7KXxPs54VXyVy6tl8T7++e92q2s2F4S7/e5pw4dWx3WS2J+ +kEa8VW2Z30NivkBkJ70cSOwmMX+YNPsnRdK+m8R84k5x5KGYOd0k5hdvti6V ++CTVTWK+0ay6nmM18Y3EPGXBq+rLj1Z0kZi3ZGoX+g8qd5CYx6yTuKq6SLKd +xLzGdm6vvveZNhLzHOFWU9eEVW0k5j16c2Pr1s9rIzEPgkcqkkZibeRz5ukb +EbsGQXmn/3Mmq4Xc8v1X9qNlgzDyr4VTtlszaVI9L/ftvEGQfCzuW3qgiVR5 +ZvuwWWsQApoeuN0RaSLPm7qYbFEdhLPLN/VL1DeSVVRLyE2lQWAqnmZ0FDeS +mEdpBSSbvL7WSGJeZbom/HZZZi2JeVZ8fndv+xAiMe9a8u1Con1KAYl52NtO +bovKst0k5mV9qpFV9kl5gHlaqrHrqk/DLwDzts0DLedjCyoA8zift9LaquNv +AfO6bc/Xy0r+/QCY5+VL14pURDYA5n07pK5sN8pqBMwDm2bf/OX9sRkwL3wu +lPV8eqAFME9sHzr2Qu3lZ8C8sTFU7GlZShtgHunTv1Eg26oDMK9Uzv00+2pD +J2CemaVrfIdn9RUw72Te3XVPfvgrYB6qsURHfabwG2Beahy3q31ecDdgnvrP +lFUIW6EHMG+9rDs9WoV6APPYzOl/2uw39wLmtUlnJmoXTvYC5rlp30/+jE7/ +Dpj39v2yJ8oM+wDz4Hq7VKv7qA8wL642ekX1OPQD5smGJq/0/q3rB8ybVZ4c +l/roPQCYR9fc5h4P7hoAzKtr/E3s+nf8AMyzt+s2lImM/ADMu+Obco9tOTAI +mIf7LhdLEZ4ZBMzL1ZLS6pKj2YB5/ZDQpnf3JSnAPD94T1lh22YKMO9/Wihd +czWWAuwHyB5p1DdLpwD7BQU3au4uy6YA+wnF59KimnIpwH5Do1prw51HFGA/ +YuXzUdNDhRRgv2JXSEXXy3YKsJ+hXte35/Mw/fz/+R3PrRf0989QgP2QrO6w +BCURDmC/xN13jpDlbA5gP+Xr4agJX0kOYL9lf5DNkx/6HMB+TKdg48mV5hzA +fk3JhpLJWhsOYD9HYHTyZB6992K/p6Yh6kOmHQewH3Qq4dnqAxs5gP2iyOKJ +sDt0H8N+0vD8hwlRdN/DflNVoLrpRbovYj/KTuVmYvR+DmC/yrFCWj7+CAew +n7Xxw6V2hRP0Hvo/v2vt2NThzac5gP0w8bh4m3eXOID9snxWenUovfdiP23h +iyu3dhdx4P8AYSfBrQ== + "]]}}]}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVlXk0lW0Xxg8JmY951nHOo9dUGvCK2hVfQhEpQ5G5UiGphMqQocmLypSU +MlSUKdWbcjaJUB8ZSioVMp3nnKMkMn7P98e97vVb615r3/vae1+b4RPs5C9I +o9HmqPP/29Z/+C2O7Fw/Wzh79VvuLFvIUjXki8oaMJuz/xS3bY69+kZmTqWK +FUz8V1rQNmOOPaDOijmhYw+W190ioW2ObffmfcV1FWeQMddrjqHNs1kXNQoW +tNyAdBlNMNafZ8/a+mZ463jCao1b1pOO82wXv8nnaSp+8GP51yJmxjy73lL1 +8YucAKj1K3id82iebcRcV/ZL6wC4q73bEN45zxbti721SycIBD3vGFlILLA/ +r0i0vKQSBjdd7ycKhiywr9xQRmL3MXBbGc2nJy6wbaXvWdTkHIcxi6KlcdcX +2I94r435WifBakEs5fmrBXbyfdm/HHVOQ2qB7dF2CRreVHBIOK9yFhgKBCd8 +Jw0j32TU73p6FprmbxiPe9BwV/xXQebuePCajbm0PYCGEhNHzlTnJMCHl7Gh +/x6nYXjnlXBS6xw0dcs1+KTT0PFyT6C9TjIsXjo82/mWhjGilcvOqVyB1eF+ +jHXrBFDPOjWpIuQKCN7rZChYCWB7fPDIx8YrcObVY81vtgLIWmRQvPz4VWhW +jt+4y1UAG+byl3e2p0Oo/nL+s1ABlBhPN9a6mAXrvyzrLi8QwMzPEZZV87lg ++fHmn8TFgujqllc69iMfnHuOKNyvFET+R2exW6oFoPN1rjnqiSAmeIr677As +gMn31YY2zwWx0jdY9dGVAlgxrsnqbhBEqaB18ZGmhfD2tQmn9YMgvoz94C58 +qggC3HqFVywI4qoSurC66D1IF8jEAetFKL4Qs8dasxRc309DVvsiJBx5wy8u +PITWKOfsgVYhPPFfM8j89S88UlfhBLUtRqWH9F4BATYEDue36VQJ46M+y9et +FnVgbX4ktqJABOsCr6u5kfUwOM+L87gkihM666TvH26EbWEHR3f5LkHBP8Uy +akQzdOWv25xnK4YmC16Pdjx4DTYrvvRLMsXx9dk1/23Qa4UfbfyVhVPiqJXC ++XOX1wYSzImiX30SyJn80ttl1A5rVNdXtaIk5o9WN0sld0CiaoF6VZUUSmkU +8QcGOuHteheziGJpvHigKuAm6x1onfTezLCTwd8bigf2n3kPuidezY69kcGw +VfUPFV90g60mEVZjR8cvD+ovvGX0gKtja+P1bjrOBHZe0434CHte3KhX3i+L ++htF5gOqP0Ed/5yd5rgsWt9i7CtX6gXf+D+dzBQ5PKGxV/vbni/Qv/0PzVlX +Hg3IneLvt32FAWI82btBHuWkx0Trp79CW1gQZ9dJBRRiXytl5nyD/n+OLt+p +q4gH11R/WGLXB/Ji0jT5cUUstvG5WfO7Dzh3u+zvvVTCCY6qzGB6PzQe/OVb +ka6MVTusF12xGoBko1u0sSQVPLMl/sbDwQFQSGu/eTVSFRmfbbtvx38HGe0D +m+Yi1HCt6dkrKqsGoSk128q/UB33aLb+EegYhNrIGZl9XRo40XypLyRmCMLd +3fTEzLUwU8gzzIk1DLzJsn+f71iKcr1VtwTrh2EqlZX4UYGBi0/FOJsdGYGw +S+4h838YSLvrWdonOwqzc/7FJp+1MTS79JJs3SiUb9a6LtfDxMez2Yl19aPQ +GnuxbOQzE2c9E2JCG0dhz9OcdzXfmJjI9DjW/noU2sJ//h0wwsScEjGPtPej +cHL5Vb87U0x8WeNvIMsdBV2xy4d+KrJQuV+tha7Mgd7G/jYXJxZ6/EfkZa0q +B06SeS/mdrLwVtHPmiMaHCg/ORWb58ZCg0NNFW+1OXB2i5lJnxcLN04cz041 +5ICUbZeUdTALA0XaD9AtORCw/qLM5QssfK6fJEoP4oDfrPVoWy0LTTqV3qSF +cGB/7tnXn+tZWBZVlCp/lANTkSIrhxqpeG8aVJXDOXBY76AN/w0Lk4IXG2rF +cqCWXmzQ8YGFTpWxjobpHCgW2jD/aYyFLbtlFUszOXB54phV8TgLrYRu9Rhd +40CLI7n02G8Wmu6s9V1zkwNNjrYeMzMsVJ9cOGZ+jwM63Q8YbcIEDq09lW1T +wwHfZzLeF9UI9OqX2NuCHDBvfeopoUlgz4Uc5rYXHGgf0htKWErg60/VJdtf +cWC16ybHgwSBFaena1zaKX0af6TylxMYVXei33+QA3Y5zLyuDQROBIrcGRrm +AF3e6cnEJgKD5DIOHeBwoEA1wkj6PwR6+z2aODTGgaVBfhOGNgRuFp4QCZvm +QFbyhSJlRwLptqEGsVIk1HfRlqTuJfBmrbT4WjoJZVck0r29CTQyuz/yQ46E +xlV+PX/5Emj/13ChtwoJPjb7NK4FEHhe2JOxkUVCdH7NVfHDBKqcnlmY0iGh +RVhgKDmIwDsTmb1luiQYFT9VEA0hsHGg49rSFSTQt33I+RhKoNALG0XaWhJi +i5dtFgon8PLaoV+PLUgYXtANX32SQO2Ksx3BQEKT+Mo7LhEEbshjp3yxIkFE +2iH1dBSBrcoewRnWJDx49ZIec4pAz5TpbQ62JEwfE9QKP03gqTPG4mwHEs75 +ywRZRhMoMdk+ctyJBEV/8rhSDIE5QSGvlu+k8pktyP5Csf6gZNGgCwkv5cqf +XYsl8KlHcXyuO5VPShTaxRFo07XFb5cHCbdrj57jU9y9dXCTlBcJDjYL0wln +CdxXH8do8CHB/nvCFD2ewN/mDNppfxLuDR7xT6E4vrKm13g/9T5OlxBMIFBe +f89zbiAJJbHKavsozr/151rBYRJa7zzQY1O8WjUjwiOEBBeWl6lYIoF1qWvc +FI6SkBZRxNpCsdOSdtM3x0hIihd5F07xt+hgxfhwEtyTxFfkUBwyJTFhEUmC +jaOtXAXFC8H3On6dImGG9At4SnHykHVFSTQJ2j/EJKoo1tj7PcUvjoQD7tND +eRSXvIsNVk8gwdmc1htNsbn9UvvOJBJsG2beOlLc/PK5wcULJPwe7S2Sp9h9 +3W5xq2QSwspLLZuo/488nBqZSSEhkH7uXDDF4Qbpryovk3CEnushQrFo/uqi +g+kktK8zy/+/Phlqb+OZWSSYp7M3ilOscznI7+M1Es4UlqmeoPStEpOwvJxL +gkf1XblOSn+r2LsMuzwS2Dw/ujbFPkcGep8WkjD+/f6DFKqeP4ZjnofeJaHA +y1m5nKp/tJdWjl4JpV/sT9W6MwTecHB3yy4nIc7ofXQV1T/LGydNnR6ScHPQ +qzSD6q+a9VcVxR6ToDMRKBkYSeAnw7aOk89IaJNwHuul+vUGh35qEZuEX0aX +pzNPUPHv7tC5VEuCXKrQgNVxKn/W+/CbDSTA2GHB0KOUnn3K2npNJNAcnkmN +H6Hqc9O9pbKFhPhr5B1/aj5+q/VqNLaRIJvtbKpFzdPTD1qN2ztIyBfSEQo4 +SPVzhndITxcJcz8kZXIPUPMj972O20PCl00rM7/5U/MsRu6T/07C9qPL3R56 +ENjZaEjPHSLhsQdPIm43gZnxwU+XjZJwWCY3cJMbgZoC4xLmfBKyXLs+pTkT +aDD1p9z7Dwmb9/31ONyWQH6V+W7ODAlea1M7mq0pPzp6SujYPAlSGlvpkpS/ +mPFpLkmLuOB85HCZN1D+Migy+0CSC5/TrjXarCZwSb5Nwd8yXDg/42yqZkT5 +m/cF+zpZLqhFJG/7ZEDgjs9SeV1KXLhq7L/GUIfyx06FzbMMLjSUtO4XVSaQ +lebCj2dxYeusRtJOecpPHbIypZdxYaNmzbdUGcrvWtQ52gZcEDaxl+0WJTCy +jpliY8KFT/auqllTLFwf7W/W8TcX+E7Gzzx/sVBwfVHfHnMuVBSsMZej/D3p +Xz3jkA3U/78GF24ZYmF62cqedFsuGDulKg10UvsjF1gDnlyY9g6z7yph4XjE +uIi3Nxei7hqa3Cii9o1LEeezLxfKzxWqu95iYbW0dOX7/VzoEZZpSc9gYUN0 +78aWUC4MP5mb2RzDwo8+p/ZWJHDhp/udwsOOLNSElZYrznFh7rbPfZYdC73U +vuuUXOCCQz/PrdmKhYMdW3kFKVywWvwh7ZspC8es1E9lZXNBOvS+JGiwUHhZ +dXb0Ay7sEG0uqxhgos2i4NNzZVywyKrWd6L298Uv2j4RlVxofpwq+bWLifTM +87phT7gwkCQ+2dDARPUl7k/21XGh7obWJBYxcRVnqsv+HRcOnNfX9glgokep +CV1jnqpn3q8I43fa+Mh9SqqNxoNFd3ZUNLRoo5TwU4m4RTxInM3esalWG3HP +OtERUR48otnmTBVro7aY1cJDOR5Eakpl7Y3WxkE/R+5WXR6MmGpqiRDauF5G +jrOgz4NBscJGExVtzKjuHK5YzoNKe+1MB0lt3CLrOqC8hgfDUofWWkww8B7b +8+PAeh4QF3om5l4wMEj1UFOUMw/GJosCBDwY2PDSsHGFCw+m1yvvqnBgoNYR +fn2fGw/+jO9/bbOJgW2NobhlLw/cbNLc9JcxcNWxk4/lA3nwj9t4YTl/KU62 +JhSUnOFB0Sfj8PjIpRgdnxfz6R4PBPZtN7T5rYmSJ0Rsve/zoGvxlUS5d5qY +vf+w7GApD7jzT55drtLESjuz2/yHPPClRbk+OKqJg/S39YJsHkACp1CW1EC7 +XJqobgcPpu7sEdnZoo7d/wS03e/igbLvjmVuBeroF/M6c1U3D+aLMyQHTqvj +ab9MXYvPPLjKebgkwkgdy/SM7ByGeJBg9ShN4JIarlNPl+sc4UHKNse8TB81 +bJac/ehK8iDXrg5iTNWwf6zxkM8PHpxuUk3U+qKKio/3Jh+bofIlXE+sZani +7Tsvd03P8eDvTkJHYlwFjbL1tc7Q+DCz7HtHNaqgzanJ0qTFfNB9UiLs5KqC +74I8wiVF+WCaOWgxx1BBH68XG9LE+LBI2oUmNaqMUZb/vL0mzYc8sc6wojBl +XGI8kbVUlg/7+l/cqDZRxnSd3T4F8nyIv26qsPm3Emor1+rpKfHhp+ztH/0V +Sli6ZNn4AxU+qIfIyyseUkKLmYvVq9X50FJu4LGGoYSvyJ9xTzT5cMm9fJ/r +W0Xc2eu6dR2DD6opzHjTSEXsa62Rr2PyIeor+nerK2JwLevzZh0+5G4KofMq +FHC24nxBy198GPzpnq9mqoBJ+WOHt+vzwaVKsts9Sx4V0neZdBnyweLFOTOp +EDnU6Us+OkFx8qImh2JzOfwf7mLWxA== + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVlXk01P0XxyfE2I0lRskzGUNEnkIpdeshSqtCm6WkTVnabKEISZQkhBLi +CWVfUz7fEBIPQpStxmDMfL/fUYiy/fz+uOeee97nnnvP+9x7Xgxnj4OnhSgU +SsVi/D9bnea2YaO2W/9+HfvsQiEBImaqngN0Q8hjJtV7JBKwPiUhuYhuDhJh +4aYf7hPAWcEM8mbtg71Tc97HbxOwu7mr8AndBmyb7/cq3CSAGamWsaB+FHbV +yMiH+xIwa3Uq/iTLEcyVUx4EXSHgsMvU2xi6C9SdVjW4d46AWjPVsprkMzC+ +5lV0wCkCDDS25E+onwf/4hnPdEcCqOzgNDuWO4REl+++aktA39rbZlH0q9DY +FtCcak5AbIoKpnn8GiyZnL31chsBVrLZplXJXlByqGT2oykBpWSTkUDdF/qF +2m/9Y0TAvVfy2tasQLhyxrR0lEXAM6X9YRH0EPjmHTDjJEXA9eb4WrvXIWDn +9JfwBnEC7EK/CWkcD4UMtme0kigBUpOXblQmh0HF5rUjIws4+HTE+uDqd0C1 +Zfjil3EcrB9+dd3Hugeu7jUDb3pxCKIWad2hx8I/FZMGXgU46Fg+CC/0jIV2 +endbei4On0I9RnvqY6H4bmJARw4OTOE1OfpejyD/aePzrZk41M091+/4FAdy +vu0swyQcpMbjjNQjH0NZgUzjo1AcEvr8zErmn4LbxaqCnuM4HDmamjf24zmM +CR/+kCWFg+RCkL3lyjygxyS2xAXxQdOa5NbcLQanbdR4kQUeeP9nAgkTFRDe +kRNof5UHysW0/iVLEJxd1VYlOjEKpWyzphbTamh01jcfOTcK1a5Plh/Fa6Ex +jbzhNsCFSdYW2Vdu9aBcekP6kwMXhH7nyC3XbAQd/bcaO1pGwHjhROmh3Cao +132LD1qOQFOI4X91Oi3Qap5gkoUNg3o0/3cW2QrDPy00q3SGgT810N9p8AkS +h279CEoague8ykaZe+0Qc2fm49+zHJBR+1fA4XRA6vzGHovjHIg8X3LmGfMz +PFw1P1mHDcKvbTmccze6YOOciTtfYRCurqstXlbTDXphrr3abmwYyK2928b4 +Css3qasuFHyHGdeOpNV+PcB47vpCfvwb6G4Xmz9T2QsZq/TSBSbfwDKNcbZA +uR+W5XwWf9TWD95qTqu+2w+A44jtkkyTPliD20p27f0G7R7Usqr4HlCQHaPW +/vkGvWOBr+lvvoAISsrTSP4OFcIZFfPcLrhgWPlFfDcbOsRTfjp86oScXc7P +qn6x4Zx07FndjHaY5KvKDccNQp7MR9Ea/7bFO7YUjjXnwKmKA3JSf5rhxs7Q +lOJhDjg2yKxUmW4ARp9Vd3roEBzndkWG5tfApg0hsfR1w5Cgd3Dn5/HXYL+y +5feS9mEYVvGvs4h5CZONUWzPoBFo6Ce7lLdeQAkijlcPMrmw6XvUA4v4fKTQ +X5ImVMuF8Dw2p3cMQ0sDgmxMLo2CwR6ftKrUj4iS5ZjHlueBmkuc/rvH7agO +73JPUeIBQ/E2pa+4HUUaWOvbq/AgYtvhEcnWdqRcbvaqU40HLh3ZNumiHUi/ +XjunQZsHUkUSTpVXO5D90M/M3K08mNhhbJVp04kqGLef+rnyYNl55woGswtd +TsyLkq/mAeQqS+lSe1DZbOLt6loeaGiFthzQ7kGzjmFBl+t5INJtcDB6Zw+6 +reFw7VMTD8y0OCyHOz0o+aWEQ0wXD/ZJPlJZJ9WL3ledXiNP8CB1ZYEzb1kf +Uhlc/pGmwoe1b+sf5m4fQG91w6k0dz50qhwgTGa+I+MO5eYYTz68P7FF8rM0 +G+X7//tA8Qof0ov9vYL/YqO05jpVFR8+zBpujJeyYKNwj6V66sGLf5YZ84b7 +gI0OFgVb68XxIVGzQaVrzSAa2RSQuKuKDzkhXoG7PTnoxKCU00eMD18urexm +hnLQ17vJGntrFvuPlfyhJXJQU2/lywMNfFiuskNVu5aDCgP/VB3+xAcbbPN+ +S/oQ8q/2Hjw9zAem0a0B9/ohRLO6vCZYBofHfTLf7A1H0LN3spKbaDhUmGpW +j+0dQQYmr0Z/KODwQkxoZ+rZEbRPm5t5ko6DAnfnsqNJIyhC1JGxnYlDi1bM +29UiXCRSs2sZZRMOx+k3ZYJ6uOjXZgYl8DQOaSaB0qVpPBRaVNVvdA4Hhsi6 +VGuMhxR17d8Srjhcpu0vp/Xz0HrVeD8Hz0X95XQiRZWPPKelJk2v4+DbFxWW ++pCPRounR2eicZjem+lgdA9HvXqt7b5vcDC7cNqdkk6iFD4tQBgt6t2Wfv41 +JHLOOsSKeofDnlLj3wwOiUaZXT7P6nD4+sQ4m6cpQL+W96vVt+IgY30ixD9H +gGgS+FnFocX9D6ETvk5jyGJYbDZXmoBirzLWRMgPJP58V8ZGOQJour/UtRJ+ +oKaTd/dVyxOgtVrZ8lrOD3SoTya1U5mADRn5Ki6ffqATHUoWswwCKM7GDTGM +n+h6tUb0LmMCLiga/XZ//xPlPwUmZ5FbJq1bulmaE2jcb1zs5EkCJDbjP9s3 +TyDjw//y+xY5l53NtH5+cAJVysoWdS1yMO7Mhs35NydQ3c3+7R8vE0APDkeB +/ROoxznAqTCMAHkjnwSr1EkkqlWZeDOXAEnWWEeExRTaJewROJdPQOvUtpgz +zlMocmCVs18RAYPqVJ8rgVOIlhCx+mo5AZFDKuOryqbQCvFj5WerCTCMskvn +6kyjdfzpzn2fCdhvVy2IW/EbOeQZ09TmCXAs8dhspzeDSo9Ny7RSSNDokU19 +emgGyYi+lrolTIJP3tB+U78ZhNlvoY5SSfDasTbEv2EGrZIwXyhWIMG21L/Q +8PwsGnaxJvasJoF4nH303Zs5tFVOgb+gS4J8cnOB8Ogciq/s4Bbqk7DMr45b +qDSPdsof4agYklBbc1LHyXMeZSPHHs5WEoT6DmoydReQu+rFD/42JAzcl1Nd +bk/B6t7r1a89TML21BkrNS8Kpn5JUMs+SsJFXyLqbDQFa62/jO10IsHCvY7z +5T0FW3fNt0zRlYQ3bv/EOxkuwaZawjJe3iABP7hc6DxDCDtwfWe6UzAJ6o8a +7fO2CWEvWBKp8qEklHczw4+dEMKOBUQl+0SQUIKdnOM+E8Le6MQ+NH9Egvlw +QfFaLWHsZmhqUG82Cb53mXSl3SKYtLeY1clXJGhPsbee9xDBEs+5yQ/nkTAZ +PZFiFiuCFe02SRcUk2BogykkDYhgw7S2WiFEgrISo/JAwFLssvCGqNvvSDDO +LLxvnbkUW5hItpWqJeGrS03JfMtSTKX73LDSBxJG7rRe99IUxXY/pVBXt5Ng +mWI3++SLKNZ9/0zrq04STITdL7yjimEuQU0J67pJGAxF7c0bxbBAl4TVpn0k +rI9oamtMEsPydQx27x8hwUw/2CXTnYptWRGn0DFKguyLU8WOmVSsUXq25whO +gj613ejiABUbHKu/6Pxj0a+1q79+thXHlpU53bs2Q8IHtWJakp0Elv7ivd2f +ORJI77TojCQJzCBRV/0GRQB2bo4vCbYEtitgKi98qQCyNAp95nwksc/uDj7S +VAFU+81Nb26QxJxP1GyLkRDAb4lLDlmqUpi/2f22JFkBaPoFhAU3SmHiRpOP +/5IXwAW7WbcubWksjnXcOUNRAEKmYrhJpDS2SuWdjo6yAK5H0z94TEpjeeJa +47l0AfT2a5h7n5bBTGciK9evEICv36nYZ30yWAP+81b5SgGE2ov4lDvJYrb9 +R/ZsYQggvLd4kw0pi7FbqhSrNQTwZkXBuiILOczjHbPPgiWArS5SZ2pT5bDZ +woiMj9qL8xTNI17L0LDw52NuB3QF8ESioi3pCQ1TirMz7tQTADVENWFpuTzG +Yt+7MrlYH1m/5VsWXx77H4OF7Yc= + "]]}, "Charting`Private`Tag#2"]}}, {}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>], + ImageSizeCache->{{4.503599627370496*^15, -4.503599627370496*^15}, { + 4.503599627370496*^15, -4.503599627370496*^15}}]}, + Annotation[{ + GraphicsComplex[CompressedData[" +1:eJx113k4VO/bAPCxhOxj3zXMUVFSWRJ1V3yJFpG9EKEdlQpRKEs7KoQWFSrK +Eu05T4iifgilbIWQOTOjEGV753e932f+eK/rnX/mmus6Z859nudeng/DL9gp +QJBGo70QoNH++20fMNCIfrisnMydvPzt2iQZI/Zw7inVS7A0zJ+xYoUAcvfI +Lhz6eRucv+xXvP9QEEnMxGy11SoE909/4coHIUQ4cgYqz5RCfaRzRm+9MDry +H3NIH3kKjzRUWUENs5ByKb1TQICE3QO3G/TKRNCjbqt39ZYVYGuxP7YkRxRV +7L6q7kFVQd8054TXOTE0qrdC5v6+GtgQumfQdftsJPgnX1adqIWW2ytssu3F +kenMtkebH7wDu0VdPVK6EujdSeP/VOvXw88G7uLccQmkncT6c5fTAJK6o3kj +3ZKINdbV2WL0AYzVVpbVIyl0e/B5rfT5JkhQy9EoK5NG0pp53N7eZmhc6WYe +kS+Dzu4qC7zB/Aja4b42jHWy6Peq/N6dxz/B/CNvJofey6LQJVWlSpWtYK9F +hJavo6OuB1VnGhlfwN2xvuZqKx1N7G7OnB/RBlsrr1ep7JRDBqtFpwOft0MF +99Q6rWE5ZHuTsaNYuRO2x/1p1k2SR0c0fXS+be2Cnk1/aM7zFdACykXi04av +0EsMn/etVkDyMkNiVX+/QkNoEMs1XBEJk5mFulnfoOfCQUOX+Upoj/Hzz7PX +dYOCuAxNYVgJ5dv53Sj/3Q2suy0b771WRqMsNdm+1B6o2TOyvSRVBZVtthW6 +ZN0L541u0oYSVdHxtXHXS/t6QTHlw43LR9UQo8O+9Vbcd5DV2bVmKkIdLTc7 +eUl1SR+8Tc6wDsjVQFu16v8INPXBq6MTsjtaNNFo7bnukJh+CPP00Be30Ebp +wt6hTswB4IwVPX25eQ6S7yy7KVg1AOPJzIQ2RQaaFRXjbL7/B4Se8wyZ/sNA +tLvehd1ygzA5FZBv2qGDDmQUnpOrGIRiG+2r8l900UuDRDF6EAv8J20HG14x +Ed3+wIJYaQqqWmizk30I1L6woSn8BQUNks5DnWEEKroGzF5vNvz1Dd3YUsBE +XoWmdM1pNnRkj0SYfNRB0XHZMe33OCCwY9NCu99aiPZ/PjcUHeJPq54EhiLB +CnOhofSOCKuy6Wtg1XbjT8IsQbSkgC6iIXYPUgXSUa+tEFLpUa+jq7Cgs6an +wc2JifqXR2XYlbNg+wtZ37PqBPptwaAdC6DgXt/+gKQ4Atn0iU4+kOLFk5JZ +Y7eUQCJzn2dEP2DDZrHaopJeXRSktvdtpDMHhsbyAgW8GEjpsc/5QxMcuEC4 +H1nOVEMdixKszqmGwg33+wmCITOk5HCqifbZK7Cya25rcY4Aeh372VMkKg8C +PTpFFs0IotflAQvk2IMwX/zi3l9KTOT0MNZxYSoL8oVXTbcPMZFwpZ0SbTkF +sflzbYR560cXp3YofKdg00FDj1IvArX5RfmUxLPhl+ed3H2OTNTn78heP58D +P8y0tEUJHbTuGk1sfhMHxu9sFXWp00COF7/s3qh3HmbNGZhsbqSh3aIfdtGt +WBC48qzsxTNMFFlxpCegjwXrsnSzW1YR6Efp+I+JJAp200+dCo4n0NEK3SQ7 +Uza0b3RXuzLOREtY4y0bP7Jh12kDHb9AXTRWH59TcJwDee0mYXFH56Du+nKF +Cl0uRH5FAa0aSsjNf+xliqo//DT8mqebNk1WT902bP6QCgcMDLkvDggg6aAV +cUfNcqHxnSmr/rMgyioQ90r5NAjhhpf974zrosTgWQu1Y1nwip6/oOkzE50W +8WasZlIQfbv8ssQ+3n6qd2rWNFAgl+Fsps37XR3dubruABsGnkxN2MQwkY64 +9UypPAeOaklf8YnWQX30xipBkgMQz8qVozRRWPOlMEr7FLxtla/2S6Wh1aOH +M5IXskDavkXaNpiJSo79LXf7wILimp/JXEMChYxLjloepcDO0V6+JIFA25oV +bSYZbKguqN8ppkIgjdmeT3ZUsKHiuvYYytNFSw6FP1bYzcsXj+HcYu4cFGl1 +oTFThgvZ4s2heaEq6Px9uXmOescgOcf+4AdJGtIYmzlkcY8Feq0PGA0iBIo6 +biJBOlBwKkA2yCqaQAvG/xT7/qHAZse8x2H2BBqy1oi6ksEGmQP3pUCTie6R +3m29KzlAnPkyOlXJQEX6Rusc+jkQb/0oReCcOrIRGRUN/cuCK+fP5Kk4Eshv +f2/ns1wKhr/ff5AUQ6DUosVfUu3ZYOKUrNzbzPx3P7v+3U8RtO79p5Krqs4g +a6FfG0ObJplCC/IND1+GWpW41a7uAujh9mC1R5dyYNGwFrO1WhAl6Hod+vBu +EBrCfi0L/KGLbr6vVlMJY8E+/T123PdMtHHeQK6vKgV+djs0MwN5+cf8FHaj +mgIY2id44CCBnsvIPPy0kw1fRGTrUtOYCG1dIfZDjAOPaPZZ4/k66OE681vc +Ug5sp0W6PziohSRH9x9/nhUPn1/HHnh6mIYW7H1b0qjDgpNrzU27tzHRu/bn +BZvesGCp+xrHPQSBlqqlRXiFUODG3GYmztvPzR3S2S3KbLhsEmC8UI9Xf+mn +54c+YUNvosRYdbUuaqg5gNb6cMDDLsXDYC4D2UWNFSbO4sL8JwUiTu6q6BHn +nQlXOxysZ8STXr6ZIc1cXm03vsGCt472XhMTTLQqm0zqsqZAVMYh+VgkgbQE +hiUtuBRccW9pT3EmUF/Tek5OEhusZ31O+WbGRGvl3HtVjDkwIL13ueUoAx3z +T59v2cGBy6zS2RFGGsjX/9Ho3iEWzAnyH11oRyC9i0H+bZkUHM8tUjtykkCJ +T/VNQlaxwflrcO7afiZSTHU1bVnIBcvKU+bSIfJIrDv2pqteEAh63zGylJwh +a3qbMucsooC+4XNW2wECCct/r2B/oaBrzeL0bwEEKvgYG6wRT4GzBa0zmrde +QXUaLJ0FbBAx3SjXKkagwtlzhx+ockEjREFBaa8yeuaVH3fNk4K6pEi07gSB +zLk0t0QhXjz79xX5AoF6hmr2+v3kwLG3agnaXWrouoOnR0YxBSeMPkWXRRH/ +5l/9v/n3m1x6PT3roao1jP5HRtA+bYr8EBf8o63mEhx/81jrm70AivcWC9hs +lQNjn54vtHspiCa942MO1AzC1mdZH8u/6aKiyLxkhYMsGD8quri/homMzO// ++ClPQc0S/y/ztvPq4e5mvXOvKJBPFu61PkwgU7c8Vsd2NhSfytVwv8lE0iLP +JE8IcSBhMmPzmlc6KGPnPrm+Qg6wp5+8uFimhVzjvgrqbomDbZMx5zYF0tDN +vF/l+zV5/SN8PDbbg4m+nMnS3VDJgg/9+v3xcwikYLD1JXs3BQWxKuo7eP32 +ne+ZjRVybFCPOL+hfQGBznbp+EU8ZEPt42Spry26SHs/t6rbgwN/hne+s1vD +QEYZBtrHaVyYmPu96TlSRfYy9yzLsw7DkGXenBNXZ0hr4ZtfjDJZUOdIzTn0 +m9cPS042BQMFbyUW33GLIFB6XPCzuYMU7JO9tnuNB6+fqX/XKzjDBocejket +NROlPW8eKDHkwMONOukOUjrIP+Zd+pJWDkznp0n1HtNAQfJpe3exWJCjFmEk +8w+BxG4vzduTSsGHFea3/ztfBVfmdW+1YENJjrGFPG++TZaczqmbx4W+X563 +1c0UkZHuiqIR7V3gqf5xVVjzNHlnNL2zaD4FRvnPFMVCeP0vzTfkSwsFUz+l +ZK/tItD5ftuSgmgKdH6KS5bx8q/f4Uq6zFw2rNYq/5Ysy+tfelv8chS4EHfV +TNHmtzLKCgp5Y+jC6y+TORldvP5WcjBK+NA0BdKa6+lSvHhrpSbb3CkOXFtX +ATFm6ih6m3aWfgEFKbG/1CqOE2jSfnuar543LNW8aTvmOE2G3PCse1hHQVwm +dSeAF5/T7A9m7w9RkBgn+jGMF4/ftspVKeJcEJJxo0kPqiDvpL8bHOwp+HtI +UDvsGIGsY+8y1mVTQHL86Tq8eqh9/XLB2TMU/B7szFPg3f+G+nXiiRYXznkW +73BvVEKt6/vWSG+jwMFu5m88r57LV15WEn9Mgd7obqndR3n1aaUW0qVqDOZT +G9tPbJgi9W2TE0tCLoHgvWaGorUA4rY5i99UywG9r1O1kU8E0ePJjISKqkGo +jz1b9KNDF5k2K79PCWHBzmsn33VUMdGNVzISy+kUFF2STPX1JdB1Fj1KiKRg +xOji3/QjBBqOGBb19WVD5N2FptfzmOiR57h0A40DQnc2l1TX6SCpI6L2vvc5 +0DLrUoL8Ry109H1aleuzk/B2+rrJsBcNef0j+vqVGgvCqezKKRcm2tYj6VOH +WGBR/8xbUotAcQ/LO0128t73xHxCkFcPs2/b5SyTZcPpCWczdSMC2QkFH5sq +YoPllecGTrz4q18vrFnkxoG/K1VcSxwY6Nad165/pziwrJnQkxxWRZeuqyBi +yyHwWBzNpSfMkHVb5JQK01lwcfSQdf4wE11c3j/y2JKCgZn5YUvDCdRcs5B+ +rZ+Cx14cyRNbeP0ZFlstOsWGqVt+95nrmGilrDxrxoADfeK5NaaqOqj1QmDD +/RYOqGzfPNcjRwON7ha90z/AArqC05PRNQQKW5D65uFFCvbTr3mJ8t5nZXSA +edMyNnCdTF54jzBR8Ctmh40eF66tCaFzShRRlZXa48qsQHjln/Mu69E0qXps +YmZcj9c/RQT6zwcR6Nln7ZpNTRTcFtYTDtxDoJnge00jURRMUP6Bz3j5w0xx +48Yx2bB+UjPRRYG3fiajV+bIcWFHT+X156YqSHLsw4/DThQoBVCHlXn1wC2z +2MKaoGDb8uSmWlsCrdBIlW/+wYGkDY7Z6X7q6OdAzMsDdynI2easUsw7fzDP +aubMaHsA5TYYb2IwTRZ0q+jov6WA5vBCeng/gSqSjT0UD/LqJyKPuZYXz8cg +rzApMS6YpfdZTjFUUb2KV3CaLQUP3rymx/D6e5m4pNXFaxR4Pb8r38yrB73u +8wdHefPpvNBbh3wLeWSxcc7G5kQK7KsnGh15/2c5cfb5Ug0u1BUv8DJmKCO7 +lrX+rl4U3Hp18BSXd79hzZiZUykFN/q2Fabx5muvBjPmiN5GsLrqcRQapsjb +N/9k5uyjoP7OA32Stx9p6o1xulcosEglV0vw+lXi7aF9mwy44FYm1ep5RQFp ++nxP8j9BwS7Pv/3ZvOfrqLzS11fmwi+5Wz97SpSRQZ9UXp8bBa/li19kxhLo +W3SwUlwYBZ6JEouyeNd7rtgiYX2egtDiQqu3vOe5dLqvX8HgglqSbpzZUSW0 +o+oEo9qPgo3f48fpvOdj/y9+dunGnhI2YP+veTpqdJg3F7H/h4Tc3t6VpAD7 +XzUloz41hgXY/z6rxNKEZwYB+z+xOf/Y1tBBwP7fodNYLjLyA7D/a/0Mrft3 +/gDs/9qbnOP7ugYA+1/50XGpD14DgP2vb/hS95/6fsD+rzF4SfXY9gP2f4N1 +uvld1AfY/32/bIhy/T7A/s/4fuJnTOZ3wP5POTVRt3iyF7D/s6eXtdls6QXs +/4s606PVqAew/5dNmQex5HsA+39h/O72efu6Aftffbm22kzxN8D+Z9zefUdu ++Ctg/+foLLzFNf8K2P9K+R9nX27sBOx/734XgVzzDsD+bwoWe1ye1gbY/+1D +x56pvvgM2P9PhXKeTg98Auz/5tnXf3l9aAHs/51Sl3YY5DQB9n+hdJ1IZWQj +YP9vf7pJVvLve8D+934jraUy/gaw/7cMfDobV1QJ2P/pC53Wfhx+Btj/fSqR +1TYpBYD9/6aT80l55R4S+3/5t3PJNmlFJPZ/YmF3b/sQIrH/jdaH3SzPriOx +/zX9Uw1fXWkisf/hgbKkgVgbif3forKJbT7xjcT+v9Ih/XWrcT+J/W+1JyCI +dotDYv+bN6xo1SNGSOx/77JgC9eFEyT2f/gZpqriOuH/1/9fj0RN+EiyAft/ +397y4rYtFDxlJFyL2D0ISrv8njKYn0js/0Uvay4+WN1FYv/nnzx8bF1IL4n9 +f9P8mNSjm4Mk9n/p4cd6Iyd/ktj/EnpDzadtxkjs/64LsmrqW2l8/7/VLKVn +uorz/V/bGPU+25oN2P+Pi6VrL8dRsPX7r9wHKwdh5B9T+1znFhL7P1ur2G9Q +qYPE/s8g3qh8WtBDYv9vUY2WjmkbILH/t2wmt4X7DJHY/3ImYen22aMk9j/7 +yj2PVy+mSOx/2+uuk1c/i/D9vzuosutFOwXY+0yTE11BNd9J7P3xDbleJucp +Ent/j4LJn6DXv0jsfQfXCm6qxh8Se59yUhfcxRDke/+FRvGShzayfO9XB6gZ +nee5DXtfNrxJz5jnEsOaeflv5g2C5ENxn+ehzST2/kaJyypLJNtJ7H0iN+XF +QHI3ib1fPzfl5XzhARJ7X9px28nIfC6Jva8am0ge6xwhsfddHkWWGO+aJLH3 +lRUZzzdFzeJ7X62+b+/nYQqw752RhYOt6ncS+z6841x89kUWiX1P8zN9k8L4 +RWLfG59zvTWgP05i37/YtybNx1iA73siIio+tlaS7/uDgZaPfuixAftcsMOJ +YBrMkNjnVoax/rlBYuiF/qWL1pc5YN1XXLporhDf3/+7vjS+v13eX2iXj2YD +9nfRtdrbK3mOV35idb9FcxD8m+853xJpJrG/reb26nmdaiOxvyeNl6VJ2nST +2N/yA2uVPDL7SezvL1dN7w0SXBL7OzXQzKIoeoTE/j78z6KTkW8mSOxvY2ck +n9klzPf3U4tF/f0zFGBvq6v8ozavqpfE3mYUjGfQ1Fgk9rZZTpGK/4efJPb2 +2e8qwzqPx0jsbZug6t7Pr2l8b9/VLQmbCpPge7tTsOnEGhM2YC9XVfrq+4RM +k9jLS0+/a6zNFEWeUeeywk5zoAz5Tg3cEOR7WOykWvqsJ3J8D59MerIu1IUN +Kq07+xTfcqD/VMPRw4QI37ftnbrWRwKk+X7VXjT/y0eX2Xyv/u9+DfG9Kh6f +aPn2AhuwV0vPZEQ151Nw1sjRcKvKIJxe5dYv0dBEYq8Ktxo5Ja1tI7FXb5VG +Ho6d001ir94RFVybvaOfxF5d/8j0D6OXQ2Kv3rvHdLztNEJir4YVfnewjJgg +sVdHk0auW10S5ns1pzskSVGEDdinhGfZX3pGL4l9eoDu8ITeOUhin86dr2x7 +KP8niX3aoy0WdvDYGIl9ujecfW5HEo3vU9d93gXsbnG+T8s2l03WWbIB+1Ip +onqgRHGaxL7siSOb3i8TRXf0xLPl4jjwpJWZ6LlNkO/HowrWp59J0/l+jCyd +CLnFmzMzI1kuklUc+OJfWTZdP4vvQUFLUcr8rBTfe4ZiTSZ7u8T4vrNWvp4c +c5AN2G9/xPd73VWT5Hssbqtw2BMfGb63CpmZNcEZbMDealJtbbz1gIJq6lPQ +dcVBYCgk0DpKm0jsLd25cfWb5rWR2Fuvt62Q+CjVTWJvPbUkKoY29JPYW+Ot +thGRlRwSe0vcgvrVZDFCYm/ptslkX9s8QWJvzRvrXrkrWJjvLVefOUJms9mA +ffV5v1YrM66XxL5iCC/JdkSDJPYV3eC39tz0nyT2VcPYqpRAvzES+2p19oS9 +5mEa31ecIzeTcjLF+b4SGJ08UbCKDdhHclnvi4V+TJHYR+ZCQXteiYmiTUfX +3vKJ5dXL5dqthasE+f5Z6S8ZWJUty/fP8IL7SVG8PD4gZHYu4RUHTHNLLjjm +zuJ7Zo/r5L5P86T4XpG5s73UO1eM7xO7Smm5xHA2YH9UREyNW7yR4PvCfemK +r3dZcnxPhEdsv3SjQ5rvhQ1jU0e2JLABe+Cq+NPGzKt0/vn/aJLq2+BRKf55 +PrG9dLkzRwb9D/Nu5Oc= + "], {{{}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{ + Polygon[CompressedData[" +1:eJwl1GOUFggUBuCZbNuTXSfbdpNtbTWTbdu2bbt2M3Zr7WzXbt6tnu/04znv +z3vOfc+9IW3DQ8MiBAUFBbMv+EueYjav6cojDlGLG+xgAiX4hbUMpTX5+I6l +9KUxlQjMOM0culGbkuQnImeYS3fqUIoCRCIyUYhKNKITg5jEIjZxiEs84pOA +hCQiMUlISjKSk4KUpCI1aUhLOkJITwYykonMZCEr2cjOWeYRRl1KU5DLLKMf +TahMDs4xn3BCKcOvrGMYbSjEFZbTn6ZU4W+2MIZO5OQ8C+hBPW6yk4mU5TfW +M5xnHKMt9wJd8x/T+JdvCJRfWHzPCt4wgCcc4X+acZvdvGcyLznBR6pyla28 +ZSzPOU5nHnKQmbziJLm4wEJ68pjD1OcWu5hEOX5nAyNox332M50i/MBKBtKc +O+xhCtW4xjbG0YXcXGQRvWhAef5gIyNpT1F+ZBWDaEF18nCJxfSmIRX4k02M +ogPF+InVDKYlNcjLtyyhD42oyF9sZjQdecABZlCcn1nDEJ5ylFbcZS8fmMo/ +fM0nanKd7bxjPC8C+9P7AA4xmx3U4AiLOMBgDjOP3bRgYfCXn9GbaWymOEOY +zx5a04+ZbKMig5jLLhrSjfGsIS9t6M8stlOd5vRiKpsoRiv6MoOtVKABXRnH +avJQjWb0ZAobKUp56vMVY1lFbqrSlB5MZgNFKEc9ujCGleSiCk0IZxLrA3dE +WULpzGhWkJPKNCaMiayjEGWoSydGsZwcFKQ0dejISJaRnQKUojYdGMFSspGV +LGQmExnJQHpCSEda0pCaVKQkBclJRlKSkJhEJCQB8YlHXOIQm1jEJAbRiUZU +ohCZSEQkPyWpRXuGs4QIVKIR3ZnAWvLRkj5MZwslGMgcdlKTBeylHQcZxmKC ++Qz6T8XQ + "]]}]}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwl0vO3FwYAxuFv2uKWjd3sOtk2Vt3suvlU97a4zC1jJ3PZbdm2bWOZy66n +0w/P+fwB7xsUEhYcGi4QCHziW3czlte05SEb+ZUbLGcIhTjLPHrThBwcZTpd +qEMZwrOHcbSjCoXJSQT2Mp72VKUIuYhIJH7gRyIThahEIzox+ImfiUksYhOH +uMQjPglISCISk4SkJCM5KfiFIFKSitSkIS3pSE8GMrKPCYRSjaLk5hgz6Epd +ypKJ/UwkjGCKcY759KEpeTjO33SjHuW4wlIG0YrMHGASHajOTVYwlOKcZwF9 +ecpWQrjLWj4yipfs5Nv4eeUEM3nD7zxmc+D7P+rzH6t4z3Ces53PlOcqy3jL +HzxjG615wAb+4hW7yMJBJvMbj9hEDW6xkmGU4AIL6Ucz7rGO0eTjJLPoTgNu +s5oRVOAa//AnbcjKIabQkZqU5CKL6E9z8nOK2fSgIRXJxmGm0olalOISixlA +Cwpwmjn0pBGVyM4RptGZ2pTmMksYSEvus54xFOQMc+nFE7bQmDus4QMjecEO +vlCZ6/zLOwbzP18BsfuBBQ== + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwV0FdXDgAAgOEvGUkJkWS0rBAOSka0iOyULSWRVcmWQyhFyUhGKCV7ZpTo +x3lcPOe812/8wcr8iqBAIDBAkHjGFQYo5RdP2EQvD7nISj5xlzMUsYjXNHKS +naxlCM+5yiE2k85ighnKMIYzghBGEsoowghnNBGMYSzjiGQ8E4hiItFMIobJ +TGEq04gljngSSGQ6M5jJLGaTxAtqKWMLq1jCHDq4xmG2spoU5tLJdY6wjQxS +ecMt/g/fRS7zeMkNysknk6W85TaV7GYdyXRRx1G2k0Ua72iiij2sZz7d1HOM +ArJZxnuaOcVe8ljAK25ynEJy+Mw9znKA5XzgDtXsYwNfecB5SlhIDw2cYAff +aeMSa/jCfc5RzE8ec5kVfKSF0/TxlP384BF/qeE37QyykW+08ocL9PMPyrhH +fA== + "]]}, "Charting`Private`Tag#2"]}}], {}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 1.}, {-16.34123920227253, 2.0794415416798357`}}, + "Frame" -> {{True, True}, {True, True}}, + "AxesOrigin" -> {0, -16.341239202272494`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> Directive[ + GrayLevel[0], FontFamily -> "Times", FontSize -> 10], + "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, {Log, Exp}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxFmXk0Ve/3x68hZJ7nocs9CpHK8BG1Kz5Eg0hCIUKjoVmiokgzKoQGFSrK +EE3KeUIU9UWIMhVC7rn3KkSZfue71ve5v7+svdZdzjn72c977/16M/1DXQMF +GQzGEwEG479/nQIHGtCPjcsmsyevfrsxSQrbqod1qZmB1dS69pNrp8jFN1Mz +HqvZweh/ZASdUqbIXk1W9GH9dWB73fMo1E+Rqz+0FF1XcwNZa8OaaMY0yTqv +lTWj4wnUpsE4c6NpctJpW4qfvg8s1rrtMOYyTW4KGHuVpBYAP02+5uilTJOV +tupPKzKC4HVA1vuMJ9Okqd7SghGdneCl8Wl5eNM0KdYdc9tdPwQEfe6Z2kjO +kB0LTtteUDsAtzwenhYMmyGv3FRFxOaD4LnwBE/u9AzpJPPApizjEAzZ5Mw5 +eX2GfMJ9b87TOQJ2M+IJr97OkBcfys9z0T8GiVlO+z9KMtAtJee4s2qngKlE +sMM3MtDRDymV7i9Owbvpm+bD3gzkHvtVUG9zLGydjL6wPoiBJEf3Hi/NiIPP +b2L2PT/EQOFNV8IpnTPwrlWhyj+ZgVwuf9m1Tv8izJozMNnUwEDRYo/nnlG7 +AovDA5hLlwogQ4fE+KKwKyD4oImpZCeAPsaG/mirvgLH3z7V/uYkgFhC83NN +Dl2FGtXYFe4eAqhq6q5J08dk2Gdkwnu5TwBJDieb65y/Bsu65rYWZgmg1I4I +25LpG2DbduvP6VmCyMMzM3/o511w+7JX6eFjQcRrcxO/rZ4F+l+naiKfCaI4 +H7HADbZZMNZSauz4ShA93haq/uRKFiwY1ma1Vgki6ZClsUcts6HhvQW77rMg +ehPz2UskKgeCPDtFFswIokV5ciKaYg8gWSAV9ToIIYmZ6C0O2vng0fIXrn0U +QoQLd6DiXDHURbql9dYJo8P/sYLUkefwRFONHVI/C6kUy3UKCJCwa+BuvX6J +CHrSbfu+zqYcHKz3xhRliaLyXdc1PKlK6JvmnvS+IIZG9ZfKPAyuhrUHdg+6 +b5uNBP/kymoQNdB8d6l9ppM4spjZ+mTDo/fguKCrR0pPAr0/ZfafKsM6+FnP +W5g9LoF0Eth/7nPrQVJvNGekWxKxx7o6m00/gpn6spI6JIXuDpbWSF9shNPq +WZolJdJIWiuH19vbBA3LNllF5Mqg8ztLgm6xPoHOET975mpZ9Ht5bu+O4y1g +cPjt5NAHWXRgUWWxckUrOGkTB8pWy6GuR5XnGphfwMOlrvp6qxya2NWUbhDR +Blsqblaq7pBHRitEp4NK26Gcd2a19rA8crjN3F6o0gnbYv806SUooMNavrrf +tnRBz/o/DDcDRTSf2ijRsvYr9BLDF/2qFJGCzJBY5d+vUH8ghO1+RAkJk+n5 +ehnfoOfSfpONBspot1np59mru0FRXIahOKyMch39b5X97gb2/eZ1D96ooFG2 +umxfcg9U7x7ZVpSsiko2OAhdseuFi6a3GUPxauj4qtibxX29oJT08dbVo+qI +2eHUeif2O8jq7lw5FaGBllieuqK2qA/eJabZBWZroi3adX8EGvvg9dEJ2e3N +Wmi05kJ3WHQ/hHt5Gopb66BUYZ8DrqwB4I4VPH+1YQ5S6Cy5LVg5AOOJrNNt +Skw0KyrazWrvDzhwwSts+g8TMe775HfLD8LkVGCuRYcu2peWf0G+fBAK7XWu +K3zRQ08n006XVw5CXcz5gh8demjSJy56X/UgbHmR8ansmx46red98OP7QagP +//VP0A89lJEn7p3UMghHTK4G3BvXQ2/KAufLcwbBQPzynl/KLKTao1Erp8qG +zuqe+k2uLOT9r+ib1+psOEJlVkxtZKHbOb/K9mqxofDIeEymJwvN3/OuqEGX +DadWWVl0b2WhFaOH0hKN2SDt1CztEMpCu0Q/7pSzZUPQsvOyl8+x0CujeDG5 +EDYETDoM1r9mIYsmlQ9JYWzYcePU+45KFiqIzElU3M+G8aOiC/ur6ed9qFJX +DWdDsOFuR94HFooPnWWsE8OG13K58xs/s5Dr4xgX42Q25Aovn24fYqHazfLK ++alsuDx60C53mIXshG9/MU1nQ60LNefgbxay3Ph6m9ktNrxzcfKemGAhzbGZ +g9YP2KDf+ohZL0Kg/iVRaY5lbNj2UtbvvAaBtvZI+tYiNljXvfCR1CbQl3MZ +emsr2PCx37A/bg6B3reX5q1/y4bFHitddhMEKjr2t2zTRzo/1T8TeSYEiiw/ +3BPYx4bVGXqZzcsJNLpL9F7/ABvkFF2fja4kUIhCyp6dbDZkqUeYyvxLIL+A +J6N7htgwJyRg1NiRQPYio6IH/rLh2sVzOaouBJJz2jc/RpqCymbG7ERfAt16 +LSOxRI6CgiuSyX5+BDK1evjjpwIF1YsCvszbRqB18way/dQo8HfcrpUeRKCz +Ij7MFSwKTtwtuyoRTCC1YxMz4/oU1IoI9F8MIdC90dTOAgMKTHNfKImFEai6 +tzF9zgIK5NZ+zmjbRyDhCkdlxhIKYnLn2guHE+jykv6RpzYUDMwYhC8+QiDd +olONoUDBO4mF9zZFEGh5JpnQZUeBqIxz4rFIAtWpeoemOFDw6O0buegoAvkk +/F3r7ETB34OCOuHHCBR13FyCdKbgTKBsiO0JAkmOffxxyJUC5UDqkEo0gTJC +wt6abKS/ZzIrrYuOjfqkcvo2UfBGofBlegyBXnjnxt7wor8nIRKtPkkgx+ZV +Ae7eFNx5vf8Mj45b1/StlN5KgbPjzN+4UwTaXnmSWeVPwbrvceNysQT6bc1k +HAuk4EHf3sAEOo59XNZpvoP+/UkDQjCOQIpGW15xdlGQF6OqsZ2O797+k54V +TEHdvUeGJB0vVk+J8A6jYBNrq6X4aQKVJ5p5Ku2nICkih7WKjl1nf7T8cJCC ++FjRT+F0/O1EqHJsOAVe8RILMug4bFxy1OYoBY4uTgpFdDwT+qBxJIqCCSog +6AUdX+x3KMo7QYHuT3HJEjrW8v2eEHCSgp1ef/sz6TjvU0yoZhwFbtaMzhN0 +bL1uzrqmeAqcqiYaXOi45s2r+efPUfB7sDNHkY69lm6WsLtIwYHCfNt39Pv/ +KB7/MZFAwS65M2dC6Th8fvLbx5cp2Ct3w1uUjsXuLs7ZnUzBx6VWd/+bnxSN +hli9axRYJ5MrJOhY/3JIQFs6BcezC9QP0/ktEZe0vXyDAu/S+wpNdP7tYu4z +V2dSQHID5HTp2H9vb+eLbAqGvz98lECf58+B6Ff77lOQtdVNtZA+/xNbdTIM +8+j8xfxSLz9OoJvOXp5phRScNG05UULXj0n1mKVrMQW3+rbmp9D1VbbsqrL4 +Uwr0R3dJ7TpKoHbj+sYjLymol3Qb6qTr9SZbLkqIpGDE9PLf1MP08+9v0L/w +mgKFROFeu0P097Nawm9VUQBDwYL79tP57FbVNXxHAcP5pfTwXvp8bnnVPq6l +IDaduhdI34/fGp1a1fUUyKe5WerQ9+nFZ53q9Y0U3BXWFw7aTddzil/Yl2YK +pn5Kyd7YSd8fhe/lnC8UdK1cmPotkL7P4tR2xe8UrN9v4lnsTaCmamO5G/0U +PPXmSp7cTKDU2NAXcwcpCJa9sWulJ4G0BYYlrXkUXPNobk9yI9D88T+Ffn8o +sN8+72m4E4F4Jdab2RMUbF2S2FjjQOvR/ijhg9MUSGutkZOi9cWKx9gUL8QB +t73BBX5A60uf6OQjKQ50JKVXOy4m0Oy7jln/yHLg7ISbpYYprW9+59aVy3NA +I+Li2vb5BNrQIZ3ZrMKBq+aBZsb6tD42KdlPMjlQlVe3Q0yVQKykTbxYFgfW +TGrFb1Sk9dT5WqrMXA6s0C77lihL612tJlt3PgdELNbJt4oR6Gi5XoKjBQfa +13moXxtnoWUnAq0a/+EAz9X8pc8ICwkuy+neYs2BoiwzawVa3+OfG5qHLaff +/2to9qp+FkouWPgl2YkD5q6JKr1NdP+4AaxeHw789TuwrjmPhYYjhkX9/DgQ +ed/Y4mYO3W825bA7tnGg8Ey2psdtFiqVkXncsoMDX0Rka5NTWKjqROeK2n0c +GHg2NWEfzUJt/lG+RXEc+OV1LzvYhYW0YaHtgjMcmLrj/5C1moW2anzXzzvH +AecermeNHQv1Na7hZiVwwG7W56Rvliw0ZKcZdS2NAzL7HkqBFguJzC1NO/GI +AxvEagqKevWQo1DosakCDthcKzVypfv3+S5d/4jHHKh5mij1tVkPyaWeNTjw +jAO98RJjVVV6SHO217Pt5Rwov6kzhnL00CL2ePO6TxzYedZI1z9ID3nnW8hp +TdPnmTkSYf5JFz3xGpeuZ3BB6N6GoqpaXSQt8kLypBAXTk+mbVj5WhehLUvF +fohx4QnDKWM8VxfpitvNFCtw4ai29DXfE7qoL8CFs8aACz8stXVECV20TFaB +PWPEhT7x7GoLNV2UUto0UGTChcfrdFOdpXTRKnmPXlUzLgxI71liM8pED0if +tt5lXCDOfRmdqmCiEPU97yLduDA0lhMk4M1EVW+Mqxds4sLfZaruRc5MpLOX +V9ntyYU/wzveO65kovrqfWiVLxc8HZM8jeYy0aKDR54q7uLCJc/h7ELeHDRW +F5eVd5wLOe3m4bFH56ATsZnR7Q+4ILB9vbHjb20kdVjUye8hF5pnXTmt8Ekb +pe0Ilu/L5wJn+tnLyyXa6PFqqzu8Yi5sY0R6PNqvjfrkGioFSS5AHDtbntJC +q28wxAwauTB+b4voxlpN1HopqP5hMxdUt22Y65mliQKi36cuauXCdG6KVO8x +TXQsINXApoMLV9nFsyNMNVGBoelq534uxNk9SRK4oIGWaiYrNP3gQsJal8xU +fw1UIzXZ5kFx4cbqcoi21EA9Q9V7/H9y4dg79dM6XepI+anvxYMT9PcSHoeX +sNTRnXtv3P9OceGfJkJfclgNmaYZ6Rxn8GBi7vfGUqSGHKPG8uNn8cDgWZ6I +q4ca+hTiHS4lxgPL1D6bKaYa8t9asTxJnAdCMpsY0oOqKNL2UkO6DA8yxZsO +5BxQRbPNR6/NkefB9p6Km6UWqihZf7N/liIPYq9bKtn/VkG6qq8NDVV48Ev+ +zs+eIhWUP3vu8CM1HmiGKSoq71FBNhPnSxdr8qC2cL63GVMFvaV+nXymzYML +XoXbPRqU0cZOjzVLmTxQT9CLtTyqjLrryhTL9XgQ+RUFtmoqo9DXrA57fR7c +WBkmxy1SQpNFZ7Nq5/Gg75fXXQ1LJRR/dyh4vREPNpVItXpdU0RKye4WzcY8 +sKk4YyUdpoD0uy/uH6Xji0LvnHOt/z/2WLz06322PP/3YqfUU2c9k+f/v+vi +zxvSr8vxn3dU0e7sC2k5/vssC5AMqsyU5b/vS83CRY/tZfnfE99evMSNK8P/ +3tgtwuHPfGX4+TgSse3KrQ5pfr7aO/XsDgdK8/N5NEHtXeioFD/fgjailNV5 +Kf557HafDG6ZJ8U/LyIiKi6mRpJ/nn/E93rfV5fkn3d5xNS49VsJfj3c1ysK +nwqX4NeLe7BPHqdbnF9P3MO3E7LSxfn19k6rWC7dXZxfjzoLDL582jibX68m +Yo3me7rE+PUsc29bsU+2GL/ebU1iArJDxPj3YfHZ9w016aL8+9ITSzZ++EeU +f5+shEJ2vxYT5d83h5vuk9c/iyDV1h19Su+40H+m/ughQgTNjGRslKzkwpeA +ipLpullon5DlhdOvuWCRXXTJJXsW//6qKDFL10fN4t9vMzekkN4lzL//owkj +N22vCPP1Yd5Y97KdocJ8/ThyjqWmtFoYvTS8ctnuKhfs+gqLF8wVQl5RFzLC +z3KhBPlNDdwSRPf0xTPlY7nwrJUV77VVEK0/uuqObwydr6s1W/KXC/L1iXLV +ENzJFOTr18vglSm+ZgJ8fbMPqer9/IbB1789RzgXticw+Pq4InPCSesQg6+f +XZdk1TW2MPj6KtjhSrCMZkisv5UVfoa+YdMk1mfliKqBIqVpEuu3fMaHQqEf +UyTWd861B56vX06RWP83PoksMts5SeL+cOjfBaci306QuH+E5393tomYIHF/ +0WuTybyxYYLE/cenJNTa3XiCxP3J2b2cl6z5h8T9y+yC+50Bw3ES97fz31WH +dZ+Okbj/9eiIhe8/Nkbi/lg/tjwpyH+MxP1TQn+o6az9GIn7s7x5eKpT5iiJ ++7daTDx5rHOExP09OcjSuuDECIn7/4MHLJe7riMkng/EralfjdYjJJ4frOqX +tuoTIySeT3Yrmv8JefOLxPMOw9/ibRLzF4nnIcusAtWAjz9JPC/NNVBxOJj7 +k8TzlJzRb525qT9JPG8VH3qqP3LqJ4nnv80byK1HfIdIPE9Ku2w9FZnLI/E8 ++uW6xYNBgkfieXXNE4s/zF4uiefZ8VaHiMgKLonnXdvdgSGMO1wSz/Pja7O9 +zS9SJN4vjnRciMu8zCbxvsLMG09jqLNJvN/sk3N+Jtc5SOL9hym8KNMFDZJ4 +P7ptdUzqye1BEu+Dm9VOSEe3DZB4v6ybm/TKQHiAxPunwsAqZc/0fhLvp/dE +BVdlbu8n8f763IYoH1rbT+L99lqH9NctZv0k3p9Z5ie7Qqq/k3i/dkPWzg5q +30m8f2uo/qs+r7KXxPs54VXyVy6tl8T7++e92q2s2F4S7/e5pw4dWx3WS2J+ +kEa8VW2Z30NivkBkJ70cSOwmMX+YNPsnRdK+m8R84k5x5KGYOd0k5hdvti6V ++CTVTWK+0ay6nmM18Y3EPGXBq+rLj1Z0kZi3ZGoX+g8qd5CYx6yTuKq6SLKd +xLzGdm6vvveZNhLzHOFWU9eEVW0k5j16c2Pr1s9rIzEPgkcqkkZibeRz5ukb +EbsGQXmn/3Mmq4Xc8v1X9qNlgzDyr4VTtlszaVI9L/ftvEGQfCzuW3qgiVR5 +ZvuwWWsQApoeuN0RaSLPm7qYbFEdhLPLN/VL1DeSVVRLyE2lQWAqnmZ0FDeS +mEdpBSSbvL7WSGJeZbom/HZZZi2JeVZ8fndv+xAiMe9a8u1Con1KAYl52NtO +bovKst0k5mV9qpFV9kl5gHlaqrHrqk/DLwDzts0DLedjCyoA8zift9LaquNv +AfO6bc/Xy0r+/QCY5+VL14pURDYA5n07pK5sN8pqBMwDm2bf/OX9sRkwL3wu +lPV8eqAFME9sHzr2Qu3lZ8C8sTFU7GlZShtgHunTv1Eg26oDMK9Uzv00+2pD +J2CemaVrfIdn9RUw72Te3XVPfvgrYB6qsURHfabwG2Beahy3q31ecDdgnvrP +lFUIW6EHMG+9rDs9WoV6APPYzOl/2uw39wLmtUlnJmoXTvYC5rlp30/+jE7/ +Dpj39v2yJ8oM+wDz4Hq7VKv7qA8wL642ekX1OPQD5smGJq/0/q3rB8ybVZ4c +l/roPQCYR9fc5h4P7hoAzKtr/E3s+nf8AMyzt+s2lImM/ADMu+Obco9tOTAI +mIf7LhdLEZ4ZBMzL1ZLS6pKj2YB5/ZDQpnf3JSnAPD94T1lh22YKMO9/Wihd +czWWAuwHyB5p1DdLpwD7BQU3au4uy6YA+wnF59KimnIpwH5Do1prw51HFGA/ +YuXzUdNDhRRgv2JXSEXXy3YKsJ+hXte35/Mw/fz/+R3PrRf0989QgP2QrO6w +BCURDmC/xN13jpDlbA5gP+Xr4agJX0kOYL9lf5DNkx/6HMB+TKdg48mV5hzA +fk3JhpLJWhsOYD9HYHTyZB6992K/p6Yh6kOmHQewH3Qq4dnqAxs5gP2iyOKJ +sDt0H8N+0vD8hwlRdN/DflNVoLrpRbovYj/KTuVmYvR+DmC/yrFCWj7+CAew +n7Xxw6V2hRP0Hvo/v2vt2NThzac5gP0w8bh4m3eXOID9snxWenUovfdiP23h +iyu3dhdx4P8AYSfBrQ== + "]]}}]}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVlXk0lW0Xxg8JmY951nHOo9dUGvCK2hVfQhEpQ5G5UiGphMqQocmLypSU +MlSUKdWbcjaJUB8ZSioVMp3nnKMkMn7P98e97vVb615r3/vae1+b4RPs5C9I +o9HmqPP/29Z/+C2O7Fw/Wzh79VvuLFvIUjXki8oaMJuz/xS3bY69+kZmTqWK +FUz8V1rQNmOOPaDOijmhYw+W190ioW2ObffmfcV1FWeQMddrjqHNs1kXNQoW +tNyAdBlNMNafZ8/a+mZ463jCao1b1pOO82wXv8nnaSp+8GP51yJmxjy73lL1 +8YucAKj1K3id82iebcRcV/ZL6wC4q73bEN45zxbti721SycIBD3vGFlILLA/ +r0i0vKQSBjdd7ycKhiywr9xQRmL3MXBbGc2nJy6wbaXvWdTkHIcxi6KlcdcX +2I94r435WifBakEs5fmrBXbyfdm/HHVOQ2qB7dF2CRreVHBIOK9yFhgKBCd8 +Jw0j32TU73p6FprmbxiPe9BwV/xXQebuePCajbm0PYCGEhNHzlTnJMCHl7Gh +/x6nYXjnlXBS6xw0dcs1+KTT0PFyT6C9TjIsXjo82/mWhjGilcvOqVyB1eF+ +jHXrBFDPOjWpIuQKCN7rZChYCWB7fPDIx8YrcObVY81vtgLIWmRQvPz4VWhW +jt+4y1UAG+byl3e2p0Oo/nL+s1ABlBhPN9a6mAXrvyzrLi8QwMzPEZZV87lg ++fHmn8TFgujqllc69iMfnHuOKNyvFET+R2exW6oFoPN1rjnqiSAmeIr677As +gMn31YY2zwWx0jdY9dGVAlgxrsnqbhBEqaB18ZGmhfD2tQmn9YMgvoz94C58 +qggC3HqFVywI4qoSurC66D1IF8jEAetFKL4Qs8dasxRc309DVvsiJBx5wy8u +PITWKOfsgVYhPPFfM8j89S88UlfhBLUtRqWH9F4BATYEDue36VQJ46M+y9et +FnVgbX4ktqJABOsCr6u5kfUwOM+L87gkihM666TvH26EbWEHR3f5LkHBP8Uy +akQzdOWv25xnK4YmC16Pdjx4DTYrvvRLMsXx9dk1/23Qa4UfbfyVhVPiqJXC ++XOX1wYSzImiX30SyJn80ttl1A5rVNdXtaIk5o9WN0sld0CiaoF6VZUUSmkU +8QcGOuHteheziGJpvHigKuAm6x1onfTezLCTwd8bigf2n3kPuidezY69kcGw +VfUPFV90g60mEVZjR8cvD+ovvGX0gKtja+P1bjrOBHZe0434CHte3KhX3i+L ++htF5gOqP0Ed/5yd5rgsWt9i7CtX6gXf+D+dzBQ5PKGxV/vbni/Qv/0PzVlX +Hg3IneLvt32FAWI82btBHuWkx0Trp79CW1gQZ9dJBRRiXytl5nyD/n+OLt+p +q4gH11R/WGLXB/Ji0jT5cUUstvG5WfO7Dzh3u+zvvVTCCY6qzGB6PzQe/OVb +ka6MVTusF12xGoBko1u0sSQVPLMl/sbDwQFQSGu/eTVSFRmfbbtvx38HGe0D +m+Yi1HCt6dkrKqsGoSk128q/UB33aLb+EegYhNrIGZl9XRo40XypLyRmCMLd +3fTEzLUwU8gzzIk1DLzJsn+f71iKcr1VtwTrh2EqlZX4UYGBi0/FOJsdGYGw +S+4h838YSLvrWdonOwqzc/7FJp+1MTS79JJs3SiUb9a6LtfDxMez2Yl19aPQ +GnuxbOQzE2c9E2JCG0dhz9OcdzXfmJjI9DjW/noU2sJ//h0wwsScEjGPtPej +cHL5Vb87U0x8WeNvIMsdBV2xy4d+KrJQuV+tha7Mgd7G/jYXJxZ6/EfkZa0q +B06SeS/mdrLwVtHPmiMaHCg/ORWb58ZCg0NNFW+1OXB2i5lJnxcLN04cz041 +5ICUbZeUdTALA0XaD9AtORCw/qLM5QssfK6fJEoP4oDfrPVoWy0LTTqV3qSF +cGB/7tnXn+tZWBZVlCp/lANTkSIrhxqpeG8aVJXDOXBY76AN/w0Lk4IXG2rF +cqCWXmzQ8YGFTpWxjobpHCgW2jD/aYyFLbtlFUszOXB54phV8TgLrYRu9Rhd +40CLI7n02G8Wmu6s9V1zkwNNjrYeMzMsVJ9cOGZ+jwM63Q8YbcIEDq09lW1T +wwHfZzLeF9UI9OqX2NuCHDBvfeopoUlgz4Uc5rYXHGgf0htKWErg60/VJdtf +cWC16ybHgwSBFaena1zaKX0af6TylxMYVXei33+QA3Y5zLyuDQROBIrcGRrm +AF3e6cnEJgKD5DIOHeBwoEA1wkj6PwR6+z2aODTGgaVBfhOGNgRuFp4QCZvm +QFbyhSJlRwLptqEGsVIk1HfRlqTuJfBmrbT4WjoJZVck0r29CTQyuz/yQ46E +xlV+PX/5Emj/13ChtwoJPjb7NK4FEHhe2JOxkUVCdH7NVfHDBKqcnlmY0iGh +RVhgKDmIwDsTmb1luiQYFT9VEA0hsHGg49rSFSTQt33I+RhKoNALG0XaWhJi +i5dtFgon8PLaoV+PLUgYXtANX32SQO2Ksx3BQEKT+Mo7LhEEbshjp3yxIkFE +2iH1dBSBrcoewRnWJDx49ZIec4pAz5TpbQ62JEwfE9QKP03gqTPG4mwHEs75 +ywRZRhMoMdk+ctyJBEV/8rhSDIE5QSGvlu+k8pktyP5Csf6gZNGgCwkv5cqf +XYsl8KlHcXyuO5VPShTaxRFo07XFb5cHCbdrj57jU9y9dXCTlBcJDjYL0wln +CdxXH8do8CHB/nvCFD2ewN/mDNppfxLuDR7xT6E4vrKm13g/9T5OlxBMIFBe +f89zbiAJJbHKavsozr/151rBYRJa7zzQY1O8WjUjwiOEBBeWl6lYIoF1qWvc +FI6SkBZRxNpCsdOSdtM3x0hIihd5F07xt+hgxfhwEtyTxFfkUBwyJTFhEUmC +jaOtXAXFC8H3On6dImGG9At4SnHykHVFSTQJ2j/EJKoo1tj7PcUvjoQD7tND +eRSXvIsNVk8gwdmc1htNsbn9UvvOJBJsG2beOlLc/PK5wcULJPwe7S2Sp9h9 +3W5xq2QSwspLLZuo/488nBqZSSEhkH7uXDDF4Qbpryovk3CEnushQrFo/uqi +g+kktK8zy/+/Phlqb+OZWSSYp7M3ilOscznI7+M1Es4UlqmeoPStEpOwvJxL +gkf1XblOSn+r2LsMuzwS2Dw/ujbFPkcGep8WkjD+/f6DFKqeP4ZjnofeJaHA +y1m5nKp/tJdWjl4JpV/sT9W6MwTecHB3yy4nIc7ofXQV1T/LGydNnR6ScHPQ +qzSD6q+a9VcVxR6ToDMRKBkYSeAnw7aOk89IaJNwHuul+vUGh35qEZuEX0aX +pzNPUPHv7tC5VEuCXKrQgNVxKn/W+/CbDSTA2GHB0KOUnn3K2npNJNAcnkmN +H6Hqc9O9pbKFhPhr5B1/aj5+q/VqNLaRIJvtbKpFzdPTD1qN2ztIyBfSEQo4 +SPVzhndITxcJcz8kZXIPUPMj972O20PCl00rM7/5U/MsRu6T/07C9qPL3R56 +ENjZaEjPHSLhsQdPIm43gZnxwU+XjZJwWCY3cJMbgZoC4xLmfBKyXLs+pTkT +aDD1p9z7Dwmb9/31ONyWQH6V+W7ODAlea1M7mq0pPzp6SujYPAlSGlvpkpS/ +mPFpLkmLuOB85HCZN1D+Migy+0CSC5/TrjXarCZwSb5Nwd8yXDg/42yqZkT5 +m/cF+zpZLqhFJG/7ZEDgjs9SeV1KXLhq7L/GUIfyx06FzbMMLjSUtO4XVSaQ +lebCj2dxYeusRtJOecpPHbIypZdxYaNmzbdUGcrvWtQ52gZcEDaxl+0WJTCy +jpliY8KFT/auqllTLFwf7W/W8TcX+E7Gzzx/sVBwfVHfHnMuVBSsMZej/D3p +Xz3jkA3U/78GF24ZYmF62cqedFsuGDulKg10UvsjF1gDnlyY9g6z7yph4XjE +uIi3Nxei7hqa3Cii9o1LEeezLxfKzxWqu95iYbW0dOX7/VzoEZZpSc9gYUN0 +78aWUC4MP5mb2RzDwo8+p/ZWJHDhp/udwsOOLNSElZYrznFh7rbPfZYdC73U +vuuUXOCCQz/PrdmKhYMdW3kFKVywWvwh7ZspC8es1E9lZXNBOvS+JGiwUHhZ +dXb0Ay7sEG0uqxhgos2i4NNzZVywyKrWd6L298Uv2j4RlVxofpwq+bWLifTM +87phT7gwkCQ+2dDARPUl7k/21XGh7obWJBYxcRVnqsv+HRcOnNfX9glgokep +CV1jnqpn3q8I43fa+Mh9SqqNxoNFd3ZUNLRoo5TwU4m4RTxInM3esalWG3HP +OtERUR48otnmTBVro7aY1cJDOR5Eakpl7Y3WxkE/R+5WXR6MmGpqiRDauF5G +jrOgz4NBscJGExVtzKjuHK5YzoNKe+1MB0lt3CLrOqC8hgfDUofWWkww8B7b +8+PAeh4QF3om5l4wMEj1UFOUMw/GJosCBDwY2PDSsHGFCw+m1yvvqnBgoNYR +fn2fGw/+jO9/bbOJgW2NobhlLw/cbNLc9JcxcNWxk4/lA3nwj9t4YTl/KU62 +JhSUnOFB0Sfj8PjIpRgdnxfz6R4PBPZtN7T5rYmSJ0Rsve/zoGvxlUS5d5qY +vf+w7GApD7jzT55drtLESjuz2/yHPPClRbk+OKqJg/S39YJsHkACp1CW1EC7 +XJqobgcPpu7sEdnZoo7d/wS03e/igbLvjmVuBeroF/M6c1U3D+aLMyQHTqvj +ab9MXYvPPLjKebgkwkgdy/SM7ByGeJBg9ShN4JIarlNPl+sc4UHKNse8TB81 +bJac/ehK8iDXrg5iTNWwf6zxkM8PHpxuUk3U+qKKio/3Jh+bofIlXE+sZani +7Tsvd03P8eDvTkJHYlwFjbL1tc7Q+DCz7HtHNaqgzanJ0qTFfNB9UiLs5KqC +74I8wiVF+WCaOWgxx1BBH68XG9LE+LBI2oUmNaqMUZb/vL0mzYc8sc6wojBl +XGI8kbVUlg/7+l/cqDZRxnSd3T4F8nyIv26qsPm3Emor1+rpKfHhp+ztH/0V +Sli6ZNn4AxU+qIfIyyseUkKLmYvVq9X50FJu4LGGoYSvyJ9xTzT5cMm9fJ/r +W0Xc2eu6dR2DD6opzHjTSEXsa62Rr2PyIeor+nerK2JwLevzZh0+5G4KofMq +FHC24nxBy198GPzpnq9mqoBJ+WOHt+vzwaVKsts9Sx4V0neZdBnyweLFOTOp +EDnU6Us+OkFx8qImh2JzOfwf7mLWxA== + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVlXk01P0XxyfE2I0lRskzGUNEnkIpdeshSqtCm6WkTVnabKEISZQkhBLi +CWVfUz7fEBIPQpStxmDMfL/fUYiy/fz+uOeee97nnnvP+9x7Xgxnj4OnhSgU +SsVi/D9bnea2YaO2W/9+HfvsQiEBImaqngN0Q8hjJtV7JBKwPiUhuYhuDhJh +4aYf7hPAWcEM8mbtg71Tc97HbxOwu7mr8AndBmyb7/cq3CSAGamWsaB+FHbV +yMiH+xIwa3Uq/iTLEcyVUx4EXSHgsMvU2xi6C9SdVjW4d46AWjPVsprkMzC+ +5lV0wCkCDDS25E+onwf/4hnPdEcCqOzgNDuWO4REl+++aktA39rbZlH0q9DY +FtCcak5AbIoKpnn8GiyZnL31chsBVrLZplXJXlByqGT2oykBpWSTkUDdF/qF +2m/9Y0TAvVfy2tasQLhyxrR0lEXAM6X9YRH0EPjmHTDjJEXA9eb4WrvXIWDn +9JfwBnEC7EK/CWkcD4UMtme0kigBUpOXblQmh0HF5rUjIws4+HTE+uDqd0C1 +Zfjil3EcrB9+dd3Hugeu7jUDb3pxCKIWad2hx8I/FZMGXgU46Fg+CC/0jIV2 +endbei4On0I9RnvqY6H4bmJARw4OTOE1OfpejyD/aePzrZk41M091+/4FAdy +vu0swyQcpMbjjNQjH0NZgUzjo1AcEvr8zErmn4LbxaqCnuM4HDmamjf24zmM +CR/+kCWFg+RCkL3lyjygxyS2xAXxQdOa5NbcLQanbdR4kQUeeP9nAgkTFRDe +kRNof5UHysW0/iVLEJxd1VYlOjEKpWyzphbTamh01jcfOTcK1a5Plh/Fa6Ex +jbzhNsCFSdYW2Vdu9aBcekP6kwMXhH7nyC3XbAQd/bcaO1pGwHjhROmh3Cao +132LD1qOQFOI4X91Oi3Qap5gkoUNg3o0/3cW2QrDPy00q3SGgT810N9p8AkS +h279CEoague8ykaZe+0Qc2fm49+zHJBR+1fA4XRA6vzGHovjHIg8X3LmGfMz +PFw1P1mHDcKvbTmccze6YOOciTtfYRCurqstXlbTDXphrr3abmwYyK2928b4 +Css3qasuFHyHGdeOpNV+PcB47vpCfvwb6G4Xmz9T2QsZq/TSBSbfwDKNcbZA +uR+W5XwWf9TWD95qTqu+2w+A44jtkkyTPliD20p27f0G7R7Usqr4HlCQHaPW +/vkGvWOBr+lvvoAISsrTSP4OFcIZFfPcLrhgWPlFfDcbOsRTfjp86oScXc7P +qn6x4Zx07FndjHaY5KvKDccNQp7MR9Ea/7bFO7YUjjXnwKmKA3JSf5rhxs7Q +lOJhDjg2yKxUmW4ARp9Vd3roEBzndkWG5tfApg0hsfR1w5Cgd3Dn5/HXYL+y +5feS9mEYVvGvs4h5CZONUWzPoBFo6Ce7lLdeQAkijlcPMrmw6XvUA4v4fKTQ +X5ImVMuF8Dw2p3cMQ0sDgmxMLo2CwR6ftKrUj4iS5ZjHlueBmkuc/rvH7agO +73JPUeIBQ/E2pa+4HUUaWOvbq/AgYtvhEcnWdqRcbvaqU40HLh3ZNumiHUi/ +XjunQZsHUkUSTpVXO5D90M/M3K08mNhhbJVp04kqGLef+rnyYNl55woGswtd +TsyLkq/mAeQqS+lSe1DZbOLt6loeaGiFthzQ7kGzjmFBl+t5INJtcDB6Zw+6 +reFw7VMTD8y0OCyHOz0o+aWEQ0wXD/ZJPlJZJ9WL3ledXiNP8CB1ZYEzb1kf +Uhlc/pGmwoe1b+sf5m4fQG91w6k0dz50qhwgTGa+I+MO5eYYTz68P7FF8rM0 +G+X7//tA8Qof0ov9vYL/YqO05jpVFR8+zBpujJeyYKNwj6V66sGLf5YZ84b7 +gI0OFgVb68XxIVGzQaVrzSAa2RSQuKuKDzkhXoG7PTnoxKCU00eMD18urexm +hnLQ17vJGntrFvuPlfyhJXJQU2/lywMNfFiuskNVu5aDCgP/VB3+xAcbbPN+ +S/oQ8q/2Hjw9zAem0a0B9/ohRLO6vCZYBofHfTLf7A1H0LN3spKbaDhUmGpW +j+0dQQYmr0Z/KODwQkxoZ+rZEbRPm5t5ko6DAnfnsqNJIyhC1JGxnYlDi1bM +29UiXCRSs2sZZRMOx+k3ZYJ6uOjXZgYl8DQOaSaB0qVpPBRaVNVvdA4Hhsi6 +VGuMhxR17d8Srjhcpu0vp/Xz0HrVeD8Hz0X95XQiRZWPPKelJk2v4+DbFxWW ++pCPRounR2eicZjem+lgdA9HvXqt7b5vcDC7cNqdkk6iFD4tQBgt6t2Wfv41 +JHLOOsSKeofDnlLj3wwOiUaZXT7P6nD4+sQ4m6cpQL+W96vVt+IgY30ixD9H +gGgS+FnFocX9D6ETvk5jyGJYbDZXmoBirzLWRMgPJP58V8ZGOQJour/UtRJ+ +oKaTd/dVyxOgtVrZ8lrOD3SoTya1U5mADRn5Ki6ffqATHUoWswwCKM7GDTGM +n+h6tUb0LmMCLiga/XZ//xPlPwUmZ5FbJq1bulmaE2jcb1zs5EkCJDbjP9s3 +TyDjw//y+xY5l53NtH5+cAJVysoWdS1yMO7Mhs35NydQ3c3+7R8vE0APDkeB +/ROoxznAqTCMAHkjnwSr1EkkqlWZeDOXAEnWWEeExRTaJewROJdPQOvUtpgz +zlMocmCVs18RAYPqVJ8rgVOIlhCx+mo5AZFDKuOryqbQCvFj5WerCTCMskvn +6kyjdfzpzn2fCdhvVy2IW/EbOeQZ09TmCXAs8dhspzeDSo9Ny7RSSNDokU19 +emgGyYi+lrolTIJP3tB+U78ZhNlvoY5SSfDasTbEv2EGrZIwXyhWIMG21L/Q +8PwsGnaxJvasJoF4nH303Zs5tFVOgb+gS4J8cnOB8Ogciq/s4Bbqk7DMr45b +qDSPdsof4agYklBbc1LHyXMeZSPHHs5WEoT6DmoydReQu+rFD/42JAzcl1Nd +bk/B6t7r1a89TML21BkrNS8Kpn5JUMs+SsJFXyLqbDQFa62/jO10IsHCvY7z +5T0FW3fNt0zRlYQ3bv/EOxkuwaZawjJe3iABP7hc6DxDCDtwfWe6UzAJ6o8a +7fO2CWEvWBKp8qEklHczw4+dEMKOBUQl+0SQUIKdnOM+E8Le6MQ+NH9Egvlw +QfFaLWHsZmhqUG82Cb53mXSl3SKYtLeY1clXJGhPsbee9xDBEs+5yQ/nkTAZ +PZFiFiuCFe02SRcUk2BogykkDYhgw7S2WiFEgrISo/JAwFLssvCGqNvvSDDO +LLxvnbkUW5hItpWqJeGrS03JfMtSTKX73LDSBxJG7rRe99IUxXY/pVBXt5Ng +mWI3++SLKNZ9/0zrq04STITdL7yjimEuQU0J67pJGAxF7c0bxbBAl4TVpn0k +rI9oamtMEsPydQx27x8hwUw/2CXTnYptWRGn0DFKguyLU8WOmVSsUXq25whO +gj613ejiABUbHKu/6Pxj0a+1q79+thXHlpU53bs2Q8IHtWJakp0Elv7ivd2f +ORJI77TojCQJzCBRV/0GRQB2bo4vCbYEtitgKi98qQCyNAp95nwksc/uDj7S +VAFU+81Nb26QxJxP1GyLkRDAb4lLDlmqUpi/2f22JFkBaPoFhAU3SmHiRpOP +/5IXwAW7WbcubWksjnXcOUNRAEKmYrhJpDS2SuWdjo6yAK5H0z94TEpjeeJa +47l0AfT2a5h7n5bBTGciK9evEICv36nYZ30yWAP+81b5SgGE2ov4lDvJYrb9 +R/ZsYQggvLd4kw0pi7FbqhSrNQTwZkXBuiILOczjHbPPgiWArS5SZ2pT5bDZ +woiMj9qL8xTNI17L0LDw52NuB3QF8ESioi3pCQ1TirMz7tQTADVENWFpuTzG +Yt+7MrlYH1m/5VsWXx77H4OF7Yc= + "]]}, "Charting`Private`Tag#2"]}}, {}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>, "DynamicHighlight"]], AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], Axes->{True, True}, AxesLabel->{None, None}, AxesOrigin->{0, -16.341239202272494`}, - CoordinatesToolOptions:>{"DisplayFunction" -> ({ - Part[#, 1], - Exp[ - Part[#, 2]]}& ), "CopiedValueFunction" -> ({ - Part[#, 1], - Exp[ - Part[#, 2]]}& )}, DisplayFunction->Identity, Frame->{{True, True}, {True, True}}, FrameLabel->{{ @@ -243141,14 +298565,24 @@ fA== "freeformCursorMode" -> True, "placement" -> {"x" -> "All", "y" -> "None"}}}}, "DefaultMeshStyle" -> AbsolutePointSize[6], "ScalingFunctions" -> None, - "AxesInFront" -> True}, + "CoordinatesToolOptions" -> {"DisplayFunction" -> ({ + (Identity[#]& )[ + Part[#, 1]], + (Exp[#]& )[ + Part[#, 2]]}& ), "CopiedValueFunction" -> ({ + (Identity[#]& )[ + Part[#, 1]], + (Exp[#]& )[ + Part[#, 2]]}& )}, "AxesInFront" -> True}, PlotRange->{{0., 1.}, {-16.34123920227253, 2.0794415416798357`}}, PlotRangeClipping->True, PlotRangePadding->{{0, 0}, {0, 0}}, Ticks->{Automatic, Charting`ScaledTicks[{Log, Exp}, {Log, Exp}, "Nice", WorkingPrecision -> MachinePrecision, RotateLabel -> 0]}], ",", - GraphicsBox[{GraphicsComplexBox[CompressedData[" + GraphicsBox[ + InterpretationBox[{ + TagBox[{GraphicsComplexBox[CompressedData[" 1:eJx113c4lm/YB/DHlr1KVraMjCQl1UnKiqKUhpDsFO0oRFYDv6SoNIiWjIyI cl/J6GeUTSEjnv3cT7JHvN7j1+2P9zje+5/7uP+5jus4r+91nvdH2SNwjxcn iUS6x0Ei/e/b1ovajGj7tlbv2hWDVi9gEfyFq6/JJEP5SLzKlwBOdOBget6v @@ -243275,9 +298709,9 @@ JQk2qDw6GPzxGCdqs3dSOrGJDWP6Xl/2JPEs+afjibHEjC4OU0Fq5xUOsWF6 xXfnY5LLlrzjvmLgT2GU+JJ3+gKO6196JrTknfASH91UExwI33AzAkJZfmJo VW7Sijn/xX6zr7Sv85HgknecuPz80p5JopFq4btOi/8jz/sazxx2NkDA0dtj 40Rf+v4fB3TodA== - "], {{{}, {}, {}, {}, {}, {}, - {RGBColor[1, 0, 0], Opacity[0.2], EdgeForm[None], - GraphicsGroupBox[PolygonBox[CompressedData[" + "], {{{}, {}, {}, {}, {}, {}, + {RGBColor[1, 0, 0], Opacity[0.2], EdgeForm[None], + GraphicsGroupBox[PolygonBox[CompressedData[" 1:eJwl1GVwVkcYBtAEd3cPDoMWd/eixb3BJQnuBHd3imsNbYEWh7ZYDWhxd3eH Qc83/Djz7Lx37+7O3r0bEhrRIDxKUFBQMGOCP+cqRrGfUPaylBrsZCFDKMYW ZtOHFuRhA1MJoyEVCcyxmtG0oybFyUtUvg3MT3tqUYJ8RCM6MYhJLGITh7jE @@ -243293,10 +298727,10 @@ GQN57lESmY1ytGU4H9XjyPQUoykDeKb+gdja6ShKE/rzVP09sbTTUoTG9OOJ +mMe8ZAH3Oced7nDbW5xkxtc5xpXucJlLnGRC5znHGc5w2lOcZITHOcY//Mf RznCYf7lH/7mHTGtMQ2FaURf/lJPLLNSljYM4616HlmVjowlBrXpzmRS04Pp FGI2DenDn97/BM3kqYU= - "]]]}, {}, {}, {}}, {{}, {}, {}, - TagBox[ - {RGBColor[1, 0, 0], AbsoluteThickness[1.6], Opacity[1.], - LineBox[CompressedData[" + "]]]}, {}, {}, {}}, {{}, {}, {}, + TagBox[ + {RGBColor[1, 0, 0], AbsoluteThickness[2], Opacity[1.], + LineBox[CompressedData[" 1:eJwV0ukvFwAAxvFfREhyFFG5z61LtaFEo2xCjrm22myOHC20qa0trzpEjTKM sfFCJTLFsLVsvPB/+Xjx2ffd8+pJax9o6D8RCARmOe4y7ziknX0WqeQ/87yl gG2mGOIJV9hgnBc0UkYQ33lPB48o5CrB/OADnVRRxDVOEkIopwgjnAhOE8kZ @@ -243304,15 +298738,15 @@ ojhLNDHEEsc5zhNPAhdIJImLXOIyyaSQShrpZPCTj3RRzR2uk8kKIzyjhrvc IItffKKbxxSTzx8m6KeJcrJZZZQearnHTf7ylQGaeUAOa4zRSx0l3GKTbwzS wkNy+c1n+qinlB2mecVTbrPFJC9ppYJ/zPGGNvJY5wvPaWCPBYa5zy4zvOaA peMN5zoClek38A== - "]], - LineBox[{408, 184, 151, 198, 119, 194, 161, 93, 191, 158, 128, 53, - 180, 147, 114, 89, 71, 187, 154, 122, 96, 42, 174, 141, 108, 83, - 190, 157, 126, 196, 163, 65, 185, 152, 199, 120, 195, 162, 200, - 94}]}, - Annotation[#, "Charting`Private`Tag$71182#1"]& ], - TagBox[ - {RGBColor[1, 0, 0], AbsoluteThickness[1.6], Opacity[1.], - LineBox[CompressedData[" + "]], + LineBox[{408, 184, 151, 198, 119, 194, 161, 93, 191, 158, 128, + 53, 180, 147, 114, 89, 71, 187, 154, 122, 96, 42, 174, 141, 108, + 83, 190, 157, 126, 196, 163, 65, 185, 152, 199, 120, 195, 162, + 200, 94}]}, + Annotation[#, "Charting`Private`Tag#1"]& ], + TagBox[ + {RGBColor[1, 0, 0], AbsoluteThickness[2], Opacity[1.], + LineBox[CompressedData[" 1:eJwV0vdTj3EAB/DvF2dLhI6souXMs7JHzrzqrpOR2VfIKjuJosyMzPxFfsiK bGVkRUaReearH173/jzvz3ieu+cTHcpLzw0GAoHLVLLTw0IuMJozbKU3J9lM Cm05wjpmM5Rf9hfLVUxlMN24ot8lMxhDH9rxW3+Va1znBlXc5BbV3OYOd7nH @@ -243322,19 +298756,1325 @@ J44IvpraKzOZwAA6E6SEEDOIpwffrC+Uy5jIQLrQilLWMJMEevLd+n1yOZOI JoyjrGcOw2jNIbJJJpFelLGR+Yzkh/P2yxVMJoauHCOHuQynDYdZyyyGcJqW y5lGJCfYxAJGUU4e6fz0niK5kimcZRuDOMUWKkjlPDsI5zgbuMg8zrGdS4zg P7zGXd8= - "]]}, - Annotation[#, "Charting`Private`Tag$71182#2"]& ]}}], {}}, + "]]}, + Annotation[#, "Charting`Private`Tag#2"]& ]}}], {}}, + {"WolframDynamicHighlight", <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>}], + DynamicBox[(Charting`HighlightActionBox["DynamicHighlight", {}, + Slot["HighlightElements"], + Slot["LayoutOptions"], + Slot["Meta"], + Charting`HighlightActionFunction["DynamicHighlight", { + GraphicsComplex[CompressedData[" +1:eJx113c4lm/YB/DHlr1KVraMjCQl1UnKiqKUhpDsFO0oRFYDv6SoNIiWjIyI +cl/J6GeUTSEjnv3cT7JHvN7j1+2P9zje+5/7uP+5jus4r+91nvdH2SNwjxcn +iUS6x0Ei/e/b1ovajGj7tlbv2hWDVi9gEfyFq6/JJEP5SLzKlwBOdOBget6v +kUxwwX+X2XVzIcGFCBerVXng4G85f2ycG6k74tRPN4rACp3l9GTzoAtfTCB1 +7B18pR9PSWbxIuki8R8cHBhwGkZ5rWjmQ28HLRq+bq4En8lArt0f+VGl/0O5 +g8wqcLYc1Ml4uQyNa2wRzTlRC+5me67KRgggzulsMTn1OtiaKX+Q57ggMl5w +f7s3twFKM+eryJZCqCHK6EuN9lc4sfHXV7KSMFL8hzH9Em+Cnd+kZ03FRRBj +su9Hu0ELFNrm5HBMiKBMenmdSEIrRO2VeDEzKopEFJ6zh4baYPRqpJVpiBi6 +6Vfs/UStA7i4vbLjxsXQhFn2kG94J/SYnlQgh4ujs4ZVRSs+dcHsm0cLszwS +qC+36kaz8nd4Uv3kqudDCTTr3/ZAK6QbQr6qXBUzkUQ65nzz3uU9IGInz+XT +LImsMpR93kj/AB1uzUm3cCl0QcFNZcClD8o+0F6t01mO1jD3CXba98O0HNfh +N73LkaToL/6qmX7QpnxvXPd6BeLGHuSppg1AxMxnVnuINDpuVP5t2c5B0N9q +Tg8JWImybTyeVEwMQtU1jFJzUgaNM2TFyHd/gvfpXDuR87KoeK8VV/L2ISie ++Z05mi+Hwq2jHxeRh6D+ZGT2jSl5pNxr2/U0ehimtOa+d7ivQps2RCXLGJIh +IabVuU5UCbms+jrN0UqGYomOPZGcymi8Ln4wKIICO1Rteyy2qKBUbteze9So +EH8ApTa8VEWSP4ozOKuoMBAgu8fsojriCY1wMjlFAxOXy90y1pqI9NI1b1CC +DpHmbiIpY2tQDlXhgYgdG9KNajuk3ioj0v95nizfHXNdJgpIYoWdzdc5UGpv +iEXx/CPwaBX3emLAhQxfi/PK87+C6uQ8o4EAblT/Sqs2PpIMleX1145EKaEX +Z/VOmmhTwE1KfGEiRRnVUM5lD7RQ4PH8q2IJmgraP3LthvZZKgSaMtSDrqih +mzkPZh/K0sD9nWzRtVINxCn5i0OkkgZJ/crflhlqoxUlbgnnZnG4dcSBHKqv +9Xf/fX/3L4569WMt4mXOgurI+tUZIyQkNHp3veLNe7CS3vi7t4UTVUd+O8Qb ++hzcF7bqn1DnRpwjHTl7D5FBQMd5/ZS1Eor8d77w4TwZ9n3dMxK0Uxm5fuOw +0npFgegMbov2f1TQ5Mxo+FY7KnB5KuyMEVdDTdWaux3YVDiQ9Wv4kpgG4v68 +MfvpPRq8LK078kRbC7kM/36Wu5UOTzgOusUZ6CNf43sjAsFsYE1ZmV77KIcc +b3/336WRAB0tCo8XuDiR/gRN9P4sBS59mHsTZamKiiiKKSvSqNBRJrzrzqQa +sr4j8oKxgwYyNVtJwmdXIw7X5wcu0mlQx5l2oT9ABw1+rZCqVGXDAdOKlkoB +9b/1+fq3PhzI2XPyQ5KMJ/AGccvtiiOhmj+Zem0td2GbZXOZaDonEjm5JfrS +hmdgsLsyuYzEjVYl66YbW5KBrOLzU36NEmKPkju+UhfrszaJdkNDGWm31Eo1 +3qHAl6Sij2HeKujzgmaI9UYq1IRoy7T0qKLfjuyLL79RYVtrw83bleooSqU3 +/GQ0DZygN8GvWBPp1Wpmf9akg0Cdqo/4tC66nni45qYHG4ZICWP69YroYlvy +RabiNcjXUiEL1XGgGTyvdwuFAlsqRQvlpFVRNNPpllIcFcYSKg5Ty9SQv3J7 +83YDGnTsOCN6V3I1iizdqWvRQYNWzCCqvlYbXbZIbH4gyoZgN9fdfb80UOTJ +/WMCwZ3w+4v2NsVbAighR0LTUSMMnDcpastv50BXTyR3dOXQYBln4anZF1oo +X9tg524KDt3iTyMV5NYgL5mSnTbxbLgjSO0rF1iOrnBxReXML943n+B7rWpr +kLUL7kzdwAb9xniZP06qf88D+3seI9jOxs6ChzJOMBmHYjcCCalxrcnWO38H +GPPrJ7bHcqLCY4Gyb5OzIJ75zFAe50Kvh7tkNTeTofFkTMugghLSWHaYSu0l +Q2IwyT5AShn98+XV7KMbFEjhvfSxwlEFGURaXLDXo8LVqENr5T6pIs7UFuGO +L1QgzR+Nm0tTR1W79el7L9EgcbujeEeoJpIutchpV6ADV3PjQOwpXST//n2+ +vTMb1A0Etmk2KSGh8VPh5Wkx8GwFS8UomwO5M5KqRHspYMQteZq5oILwk4EJ +6mFUSKx5ZeD7UA1d8in1PqVOg+WbBBwDhjVQ5fDDFM0GGjxcHl+md0kb2YRO +5sXxsMFjcPevUA5NlKpTVnvToxtk03ouZM6LoLd4w3q2YjDEG1kXf5LnQFX3 +yWYVT2lgvFnteZKvFjoz1pmZbkOHrGCp0IdkfRTmmaq1uReH1eFpCg7ieqhj +2UY+2yg2VIxzl3zQlUHIYB+eNEaDH7acYSY1Omj53f3G7bps6OXbFHpPR+1v +Hmr/5mEG4x+MzNivcRLa9t3o0/xIQjs6hF+Wr6ODe33gcsN4PTTtMdNdf4IN +Ktazau0/FFDAm99K1xJboY4jWOi+Ki/6+as2wGMEB2HRH+8+x2ij7qhbxp/v +/4QiLwp7ZKPU3zzk/c3DALbucWpaocx2aJ1WLjESIqGW6EBad20ysJpxVaUQ +ThTjyu+11yIL8LINqGyIC51JyO1U2kAGRdrQ8ZYVSuij5PJT57rI4OH3KjdI +UBlV2smzY2MooK1uX3vRSgV5fmoa361FBbN11SI+b1WRgOn2AwH/UsHWf9mb +q9fVkaf3gceW52nguMGVG/PQRDcNHPVcVtJhFyf3uc61ushzD0s915ENojZN +xa4Wymh/dD+n6uFooEaWRGx8wIFu+3GXTnVS4O7TW8vOjakglfw5Ic1gKmzK +cDvbnaCGogS03/Ap0SC6/Rm0ftFAHnM1dnK1NHDoz/My3K+NDO7rKIaT2Iv9 +75PVumRNZCv6anNF2nmIvqJfhvFxIM83ohP5j2hw20ymwt5GC1EDhtU1t9Ph +8rd5/4k4feQZ0ZBq2IXDu9T5ADMrfaT4xPfyqzA2XJmwCbNLlEXuVmFPo9k0 +2FurcxBP1UFzBdez6jXZ8LV5/Qj9nhoyUN2SP6boBzdXdupKPSOhsl1y2tv0 +6JDxZ8LLz1wPbZvWdlzjywY8vqBB+tsqVCc8132AiUNX3eq4VKvF9WyPpRzV +cAW5jq3e771JaP5SnarcbjrEMe3aJNUNUIqVZ9mOQRzMHC8MX+HVRQln+JJo +19hg/j47w8FFGuU3/Ot9/Awb5s8pd7R2ySNuC9mgPhkjiFPsMaA2LWDaVrfi +CoKSQaDnAH75DCdidzsJZMhmgelrthNPPxfq8JAxfmhEhhsX3h6wl1RCIjKn +i8vbyWD37vP3SV5l1CPY7jt3lQIRio7rXLapoPlj0uubNaiwbDoyc0W+KkoQ +Cg0tqaECWTzd63CEOioaYU5jZ2hwXSs20HWfJqphdp58vJwOtu0TNkwJXRT3 +MNr9xy42nBgdfYkuK6NLjSlV+8uiQK1oi7bkbQ70WvPD2hPtFAiV+mD+EVdB +7x0s7F6epwKzo+1Feqwa4u/x6NijQAPa2T/ZmZ80UEHs4efJVTTQ+ZIH3Nu1 +0dMX1ftn/uCQEX5/bW6/Jkp+vBKpHz4HImfqjDrnSKhoc6aDdhoNZK96BA+Z +aCEVrQDv4+Z0ePP+80dlF33UlejdlNOOw70UE4x/Sh9tZ5BKvl9ig11CWOOr +NXIov0Vifp5Jg/iA8m8oUgcFflTrtdRgwzl9xYCFZjVUZSFb8inNG9573t98 +J4WExgQy/KN16ODlYOLdJq+HvLd/uuHixQa/YWuTuT2KaIv8Xck2Gg4rNr55 ++z5XB6ndVMhaUDwIIevo/fheEtq40dHT1Y4OEwPXNBoFDZDA/vkR1IfDkBjH +DvdYXVRQ8bhBJpYNOgHjwiSXlahN2iP9QRAbLtre17pzRAENyatFXNDYBQKs +7xnNq0lo5LTh0ZLvOPDsSGykZ+mhGacm85AINnw7rVmcSJZB/pqBzu9usiHM +08+mqWE5Ivxh109LXnEEB8If4bKaq3zDWUD4o7MFdvIxmED4Y+Hhkyz7ACYQ +/nDOrrZJGmMA4Y/brx2mKGcZQPjD0NBJ/uQ8HQh/PMyohNpQOhD+sDCwM49e +nJOEPy6kCPKExdCA8AeprZUjmHOxz//1h1jpjz/K0VQg/CGsWmHGwU8Fwh/9 +iPTGJ5QChD9eSe1LUJ4mA+GPnx+y89d7k4Hwxxef4EPCLcNA+KP+6HdL0e3D +QPijg2ohGJ01BIQ/tJS+/GEIDQHhj50rOw9Ie/wEwh/4qHvxvqJBIPyRzHp2 +ykVoEAh/NPioXYfdA0D4Y2HTp+SIlH4g/GHYl+fMU9oHhD+eHhaUkhf9AYQ/ +jHpog72BPUD4YyB0V4Fuyncg/HEqPEksOacLCH8MnJ8bd6nvAMIfk70gQkpv +A8IfutKWGjIhLUD4Y/Kf3/udNjUB4Q/O9tw34hvqgfDHvi2CZ6fwaiD8MSSD +ZSAfDAh/WA5aH4rOKADCH9FiJW3snbeB8Men8SHWxqHnGOEP14VQ+VVlH7DT +9/PiJSrpEJuddoGfrw77oBPHL36SATesbEPm4lsxcdvTayJFmHD4Z7/PHe5u +rEe3qTX4PRNSihw2ry4YxPIfgdqQKwvMioyGuB1p2JE8Y3GFeRY8aPDZGnVm +BLsSnR7R8wqHYb5nBU0xMxjhnTvpsNbYle//9c62Y7Ep37+ygPDOSVNTmSxD +FrxTjn0U4k+Hc8W55EsbqrCVP+XqxVcy4Okp+7YppSaMsin0vk0FAyT13AvC +V3RiE6bKpDAvJvjolQ39au/DLMl8c7nCLNCMWLf10bthjHd1+f0ruSxIGfYv +yLjDwk7KBvx72QkHfeG8l/9uGscI73yoT5EOmCEteee//U9ihHdCf7u7fyXh +QHiH18BZW+sACwh/qJEpWdWXP2LVFV5rJFiL/ftGoqmLRCO2pzDSUfcuA0oC +QueqLdsx7k82K0ibmHDM9XBW0oFeTFyA6SM1zIS6kkSRUxpDWLdHqFtBDAto +bdJj0c4MjOzpyLLTwsGkyTgm1HAU2/mIxK/VioPLTWeVh21/MMI7d6YDYyIu +iCx550gXf+CPLBZcrrzw04vMgN7rwQqxC10YrWiKNvsPE0RrGXFq5gPYpUrV +f2yMWWB5YVImMoiCGTKm2nd1sMDI2lhnpeAvbPJrTNbrcBz+TC3fTk6fxAj/ +cA0NW1Ofcy355796UTDCP3Vue0NTFXEg/JN5RKM45jgLCI84fFBwzdZCWNpr +gSNJnXTgBL2jLnH1WFwgj65iJAP2StwYyvJpw67zuiqbqzFhzC1eqFSrB5uQ ++6FQ28QEVWTfX+j7E6u58sO8/jQLSK4HFsj2dExFYPtCkSQOe39vCKPb/sbI +4s1VnBgObr5XFHqk5zDCPy5055ArFgJL/uk//WSDbRkLCsJmKpxbGFBrbn4+ +n68LC5oSGt98iQl3/e6OMZP6Mfe25ZZzyiy4fVVZfds/ZEx+2aFSn0oWlF94 +W9HSgGOG54JLpPxxoEfsk2p3n8AIDwU2b9n3eSPnkocM3rEzX8SMYISH8u3u +JAlSWPAKc+0e2ooDl1tvrv2aMYzwUIphpemh6gWM8FDCH7+8oSNS6L128u3t +d3Bo6CR56HFOY0seyrUTG97Ps+Sh/86nc8lDjpLvVoWuw4Hw0NU9s2Ze51hA ++ITPaXetCg+GxaoeOdfSQAd+/cN9GTV1WEZjjezKiwz4deJ4oMZMK7ZLk/rs +qAwTrkZ845m+043R1DovPqlhQo/fG4dEoZ9YuahoYacvC+oY5VrsRhqGXLbw +0/hx4JALStvdO4IV7jR5yi7C4cdCV7yD7SxGeAj/qKBdUsy/5KE1EY9LKFUs +aOgpf+3wmQHHulreWSd0YutkU0KOBDHhCUOQZrC1H9vbK5LeLs2Ci52y1p9V +yZh46nWts6UsoDxwEBHTx7Gm2tPI2g0HP5xr+GXdOEb4qPm8FdemZI4lH3nk +SB8PPD2GET5aOxRmfXWUBdYSB4ZWGuEQP5sZOv16FCM8ND3hVT5QPY8RHtLe +8+5BLF0MHQqNT7t4HYfSjqDiTvspjPCQ5ntDK4Pz3Ese+i8PA0se8hIQ77ES +XVz3r3+Knx7/RV4lhPKWrR7NlVlcX8+/8SyNc8lDM9cSP3xaz8II//z5Lllk +dJSEQlRigmfN2fBy1k3Gy553yUP/5aF2yUNWR2N3p5vhQHjIOm4r9WgICwif +JLz9GGrqVoHNucZEnK6lQ6mhWUSSdx2Wf/n5LakzDOC1+GJB+dSKGZjk0EYk +meBQY02ds+vGPF7u1Yj/yATGkaDnan2DmLHzc0bvMRZ0KwXuk02kYSK8ZUJX +uXBYb6B6cfT5CHbf94QEOW8xz1Y8vw5TZjDCQ8GDLqfWcPMveWib26HYfXUs ++H4jTdX+EwMY5cd41+7pxKR0XD6w/Jlg64V4vKT6sYajN3ZVSiz2N1WnbH98 +GLvZp+IRUrg4d3xXUZsHWJjiKXbV4EEckuqCN05GjGOEjxo3OpwRseRY8lHM +iXWnBGYW50h5G7VADwfLcyaW6oGjGOEh3+DfvfE75zHCQ3Sxe+naY6LohYZA +ukT0Yv8+9se3Z2ISIzykwa/DzRTiXvJQJq/7NjVpHAj//PKqkvZSF0R3NQ57 +ZEmxwcB4yDwykRMdhTLqyGY2aEn5Veh85VnyEOQFkgc1cWhVv2nKcmFDxzUv +k/yzy5b8s2MESzltL7Hkn5brxwtKjYWX/DNcJlt93gYHwj9HSWv+/XmZBYRH +HEpccm8LVWAlc/djK6vowDFW8vm9cR1m3CbdmBTEAH/TEx6UF63Yk4+igpvE +mdCcc7/krWY39pghHsqFLc67bTd9Uj8PYqMho3xHj7Lgmdk4PhlIw94emhJp +WpyrBgPPXj6KH8GEL/DZHs3B4dty6Zd1b2cwwj+xgqekuvL4lvyj+WqAe3kj +C9x/CrnVIwYIaSxk7jTqxKILK36s92VCqeSX9h0LfdiyTJusjWIswMw0Qn63 +DmM2XIFhf/JZgG9ALanlLKymWrdW3xmHpl+ZjwxcxzHCQ1Zet1xxRY4lD71N +ehbp+YcFW8UkGQs6OHyJNzz+2mEUI/yj4meflC06jxH+aVfMF364RhQ5XLJ+ +6haJw2TA/WHlhkmM8M8b1Qh1yjeuJf/wbubdYy2HA+GdQrKQa/MbAbRs/fg9 +JQk2qDw6GPzxGCdqs3dSOrGJDWP6Xl/2JPEs+afjibHEjC4OU0Fq5xUOsWF6 +xXfnY5LLlrzjvmLgT2GU+JJ3+gKO6196JrTknfASH91UExwI33AzAkJZfmJo +VW7Sijn/xX6zr7Sv85HgknecuPz80p5JopFq4btOi/8jz/sazxx2NkDA0dtj +40Rf+v4fB3TodA== + "], {{{}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{ + Polygon[CompressedData[" +1:eJwl1GVwVkcYBtAEd3cPDoMWd/eixb3BJQnuBHd3imsNbYEWh7ZYDWhxd3eH +Qc83/Djz7Lx37+7O3r0bEhrRIDxKUFBQMGOCP+cqRrGfUPaylBrsZCFDKMYW +ZtOHFuRhA1MJoyEVCcyxmtG0oybFyUtUvg3MT3tqUYJ8RCM6MYhJLGITh7jE +Iz4JSEgiEpOEpCQjOSlISSpSk4a0pCM9GchIJkLITBa+Yywd+JKS5Ccr3zOO +jtSmFAXIxg+MpxN1KE1BNjKNcBpRiez8yAQ6U5cyfMFPTCeCxlQmB2uYSBfq +UZZC/MwMetCEKuRkLZPoSn3K8Qtz6EtLCrOJmfSkKVXZzjcMpA25WMdkutGA +XSxiKOX5lbn04zeWBcZwuOazVXseB+jP7yynFXtYwnCKsJlZ9KIZ1djBAgbR +ltysZwrd+YrdLCaSfaykAtuYz0EG8AcrOETrwLe1vnn0YiY1mEMXJpCI3syi +DnMJYwpZ6MkMytCaSN4E9khGMI2C1KIbk0hJXcKZSm4q0yH48z8ZjXxUpzPj +SUhmStOKobwOnD9Zk65MJAW5qER7RhOVvFSjE+NIQAilaMkQXhkvucxJRdox +iijEJxMlacFgXuqfTOagAqGMDNwpxCMjJWjOIF7on1RmpzxfMyJwARGXDBSn +GQN57lESmY1ytGU4H9XjyPQUoykDeKb+gdja6ShKE/rzVP09sbTTUoTG9OOJ ++mMe8ZAH3Oced7nDbW5xkxtc5xpXucJlLnGRC5znHGc5w2lOcZITHOcY//Mf +RznCYf7lH/7mHTGtMQ2FaURf/lJPLLNSljYM4616HlmVjowlBrXpzmRS04Pp +FGI2DenDn97/BM3kqYU= + "]]}]}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwV0ukvFwAAxvFfREhyFFG5z61LtaFEo2xCjrm22myOHC20qa0trzpEjTKM +sfFCJTLFsLVsvPB/+Xjx2ffd8+pJax9o6D8RCARmOe4y7ziknX0WqeQ/87yl +gG2mGOIJV9hgnBc0UkYQ33lPB48o5CrB/OADnVRRxDVOEkIopwgjnAhOE8kZ +ojhLNDHEEsc5zhNPAhdIJImLXOIyyaSQShrpZPCTj3RRzR2uk8kKIzyjhrvc +IItffKKbxxSTzx8m6KeJcrJZZZQearnHTf7ylQGaeUAOa4zRSx0l3GKTbwzS +wkNy+c1n+qinlB2mecVTbrPFJC9ppYJ/zPGGNvJY5wvPaWCPBYa5zy4zvOaA +peMN5zoClek38A== + "]], + + Line[{408, 184, 151, 198, 119, 194, 161, 93, 191, 158, 128, 53, + 180, 147, 114, 89, 71, 187, 154, 122, 96, 42, 174, 141, 108, + 83, 190, 157, 126, 196, 163, 65, 185, 152, 199, 120, 195, 162, + 200, 94}]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwV0vdTj3EAB/DvF2dLhI6souXMs7JHzrzqrpOR2VfIKjuJosyMzPxFfsiK +bGVkRUaReearH173/jzvz3ieu+cTHcpLzw0GAoHLVLLTw0IuMJozbKU3J9lM +Cm05wjpmM5Rf9hfLVUxlMN24ot8lMxhDH9rxW3+Va1znBlXc5BbV3OYOd7nH +fR7wkEfUUMtjnvCUZ9TxnBe85BWvqecNb2ngHe/5wEcaaeITu33jIsYSRXv+ +6D+Tb7yYcfSlA3/1zewxXsJ4+tGRf/oDcjXTiKU7X/QFcilJ9KdTyw/hIFlM +J44IvpraKzOZwAA6E6SEEDOIpwffrC+Uy5jIQLrQilLWMJMEevLd+n1yOZOI +JoyjrGcOw2jNIbJJJpFelLGR+Yzkh/P2yxVMJoauHCOHuQynDYdZyyyGcJqW +y5lGJCfYxAJGUU4e6fz0niK5kimcZRuDOMUWKkjlPDsI5zgbuMg8zrGdS4zg +P7zGXd8= + "]]}, "Charting`Private`Tag#2"]}}], {}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 1.}, {-16.34123920227253, + 2.0794415416798357`}}, "Frame" -> {{True, True}, {True, True}}, + "AxesOrigin" -> {0, -16.341239202272494`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> Directive[ + GrayLevel[0], FontFamily -> "Times", FontSize -> 10], + "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, {Log, Exp}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxF2Xk0Ve33APBrlnkqmTLrIkOSkmonZYpCSoOQzCmaoxCZGqhXikqFaFLI +EFHOkwy9hjJTyBB3vucm8xA/v7Xe4/vXXWets+5zznP23mfvz1H1DHLy5iaR +SDFcJNL//9p605oRfd/W6t27Y9HqBYzXQj64T84Y4pV7DGlNC9i6J6lphXI7 +oHVatcRYhISGFDUiL2jtBiH2j8zm1SS0q7Gz4JGcM0zGo7iNQEIaN5WyF5QP +Qug6Rj++l4TmbI+lHNVyA4WOrT4ffEjIxWvyY5KcF/AH8yrsjiehKgv5ks9p +PvDB68HmuykkZKi+JX9M2R9uruzUk3lGQoKDUZn7tU5C274bfeRPJNRrEGeR +IHcW1EfWr84cIaHkJyuR5uFzIHamzrhzjoRsxV9trkg7DzFXDMowAS70Dm9Y +z1EOgQRj6+LPilwo8Y0U2VErHFw2Keso7uBC6cv3xF6XiwaSRGFn83UudKkx +pWp/WTRoFG3Rkb7DhfbH9HOrH44BWlRJ5MaHXEhk/FREeVosPFvBVjPO4UIX +25IvspSvQb62GkWkjgs53vkRsFsrETpalJ4s8HCjSMHC1dfkkqF8JEHtayA3 +0rH6J74gOBmEeg7gl89wo5aYIHp3bTKwm3F1lVBupMGzJkf//F1gzq+f2BHH +jWr+Zum3tdyD7ZbNZeIZ3Ehk9N565Zv3YSWj8U9vCzdK7Q21KJ5/DJ6tkt7p +hjzowMGMvN8jWeCK/ymz6+ZBnG5noUz5bDB7zXHm6+dBsW6C3nstsgEv24DK +hnhQ4bEg+XfJ2ZDAemakiPMgsZNbYi5teAaGeyqTy0i8qDrq+yH+sOfgsbDV +4IQmLzJ6LcmvKPgKqpPzjAcCeZHwQqSr1ao8cAiwnD82zos0HXHa5xtFYIXO +cntx+NCFr6aQOvYevjGOpySz+ZFskeRPLi4MuI2ivVc0C6B3gxYN3zZXgu9k +EM+eT4KoMuCRwkFWFbhYDupmvlyGxrW2iL85UQse25yuykcKIe7pHAkFzTrY +mqV4kO+4MDJZ8Hi3N7cBSrPmqyiWIqgh2vhrjc43OLHx9zeKiihSvs2cfok3 +wa7vsrNmkmKIOdn3s92wBQpt37zhmhBDWYzyOrHEVojeK/ViZlQciSk95wwN +tcHo1Sgrs1AJdNO/2CddowN4eL1z4scl0MS2nCG/iE7oMTupRImQRGeNqopW +fO6C2bePF2b5pFBfbtWNZtUfkF6dftXrkRSaDWh7qB3aDaHf1K5KmEojXXOB +eZ/yHhCzU+TxbZZGVpmqvm9lf4IuL3nSPUIGXVByVxtw7YOyj/RX63SXozWs +fcKd9v0wrcBz+G3vciQt/luwaqYfdKg/Gte9XoF4sYd56mkDEDnzhd0eKouO +G5d/X7ZrEAy2mjNCA1eiHBvP9IqJQai6hlFrTsqhcaa8BOXeL/A5nWsndl4e +Fe+14kneMQTFM3+yRvMVUIR1zJMiyhDUn4zKuTGliFR7bbuexgzDlPbcjw6P +VWjThuhkOSMKJMa2utSJq6AOTzmTR8YUuHHh3QF7aRV0JjG3U2UDBZTpQ8db +Vqig18Nd8uTNFGg8GdsyqKSCViXrZZhYUoCi5vtLcY0K4h7peLP3EAWEdF3W +T1mroPpX2rUJURSoLK+/diRaBbmu+jbN1UqBYqkOpyhuVSQmd7q4vJ0Cdu+/ +/JjkV0WfpJefOtdFAU//V7nBwqpIa9lhGq2XArdCSPaBMqqIM0rp+EajwL61 +SfQbWqoo6t/5wkfzi8ffnEaCd6miF2f1T5rqUMFdRnJhIkUVjdclDAZHUmGn +um2PxRY11CPc7jd3lQqRyo7rXLeroUo7RU5cLBV0NO1rL1qpodtfX80+vkGF +FP5Lnyoc1ZBOS61M410qfE0q+hTuo4bcvnNZab+iQkwmr0X7bTVUQz2XM9BC +hSfzr4ql6GroNfnj2hPtVAiT+Wj+CVdDd/x5S6c6qXDv6T/Lzo2pIQ9mUpV4 +LxWMeaVPsxbU0Aye17uFSoUtleKFCrLqyGCCLv5glgqXPs69jbZUR6m8bmed +NGiQcAClNrxUR/PHZNc3a9Fg2XRU1op8deT1uWl8jzYNtq2rFvN9p44Moywu +2OvT4Gr0obUKn9XRlwVyqPVGGtSE6si19KijyZnRiK12NODxUtoVK6mB9o9c +u6FzlgZBZkzN4Csa6IODhd3L8zRgdbS9yIjTQGr5cyLkEBpsynQ/252ogfCT +QYma4TS4VfPK0O+RBophOf+jEk+DscSKw7QyDVREVU5ZkUaDjjLR3XcnNZD0 +z+JM7ioaDATKO227qIkSRcLCSmpoQJHM8D4cqYmEzHYcCPyXBrYBy95eva6J +uFNbRDu+0oA0fzR+Lk0T/XHkXHz5nQbbWxtu3qnURE3V5D0OHBocyP49fElC +C91883D2kTwdPN7LF10r1UKCPZ4dTkp0oJ/9m5P1WQtFC+m8FVChQ0z7M2j9 +qoUu+Zb6nNKkw/JNQo6Bw1ooQLW9eYchHTp2nhG/J70aWd8Ve8HcSQe5mq0k +0bOrEV9YpLPpKTqYul7ulrMmo6IR1jR2hg7XteOC3PaRkZfPgSeW5+nguMGN +F/Mko6o9Boy9l+hwa4ejZEcYGUWr9UacjKGDM/Qm+heTEe+XjTlP79PhZWnd +kXQdbVS0OctBJ40O8lc9Q4ZMtZHXW/GJ/Md0uLNNrsLeRhtVPaBsq3hKB5PN +Gs+T/LTR1RPJHV1v6LCMu/DU7AttxC39m0uskg5J/arflxnpoIK4w8+Tq+ig ++zUPeHfoIM+5GjuFWjo49Od5G+3XQZXDj1LIDXR4tDyhTP+SDooq3aVn0UGH +Vswwur5WB3G5PT9wkUGHOu60C/2Buii/RWp+nkWHhMDy7yhKF3lYhT+N4dBh +b63uQTxVFyHDfXjSGB1+2nKHm9boois8PNFv5hf3yzfkfqvGGkR66ZY3KMWA +KHN3sZSxNaiG1XnyyXIG2LZP2LCk9NBNQ0d915UM2M3Ne65zrR6SLbV4067E +AJ7mxoG4U3pIv5ac84XMAKE6dV/JaT00JpQZEKPLAG8HU582RX1UtltBZ7s+ +AzL/Tnj7m+ujnR2iL8vXMcCjPmi5UYI+ch3+8yx3KwPSuQ66xxsaIDXtQJ/j +5gx4++HLJ1VXA0QLHNYk72DA5e/zARPxBujMWGdWhg0DskNkwh5RDNDGjY5e +bnYMmBi4ptUobIjmL9WpK+xhQDzLrk1a0xABV2+PjTMDnvc1njnsYohGqkXv +ObfiS8ddt3ya3rTjcD/FFBOcMkBekQ2pRl04vE+dD9xmZYBGThsdLfmBA9/O +W42MbH0U7pWqvbkXh9URaUoOkvpIaP/8COrDYUiCa6dHnB5KsfIq2zmIwzbH +C8NX+PVQvo7hrj1UHLoln0YpKaxBWxTvSbfRcVix8e27D7m6qE50rvsAC4eu +utXxqVa66Nfv2kDPERxExX++/xKrg1aUuCeem8XhnyMOlDADbfT0RfX+mb84 +ZEY8WJvbT0aGD3SVI0icxXr02WpdMhnZhE3mxfNxwHNwz+8wLjK6bHGr+aE4 +B0Lc3fb0/dZCg98qZCrVOXDArKKlUkgTBX3S6LXU4sA5A+XAhWYNNFdwPbue +zIFvzetHGPc10PJ7+03a9TjQK7Ap7L6uBrJ2xV1oGzhg0Jgg99dZHb2hKT0U +s+NAhnFth8w7VRT/KMbj524OnBgdfYkuqyIvJ7ZmriMHxG2ait0sVJHihw/5 +9i4c0DQU2k5uUkHXbx2uuenJgSFS4phBvTLy2fH5hqs3B/yHrU3nnJTR9mkd +xzV+HMATChpkv69C054z3fUnOKBmPavR/lMJtcl6ZjwM5sBF2wfad48oofyG +f32On+HA/DnVjtYuReRncn9EKIQD7Ckrs2ufFNAOJqnkxyUO2CWGN75ao4CU +0/0uvwrnwJUJm3C7W/JoxrnJPDSSA99Pk4tvUeRQx7KNArbRHKgY5y35qCeH +CiqeNMjFcUA3cFyU5LoSJZ4RSKJf44D5h5xMB1dZFEAOcnl/kwPhXv42TQ3L +kbdcyS6bBA7cFab1lQv97zjxr3/e0BGZpfOdefz9055JL/3fzhEs5bS91NJ6 +HisG/hZGSy5dj47T+4dxDIml6+VlBoax/SWW7ochcT9DZ0x86X7blfNFH60R +X9qPu9NBsZEXxJb2q+X68YJSE9Gl/ewLPG5w6ZnI0n4XPz3+m7JKBK3KTVox +F7C4/r7Svs7HwkvP57d3lay3pvDS8yukiLg1vxVaer6uDJfQKxZCqFXzphnb +lQMd17xN888uQ1PBGueVDnFgesUPl2PSy5biA/+kpFNSLLgUPyGDrqfW8Aou +xVec8CmZrjyBpfi7mwFrTdwEUKhabMisOQdezrrLedvzo6NQRhvZzAFtGf8K +3W98qM3eWeXEJg6MGXh/dUri+18859pJDO/nW4p38gcjK8PzvEv5oCWoy8sS +4V3Kl7fqkZrU7zxL+cQzNGxNe86D8patHs2VW9wf/YDGs3RudE/rsGe2DAcM +TYbMo25xo2Xrx++rSC3G7+ODIZ+OcS/lZ1Dzln1fNnIv5W/zeSueTclcS/nd +uNHhjJgl11L+W3n/44Yrcy3Vh4/1KbKBM6SlepNiVGl2qHoBI+rV9IR3+UD1 +PEbUN7+QP70Ju+Yxov6p+dsn5YjPY7sekwS1F+uj600XtUdtfzGKZHMVN4aD +u98VpR7ZOaxwl+lTThEOPxe6EhxsZ7EHfiekKHk4NFjx/T5MncFELwjYHn2D +w/flsi/r3s1gV2IyInte4TAs8KygKXYG+6CTfGfH3cXzO0me+tzT2KGwhLSL +13Eo7Qgu7rSfwl5oCWVIxeBgeuyvX8/EJOZwyfqpexQOk4EPhlUbJrHJb7HZ +ryNw+Du1fAclYxIzOhdSIhOAAyNyn0y7xwTWVHsaWbvj4I/zDL+sG8eUT3Gq +Bg/ikFQXsnEychyrqdarNXDBoel31mNDt3HspHzgv5edcTAQzXv576Zx7BXm +1j20FQce995c+zVjmLXUgaGVxjgkzGaFTb8exVLK22gF+jhYnjO11AwaxbZK +SDMXdHH4mmB0/LXDKEbxcmTbaS9ef5NJbJjRKKYmtGOhSBqHvX82hDNs/2DI +dYsgXRAHLoXgtD29I5gYf5nIVR4c1huqXxx9PoK9OzQl1kTCwXDg2cvHCSPY +kTwTSaV5Njxs8N0afWYEM2JOte/uYIOxtYnuSuHfmOKyQ6W+lWwov/CuoqUB +xyRTr2ufLWUD9aGDmIQBjt3sU/MMLWRDqt8qWvMAG7PhCQr/m88GfANqSS1n +Y/yryx9cyWVDynBAQeZdNtbtGeZeEMsGepvsWIwLE6u58tO8/jQbSG4HFij2 +DKxcXLyw048NdcxybU4jHTNxec7sPcaGbpWgffK36Nho6KjA0aNseLZtHJ8M +omP5j0FjyI0N24qMh3gd6dilSvXbNiZssLwwKRcVTMU82pZbzqmy4c5VVc3t +tynY3l6xjHZZNlzslLf+ok7BGo7e2F0ptXi+unNOAD6MLcuyyd4owQZsm1bo +n9ZhzJIiMJcrygZy5Lqtj98PY5JCLF+ZYRbUldwSO6U1hE0o/FSqbWKBOrLv +L/T7hdE1Oi+m17Cgx/+twy2RX5jny71aCZ9YwDwS/FyjbxB7wpQM48FYcGz7 +Td/UL4NYj15Ta8gHFqQUOWxeXTCI0Yum6LO3WSBey4zXMB/AgqdExjdfYsE9 +/3tjrKR+bJ18SuiRYBakM4Xphlv7MRld14/sABbYeiM+b5l+LKaw4ud6PxaU +Sn9t37nQh02YqZLCvVngq1829Lu9D+P9bLOCtGlxfbfD2UkHerHr/G6q5hos +GHNPECnV7sF2k2nPjsqx4Grkd77pu92Yoekb+og0CxxqrGlzdt1Y+idx4U2S +LGh+86DkHbkbk7Q9vSZKjAWHf/X73uXtxi5XXvjlTWFC7/UQpbiFLqwgfKbC +pYUJtebm5/MFurCGnvLXDl+YcKyr5b11Yif240aauv1nJjDLj/GvderEPH6J +uNcjJohoLWTtMu7EqJvCHthUMEFa36MgYkUn5lQY5ah3jwklgWFz1ZbtWHwQ +n55yFBP2St0YyvZtwzIba+RXXmTC7xPHg7RmWrH8y8//kTnDBH6LrxbUz62Y +SZtsY1IwEwLMTnhSX7RiH3XjBSVPMuGGlW3oXEIrtvKXQr3kSiY8PWXfNqXS +hFVXeK+RYi/2qzdumblKNWJpr4WOJHUygBv0j7rG12Nx6kfOtTQwQNDgcF9m +TR025xYbebqWAaVG2yKTfOqwkrkHcZVVDOAaK/nywaQOO/0gL0GqkgFxOWkX +BAXqsPeqcY9DAxhwrjiXcmlDFUb0qxoUanb15U8Y0f86fFRyy9FGGNEfCzjv +qVXjwzCif0589ynMzL0CI/prhxLX3DsiFRjRf7sthCmuKvuIEfPM5/Eh9sah +5xgxn8VIlLRxdt0BYt60HLQ+FJNZAMT8PCSHZSJfDIj5fd8W4bNTeDUQfsDd +nvtWckM9EL4wefvPfudNTUD4g56spZZcaAsQPjHZC2KkjDYg/GLg/Ny4a30H +EL5xKiJJIvlNFxD+MRC2u0Av5QcQPmLcQx/sDeoBwk+eHhaWURT/CYSvGPXl +ufCV9gHhLwubPidHpvQD4TMNvhrXYc8AEH6TzH52ylVkEAjfwUc9ivcVDQLh +P7tWdh6Q9fwFhA9pq3z9yxQZAsKPOmgWwjHZQ0D4Uv3RH5biO4aB8KevviGH +RFuGgfCpXx9z8tf7UIDwq1cy+xJVpylA+FY/Ir31DaMC4V+i6hXbuARpQPiY +ROnPv6oxNCD8jNTWyhXCTQfC1y6kCPOFx9KB8DcLQzvzmMW5jPC5R5mVUBvG +AMLvjIycFU/OM4DwvTuvHaaoZ5lA+J9LTrVN0hgTCB9ceJSebR/IAsInO1tg +lwCTBYRfnjQzk8s2YgPhm/yGLjraB9hA+GfWEa3i2ONsIHz0qtPsNu9zbCD8 +1Dp+K+1oKBsIXz1KWvPvr8tsIPw1Qp68yi+CDYTPHukSDPqZvVjX//Pb/tPp +G2zLFtf/z3fXRD4poVaxgfDf7e6H4vbVsYHwYfKrAd7ljWwg/Hj7sbiUH9/Y +QPhyvt3dJGEqGwh/XjsUbn11lA2ET8eeWHdKaIYNhF+/S3oW5fWXDYRvh/3x +8Pi2+N4l/NtbSLLHSnzxPfyfj2fxe2zXkMWB8HP+zfxO1go4EL5e5743LFUZ +B8LfIS+IMkjGgfD5jnQTqRk9HAi/d5R+vyps3eLc+J/vR5T46qWa4kD4v9XR +uD0Z23Agvg8Ml8lXn7fBgfh+YNdPT15xBIf/A3YIU1o= + "]]}}]}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVkHk81AkfgOeqkNxH44g5GyNMUtHhWxElkaPSsrJylIQ2XaxatxK7K8Vu +RNKBhEVZKl9HtAq5RoqSZWZ+k0g5Ejveff94Ps/n+fdh+Ia6+lNIJFLmf/zf +Dv6SDiT2Wj91ckrAlQu1NBudsHd0C0gy6BdIXi7UrsnJzCqn20LXLOOhhSIJ +h/XY0ae5TqDw8XVex0oS7mrt/TOb7g4zSZhoCSRkX9K/tWBwACLWSAfH3Eg4 +73Ao4weuN+gKrQMeBZBwv9/M4zS6HywOo+k6JZGw0UbnYUNWADzy+2PTlQwS +ClibSycNjsCl5b0mGrdJKDcUk7ePGwLde5Pf8epIOGCWaJNCDwfWxNqVeRMk +TM9ZjhzPk6B0osWid56EDsqFm55knYL4n82qa5eQ8cHYi7XjBmchxWJHZYMe +GVOL1Xgu3HOwf4MBX8+WjLmazgkX6XFAUinv7bhIxsjWjMZ91XHArtjMV79M +xn3xgxSWZzxIYh5GW14jo+LU8fM1WQlwW+sj06KIjGe608+MGlyAUiOmSLGF +jC6XXwc5cVNB2Kmfs0ClYLRc+coL9HSomUhhtgVTkG//W9KfYemg0O8x9tMJ +CnbGhxJvmtPhY8cYyzCCgmzqqiLTU1fgg2zttG0iBZv+zTft7rwK2+w6qpVv +UFDxy9W1Bpd+h+XS1s8DnRTMHIiwqZRdB98uVf9cARU9Dtwo+TSRD15jn6sd +31Bx/I27Qp7OLdh4b9x90SAVE7zl/N1sbsFY9XqsHqZi+aFQnQfptyBl9La5 +3hgVlUI2x0euvw0C5/r0ahINn8b0fbc46g74LFibHePQ0Pye6mI9uUJ4ml5i +8T6YhksXor3sV5TAniA72aEpGnJcxiQNyRVgj+EUv/FFeLrNCjIn/4J26dGM +9I+LUbtC9S2ZXAsU8zh/rY4l+GDI5kX7pnoInAmlOtfJYX1Qtu6B0UbYbzdk +nFcgj1PczcrFx5rBZ4trrE60AlJmi1R0OS1gna93YNHRpbhuweeB2/0XUJUv +axTZKeKLOIu2Jn47HLP81C4yXIYGv36YLRh7Cbv6tOc2qirhh5l3b3sEnVDu +UFxMnlbCfGlNi1JqF8S5qd399kUZlfTvjA8Pd8OX2Bj7jREqeOlIZUAuWwhU +mn9R0pQKTm8pGj58vhf6N4boi86rYrh5Y4VWwyuYK7u+MLdIDd/db0zuYLyG +3Ke5sX7ZajgX1H3NKOINRLQzY1Ws1NF46xJZQE0/KDnqUQM71NE+jxFYpv0W +jGm8mYPnNfC0/kHme693UP2YKFxjrImrRvcu7d09CLO6VM+yAU1UV/4k1/ht +EPji161r7mkhrfZaCSvrPUR/e/axJ0Ibj1rU9MnvGgIz663SiODlWLTTN/fJ +9BA0XqgVN4XQceqDjoro6j8Q8ON9R6VTOljpZk9Ntx2Gym+f87+U6uL5HfE5 +FaJheB4SU5T8VQ8ZAw6vbsaPwFej+ddCnxW4YX1cOt1cBKkJXftblA1R6Etf +l20hguTTDzx2qxviidT7vYbrRWBADB/t1DLEeyOvdHibRNAaktA5pG+IK9JN +bqyzE4GIGfiP3ipDpEwIi92+E4GC8f61X3cY4vNCo+aUGBHU1zy/8H2cIXqt +aJ8ld4mgUk3oGkNhoBL9x8qaHhE4/vXs9cxiBtapax4/+UoEvkcK74ctZSBX +3lMiGRDBL2dJu4M1GDj+RSRsl4hg7+o0IpnLwJi/ZeXZsv+63XUibBcD74ab +hljxxXBQQ3VhOoOBUy0pQ2HRYtjOcui32czE/qU9h+djxRBt4LLGaxsT6x31 +xhMTxMDn7G4+Y8/EX9sK564niyFjcWTdExcm8jubNVqviKEtraLuXAATvfvI +9kaFYojPo9n0/MrEJvHJovedYsiRFVaqEUy8x3u8+liPGKI0Hm+tG2Pi5SO0 +qq+9Yrh68zf5k5NM9PmQ1qg8IAYLmvqPowtM/DZWMrBZLIbN9crlutosNJsm +lP+YE0Pk4/myODsWZtK8w13ZEkjxwMwXBSyUHdJe28GVgPxsTL5WKQv9Gl5O +ORtJYMuap0qBD1goiLE5vdtUArFx363WbWDhswVexA5LCTRF8Omd/Syc+fbl +vLWjBKh++rsSVNm4b+JCMj9cAqEbP3DCfmbjoz02jgWnJDAq7L57I5GNzNJ5 +Rd5ZCWzIOxj+JpWNYyGhqZxzEvilqVBwOJuN8aPuvxkmSWAy9YmnpJqNFWKD +DK0sCQirlzldmWGj+tvKPEqjBN4H67huOcPBVMWoqIdNEhCp3vD3jOagwkZb +j+C/JeAQJF8We5GDlMzOZcI2CZBkPyTNZ3Hws8v4mYI+CWzrenHpcj0HXz7l +Oe8Zl4DHrU8jkSpcvFR8bS5bhwCfv3QqLlRxUa7fV+iqTwAR/m9RfgMX4xT4 +ZUsMCYjvuQ1dbVyMDKwKOM4hQHODgkvwCBeDGD0dtgIChNtPKF9VX4k7rijd +/bCdAHqTNWlZ+EpcFBXtbnWcACuvn97Qd/CwYmJ0tvYEAReNEkO99/LQL8Aj +x+4UAS7rvWm1vjxsdDaTukUS8Iuti6owiodxzIHzIfEEuMNA6pFKHtKeWRbd +/J2AgqqW73P5RlixKX8PP4sAnVjfs8NWRuhXpjxdep2Ay1voT3bvNMLGP0Rb +ntwkYN0m9p20w0YYeyxd+KqYAHlK+fG5u0ZIUf9EVqonIG2Q0Sdvzsc/Ez3v +pDcSYNxWAjRbPvrONznqNhOwZ7DE33wfH+tHsjN4LwjI1kypNo3kY0zVLhMb +IQFdtYK45818JHvf8TgjJaCFknV6MNgYSzvVZLJRAlKCa/owxhh97M/djB8n +wK3Z+MBYpjGiYO9Y2iQBbx0o56yajPFnKjWuWPbfr8Czv3exVyGpwLtkSE0K +MVsPKmVMrsKm0d6QHE0pOPRM7xxVM8FLAhdTr+VScKLQTvauNkHtKpviHn0p +UDta3yceN0HTZl7RM54UFFpYgaqzJjipkBcUbywF/z1WAd16pljtpMvfZiqF +vH+n/Y9sNcXtwmUFNWuk4PM8VNM8xRS9Rj7fvm8thVzygYNJAjNkGgUHHN0q +hbJHz+oYXmYoCR7h8Gyl8FOfLGg6yQxPTPbm39gphVtnNaKyRWZoaeni5+0o +hen3F7itSwUoi2xh6TpLIWnUsVudI0AgD/TvdJfCnXetJzz3C/B/adSv2A== + + "]], + Line[CompressedData[" +1:eJwBkQJu/SFib1JlAgAAACgAAAACAAAA8cUNllTT7j+n381zW1cwwNiPZtCt +1e4/mZc5vwj4L8BkgcyYMtjuP7mY/mxARy/A8XIyYbfa7j8FRY/N6qUuwH5k +mCk83e4/J3+cHVARLsAKVv7xwN/uP+QQAUVghy3Al0dkukXi7j9AUXflgAYt +wLAqMEtP5+4/2xGjgh0bLMA9HJYT1OnuPxY4sba8rivAyg3821js7j/YyieI +mEcrwOPwx2xi8e4/DQ/euciGKsAWt1+OdfvuP5FdUOZ9LynAo6jFVvr97j+i +f5oxruAowDCaKx9/AO8/e73DRzOUKMBJffeviAXvP2LiT/B9ASjAfEOP0ZsP +7z95X15P3/AmwOLPvhTCI+8/WDu+0sIKJcBvwSTdRibvP3UvH2z/0STA/LKK +pcso7z/P0TXx6pkkwBWWVjbVLe8/3Qc6fZkrJMBIXO5X6DfvPy/NjRn9VCPA +regdmw5M7z+hNMfWFLYhwIidhWDeTu8/bfPzqcB8IcBkUu0lrlHvPw9J0LVe +QyHAHLy8sE1X7z8lMApCKNAgwIqPW8aMYu8/5ACO9C/LH8BmRMOLXGXvP2nl +SDn8Uh/AQvkqUSxo7z/ujbLMF9kewPli+tvLbe8/Ikj7JNXeHcDUF2Khm3Dv +P3hKmimVXR3AsMzJZmtz7z/+dSHW09gcwGg2mfEKee8/7fhHO4nBG8BE6wC3 +2nvvP0yOfs2qLBvAH6BofKp+7z+A9kl+TI8awPpU0EF6ge8/2XIotY/mGcDW +CTgHSoTvP771BLe9LRnAsr6fzBmH7z8rbPUNAFwYwI5zB5Lpie8/QbyrolBc +F8BqKG9XuYzvP35kaUnQzBXAZRm3S0mN7z+VC+jfuwoVwMWaSlA= + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVlWk4lXsXh82EzKWtJGGHkKNSaVhJx5hCpLLN7QwNaBK1aVfoCHWkOCUh +dEqGzKX8n4xlKGOczGybPTzPQ8gQeb0f1rW+3Nd1r7U+rJ+6V4ADXUhAQKBm +uf7freljLRjHad+hAU7CalcCRMxUAvsp22DknUrNFSsCtj5LSi6kHAQLz6gj +afsJYK3TZAZTD0N4qY9+0i4CbJo6C55SHMFe8e16xlYCNGNUM5fUTsC3VGOF +eX0CFqy9Ez2pbgB5AewhbQKcT818iKecgnr3o4wkNQKqzVRKq5JPg9geMQfL +tQQYauzNn1LzgwwxjwOaygRIDN1MP0Y9D3RJ+R4LWQJ6t0SZxVIuAeOHh8dX +AQISnq3BtFwuQ0l81s1TizhYy77aU5F8BSLPbQ2SnMehhGjcTqqFwB+sMMtb +kzjE5Sho21PDIP/Qw3ipURxSVx2JjKbchgPeUYnfv+JwrSmx+ti726D9alBk +VRMOxyIGhDRcIuCA+8kop3ocpKeDwsuTI0GP+ax0tBqHq+0JV/lqf8HAhdQd +1u9wsH/w3f8wNQ5cuyQC+jJxYEoUbvqLkgDhKtrrfcNx0LX4+05BYAJ4Cuh9 +Hr6OQ2tEAKe7LgEs7+wb8wzFQVNYL9vgykO45fBrP/0yDrWLGQbtrY8gw5Va +HHlm2T/5aLtazD8gZuisq3Mch6TeULPi3ylwfvduSqYRDsdPpOWNT2RAZyvY +iPP4ILXEpFmsz4Olp6mZtmf5oGVPjFXdLQLn7Bqr+CkeBH/ZBUlTb+HBa7vZ +0Us8UC6S7xMURGBk5Lju/G8ulAyZNX7dUwlP0yuhjsGFSv+na0/wq8HM8JBp +xG8OTFP3yuacq4PgRCnRsEgOCM1ly63VqgeB9jbBECEOGC95lBzNbQS5sr5F +9YgxaLy97Uut7ldYqVGxX1BiDNTu8+ZeEs0wgAm88WGMAm+mv6/DsBVeKTnF +qc+xIYNbXi8T1wbDH7Lzt59mg4zqC5LFaocvPiEnV7aOQIxf8elUzW/Q4Pnd +XPbgCPzcn83yDe+Eb2NmUhGZLLhkVF20uqoLdDZ8WeRJs6A/t/pui/p3sFnT +eVzZaxh++bc/0QntBmLSo9ipaAg2m4r/Pl3eAwl4VhBNeggs0tV93ij3QaOP +ZjQcGYRgVfeNg7R+WDKpSmAmDoAe30mq03YAjPrznEXL+kFRdlyien4AnrtI +Ka2T7QMR9CRPI3kQtvVwhnoDeuDMtvL/VtgMwSDjcIF+4nfItvJKrfg5BEHh +8XIJOV0wzVORYz8ahsErC9O0hm9QfNRCOOEgC2Z6QUYgrR3CLSOeFbFZoK9s +TqWEtoJ6r3XX84gRmLn/45ijSTOY7LidQDFig1BH7hv5HQ1AW/91TrCNDU57 +pS7NEjUwXR87FMgcBRYFpWM+CJJE3C45aI6B+ZDlyYj0AlDsK04Xqh6DCLnS +dtLmAYgymI67gjhQNc3Cd7JeIIGXbnlDClxwW2KsW//uA6rld55/tooLdqW0 +3AfSFSjG0N6AtoYLcSUfGbvdK5BymVlOhyoXxB2P1G0URcigTjv7k/Yy/0HV +LVsHQ7SRH1m5+7igyR7NrLn+Eb1Vj0oJ9efC5eJc9rUd1ejC47xYhUouRGUn +B0uI16PShcdRldVcEJwq/fTeuB4tuEUyL9RxocxoPzP+dD2K0nC93NrIBYkt +Lv3ptfUo+bWka3wnF4TAwJN2pwHVVND1FHAuWN+9t5um0ITWDK9tkF/Dg+dB +tu2zG5rRh813JOTP8+CuhXXoQmwbMm5XbooP5IH/7nNeo/+2ofzrL/5WusgD +MbMvZqNVbSi9qVZlzVUejJ87E0Cdb0N3AkT11W7y4KjCXVamTztyKLxpr/+I +B6VnGQs15h1o1ITx2KqCB4oGHgXhqzuRx7C0ewPGA2nqUobNtk70/W6yhm0V +D3jl3mJ/OHSixp7y13afeODd1frWMq4TFYTNVzi38qDO1PRKvngXul4ZPExn +86A3OkQ1aqkLyVtf0LspwweX4QGfhyLdKPWjrJSJPB9ach6Xlmh3I8NdOZwJ +RT7Y1VqOLRzqRoe1x7I8KXy4xfxPdO5hN4oWc1M31eTDlHusdJlODxKpslot +YMIHbzeXzPjjvejnbnWBMDoffAzescY7+lFEYUXfdl8+lCl+6fhzqR8pbaZ9 +wP35YE3HROlKA2irSmKoayAfUnlSHMN9AyhwVnp6zzU+PPJ7NMWPH0CcolnO +r/t8kK3j3dE0HUQ9+s1tIe/5kFhkt2dTwRB6xpNnCKNl/4EYn6RPQ8jr5VFq +7Ec+8FwDX2j2DyGOZufV1Fo+9Pi9sbsnPYx+ru1TrWvmgwZmO1DoO4zkJfk+ +SiN8qC+9JxNEZSFztvhC7koctJlb96W8HUErMqwyd8rhgPZTQ3+0jaBGz7uH +KxVwMNdwzPYnRtDRXpm0DuXlP9+pYvlJg4082leZL6jj8OCWutaB+2x0rVLj +vpXxMh88Q7kZOIryU0CT5YbD/qJtLBF7DpoMnRT39MQha/80MRPAQcbOL3i9 +3jh0bwhwUrnHQeWysoWdvjjU88p1yCYOqr3RZ9pwAQcBt+NLbFsu6vZiuBdE +4sBpV56KcOYhsU3lj2/k4pA44l+Q/hBHVsIBYYv5OBA7sNakchzF9G/0Ci1c +zgXf9WMtgziST4rWuVSGw+gTOxm5LQRat+JkmU8lDuXBJRWtjQQy4s12HP6G +wzZL481rpMaRa56xvOpvHJ40+uy7fXEClZyclWlezlnDwayXKbETSEbsnfQt +YQK2G2pcnXwxgTDaXgmOBAGCawOTj/ROoI2SB5eKFAk4+mNHGNf6B2KfsscP +6RCwq9k4kmE0ifbJKfKWNhPwJdbozGu7SZRY3j5WYECA+eVd5loBk8hS4Thr +zTYCYn9lMOZeT6JXyK2btY8AYffeXFu9KXRe5ezn644EbFmZ9/KzyTSqrdGv +2+JMQPN4Roqh2zRSCyKrh04QEF8fsnOGOY2a6y5glu4E+BHCIy/rp5HR5ZBS +JX8CuEwnpQ6Pn2jma2Tm63ACFmdXHWSnzSC7a5bP3W8SMHP28Yh64wz6lyqZ +phCxPL/3om/Pzxl0khGbfDWagLJvgcWdtrPovW7Cg4MPCWjsFPAyEJpDNyLS +mD2vCBgRzypojpxHK4PFrT1zCPhvlfLL+pJ59Nj3nAI7b5m3EB13GZ1HhTa7 +npNFBPQtdcXaWf9CbPmWaiFEgLvvDdUe5QVkkyIgodNGAC3GeePT9kXUde90 +c04HARv9bOOzZX+jU8zGJKMuAnxDfvTG2vxGYaeSdPb0EjD3k14+WPMb5esa +2hwZJSDRqHL3yZoltLrUPe7yLwI+NCQqn50XwJ7/W3NsfpEAC/rfboSaIGb4 +eLNauAAJTTvtLsqYC2JWjJm8O6IktFyxEDZJEMSum91reSJLQkDLXqdPO4Ww +Fdun/9mgQMLGlBMhH72FsEdUF69MJRIMjVmmN+8JYXkrNk3mUkjQNfBvusQR +woa+VihVapAgzBqxHHshjAV81Ow1p5LwRoOpNfqfMLZQEJ3ZoE0CVWKzCF9a +BFv16Jhxhz4J2u+NLAyviGCWNMJ5bAcJW3IPyY0cE8XabR03nDMhYWoL/YtD +vCjmCe/GJvaQoKPkV7H5qygWujEy5JcpCS9/uVPotmJYzpjqE5lDJDxMgz+M +3cSxO08jPPoOkxAlFaTUlSeOnXLAtXLtSQgZogXpiUhg696/z7d1JoH4qKpb +WiyBzQZqXlE9ScLc6u/O3oorsDatmN04jYRvf9F35V9agUXfc6mN8SKBxnUO +vWEmiZ0+WHWXRiehkC3t1vJGEjswp2uv50vCOL1ama4lha3PjV+94L98H6ey +/s4UKWzOa7674RwJxc/PjLPXS2Ptyl5pTwJJ6D97Zsu1LGksv/Hz6TMXSWiN +PlNQZrwS8zX+Z0IyZHmfuYBIZrAMdpAnUPr9Ggkdavkrn+rJYmqpvtdfhZHA +lfsnTXdKFpt3bDYNZZIgwjvLwP3ksG8rdopb3172O7x9EsWVwwoqnjVSokjw +WD24WHhbHou7KB7P+YuEPydQ4gVbBcxfO8D5bQwJjsJ+fslZihidUmpjFUtC +3KJfHstVCfsfQDZdfg== + "]]}, "Charting`Private`Tag#2"]}}, {}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>]]& )[<| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 1.}, {-16.34123920227253, + 2.0794415416798357`}}, "Frame" -> {{True, True}, {True, True}}, + "AxesOrigin" -> {0, -16.341239202272494`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> Directive[ + GrayLevel[0], FontFamily -> "Times", FontSize -> 10], + "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, {Log, Exp}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxF2Xk0Ve33APBrlnkqmTLrIkOSkmonZYpCSoOQzCmaoxCZGqhXikqFaFLI +EFHOkwy9hjJTyBB3vucm8xA/v7Xe4/vXXWets+5zznP23mfvz1H1DHLy5iaR +SDFcJNL//9p605oRfd/W6t27Y9HqBYzXQj64T84Y4pV7DGlNC9i6J6lphXI7 +oHVatcRYhISGFDUiL2jtBiH2j8zm1SS0q7Gz4JGcM0zGo7iNQEIaN5WyF5QP +Qug6Rj++l4TmbI+lHNVyA4WOrT4ffEjIxWvyY5KcF/AH8yrsjiehKgv5ks9p +PvDB68HmuykkZKi+JX9M2R9uruzUk3lGQoKDUZn7tU5C274bfeRPJNRrEGeR +IHcW1EfWr84cIaHkJyuR5uFzIHamzrhzjoRsxV9trkg7DzFXDMowAS70Dm9Y +z1EOgQRj6+LPilwo8Y0U2VErHFw2Keso7uBC6cv3xF6XiwaSRGFn83UudKkx +pWp/WTRoFG3Rkb7DhfbH9HOrH44BWlRJ5MaHXEhk/FREeVosPFvBVjPO4UIX +25IvspSvQb62GkWkjgs53vkRsFsrETpalJ4s8HCjSMHC1dfkkqF8JEHtayA3 +0rH6J74gOBmEeg7gl89wo5aYIHp3bTKwm3F1lVBupMGzJkf//F1gzq+f2BHH +jWr+Zum3tdyD7ZbNZeIZ3Ehk9N565Zv3YSWj8U9vCzdK7Q21KJ5/DJ6tkt7p +hjzowMGMvN8jWeCK/ymz6+ZBnG5noUz5bDB7zXHm6+dBsW6C3nstsgEv24DK +hnhQ4bEg+XfJ2ZDAemakiPMgsZNbYi5teAaGeyqTy0i8qDrq+yH+sOfgsbDV +4IQmLzJ6LcmvKPgKqpPzjAcCeZHwQqSr1ao8cAiwnD82zos0HXHa5xtFYIXO +cntx+NCFr6aQOvYevjGOpySz+ZFskeRPLi4MuI2ivVc0C6B3gxYN3zZXgu9k +EM+eT4KoMuCRwkFWFbhYDupmvlyGxrW2iL85UQse25yuykcKIe7pHAkFzTrY +mqV4kO+4MDJZ8Hi3N7cBSrPmqyiWIqgh2vhrjc43OLHx9zeKiihSvs2cfok3 +wa7vsrNmkmKIOdn3s92wBQpt37zhmhBDWYzyOrHEVojeK/ViZlQciSk95wwN +tcHo1Sgrs1AJdNO/2CddowN4eL1z4scl0MS2nCG/iE7oMTupRImQRGeNqopW +fO6C2bePF2b5pFBfbtWNZtUfkF6dftXrkRSaDWh7qB3aDaHf1K5KmEojXXOB +eZ/yHhCzU+TxbZZGVpmqvm9lf4IuL3nSPUIGXVByVxtw7YOyj/RX63SXozWs +fcKd9v0wrcBz+G3vciQt/luwaqYfdKg/Gte9XoF4sYd56mkDEDnzhd0eKouO +G5d/X7ZrEAy2mjNCA1eiHBvP9IqJQai6hlFrTsqhcaa8BOXeL/A5nWsndl4e +Fe+14kneMQTFM3+yRvMVUIR1zJMiyhDUn4zKuTGliFR7bbuexgzDlPbcjw6P +VWjThuhkOSMKJMa2utSJq6AOTzmTR8YUuHHh3QF7aRV0JjG3U2UDBZTpQ8db +Vqig18Nd8uTNFGg8GdsyqKSCViXrZZhYUoCi5vtLcY0K4h7peLP3EAWEdF3W +T1mroPpX2rUJURSoLK+/diRaBbmu+jbN1UqBYqkOpyhuVSQmd7q4vJ0Cdu+/ +/JjkV0WfpJefOtdFAU//V7nBwqpIa9lhGq2XArdCSPaBMqqIM0rp+EajwL61 +SfQbWqoo6t/5wkfzi8ffnEaCd6miF2f1T5rqUMFdRnJhIkUVjdclDAZHUmGn +um2PxRY11CPc7jd3lQqRyo7rXLeroUo7RU5cLBV0NO1rL1qpodtfX80+vkGF +FP5Lnyoc1ZBOS61M410qfE0q+hTuo4bcvnNZab+iQkwmr0X7bTVUQz2XM9BC +hSfzr4ql6GroNfnj2hPtVAiT+Wj+CVdDd/x5S6c6qXDv6T/Lzo2pIQ9mUpV4 +LxWMeaVPsxbU0Aye17uFSoUtleKFCrLqyGCCLv5glgqXPs69jbZUR6m8bmed +NGiQcAClNrxUR/PHZNc3a9Fg2XRU1op8deT1uWl8jzYNtq2rFvN9p44Moywu +2OvT4Gr0obUKn9XRlwVyqPVGGtSE6si19KijyZnRiK12NODxUtoVK6mB9o9c +u6FzlgZBZkzN4Csa6IODhd3L8zRgdbS9yIjTQGr5cyLkEBpsynQ/252ogfCT +QYma4TS4VfPK0O+RBophOf+jEk+DscSKw7QyDVREVU5ZkUaDjjLR3XcnNZD0 +z+JM7ioaDATKO227qIkSRcLCSmpoQJHM8D4cqYmEzHYcCPyXBrYBy95eva6J +uFNbRDu+0oA0fzR+Lk0T/XHkXHz5nQbbWxtu3qnURE3V5D0OHBocyP49fElC +C91883D2kTwdPN7LF10r1UKCPZ4dTkp0oJ/9m5P1WQtFC+m8FVChQ0z7M2j9 +qoUu+Zb6nNKkw/JNQo6Bw1ooQLW9eYchHTp2nhG/J70aWd8Ve8HcSQe5mq0k +0bOrEV9YpLPpKTqYul7ulrMmo6IR1jR2hg7XteOC3PaRkZfPgSeW5+nguMGN +F/Mko6o9Boy9l+hwa4ejZEcYGUWr9UacjKGDM/Qm+heTEe+XjTlP79PhZWnd +kXQdbVS0OctBJ40O8lc9Q4ZMtZHXW/GJ/Md0uLNNrsLeRhtVPaBsq3hKB5PN +Gs+T/LTR1RPJHV1v6LCMu/DU7AttxC39m0uskg5J/arflxnpoIK4w8+Tq+ig ++zUPeHfoIM+5GjuFWjo49Od5G+3XQZXDj1LIDXR4tDyhTP+SDooq3aVn0UGH +Vswwur5WB3G5PT9wkUGHOu60C/2Buii/RWp+nkWHhMDy7yhKF3lYhT+N4dBh +b63uQTxVFyHDfXjSGB1+2nKHm9boois8PNFv5hf3yzfkfqvGGkR66ZY3KMWA +KHN3sZSxNaiG1XnyyXIG2LZP2LCk9NBNQ0d915UM2M3Ne65zrR6SLbV4067E +AJ7mxoG4U3pIv5ac84XMAKE6dV/JaT00JpQZEKPLAG8HU582RX1UtltBZ7s+ +AzL/Tnj7m+ujnR2iL8vXMcCjPmi5UYI+ch3+8yx3KwPSuQ66xxsaIDXtQJ/j +5gx4++HLJ1VXA0QLHNYk72DA5e/zARPxBujMWGdWhg0DskNkwh5RDNDGjY5e +bnYMmBi4ptUobIjmL9WpK+xhQDzLrk1a0xABV2+PjTMDnvc1njnsYohGqkXv +ObfiS8ddt3ya3rTjcD/FFBOcMkBekQ2pRl04vE+dD9xmZYBGThsdLfmBA9/O +W42MbH0U7pWqvbkXh9URaUoOkvpIaP/8COrDYUiCa6dHnB5KsfIq2zmIwzbH +C8NX+PVQvo7hrj1UHLoln0YpKaxBWxTvSbfRcVix8e27D7m6qE50rvsAC4eu +utXxqVa66Nfv2kDPERxExX++/xKrg1aUuCeem8XhnyMOlDADbfT0RfX+mb84 +ZEY8WJvbT0aGD3SVI0icxXr02WpdMhnZhE3mxfNxwHNwz+8wLjK6bHGr+aE4 +B0Lc3fb0/dZCg98qZCrVOXDArKKlUkgTBX3S6LXU4sA5A+XAhWYNNFdwPbue +zIFvzetHGPc10PJ7+03a9TjQK7Ap7L6uBrJ2xV1oGzhg0Jgg99dZHb2hKT0U +s+NAhnFth8w7VRT/KMbj524OnBgdfYkuqyIvJ7ZmriMHxG2ait0sVJHihw/5 +9i4c0DQU2k5uUkHXbx2uuenJgSFS4phBvTLy2fH5hqs3B/yHrU3nnJTR9mkd +xzV+HMATChpkv69C054z3fUnOKBmPavR/lMJtcl6ZjwM5sBF2wfad48oofyG +f32On+HA/DnVjtYuReRncn9EKIQD7Ckrs2ufFNAOJqnkxyUO2CWGN75ao4CU +0/0uvwrnwJUJm3C7W/JoxrnJPDSSA99Pk4tvUeRQx7KNArbRHKgY5y35qCeH +CiqeNMjFcUA3cFyU5LoSJZ4RSKJf44D5h5xMB1dZFEAOcnl/kwPhXv42TQ3L +kbdcyS6bBA7cFab1lQv97zjxr3/e0BGZpfOdefz9055JL/3fzhEs5bS91NJ6 +HisG/hZGSy5dj47T+4dxDIml6+VlBoax/SWW7ochcT9DZ0x86X7blfNFH60R +X9qPu9NBsZEXxJb2q+X68YJSE9Gl/ewLPG5w6ZnI0n4XPz3+m7JKBK3KTVox +F7C4/r7Svs7HwkvP57d3lay3pvDS8yukiLg1vxVaer6uDJfQKxZCqFXzphnb +lQMd17xN888uQ1PBGueVDnFgesUPl2PSy5biA/+kpFNSLLgUPyGDrqfW8Aou +xVec8CmZrjyBpfi7mwFrTdwEUKhabMisOQdezrrLedvzo6NQRhvZzAFtGf8K +3W98qM3eWeXEJg6MGXh/dUri+18859pJDO/nW4p38gcjK8PzvEv5oCWoy8sS +4V3Kl7fqkZrU7zxL+cQzNGxNe86D8patHs2VW9wf/YDGs3RudE/rsGe2DAcM +TYbMo25xo2Xrx++rSC3G7+ODIZ+OcS/lZ1Dzln1fNnIv5W/zeSueTclcS/nd +uNHhjJgl11L+W3n/44Yrcy3Vh4/1KbKBM6SlepNiVGl2qHoBI+rV9IR3+UD1 +PEbUN7+QP70Ju+Yxov6p+dsn5YjPY7sekwS1F+uj600XtUdtfzGKZHMVN4aD +u98VpR7ZOaxwl+lTThEOPxe6EhxsZ7EHfiekKHk4NFjx/T5MncFELwjYHn2D +w/flsi/r3s1gV2IyInte4TAs8KygKXYG+6CTfGfH3cXzO0me+tzT2KGwhLSL +13Eo7Qgu7rSfwl5oCWVIxeBgeuyvX8/EJOZwyfqpexQOk4EPhlUbJrHJb7HZ +ryNw+Du1fAclYxIzOhdSIhOAAyNyn0y7xwTWVHsaWbvj4I/zDL+sG8eUT3Gq +Bg/ikFQXsnEychyrqdarNXDBoel31mNDt3HspHzgv5edcTAQzXv576Zx7BXm +1j20FQce995c+zVjmLXUgaGVxjgkzGaFTb8exVLK22gF+jhYnjO11AwaxbZK +SDMXdHH4mmB0/LXDKEbxcmTbaS9ef5NJbJjRKKYmtGOhSBqHvX82hDNs/2DI +dYsgXRAHLoXgtD29I5gYf5nIVR4c1huqXxx9PoK9OzQl1kTCwXDg2cvHCSPY +kTwTSaV5Njxs8N0afWYEM2JOte/uYIOxtYnuSuHfmOKyQ6W+lWwov/CuoqUB +xyRTr2ufLWUD9aGDmIQBjt3sU/MMLWRDqt8qWvMAG7PhCQr/m88GfANqSS1n +Y/yryx9cyWVDynBAQeZdNtbtGeZeEMsGepvsWIwLE6u58tO8/jQbSG4HFij2 +DKxcXLyw048NdcxybU4jHTNxec7sPcaGbpWgffK36Nho6KjA0aNseLZtHJ8M +omP5j0FjyI0N24qMh3gd6dilSvXbNiZssLwwKRcVTMU82pZbzqmy4c5VVc3t +tynY3l6xjHZZNlzslLf+ok7BGo7e2F0ptXi+unNOAD6MLcuyyd4owQZsm1bo +n9ZhzJIiMJcrygZy5Lqtj98PY5JCLF+ZYRbUldwSO6U1hE0o/FSqbWKBOrLv +L/T7hdE1Oi+m17Cgx/+twy2RX5jny71aCZ9YwDwS/FyjbxB7wpQM48FYcGz7 +Td/UL4NYj15Ta8gHFqQUOWxeXTCI0Yum6LO3WSBey4zXMB/AgqdExjdfYsE9 +/3tjrKR+bJ18SuiRYBakM4Xphlv7MRld14/sABbYeiM+b5l+LKaw4ud6PxaU +Sn9t37nQh02YqZLCvVngq1829Lu9D+P9bLOCtGlxfbfD2UkHerHr/G6q5hos +GHNPECnV7sF2k2nPjsqx4Grkd77pu92Yoekb+og0CxxqrGlzdt1Y+idx4U2S +LGh+86DkHbkbk7Q9vSZKjAWHf/X73uXtxi5XXvjlTWFC7/UQpbiFLqwgfKbC +pYUJtebm5/MFurCGnvLXDl+YcKyr5b11Yif240aauv1nJjDLj/GvderEPH6J +uNcjJohoLWTtMu7EqJvCHthUMEFa36MgYkUn5lQY5ah3jwklgWFz1ZbtWHwQ +n55yFBP2St0YyvZtwzIba+RXXmTC7xPHg7RmWrH8y8//kTnDBH6LrxbUz62Y +SZtsY1IwEwLMTnhSX7RiH3XjBSVPMuGGlW3oXEIrtvKXQr3kSiY8PWXfNqXS +hFVXeK+RYi/2qzdumblKNWJpr4WOJHUygBv0j7rG12Nx6kfOtTQwQNDgcF9m +TR025xYbebqWAaVG2yKTfOqwkrkHcZVVDOAaK/nywaQOO/0gL0GqkgFxOWkX +BAXqsPeqcY9DAxhwrjiXcmlDFUb0qxoUanb15U8Y0f86fFRyy9FGGNEfCzjv +qVXjwzCif0589ynMzL0CI/prhxLX3DsiFRjRf7sthCmuKvuIEfPM5/Eh9sah +5xgxn8VIlLRxdt0BYt60HLQ+FJNZAMT8PCSHZSJfDIj5fd8W4bNTeDUQfsDd +nvtWckM9EL4wefvPfudNTUD4g56spZZcaAsQPjHZC2KkjDYg/GLg/Ny4a30H +EL5xKiJJIvlNFxD+MRC2u0Av5QcQPmLcQx/sDeoBwk+eHhaWURT/CYSvGPXl +ufCV9gHhLwubPidHpvQD4TMNvhrXYc8AEH6TzH52ylVkEAjfwUc9ivcVDQLh +P7tWdh6Q9fwFhA9pq3z9yxQZAsKPOmgWwjHZQ0D4Uv3RH5biO4aB8KevviGH +RFuGgfCpXx9z8tf7UIDwq1cy+xJVpylA+FY/Ir31DaMC4V+i6hXbuARpQPiY +ROnPv6oxNCD8jNTWyhXCTQfC1y6kCPOFx9KB8DcLQzvzmMW5jPC5R5mVUBvG +AMLvjIycFU/OM4DwvTuvHaaoZ5lA+J9LTrVN0hgTCB9ceJSebR/IAsInO1tg +lwCTBYRfnjQzk8s2YgPhm/yGLjraB9hA+GfWEa3i2ONsIHz0qtPsNu9zbCD8 +1Dp+K+1oKBsIXz1KWvPvr8tsIPw1Qp68yi+CDYTPHukSDPqZvVjX//Pb/tPp +G2zLFtf/z3fXRD4poVaxgfDf7e6H4vbVsYHwYfKrAd7ljWwg/Hj7sbiUH9/Y +QPhyvt3dJGEqGwh/XjsUbn11lA2ET8eeWHdKaIYNhF+/S3oW5fWXDYRvh/3x +8Pi2+N4l/NtbSLLHSnzxPfyfj2fxe2zXkMWB8HP+zfxO1go4EL5e5743LFUZ +B8LfIS+IMkjGgfD5jnQTqRk9HAi/d5R+vyps3eLc+J/vR5T46qWa4kD4v9XR +uD0Z23Agvg8Ml8lXn7fBgfh+YNdPT15xBIf/A3YIU1o= + "]]}}]}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVkHk81AkfgOeqkNxH44g5GyNMUtHhWxElkaPSsrJylIQ2XaxatxK7K8Vu +RNKBhEVZKl9HtAq5RoqSZWZ+k0g5Ejveff94Ps/n+fdh+Ia6+lNIJFLmf/zf +Dv6SDiT2Wj91ckrAlQu1NBudsHd0C0gy6BdIXi7UrsnJzCqn20LXLOOhhSIJ +h/XY0ae5TqDw8XVex0oS7mrt/TOb7g4zSZhoCSRkX9K/tWBwACLWSAfH3Eg4 +73Ao4weuN+gKrQMeBZBwv9/M4zS6HywOo+k6JZGw0UbnYUNWADzy+2PTlQwS +ClibSycNjsCl5b0mGrdJKDcUk7ePGwLde5Pf8epIOGCWaJNCDwfWxNqVeRMk +TM9ZjhzPk6B0osWid56EDsqFm55knYL4n82qa5eQ8cHYi7XjBmchxWJHZYMe +GVOL1Xgu3HOwf4MBX8+WjLmazgkX6XFAUinv7bhIxsjWjMZ91XHArtjMV79M +xn3xgxSWZzxIYh5GW14jo+LU8fM1WQlwW+sj06KIjGe608+MGlyAUiOmSLGF +jC6XXwc5cVNB2Kmfs0ClYLRc+coL9HSomUhhtgVTkG//W9KfYemg0O8x9tMJ +CnbGhxJvmtPhY8cYyzCCgmzqqiLTU1fgg2zttG0iBZv+zTft7rwK2+w6qpVv +UFDxy9W1Bpd+h+XS1s8DnRTMHIiwqZRdB98uVf9cARU9Dtwo+TSRD15jn6sd +31Bx/I27Qp7OLdh4b9x90SAVE7zl/N1sbsFY9XqsHqZi+aFQnQfptyBl9La5 +3hgVlUI2x0euvw0C5/r0ahINn8b0fbc46g74LFibHePQ0Pye6mI9uUJ4ml5i +8T6YhksXor3sV5TAniA72aEpGnJcxiQNyRVgj+EUv/FFeLrNCjIn/4J26dGM +9I+LUbtC9S2ZXAsU8zh/rY4l+GDI5kX7pnoInAmlOtfJYX1Qtu6B0UbYbzdk +nFcgj1PczcrFx5rBZ4trrE60AlJmi1R0OS1gna93YNHRpbhuweeB2/0XUJUv +axTZKeKLOIu2Jn47HLP81C4yXIYGv36YLRh7Cbv6tOc2qirhh5l3b3sEnVDu +UFxMnlbCfGlNi1JqF8S5qd399kUZlfTvjA8Pd8OX2Bj7jREqeOlIZUAuWwhU +mn9R0pQKTm8pGj58vhf6N4boi86rYrh5Y4VWwyuYK7u+MLdIDd/db0zuYLyG +3Ke5sX7ZajgX1H3NKOINRLQzY1Ws1NF46xJZQE0/KDnqUQM71NE+jxFYpv0W +jGm8mYPnNfC0/kHme693UP2YKFxjrImrRvcu7d09CLO6VM+yAU1UV/4k1/ht +EPji161r7mkhrfZaCSvrPUR/e/axJ0Ibj1rU9MnvGgIz663SiODlWLTTN/fJ +9BA0XqgVN4XQceqDjoro6j8Q8ON9R6VTOljpZk9Ntx2Gym+f87+U6uL5HfE5 +FaJheB4SU5T8VQ8ZAw6vbsaPwFej+ddCnxW4YX1cOt1cBKkJXftblA1R6Etf +l20hguTTDzx2qxviidT7vYbrRWBADB/t1DLEeyOvdHibRNAaktA5pG+IK9JN +bqyzE4GIGfiP3ipDpEwIi92+E4GC8f61X3cY4vNCo+aUGBHU1zy/8H2cIXqt +aJ8ld4mgUk3oGkNhoBL9x8qaHhE4/vXs9cxiBtapax4/+UoEvkcK74ctZSBX +3lMiGRDBL2dJu4M1GDj+RSRsl4hg7+o0IpnLwJi/ZeXZsv+63XUibBcD74ab +hljxxXBQQ3VhOoOBUy0pQ2HRYtjOcui32czE/qU9h+djxRBt4LLGaxsT6x31 +xhMTxMDn7G4+Y8/EX9sK564niyFjcWTdExcm8jubNVqviKEtraLuXAATvfvI +9kaFYojPo9n0/MrEJvHJovedYsiRFVaqEUy8x3u8+liPGKI0Hm+tG2Pi5SO0 +qq+9Yrh68zf5k5NM9PmQ1qg8IAYLmvqPowtM/DZWMrBZLIbN9crlutosNJsm +lP+YE0Pk4/myODsWZtK8w13ZEkjxwMwXBSyUHdJe28GVgPxsTL5WKQv9Gl5O +ORtJYMuap0qBD1goiLE5vdtUArFx363WbWDhswVexA5LCTRF8Omd/Syc+fbl +vLWjBKh++rsSVNm4b+JCMj9cAqEbP3DCfmbjoz02jgWnJDAq7L57I5GNzNJ5 +Rd5ZCWzIOxj+JpWNYyGhqZxzEvilqVBwOJuN8aPuvxkmSWAy9YmnpJqNFWKD +DK0sCQirlzldmWGj+tvKPEqjBN4H67huOcPBVMWoqIdNEhCp3vD3jOagwkZb +j+C/JeAQJF8We5GDlMzOZcI2CZBkPyTNZ3Hws8v4mYI+CWzrenHpcj0HXz7l +Oe8Zl4DHrU8jkSpcvFR8bS5bhwCfv3QqLlRxUa7fV+iqTwAR/m9RfgMX4xT4 +ZUsMCYjvuQ1dbVyMDKwKOM4hQHODgkvwCBeDGD0dtgIChNtPKF9VX4k7rijd +/bCdAHqTNWlZ+EpcFBXtbnWcACuvn97Qd/CwYmJ0tvYEAReNEkO99/LQL8Aj +x+4UAS7rvWm1vjxsdDaTukUS8Iuti6owiodxzIHzIfEEuMNA6pFKHtKeWRbd +/J2AgqqW73P5RlixKX8PP4sAnVjfs8NWRuhXpjxdep2Ay1voT3bvNMLGP0Rb +ntwkYN0m9p20w0YYeyxd+KqYAHlK+fG5u0ZIUf9EVqonIG2Q0Sdvzsc/Ez3v +pDcSYNxWAjRbPvrONznqNhOwZ7DE33wfH+tHsjN4LwjI1kypNo3kY0zVLhMb +IQFdtYK45818JHvf8TgjJaCFknV6MNgYSzvVZLJRAlKCa/owxhh97M/djB8n +wK3Z+MBYpjGiYO9Y2iQBbx0o56yajPFnKjWuWPbfr8Czv3exVyGpwLtkSE0K +MVsPKmVMrsKm0d6QHE0pOPRM7xxVM8FLAhdTr+VScKLQTvauNkHtKpviHn0p +UDta3yceN0HTZl7RM54UFFpYgaqzJjipkBcUbywF/z1WAd16pljtpMvfZiqF +vH+n/Y9sNcXtwmUFNWuk4PM8VNM8xRS9Rj7fvm8thVzygYNJAjNkGgUHHN0q +hbJHz+oYXmYoCR7h8Gyl8FOfLGg6yQxPTPbm39gphVtnNaKyRWZoaeni5+0o +hen3F7itSwUoi2xh6TpLIWnUsVudI0AgD/TvdJfCnXetJzz3C/B/adSv2A== + + "]], + Line[CompressedData[" +1:eJwBkQJu/SFib1JlAgAAACgAAAACAAAA8cUNllTT7j+n381zW1cwwNiPZtCt +1e4/mZc5vwj4L8BkgcyYMtjuP7mY/mxARy/A8XIyYbfa7j8FRY/N6qUuwH5k +mCk83e4/J3+cHVARLsAKVv7xwN/uP+QQAUVghy3Al0dkukXi7j9AUXflgAYt +wLAqMEtP5+4/2xGjgh0bLMA9HJYT1OnuPxY4sba8rivAyg3821js7j/YyieI +mEcrwOPwx2xi8e4/DQ/euciGKsAWt1+OdfvuP5FdUOZ9LynAo6jFVvr97j+i +f5oxruAowDCaKx9/AO8/e73DRzOUKMBJffeviAXvP2LiT/B9ASjAfEOP0ZsP +7z95X15P3/AmwOLPvhTCI+8/WDu+0sIKJcBvwSTdRibvP3UvH2z/0STA/LKK +pcso7z/P0TXx6pkkwBWWVjbVLe8/3Qc6fZkrJMBIXO5X6DfvPy/NjRn9VCPA +regdmw5M7z+hNMfWFLYhwIidhWDeTu8/bfPzqcB8IcBkUu0lrlHvPw9J0LVe +QyHAHLy8sE1X7z8lMApCKNAgwIqPW8aMYu8/5ACO9C/LH8BmRMOLXGXvP2nl +SDn8Uh/AQvkqUSxo7z/ujbLMF9kewPli+tvLbe8/Ikj7JNXeHcDUF2Khm3Dv +P3hKmimVXR3AsMzJZmtz7z/+dSHW09gcwGg2mfEKee8/7fhHO4nBG8BE6wC3 +2nvvP0yOfs2qLBvAH6BofKp+7z+A9kl+TI8awPpU0EF6ge8/2XIotY/mGcDW +CTgHSoTvP771BLe9LRnAsr6fzBmH7z8rbPUNAFwYwI5zB5Lpie8/QbyrolBc +F8BqKG9XuYzvP35kaUnQzBXAZRm3S0mN7z+VC+jfuwoVwMWaSlA= + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVlWk4lXsXh82EzKWtJGGHkKNSaVhJx5hCpLLN7QwNaBK1aVfoCHWkOCUh +dEqGzKX8n4xlKGOczGybPTzPQ8gQeb0f1rW+3Nd1r7U+rJ+6V4ADXUhAQKBm +uf7freljLRjHad+hAU7CalcCRMxUAvsp22DknUrNFSsCtj5LSi6kHAQLz6gj +afsJYK3TZAZTD0N4qY9+0i4CbJo6C55SHMFe8e16xlYCNGNUM5fUTsC3VGOF +eX0CFqy9Ez2pbgB5AewhbQKcT818iKecgnr3o4wkNQKqzVRKq5JPg9geMQfL +tQQYauzNn1LzgwwxjwOaygRIDN1MP0Y9D3RJ+R4LWQJ6t0SZxVIuAeOHh8dX +AQISnq3BtFwuQ0l81s1TizhYy77aU5F8BSLPbQ2SnMehhGjcTqqFwB+sMMtb +kzjE5Sho21PDIP/Qw3ipURxSVx2JjKbchgPeUYnfv+JwrSmx+ti726D9alBk +VRMOxyIGhDRcIuCA+8kop3ocpKeDwsuTI0GP+ax0tBqHq+0JV/lqf8HAhdQd +1u9wsH/w3f8wNQ5cuyQC+jJxYEoUbvqLkgDhKtrrfcNx0LX4+05BYAJ4Cuh9 +Hr6OQ2tEAKe7LgEs7+wb8wzFQVNYL9vgykO45fBrP/0yDrWLGQbtrY8gw5Va +HHlm2T/5aLtazD8gZuisq3Mch6TeULPi3ylwfvduSqYRDsdPpOWNT2RAZyvY +iPP4ILXEpFmsz4Olp6mZtmf5oGVPjFXdLQLn7Bqr+CkeBH/ZBUlTb+HBa7vZ +0Us8UC6S7xMURGBk5Lju/G8ulAyZNX7dUwlP0yuhjsGFSv+na0/wq8HM8JBp +xG8OTFP3yuacq4PgRCnRsEgOCM1ly63VqgeB9jbBECEOGC95lBzNbQS5sr5F +9YgxaLy97Uut7ldYqVGxX1BiDNTu8+ZeEs0wgAm88WGMAm+mv6/DsBVeKTnF +qc+xIYNbXi8T1wbDH7Lzt59mg4zqC5LFaocvPiEnV7aOQIxf8elUzW/Q4Pnd +XPbgCPzcn83yDe+Eb2NmUhGZLLhkVF20uqoLdDZ8WeRJs6A/t/pui/p3sFnT +eVzZaxh++bc/0QntBmLSo9ipaAg2m4r/Pl3eAwl4VhBNeggs0tV93ij3QaOP +ZjQcGYRgVfeNg7R+WDKpSmAmDoAe30mq03YAjPrznEXL+kFRdlyien4AnrtI +Ka2T7QMR9CRPI3kQtvVwhnoDeuDMtvL/VtgMwSDjcIF+4nfItvJKrfg5BEHh +8XIJOV0wzVORYz8ahsErC9O0hm9QfNRCOOEgC2Z6QUYgrR3CLSOeFbFZoK9s +TqWEtoJ6r3XX84gRmLn/45ijSTOY7LidQDFig1BH7hv5HQ1AW/91TrCNDU57 +pS7NEjUwXR87FMgcBRYFpWM+CJJE3C45aI6B+ZDlyYj0AlDsK04Xqh6DCLnS +dtLmAYgymI67gjhQNc3Cd7JeIIGXbnlDClxwW2KsW//uA6rld55/tooLdqW0 +3AfSFSjG0N6AtoYLcSUfGbvdK5BymVlOhyoXxB2P1G0URcigTjv7k/Yy/0HV +LVsHQ7SRH1m5+7igyR7NrLn+Eb1Vj0oJ9efC5eJc9rUd1ejC47xYhUouRGUn +B0uI16PShcdRldVcEJwq/fTeuB4tuEUyL9RxocxoPzP+dD2K0nC93NrIBYkt +Lv3ptfUo+bWka3wnF4TAwJN2pwHVVND1FHAuWN+9t5um0ITWDK9tkF/Dg+dB +tu2zG5rRh813JOTP8+CuhXXoQmwbMm5XbooP5IH/7nNeo/+2ofzrL/5WusgD +MbMvZqNVbSi9qVZlzVUejJ87E0Cdb0N3AkT11W7y4KjCXVamTztyKLxpr/+I +B6VnGQs15h1o1ITx2KqCB4oGHgXhqzuRx7C0ewPGA2nqUobNtk70/W6yhm0V +D3jl3mJ/OHSixp7y13afeODd1frWMq4TFYTNVzi38qDO1PRKvngXul4ZPExn +86A3OkQ1aqkLyVtf0LspwweX4QGfhyLdKPWjrJSJPB9ach6Xlmh3I8NdOZwJ +RT7Y1VqOLRzqRoe1x7I8KXy4xfxPdO5hN4oWc1M31eTDlHusdJlODxKpslot +YMIHbzeXzPjjvejnbnWBMDoffAzescY7+lFEYUXfdl8+lCl+6fhzqR8pbaZ9 +wP35YE3HROlKA2irSmKoayAfUnlSHMN9AyhwVnp6zzU+PPJ7NMWPH0CcolnO +r/t8kK3j3dE0HUQ9+s1tIe/5kFhkt2dTwRB6xpNnCKNl/4EYn6RPQ8jr5VFq +7Ec+8FwDX2j2DyGOZufV1Fo+9Pi9sbsnPYx+ru1TrWvmgwZmO1DoO4zkJfk+ +SiN8qC+9JxNEZSFztvhC7koctJlb96W8HUErMqwyd8rhgPZTQ3+0jaBGz7uH +KxVwMNdwzPYnRtDRXpm0DuXlP9+pYvlJg4082leZL6jj8OCWutaB+2x0rVLj +vpXxMh88Q7kZOIryU0CT5YbD/qJtLBF7DpoMnRT39MQha/80MRPAQcbOL3i9 +3jh0bwhwUrnHQeWysoWdvjjU88p1yCYOqr3RZ9pwAQcBt+NLbFsu6vZiuBdE +4sBpV56KcOYhsU3lj2/k4pA44l+Q/hBHVsIBYYv5OBA7sNakchzF9G/0Ci1c +zgXf9WMtgziST4rWuVSGw+gTOxm5LQRat+JkmU8lDuXBJRWtjQQy4s12HP6G +wzZL481rpMaRa56xvOpvHJ40+uy7fXEClZyclWlezlnDwayXKbETSEbsnfQt +YQK2G2pcnXwxgTDaXgmOBAGCawOTj/ROoI2SB5eKFAk4+mNHGNf6B2KfsscP +6RCwq9k4kmE0ifbJKfKWNhPwJdbozGu7SZRY3j5WYECA+eVd5loBk8hS4Thr +zTYCYn9lMOZeT6JXyK2btY8AYffeXFu9KXRe5ezn644EbFmZ9/KzyTSqrdGv +2+JMQPN4Roqh2zRSCyKrh04QEF8fsnOGOY2a6y5glu4E+BHCIy/rp5HR5ZBS +JX8CuEwnpQ6Pn2jma2Tm63ACFmdXHWSnzSC7a5bP3W8SMHP28Yh64wz6lyqZ +phCxPL/3om/Pzxl0khGbfDWagLJvgcWdtrPovW7Cg4MPCWjsFPAyEJpDNyLS +mD2vCBgRzypojpxHK4PFrT1zCPhvlfLL+pJ59Nj3nAI7b5m3EB13GZ1HhTa7 +npNFBPQtdcXaWf9CbPmWaiFEgLvvDdUe5QVkkyIgodNGAC3GeePT9kXUde90 +c04HARv9bOOzZX+jU8zGJKMuAnxDfvTG2vxGYaeSdPb0EjD3k14+WPMb5esa +2hwZJSDRqHL3yZoltLrUPe7yLwI+NCQqn50XwJ7/W3NsfpEAC/rfboSaIGb4 +eLNauAAJTTvtLsqYC2JWjJm8O6IktFyxEDZJEMSum91reSJLQkDLXqdPO4Ww +Fdun/9mgQMLGlBMhH72FsEdUF69MJRIMjVmmN+8JYXkrNk3mUkjQNfBvusQR +woa+VihVapAgzBqxHHshjAV81Ow1p5LwRoOpNfqfMLZQEJ3ZoE0CVWKzCF9a +BFv16Jhxhz4J2u+NLAyviGCWNMJ5bAcJW3IPyY0cE8XabR03nDMhYWoL/YtD +vCjmCe/GJvaQoKPkV7H5qygWujEy5JcpCS9/uVPotmJYzpjqE5lDJDxMgz+M +3cSxO08jPPoOkxAlFaTUlSeOnXLAtXLtSQgZogXpiUhg696/z7d1JoH4qKpb +WiyBzQZqXlE9ScLc6u/O3oorsDatmN04jYRvf9F35V9agUXfc6mN8SKBxnUO +vWEmiZ0+WHWXRiehkC3t1vJGEjswp2uv50vCOL1ama4lha3PjV+94L98H6ey +/s4UKWzOa7674RwJxc/PjLPXS2Ptyl5pTwJJ6D97Zsu1LGksv/Hz6TMXSWiN +PlNQZrwS8zX+Z0IyZHmfuYBIZrAMdpAnUPr9Ggkdavkrn+rJYmqpvtdfhZHA +lfsnTXdKFpt3bDYNZZIgwjvLwP3ksG8rdopb3172O7x9EsWVwwoqnjVSokjw +WD24WHhbHou7KB7P+YuEPydQ4gVbBcxfO8D5bQwJjsJ+fslZihidUmpjFUtC +3KJfHstVCfsfQDZdfg== + "]]}, "Charting`Private`Tag#2"]}}, {}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>], + ImageSizeCache->{{4.503599627370496*^15, -4.503599627370496*^15}, { + 4.503599627370496*^15, -4.503599627370496*^15}}]}, + Annotation[{ + GraphicsComplex[CompressedData[" +1:eJx113c4lm/YB/DHlr1KVraMjCQl1UnKiqKUhpDsFO0oRFYDv6SoNIiWjIyI +cl/J6GeUTSEjnv3cT7JHvN7j1+2P9zje+5/7uP+5jus4r+91nvdH2SNwjxcn +iUS6x0Ei/e/b1ovajGj7tlbv2hWDVi9gEfyFq6/JJEP5SLzKlwBOdOBget6v +kUxwwX+X2XVzIcGFCBerVXng4G85f2ycG6k74tRPN4rACp3l9GTzoAtfTCB1 +7B18pR9PSWbxIuki8R8cHBhwGkZ5rWjmQ28HLRq+bq4En8lArt0f+VGl/0O5 +g8wqcLYc1Ml4uQyNa2wRzTlRC+5me67KRgggzulsMTn1OtiaKX+Q57ggMl5w +f7s3twFKM+eryJZCqCHK6EuN9lc4sfHXV7KSMFL8hzH9Em+Cnd+kZ03FRRBj +su9Hu0ELFNrm5HBMiKBMenmdSEIrRO2VeDEzKopEFJ6zh4baYPRqpJVpiBi6 +6Vfs/UStA7i4vbLjxsXQhFn2kG94J/SYnlQgh4ujs4ZVRSs+dcHsm0cLszwS +qC+36kaz8nd4Uv3kqudDCTTr3/ZAK6QbQr6qXBUzkUQ65nzz3uU9IGInz+XT +LImsMpR93kj/AB1uzUm3cCl0QcFNZcClD8o+0F6t01mO1jD3CXba98O0HNfh +N73LkaToL/6qmX7QpnxvXPd6BeLGHuSppg1AxMxnVnuINDpuVP5t2c5B0N9q +Tg8JWImybTyeVEwMQtU1jFJzUgaNM2TFyHd/gvfpXDuR87KoeK8VV/L2ISie ++Z05mi+Hwq2jHxeRh6D+ZGT2jSl5pNxr2/U0ehimtOa+d7ivQps2RCXLGJIh +IabVuU5UCbms+jrN0UqGYomOPZGcymi8Ln4wKIICO1Rteyy2qKBUbteze9So +EH8ApTa8VEWSP4ozOKuoMBAgu8fsojriCY1wMjlFAxOXy90y1pqI9NI1b1CC +DpHmbiIpY2tQDlXhgYgdG9KNajuk3ioj0v95nizfHXNdJgpIYoWdzdc5UGpv +iEXx/CPwaBX3emLAhQxfi/PK87+C6uQ8o4EAblT/Sqs2PpIMleX1145EKaEX +Z/VOmmhTwE1KfGEiRRnVUM5lD7RQ4PH8q2IJmgraP3LthvZZKgSaMtSDrqih +mzkPZh/K0sD9nWzRtVINxCn5i0OkkgZJ/crflhlqoxUlbgnnZnG4dcSBHKqv +9Xf/fX/3L4569WMt4mXOgurI+tUZIyQkNHp3veLNe7CS3vi7t4UTVUd+O8Qb ++hzcF7bqn1DnRpwjHTl7D5FBQMd5/ZS1Eor8d77w4TwZ9n3dMxK0Uxm5fuOw +0npFgegMbov2f1TQ5Mxo+FY7KnB5KuyMEVdDTdWaux3YVDiQ9Wv4kpgG4v68 +MfvpPRq8LK078kRbC7kM/36Wu5UOTzgOusUZ6CNf43sjAsFsYE1ZmV77KIcc +b3/336WRAB0tCo8XuDiR/gRN9P4sBS59mHsTZamKiiiKKSvSqNBRJrzrzqQa +sr4j8oKxgwYyNVtJwmdXIw7X5wcu0mlQx5l2oT9ABw1+rZCqVGXDAdOKlkoB +9b/1+fq3PhzI2XPyQ5KMJ/AGccvtiiOhmj+Zem0td2GbZXOZaDonEjm5JfrS +hmdgsLsyuYzEjVYl66YbW5KBrOLzU36NEmKPkju+UhfrszaJdkNDGWm31Eo1 +3qHAl6Sij2HeKujzgmaI9UYq1IRoy7T0qKLfjuyLL79RYVtrw83bleooSqU3 +/GQ0DZygN8GvWBPp1Wpmf9akg0Cdqo/4tC66nni45qYHG4ZICWP69YroYlvy +RabiNcjXUiEL1XGgGTyvdwuFAlsqRQvlpFVRNNPpllIcFcYSKg5Ty9SQv3J7 +83YDGnTsOCN6V3I1iizdqWvRQYNWzCCqvlYbXbZIbH4gyoZgN9fdfb80UOTJ +/WMCwZ3w+4v2NsVbAighR0LTUSMMnDcpastv50BXTyR3dOXQYBln4anZF1oo +X9tg524KDt3iTyMV5NYgL5mSnTbxbLgjSO0rF1iOrnBxReXML943n+B7rWpr +kLUL7kzdwAb9xniZP06qf88D+3seI9jOxs6ChzJOMBmHYjcCCalxrcnWO38H +GPPrJ7bHcqLCY4Gyb5OzIJ75zFAe50Kvh7tkNTeTofFkTMugghLSWHaYSu0l +Q2IwyT5AShn98+XV7KMbFEjhvfSxwlEFGURaXLDXo8LVqENr5T6pIs7UFuGO +L1QgzR+Nm0tTR1W79el7L9EgcbujeEeoJpIutchpV6ADV3PjQOwpXST//n2+ +vTMb1A0Etmk2KSGh8VPh5Wkx8GwFS8UomwO5M5KqRHspYMQteZq5oILwk4EJ +6mFUSKx5ZeD7UA1d8in1PqVOg+WbBBwDhjVQ5fDDFM0GGjxcHl+md0kb2YRO +5sXxsMFjcPevUA5NlKpTVnvToxtk03ouZM6LoLd4w3q2YjDEG1kXf5LnQFX3 +yWYVT2lgvFnteZKvFjoz1pmZbkOHrGCp0IdkfRTmmaq1uReH1eFpCg7ieqhj +2UY+2yg2VIxzl3zQlUHIYB+eNEaDH7acYSY1Omj53f3G7bps6OXbFHpPR+1v +Hmr/5mEG4x+MzNivcRLa9t3o0/xIQjs6hF+Wr6ODe33gcsN4PTTtMdNdf4IN +Ktazau0/FFDAm99K1xJboY4jWOi+Ki/6+as2wGMEB2HRH+8+x2ij7qhbxp/v +/4QiLwp7ZKPU3zzk/c3DALbucWpaocx2aJ1WLjESIqGW6EBad20ysJpxVaUQ +ThTjyu+11yIL8LINqGyIC51JyO1U2kAGRdrQ8ZYVSuij5PJT57rI4OH3KjdI +UBlV2smzY2MooK1uX3vRSgV5fmoa361FBbN11SI+b1WRgOn2AwH/UsHWf9mb +q9fVkaf3gceW52nguMGVG/PQRDcNHPVcVtJhFyf3uc61ushzD0s915ENojZN +xa4Wymh/dD+n6uFooEaWRGx8wIFu+3GXTnVS4O7TW8vOjakglfw5Ic1gKmzK +cDvbnaCGogS03/Ap0SC6/Rm0ftFAHnM1dnK1NHDoz/My3K+NDO7rKIaT2Iv9 +75PVumRNZCv6anNF2nmIvqJfhvFxIM83ohP5j2hw20ymwt5GC1EDhtU1t9Ph +8rd5/4k4feQZ0ZBq2IXDu9T5ADMrfaT4xPfyqzA2XJmwCbNLlEXuVmFPo9k0 +2FurcxBP1UFzBdez6jXZ8LV5/Qj9nhoyUN2SP6boBzdXdupKPSOhsl1y2tv0 +6JDxZ8LLz1wPbZvWdlzjywY8vqBB+tsqVCc8132AiUNX3eq4VKvF9WyPpRzV +cAW5jq3e771JaP5SnarcbjrEMe3aJNUNUIqVZ9mOQRzMHC8MX+HVRQln+JJo +19hg/j47w8FFGuU3/Ot9/Awb5s8pd7R2ySNuC9mgPhkjiFPsMaA2LWDaVrfi +CoKSQaDnAH75DCdidzsJZMhmgelrthNPPxfq8JAxfmhEhhsX3h6wl1RCIjKn +i8vbyWD37vP3SV5l1CPY7jt3lQIRio7rXLapoPlj0uubNaiwbDoyc0W+KkoQ +Cg0tqaECWTzd63CEOioaYU5jZ2hwXSs20HWfJqphdp58vJwOtu0TNkwJXRT3 +MNr9xy42nBgdfYkuK6NLjSlV+8uiQK1oi7bkbQ70WvPD2hPtFAiV+mD+EVdB +7x0s7F6epwKzo+1Feqwa4u/x6NijQAPa2T/ZmZ80UEHs4efJVTTQ+ZIH3Nu1 +0dMX1ftn/uCQEX5/bW6/Jkp+vBKpHz4HImfqjDrnSKhoc6aDdhoNZK96BA+Z +aCEVrQDv4+Z0ePP+80dlF33UlejdlNOOw70UE4x/Sh9tZ5BKvl9ig11CWOOr +NXIov0Vifp5Jg/iA8m8oUgcFflTrtdRgwzl9xYCFZjVUZSFb8inNG9573t98 +J4WExgQy/KN16ODlYOLdJq+HvLd/uuHixQa/YWuTuT2KaIv8Xck2Gg4rNr55 ++z5XB6ndVMhaUDwIIevo/fheEtq40dHT1Y4OEwPXNBoFDZDA/vkR1IfDkBjH +DvdYXVRQ8bhBJpYNOgHjwiSXlahN2iP9QRAbLtre17pzRAENyatFXNDYBQKs +7xnNq0lo5LTh0ZLvOPDsSGykZ+mhGacm85AINnw7rVmcSJZB/pqBzu9usiHM +08+mqWE5Ivxh109LXnEEB8If4bKaq3zDWUD4o7MFdvIxmED4Y+Hhkyz7ACYQ +/nDOrrZJGmMA4Y/brx2mKGcZQPjD0NBJ/uQ8HQh/PMyohNpQOhD+sDCwM49e +nJOEPy6kCPKExdCA8AeprZUjmHOxz//1h1jpjz/K0VQg/CGsWmHGwU8Fwh/9 +iPTGJ5QChD9eSe1LUJ4mA+GPnx+y89d7k4Hwxxef4EPCLcNA+KP+6HdL0e3D +QPijg2ohGJ01BIQ/tJS+/GEIDQHhj50rOw9Ie/wEwh/4qHvxvqJBIPyRzHp2 +ykVoEAh/NPioXYfdA0D4Y2HTp+SIlH4g/GHYl+fMU9oHhD+eHhaUkhf9AYQ/ +jHpog72BPUD4YyB0V4Fuyncg/HEqPEksOacLCH8MnJ8bd6nvAMIfk70gQkpv +A8IfutKWGjIhLUD4Y/Kf3/udNjUB4Q/O9tw34hvqgfDHvi2CZ6fwaiD8MSSD +ZSAfDAh/WA5aH4rOKADCH9FiJW3snbeB8Men8SHWxqHnGOEP14VQ+VVlH7DT +9/PiJSrpEJuddoGfrw77oBPHL36SATesbEPm4lsxcdvTayJFmHD4Z7/PHe5u +rEe3qTX4PRNSihw2ry4YxPIfgdqQKwvMioyGuB1p2JE8Y3GFeRY8aPDZGnVm +BLsSnR7R8wqHYb5nBU0xMxjhnTvpsNbYle//9c62Y7Ep37+ygPDOSVNTmSxD +FrxTjn0U4k+Hc8W55EsbqrCVP+XqxVcy4Okp+7YppSaMsin0vk0FAyT13AvC +V3RiE6bKpDAvJvjolQ39au/DLMl8c7nCLNCMWLf10bthjHd1+f0ruSxIGfYv +yLjDwk7KBvx72QkHfeG8l/9uGscI73yoT5EOmCEteee//U9ihHdCf7u7fyXh +QHiH18BZW+sACwh/qJEpWdWXP2LVFV5rJFiL/ftGoqmLRCO2pzDSUfcuA0oC +QueqLdsx7k82K0ibmHDM9XBW0oFeTFyA6SM1zIS6kkSRUxpDWLdHqFtBDAto +bdJj0c4MjOzpyLLTwsGkyTgm1HAU2/mIxK/VioPLTWeVh21/MMI7d6YDYyIu +iCx550gXf+CPLBZcrrzw04vMgN7rwQqxC10YrWiKNvsPE0RrGXFq5gPYpUrV +f2yMWWB5YVImMoiCGTKm2nd1sMDI2lhnpeAvbPJrTNbrcBz+TC3fTk6fxAj/ +cA0NW1Ofcy355796UTDCP3Vue0NTFXEg/JN5RKM45jgLCI84fFBwzdZCWNpr +gSNJnXTgBL2jLnH1WFwgj65iJAP2StwYyvJpw67zuiqbqzFhzC1eqFSrB5uQ ++6FQ28QEVWTfX+j7E6u58sO8/jQLSK4HFsj2dExFYPtCkSQOe39vCKPb/sbI +4s1VnBgObr5XFHqk5zDCPy5055ArFgJL/uk//WSDbRkLCsJmKpxbGFBrbn4+ +n68LC5oSGt98iQl3/e6OMZP6Mfe25ZZzyiy4fVVZfds/ZEx+2aFSn0oWlF94 +W9HSgGOG54JLpPxxoEfsk2p3n8AIDwU2b9n3eSPnkocM3rEzX8SMYISH8u3u +JAlSWPAKc+0e2ooDl1tvrv2aMYzwUIphpemh6gWM8FDCH7+8oSNS6L128u3t +d3Bo6CR56HFOY0seyrUTG97Ps+Sh/86nc8lDjpLvVoWuw4Hw0NU9s2Ze51hA ++ITPaXetCg+GxaoeOdfSQAd+/cN9GTV1WEZjjezKiwz4deJ4oMZMK7ZLk/rs +qAwTrkZ845m+043R1DovPqlhQo/fG4dEoZ9YuahoYacvC+oY5VrsRhqGXLbw +0/hx4JALStvdO4IV7jR5yi7C4cdCV7yD7SxGeAj/qKBdUsy/5KE1EY9LKFUs +aOgpf+3wmQHHulreWSd0YutkU0KOBDHhCUOQZrC1H9vbK5LeLs2Ci52y1p9V +yZh46nWts6UsoDxwEBHTx7Gm2tPI2g0HP5xr+GXdOEb4qPm8FdemZI4lH3nk +SB8PPD2GET5aOxRmfXWUBdYSB4ZWGuEQP5sZOv16FCM8ND3hVT5QPY8RHtLe +8+5BLF0MHQqNT7t4HYfSjqDiTvspjPCQ5ntDK4Pz3Ese+i8PA0se8hIQ77ES +XVz3r3+Knx7/RV4lhPKWrR7NlVlcX8+/8SyNc8lDM9cSP3xaz8II//z5Lllk +dJSEQlRigmfN2fBy1k3Gy553yUP/5aF2yUNWR2N3p5vhQHjIOm4r9WgICwif +JLz9GGrqVoHNucZEnK6lQ6mhWUSSdx2Wf/n5LakzDOC1+GJB+dSKGZjk0EYk +meBQY02ds+vGPF7u1Yj/yATGkaDnan2DmLHzc0bvMRZ0KwXuk02kYSK8ZUJX +uXBYb6B6cfT5CHbf94QEOW8xz1Y8vw5TZjDCQ8GDLqfWcPMveWib26HYfXUs ++H4jTdX+EwMY5cd41+7pxKR0XD6w/Jlg64V4vKT6sYajN3ZVSiz2N1WnbH98 +GLvZp+IRUrg4d3xXUZsHWJjiKXbV4EEckuqCN05GjGOEjxo3OpwRseRY8lHM +iXWnBGYW50h5G7VADwfLcyaW6oGjGOEh3+DfvfE75zHCQ3Sxe+naY6LohYZA +ukT0Yv8+9se3Z2ISIzykwa/DzRTiXvJQJq/7NjVpHAj//PKqkvZSF0R3NQ57 +ZEmxwcB4yDwykRMdhTLqyGY2aEn5Veh85VnyEOQFkgc1cWhVv2nKcmFDxzUv +k/yzy5b8s2MESzltL7Hkn5brxwtKjYWX/DNcJlt93gYHwj9HSWv+/XmZBYRH +HEpccm8LVWAlc/djK6vowDFW8vm9cR1m3CbdmBTEAH/TEx6UF63Yk4+igpvE +mdCcc7/krWY39pghHsqFLc67bTd9Uj8PYqMho3xHj7Lgmdk4PhlIw94emhJp +WpyrBgPPXj6KH8GEL/DZHs3B4dty6Zd1b2cwwj+xgqekuvL4lvyj+WqAe3kj +C9x/CrnVIwYIaSxk7jTqxKILK36s92VCqeSX9h0LfdiyTJusjWIswMw0Qn63 +DmM2XIFhf/JZgG9ALanlLKymWrdW3xmHpl+ZjwxcxzHCQ1Zet1xxRY4lD71N +ehbp+YcFW8UkGQs6OHyJNzz+2mEUI/yj4meflC06jxH+aVfMF364RhQ5XLJ+ +6haJw2TA/WHlhkmM8M8b1Qh1yjeuJf/wbubdYy2HA+GdQrKQa/MbAbRs/fg9 +JQk2qDw6GPzxGCdqs3dSOrGJDWP6Xl/2JPEs+afjibHEjC4OU0Fq5xUOsWF6 +xXfnY5LLlrzjvmLgT2GU+JJ3+gKO6196JrTknfASH91UExwI33AzAkJZfmJo +VW7Sijn/xX6zr7Sv85HgknecuPz80p5JopFq4btOi/8jz/sazxx2NkDA0dtj +40Rf+v4fB3TodA== + "], {{{}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{ + Polygon[CompressedData[" +1:eJwl1GVwVkcYBtAEd3cPDoMWd/eixb3BJQnuBHd3imsNbYEWh7ZYDWhxd3eH +Qc83/Djz7Lx37+7O3r0bEhrRIDxKUFBQMGOCP+cqRrGfUPaylBrsZCFDKMYW +ZtOHFuRhA1MJoyEVCcyxmtG0oybFyUtUvg3MT3tqUYJ8RCM6MYhJLGITh7jE +Iz4JSEgiEpOEpCQjOSlISSpSk4a0pCM9GchIJkLITBa+Yywd+JKS5Ccr3zOO +jtSmFAXIxg+MpxN1KE1BNjKNcBpRiez8yAQ6U5cyfMFPTCeCxlQmB2uYSBfq +UZZC/MwMetCEKuRkLZPoSn3K8Qtz6EtLCrOJmfSkKVXZzjcMpA25WMdkutGA +XSxiKOX5lbn04zeWBcZwuOazVXseB+jP7yynFXtYwnCKsJlZ9KIZ1djBAgbR +ltysZwrd+YrdLCaSfaykAtuYz0EG8AcrOETrwLe1vnn0YiY1mEMXJpCI3syi +DnMJYwpZ6MkMytCaSN4E9khGMI2C1KIbk0hJXcKZSm4q0yH48z8ZjXxUpzPj +SUhmStOKobwOnD9Zk65MJAW5qER7RhOVvFSjE+NIQAilaMkQXhkvucxJRdox +iijEJxMlacFgXuqfTOagAqGMDNwpxCMjJWjOIF7on1RmpzxfMyJwARGXDBSn +GQN57lESmY1ytGU4H9XjyPQUoykDeKb+gdja6ShKE/rzVP09sbTTUoTG9OOJ ++mMe8ZAH3Oced7nDbW5xkxtc5xpXucJlLnGRC5znHGc5w2lOcZITHOcY//Mf +RznCYf7lH/7mHTGtMQ2FaURf/lJPLLNSljYM4616HlmVjowlBrXpzmRS04Pp +FGI2DenDn97/BM3kqYU= + "]]}]}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwV0ukvFwAAxvFfREhyFFG5z61LtaFEo2xCjrm22myOHC20qa0trzpEjTKM +sfFCJTLFsLVsvPB/+Xjx2ffd8+pJax9o6D8RCARmOe4y7ziknX0WqeQ/87yl +gG2mGOIJV9hgnBc0UkYQ33lPB48o5CrB/OADnVRRxDVOEkIopwgjnAhOE8kZ +ojhLNDHEEsc5zhNPAhdIJImLXOIyyaSQShrpZPCTj3RRzR2uk8kKIzyjhrvc +IItffKKbxxSTzx8m6KeJcrJZZZQearnHTf7ylQGaeUAOa4zRSx0l3GKTbwzS +wkNy+c1n+qinlB2mecVTbrPFJC9ppYJ/zPGGNvJY5wvPaWCPBYa5zy4zvOaA +peMN5zoClek38A== + "]], + + Line[{408, 184, 151, 198, 119, 194, 161, 93, 191, 158, 128, 53, + 180, 147, 114, 89, 71, 187, 154, 122, 96, 42, 174, 141, 108, 83, + 190, 157, 126, 196, 163, 65, 185, 152, 199, 120, 195, 162, 200, + 94}]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwV0vdTj3EAB/DvF2dLhI6souXMs7JHzrzqrpOR2VfIKjuJosyMzPxFfsiK +bGVkRUaReearH173/jzvz3ieu+cTHcpLzw0GAoHLVLLTw0IuMJozbKU3J9lM +Cm05wjpmM5Rf9hfLVUxlMN24ot8lMxhDH9rxW3+Va1znBlXc5BbV3OYOd7nH +fR7wkEfUUMtjnvCUZ9TxnBe85BWvqecNb2ngHe/5wEcaaeITu33jIsYSRXv+ +6D+Tb7yYcfSlA3/1zewxXsJ4+tGRf/oDcjXTiKU7X/QFcilJ9KdTyw/hIFlM +J44IvpraKzOZwAA6E6SEEDOIpwffrC+Uy5jIQLrQilLWMJMEevLd+n1yOZOI +JoyjrGcOw2jNIbJJJpFelLGR+Yzkh/P2yxVMJoauHCOHuQynDYdZyyyGcJqW +y5lGJCfYxAJGUU4e6fz0niK5kimcZRuDOMUWKkjlPDsI5zgbuMg8zrGdS4zg +P7zGXd8= + "]]}, "Charting`Private`Tag#2"]}}], {}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 1.}, {-16.34123920227253, 2.0794415416798357`}}, + "Frame" -> {{True, True}, {True, True}}, + "AxesOrigin" -> {0, -16.341239202272494`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> Directive[ + GrayLevel[0], FontFamily -> "Times", FontSize -> 10], + "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, {Log, Exp}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxF2Xk0Ve33APBrlnkqmTLrIkOSkmonZYpCSoOQzCmaoxCZGqhXikqFaFLI +EFHOkwy9hjJTyBB3vucm8xA/v7Xe4/vXXWets+5zznP23mfvz1H1DHLy5iaR +SDFcJNL//9p605oRfd/W6t27Y9HqBYzXQj64T84Y4pV7DGlNC9i6J6lphXI7 +oHVatcRYhISGFDUiL2jtBiH2j8zm1SS0q7Gz4JGcM0zGo7iNQEIaN5WyF5QP +Qug6Rj++l4TmbI+lHNVyA4WOrT4ffEjIxWvyY5KcF/AH8yrsjiehKgv5ks9p +PvDB68HmuykkZKi+JX9M2R9uruzUk3lGQoKDUZn7tU5C274bfeRPJNRrEGeR +IHcW1EfWr84cIaHkJyuR5uFzIHamzrhzjoRsxV9trkg7DzFXDMowAS70Dm9Y +z1EOgQRj6+LPilwo8Y0U2VErHFw2Keso7uBC6cv3xF6XiwaSRGFn83UudKkx +pWp/WTRoFG3Rkb7DhfbH9HOrH44BWlRJ5MaHXEhk/FREeVosPFvBVjPO4UIX +25IvspSvQb62GkWkjgs53vkRsFsrETpalJ4s8HCjSMHC1dfkkqF8JEHtayA3 +0rH6J74gOBmEeg7gl89wo5aYIHp3bTKwm3F1lVBupMGzJkf//F1gzq+f2BHH +jWr+Zum3tdyD7ZbNZeIZ3Ehk9N565Zv3YSWj8U9vCzdK7Q21KJ5/DJ6tkt7p +hjzowMGMvN8jWeCK/ymz6+ZBnG5noUz5bDB7zXHm6+dBsW6C3nstsgEv24DK +hnhQ4bEg+XfJ2ZDAemakiPMgsZNbYi5teAaGeyqTy0i8qDrq+yH+sOfgsbDV +4IQmLzJ6LcmvKPgKqpPzjAcCeZHwQqSr1ao8cAiwnD82zos0HXHa5xtFYIXO +cntx+NCFr6aQOvYevjGOpySz+ZFskeRPLi4MuI2ivVc0C6B3gxYN3zZXgu9k +EM+eT4KoMuCRwkFWFbhYDupmvlyGxrW2iL85UQse25yuykcKIe7pHAkFzTrY +mqV4kO+4MDJZ8Hi3N7cBSrPmqyiWIqgh2vhrjc43OLHx9zeKiihSvs2cfok3 +wa7vsrNmkmKIOdn3s92wBQpt37zhmhBDWYzyOrHEVojeK/ViZlQciSk95wwN +tcHo1Sgrs1AJdNO/2CddowN4eL1z4scl0MS2nCG/iE7oMTupRImQRGeNqopW +fO6C2bePF2b5pFBfbtWNZtUfkF6dftXrkRSaDWh7qB3aDaHf1K5KmEojXXOB +eZ/yHhCzU+TxbZZGVpmqvm9lf4IuL3nSPUIGXVByVxtw7YOyj/RX63SXozWs +fcKd9v0wrcBz+G3vciQt/luwaqYfdKg/Gte9XoF4sYd56mkDEDnzhd0eKouO +G5d/X7ZrEAy2mjNCA1eiHBvP9IqJQai6hlFrTsqhcaa8BOXeL/A5nWsndl4e +Fe+14kneMQTFM3+yRvMVUIR1zJMiyhDUn4zKuTGliFR7bbuexgzDlPbcjw6P +VWjThuhkOSMKJMa2utSJq6AOTzmTR8YUuHHh3QF7aRV0JjG3U2UDBZTpQ8db +Vqig18Nd8uTNFGg8GdsyqKSCViXrZZhYUoCi5vtLcY0K4h7peLP3EAWEdF3W +T1mroPpX2rUJURSoLK+/diRaBbmu+jbN1UqBYqkOpyhuVSQmd7q4vJ0Cdu+/ +/JjkV0WfpJefOtdFAU//V7nBwqpIa9lhGq2XArdCSPaBMqqIM0rp+EajwL61 +SfQbWqoo6t/5wkfzi8ffnEaCd6miF2f1T5rqUMFdRnJhIkUVjdclDAZHUmGn +um2PxRY11CPc7jd3lQqRyo7rXLeroUo7RU5cLBV0NO1rL1qpodtfX80+vkGF +FP5Lnyoc1ZBOS61M410qfE0q+hTuo4bcvnNZab+iQkwmr0X7bTVUQz2XM9BC +hSfzr4ql6GroNfnj2hPtVAiT+Wj+CVdDd/x5S6c6qXDv6T/Lzo2pIQ9mUpV4 +LxWMeaVPsxbU0Aye17uFSoUtleKFCrLqyGCCLv5glgqXPs69jbZUR6m8bmed +NGiQcAClNrxUR/PHZNc3a9Fg2XRU1op8deT1uWl8jzYNtq2rFvN9p44Moywu +2OvT4Gr0obUKn9XRlwVyqPVGGtSE6si19KijyZnRiK12NODxUtoVK6mB9o9c +u6FzlgZBZkzN4Csa6IODhd3L8zRgdbS9yIjTQGr5cyLkEBpsynQ/252ogfCT +QYma4TS4VfPK0O+RBophOf+jEk+DscSKw7QyDVREVU5ZkUaDjjLR3XcnNZD0 +z+JM7ioaDATKO227qIkSRcLCSmpoQJHM8D4cqYmEzHYcCPyXBrYBy95eva6J +uFNbRDu+0oA0fzR+Lk0T/XHkXHz5nQbbWxtu3qnURE3V5D0OHBocyP49fElC +C91883D2kTwdPN7LF10r1UKCPZ4dTkp0oJ/9m5P1WQtFC+m8FVChQ0z7M2j9 +qoUu+Zb6nNKkw/JNQo6Bw1ooQLW9eYchHTp2nhG/J70aWd8Ve8HcSQe5mq0k +0bOrEV9YpLPpKTqYul7ulrMmo6IR1jR2hg7XteOC3PaRkZfPgSeW5+nguMGN +F/Mko6o9Boy9l+hwa4ejZEcYGUWr9UacjKGDM/Qm+heTEe+XjTlP79PhZWnd +kXQdbVS0OctBJ40O8lc9Q4ZMtZHXW/GJ/Md0uLNNrsLeRhtVPaBsq3hKB5PN +Gs+T/LTR1RPJHV1v6LCMu/DU7AttxC39m0uskg5J/arflxnpoIK4w8+Tq+ig ++zUPeHfoIM+5GjuFWjo49Od5G+3XQZXDj1LIDXR4tDyhTP+SDooq3aVn0UGH +Vswwur5WB3G5PT9wkUGHOu60C/2Buii/RWp+nkWHhMDy7yhKF3lYhT+N4dBh +b63uQTxVFyHDfXjSGB1+2nKHm9boois8PNFv5hf3yzfkfqvGGkR66ZY3KMWA +KHN3sZSxNaiG1XnyyXIG2LZP2LCk9NBNQ0d915UM2M3Ne65zrR6SLbV4067E +AJ7mxoG4U3pIv5ac84XMAKE6dV/JaT00JpQZEKPLAG8HU582RX1UtltBZ7s+ +AzL/Tnj7m+ujnR2iL8vXMcCjPmi5UYI+ch3+8yx3KwPSuQ66xxsaIDXtQJ/j +5gx4++HLJ1VXA0QLHNYk72DA5e/zARPxBujMWGdWhg0DskNkwh5RDNDGjY5e +bnYMmBi4ptUobIjmL9WpK+xhQDzLrk1a0xABV2+PjTMDnvc1njnsYohGqkXv +ObfiS8ddt3ya3rTjcD/FFBOcMkBekQ2pRl04vE+dD9xmZYBGThsdLfmBA9/O +W42MbH0U7pWqvbkXh9URaUoOkvpIaP/8COrDYUiCa6dHnB5KsfIq2zmIwzbH +C8NX+PVQvo7hrj1UHLoln0YpKaxBWxTvSbfRcVix8e27D7m6qE50rvsAC4eu +utXxqVa66Nfv2kDPERxExX++/xKrg1aUuCeem8XhnyMOlDADbfT0RfX+mb84 +ZEY8WJvbT0aGD3SVI0icxXr02WpdMhnZhE3mxfNxwHNwz+8wLjK6bHGr+aE4 +B0Lc3fb0/dZCg98qZCrVOXDArKKlUkgTBX3S6LXU4sA5A+XAhWYNNFdwPbue +zIFvzetHGPc10PJ7+03a9TjQK7Ap7L6uBrJ2xV1oGzhg0Jgg99dZHb2hKT0U +s+NAhnFth8w7VRT/KMbj524OnBgdfYkuqyIvJ7ZmriMHxG2ait0sVJHihw/5 +9i4c0DQU2k5uUkHXbx2uuenJgSFS4phBvTLy2fH5hqs3B/yHrU3nnJTR9mkd +xzV+HMATChpkv69C054z3fUnOKBmPavR/lMJtcl6ZjwM5sBF2wfad48oofyG +f32On+HA/DnVjtYuReRncn9EKIQD7Ckrs2ufFNAOJqnkxyUO2CWGN75ao4CU +0/0uvwrnwJUJm3C7W/JoxrnJPDSSA99Pk4tvUeRQx7KNArbRHKgY5y35qCeH +CiqeNMjFcUA3cFyU5LoSJZ4RSKJf44D5h5xMB1dZFEAOcnl/kwPhXv42TQ3L +kbdcyS6bBA7cFab1lQv97zjxr3/e0BGZpfOdefz9055JL/3fzhEs5bS91NJ6 +HisG/hZGSy5dj47T+4dxDIml6+VlBoax/SWW7ochcT9DZ0x86X7blfNFH60R +X9qPu9NBsZEXxJb2q+X68YJSE9Gl/ewLPG5w6ZnI0n4XPz3+m7JKBK3KTVox +F7C4/r7Svs7HwkvP57d3lay3pvDS8yukiLg1vxVaer6uDJfQKxZCqFXzphnb +lQMd17xN888uQ1PBGueVDnFgesUPl2PSy5biA/+kpFNSLLgUPyGDrqfW8Aou +xVec8CmZrjyBpfi7mwFrTdwEUKhabMisOQdezrrLedvzo6NQRhvZzAFtGf8K +3W98qM3eWeXEJg6MGXh/dUri+18859pJDO/nW4p38gcjK8PzvEv5oCWoy8sS +4V3Kl7fqkZrU7zxL+cQzNGxNe86D8patHs2VW9wf/YDGs3RudE/rsGe2DAcM +TYbMo25xo2Xrx++rSC3G7+ODIZ+OcS/lZ1Dzln1fNnIv5W/zeSueTclcS/nd +uNHhjJgl11L+W3n/44Yrcy3Vh4/1KbKBM6SlepNiVGl2qHoBI+rV9IR3+UD1 +PEbUN7+QP70Ju+Yxov6p+dsn5YjPY7sekwS1F+uj600XtUdtfzGKZHMVN4aD +u98VpR7ZOaxwl+lTThEOPxe6EhxsZ7EHfiekKHk4NFjx/T5MncFELwjYHn2D +w/flsi/r3s1gV2IyInte4TAs8KygKXYG+6CTfGfH3cXzO0me+tzT2KGwhLSL +13Eo7Qgu7rSfwl5oCWVIxeBgeuyvX8/EJOZwyfqpexQOk4EPhlUbJrHJb7HZ +ryNw+Du1fAclYxIzOhdSIhOAAyNyn0y7xwTWVHsaWbvj4I/zDL+sG8eUT3Gq +Bg/ikFQXsnEychyrqdarNXDBoel31mNDt3HspHzgv5edcTAQzXv576Zx7BXm +1j20FQce995c+zVjmLXUgaGVxjgkzGaFTb8exVLK22gF+jhYnjO11AwaxbZK +SDMXdHH4mmB0/LXDKEbxcmTbaS9ef5NJbJjRKKYmtGOhSBqHvX82hDNs/2DI +dYsgXRAHLoXgtD29I5gYf5nIVR4c1huqXxx9PoK9OzQl1kTCwXDg2cvHCSPY +kTwTSaV5Njxs8N0afWYEM2JOte/uYIOxtYnuSuHfmOKyQ6W+lWwov/CuoqUB +xyRTr2ufLWUD9aGDmIQBjt3sU/MMLWRDqt8qWvMAG7PhCQr/m88GfANqSS1n +Y/yryx9cyWVDynBAQeZdNtbtGeZeEMsGepvsWIwLE6u58tO8/jQbSG4HFij2 +DKxcXLyw048NdcxybU4jHTNxec7sPcaGbpWgffK36Nho6KjA0aNseLZtHJ8M +omP5j0FjyI0N24qMh3gd6dilSvXbNiZssLwwKRcVTMU82pZbzqmy4c5VVc3t +tynY3l6xjHZZNlzslLf+ok7BGo7e2F0ptXi+unNOAD6MLcuyyd4owQZsm1bo +n9ZhzJIiMJcrygZy5Lqtj98PY5JCLF+ZYRbUldwSO6U1hE0o/FSqbWKBOrLv +L/T7hdE1Oi+m17Cgx/+twy2RX5jny71aCZ9YwDwS/FyjbxB7wpQM48FYcGz7 +Td/UL4NYj15Ta8gHFqQUOWxeXTCI0Yum6LO3WSBey4zXMB/AgqdExjdfYsE9 +/3tjrKR+bJ18SuiRYBakM4Xphlv7MRld14/sABbYeiM+b5l+LKaw4ud6PxaU +Sn9t37nQh02YqZLCvVngq1829Lu9D+P9bLOCtGlxfbfD2UkHerHr/G6q5hos +GHNPECnV7sF2k2nPjsqx4Grkd77pu92Yoekb+og0CxxqrGlzdt1Y+idx4U2S +LGh+86DkHbkbk7Q9vSZKjAWHf/X73uXtxi5XXvjlTWFC7/UQpbiFLqwgfKbC +pYUJtebm5/MFurCGnvLXDl+YcKyr5b11Yif240aauv1nJjDLj/GvderEPH6J +uNcjJohoLWTtMu7EqJvCHthUMEFa36MgYkUn5lQY5ah3jwklgWFz1ZbtWHwQ +n55yFBP2St0YyvZtwzIba+RXXmTC7xPHg7RmWrH8y8//kTnDBH6LrxbUz62Y +SZtsY1IwEwLMTnhSX7RiH3XjBSVPMuGGlW3oXEIrtvKXQr3kSiY8PWXfNqXS +hFVXeK+RYi/2qzdumblKNWJpr4WOJHUygBv0j7rG12Nx6kfOtTQwQNDgcF9m +TR025xYbebqWAaVG2yKTfOqwkrkHcZVVDOAaK/nywaQOO/0gL0GqkgFxOWkX +BAXqsPeqcY9DAxhwrjiXcmlDFUb0qxoUanb15U8Y0f86fFRyy9FGGNEfCzjv +qVXjwzCif0589ynMzL0CI/prhxLX3DsiFRjRf7sthCmuKvuIEfPM5/Eh9sah +5xgxn8VIlLRxdt0BYt60HLQ+FJNZAMT8PCSHZSJfDIj5fd8W4bNTeDUQfsDd +nvtWckM9EL4wefvPfudNTUD4g56spZZcaAsQPjHZC2KkjDYg/GLg/Ny4a30H +EL5xKiJJIvlNFxD+MRC2u0Av5QcQPmLcQx/sDeoBwk+eHhaWURT/CYSvGPXl +ufCV9gHhLwubPidHpvQD4TMNvhrXYc8AEH6TzH52ylVkEAjfwUc9ivcVDQLh +P7tWdh6Q9fwFhA9pq3z9yxQZAsKPOmgWwjHZQ0D4Uv3RH5biO4aB8KevviGH +RFuGgfCpXx9z8tf7UIDwq1cy+xJVpylA+FY/Ir31DaMC4V+i6hXbuARpQPiY +ROnPv6oxNCD8jNTWyhXCTQfC1y6kCPOFx9KB8DcLQzvzmMW5jPC5R5mVUBvG +AMLvjIycFU/OM4DwvTuvHaaoZ5lA+J9LTrVN0hgTCB9ceJSebR/IAsInO1tg +lwCTBYRfnjQzk8s2YgPhm/yGLjraB9hA+GfWEa3i2ONsIHz0qtPsNu9zbCD8 +1Dp+K+1oKBsIXz1KWvPvr8tsIPw1Qp68yi+CDYTPHukSDPqZvVjX//Pb/tPp +G2zLFtf/z3fXRD4poVaxgfDf7e6H4vbVsYHwYfKrAd7ljWwg/Hj7sbiUH9/Y +QPhyvt3dJGEqGwh/XjsUbn11lA2ET8eeWHdKaIYNhF+/S3oW5fWXDYRvh/3x +8Pi2+N4l/NtbSLLHSnzxPfyfj2fxe2zXkMWB8HP+zfxO1go4EL5e5743LFUZ +B8LfIS+IMkjGgfD5jnQTqRk9HAi/d5R+vyps3eLc+J/vR5T46qWa4kD4v9XR +uD0Z23Agvg8Ml8lXn7fBgfh+YNdPT15xBIf/A3YIU1o= + "]]}}]}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVkHk81AkfgOeqkNxH44g5GyNMUtHhWxElkaPSsrJylIQ2XaxatxK7K8Vu +RNKBhEVZKl9HtAq5RoqSZWZ+k0g5Ejveff94Ps/n+fdh+Ia6+lNIJFLmf/zf +Dv6SDiT2Wj91ckrAlQu1NBudsHd0C0gy6BdIXi7UrsnJzCqn20LXLOOhhSIJ +h/XY0ae5TqDw8XVex0oS7mrt/TOb7g4zSZhoCSRkX9K/tWBwACLWSAfH3Eg4 +73Ao4weuN+gKrQMeBZBwv9/M4zS6HywOo+k6JZGw0UbnYUNWADzy+2PTlQwS +ClibSycNjsCl5b0mGrdJKDcUk7ePGwLde5Pf8epIOGCWaJNCDwfWxNqVeRMk +TM9ZjhzPk6B0osWid56EDsqFm55knYL4n82qa5eQ8cHYi7XjBmchxWJHZYMe +GVOL1Xgu3HOwf4MBX8+WjLmazgkX6XFAUinv7bhIxsjWjMZ91XHArtjMV79M +xn3xgxSWZzxIYh5GW14jo+LU8fM1WQlwW+sj06KIjGe608+MGlyAUiOmSLGF +jC6XXwc5cVNB2Kmfs0ClYLRc+coL9HSomUhhtgVTkG//W9KfYemg0O8x9tMJ +CnbGhxJvmtPhY8cYyzCCgmzqqiLTU1fgg2zttG0iBZv+zTft7rwK2+w6qpVv +UFDxy9W1Bpd+h+XS1s8DnRTMHIiwqZRdB98uVf9cARU9Dtwo+TSRD15jn6sd +31Bx/I27Qp7OLdh4b9x90SAVE7zl/N1sbsFY9XqsHqZi+aFQnQfptyBl9La5 +3hgVlUI2x0euvw0C5/r0ahINn8b0fbc46g74LFibHePQ0Pye6mI9uUJ4ml5i +8T6YhksXor3sV5TAniA72aEpGnJcxiQNyRVgj+EUv/FFeLrNCjIn/4J26dGM +9I+LUbtC9S2ZXAsU8zh/rY4l+GDI5kX7pnoInAmlOtfJYX1Qtu6B0UbYbzdk +nFcgj1PczcrFx5rBZ4trrE60AlJmi1R0OS1gna93YNHRpbhuweeB2/0XUJUv +axTZKeKLOIu2Jn47HLP81C4yXIYGv36YLRh7Cbv6tOc2qirhh5l3b3sEnVDu +UFxMnlbCfGlNi1JqF8S5qd399kUZlfTvjA8Pd8OX2Bj7jREqeOlIZUAuWwhU +mn9R0pQKTm8pGj58vhf6N4boi86rYrh5Y4VWwyuYK7u+MLdIDd/db0zuYLyG +3Ke5sX7ZajgX1H3NKOINRLQzY1Ws1NF46xJZQE0/KDnqUQM71NE+jxFYpv0W +jGm8mYPnNfC0/kHme693UP2YKFxjrImrRvcu7d09CLO6VM+yAU1UV/4k1/ht +EPji161r7mkhrfZaCSvrPUR/e/axJ0Ibj1rU9MnvGgIz663SiODlWLTTN/fJ +9BA0XqgVN4XQceqDjoro6j8Q8ON9R6VTOljpZk9Ntx2Gym+f87+U6uL5HfE5 +FaJheB4SU5T8VQ8ZAw6vbsaPwFej+ddCnxW4YX1cOt1cBKkJXftblA1R6Etf +l20hguTTDzx2qxviidT7vYbrRWBADB/t1DLEeyOvdHibRNAaktA5pG+IK9JN +bqyzE4GIGfiP3ipDpEwIi92+E4GC8f61X3cY4vNCo+aUGBHU1zy/8H2cIXqt +aJ8ld4mgUk3oGkNhoBL9x8qaHhE4/vXs9cxiBtapax4/+UoEvkcK74ctZSBX +3lMiGRDBL2dJu4M1GDj+RSRsl4hg7+o0IpnLwJi/ZeXZsv+63XUibBcD74ab +hljxxXBQQ3VhOoOBUy0pQ2HRYtjOcui32czE/qU9h+djxRBt4LLGaxsT6x31 +xhMTxMDn7G4+Y8/EX9sK564niyFjcWTdExcm8jubNVqviKEtraLuXAATvfvI +9kaFYojPo9n0/MrEJvHJovedYsiRFVaqEUy8x3u8+liPGKI0Hm+tG2Pi5SO0 +qq+9Yrh68zf5k5NM9PmQ1qg8IAYLmvqPowtM/DZWMrBZLIbN9crlutosNJsm +lP+YE0Pk4/myODsWZtK8w13ZEkjxwMwXBSyUHdJe28GVgPxsTL5WKQv9Gl5O +ORtJYMuap0qBD1goiLE5vdtUArFx363WbWDhswVexA5LCTRF8Omd/Syc+fbl +vLWjBKh++rsSVNm4b+JCMj9cAqEbP3DCfmbjoz02jgWnJDAq7L57I5GNzNJ5 +Rd5ZCWzIOxj+JpWNYyGhqZxzEvilqVBwOJuN8aPuvxkmSWAy9YmnpJqNFWKD +DK0sCQirlzldmWGj+tvKPEqjBN4H67huOcPBVMWoqIdNEhCp3vD3jOagwkZb +j+C/JeAQJF8We5GDlMzOZcI2CZBkPyTNZ3Hws8v4mYI+CWzrenHpcj0HXz7l +Oe8Zl4DHrU8jkSpcvFR8bS5bhwCfv3QqLlRxUa7fV+iqTwAR/m9RfgMX4xT4 +ZUsMCYjvuQ1dbVyMDKwKOM4hQHODgkvwCBeDGD0dtgIChNtPKF9VX4k7rijd +/bCdAHqTNWlZ+EpcFBXtbnWcACuvn97Qd/CwYmJ0tvYEAReNEkO99/LQL8Aj +x+4UAS7rvWm1vjxsdDaTukUS8Iuti6owiodxzIHzIfEEuMNA6pFKHtKeWRbd +/J2AgqqW73P5RlixKX8PP4sAnVjfs8NWRuhXpjxdep2Ay1voT3bvNMLGP0Rb +ntwkYN0m9p20w0YYeyxd+KqYAHlK+fG5u0ZIUf9EVqonIG2Q0Sdvzsc/Ez3v +pDcSYNxWAjRbPvrONznqNhOwZ7DE33wfH+tHsjN4LwjI1kypNo3kY0zVLhMb +IQFdtYK45818JHvf8TgjJaCFknV6MNgYSzvVZLJRAlKCa/owxhh97M/djB8n +wK3Z+MBYpjGiYO9Y2iQBbx0o56yajPFnKjWuWPbfr8Czv3exVyGpwLtkSE0K +MVsPKmVMrsKm0d6QHE0pOPRM7xxVM8FLAhdTr+VScKLQTvauNkHtKpviHn0p +UDta3yceN0HTZl7RM54UFFpYgaqzJjipkBcUbywF/z1WAd16pljtpMvfZiqF +vH+n/Y9sNcXtwmUFNWuk4PM8VNM8xRS9Rj7fvm8thVzygYNJAjNkGgUHHN0q +hbJHz+oYXmYoCR7h8Gyl8FOfLGg6yQxPTPbm39gphVtnNaKyRWZoaeni5+0o +hen3F7itSwUoi2xh6TpLIWnUsVudI0AgD/TvdJfCnXetJzz3C/B/adSv2A== + + "]], + Line[CompressedData[" +1:eJwBkQJu/SFib1JlAgAAACgAAAACAAAA8cUNllTT7j+n381zW1cwwNiPZtCt +1e4/mZc5vwj4L8BkgcyYMtjuP7mY/mxARy/A8XIyYbfa7j8FRY/N6qUuwH5k +mCk83e4/J3+cHVARLsAKVv7xwN/uP+QQAUVghy3Al0dkukXi7j9AUXflgAYt +wLAqMEtP5+4/2xGjgh0bLMA9HJYT1OnuPxY4sba8rivAyg3821js7j/YyieI +mEcrwOPwx2xi8e4/DQ/euciGKsAWt1+OdfvuP5FdUOZ9LynAo6jFVvr97j+i +f5oxruAowDCaKx9/AO8/e73DRzOUKMBJffeviAXvP2LiT/B9ASjAfEOP0ZsP +7z95X15P3/AmwOLPvhTCI+8/WDu+0sIKJcBvwSTdRibvP3UvH2z/0STA/LKK +pcso7z/P0TXx6pkkwBWWVjbVLe8/3Qc6fZkrJMBIXO5X6DfvPy/NjRn9VCPA +regdmw5M7z+hNMfWFLYhwIidhWDeTu8/bfPzqcB8IcBkUu0lrlHvPw9J0LVe +QyHAHLy8sE1X7z8lMApCKNAgwIqPW8aMYu8/5ACO9C/LH8BmRMOLXGXvP2nl +SDn8Uh/AQvkqUSxo7z/ujbLMF9kewPli+tvLbe8/Ikj7JNXeHcDUF2Khm3Dv +P3hKmimVXR3AsMzJZmtz7z/+dSHW09gcwGg2mfEKee8/7fhHO4nBG8BE6wC3 +2nvvP0yOfs2qLBvAH6BofKp+7z+A9kl+TI8awPpU0EF6ge8/2XIotY/mGcDW +CTgHSoTvP771BLe9LRnAsr6fzBmH7z8rbPUNAFwYwI5zB5Lpie8/QbyrolBc +F8BqKG9XuYzvP35kaUnQzBXAZRm3S0mN7z+VC+jfuwoVwMWaSlA= + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVlWk4lXsXh82EzKWtJGGHkKNSaVhJx5hCpLLN7QwNaBK1aVfoCHWkOCUh +dEqGzKX8n4xlKGOczGybPTzPQ8gQeb0f1rW+3Nd1r7U+rJ+6V4ADXUhAQKBm +uf7freljLRjHad+hAU7CalcCRMxUAvsp22DknUrNFSsCtj5LSi6kHAQLz6gj +afsJYK3TZAZTD0N4qY9+0i4CbJo6C55SHMFe8e16xlYCNGNUM5fUTsC3VGOF +eX0CFqy9Ez2pbgB5AewhbQKcT818iKecgnr3o4wkNQKqzVRKq5JPg9geMQfL +tQQYauzNn1LzgwwxjwOaygRIDN1MP0Y9D3RJ+R4LWQJ6t0SZxVIuAeOHh8dX +AQISnq3BtFwuQ0l81s1TizhYy77aU5F8BSLPbQ2SnMehhGjcTqqFwB+sMMtb +kzjE5Sho21PDIP/Qw3ipURxSVx2JjKbchgPeUYnfv+JwrSmx+ti726D9alBk +VRMOxyIGhDRcIuCA+8kop3ocpKeDwsuTI0GP+ax0tBqHq+0JV/lqf8HAhdQd +1u9wsH/w3f8wNQ5cuyQC+jJxYEoUbvqLkgDhKtrrfcNx0LX4+05BYAJ4Cuh9 +Hr6OQ2tEAKe7LgEs7+wb8wzFQVNYL9vgykO45fBrP/0yDrWLGQbtrY8gw5Va +HHlm2T/5aLtazD8gZuisq3Mch6TeULPi3ylwfvduSqYRDsdPpOWNT2RAZyvY +iPP4ILXEpFmsz4Olp6mZtmf5oGVPjFXdLQLn7Bqr+CkeBH/ZBUlTb+HBa7vZ +0Us8UC6S7xMURGBk5Lju/G8ulAyZNX7dUwlP0yuhjsGFSv+na0/wq8HM8JBp +xG8OTFP3yuacq4PgRCnRsEgOCM1ly63VqgeB9jbBECEOGC95lBzNbQS5sr5F +9YgxaLy97Uut7ldYqVGxX1BiDNTu8+ZeEs0wgAm88WGMAm+mv6/DsBVeKTnF +qc+xIYNbXi8T1wbDH7Lzt59mg4zqC5LFaocvPiEnV7aOQIxf8elUzW/Q4Pnd +XPbgCPzcn83yDe+Eb2NmUhGZLLhkVF20uqoLdDZ8WeRJs6A/t/pui/p3sFnT +eVzZaxh++bc/0QntBmLSo9ipaAg2m4r/Pl3eAwl4VhBNeggs0tV93ij3QaOP +ZjQcGYRgVfeNg7R+WDKpSmAmDoAe30mq03YAjPrznEXL+kFRdlyien4AnrtI +Ka2T7QMR9CRPI3kQtvVwhnoDeuDMtvL/VtgMwSDjcIF+4nfItvJKrfg5BEHh +8XIJOV0wzVORYz8ahsErC9O0hm9QfNRCOOEgC2Z6QUYgrR3CLSOeFbFZoK9s +TqWEtoJ6r3XX84gRmLn/45ijSTOY7LidQDFig1BH7hv5HQ1AW/91TrCNDU57 +pS7NEjUwXR87FMgcBRYFpWM+CJJE3C45aI6B+ZDlyYj0AlDsK04Xqh6DCLnS +dtLmAYgymI67gjhQNc3Cd7JeIIGXbnlDClxwW2KsW//uA6rld55/tooLdqW0 +3AfSFSjG0N6AtoYLcSUfGbvdK5BymVlOhyoXxB2P1G0URcigTjv7k/Yy/0HV +LVsHQ7SRH1m5+7igyR7NrLn+Eb1Vj0oJ9efC5eJc9rUd1ejC47xYhUouRGUn +B0uI16PShcdRldVcEJwq/fTeuB4tuEUyL9RxocxoPzP+dD2K0nC93NrIBYkt +Lv3ptfUo+bWka3wnF4TAwJN2pwHVVND1FHAuWN+9t5um0ITWDK9tkF/Dg+dB +tu2zG5rRh813JOTP8+CuhXXoQmwbMm5XbooP5IH/7nNeo/+2ofzrL/5WusgD +MbMvZqNVbSi9qVZlzVUejJ87E0Cdb0N3AkT11W7y4KjCXVamTztyKLxpr/+I +B6VnGQs15h1o1ITx2KqCB4oGHgXhqzuRx7C0ewPGA2nqUobNtk70/W6yhm0V +D3jl3mJ/OHSixp7y13afeODd1frWMq4TFYTNVzi38qDO1PRKvngXul4ZPExn +86A3OkQ1aqkLyVtf0LspwweX4QGfhyLdKPWjrJSJPB9ach6Xlmh3I8NdOZwJ +RT7Y1VqOLRzqRoe1x7I8KXy4xfxPdO5hN4oWc1M31eTDlHusdJlODxKpslot +YMIHbzeXzPjjvejnbnWBMDoffAzescY7+lFEYUXfdl8+lCl+6fhzqR8pbaZ9 +wP35YE3HROlKA2irSmKoayAfUnlSHMN9AyhwVnp6zzU+PPJ7NMWPH0CcolnO +r/t8kK3j3dE0HUQ9+s1tIe/5kFhkt2dTwRB6xpNnCKNl/4EYn6RPQ8jr5VFq +7Ec+8FwDX2j2DyGOZufV1Fo+9Pi9sbsnPYx+ru1TrWvmgwZmO1DoO4zkJfk+ +SiN8qC+9JxNEZSFztvhC7koctJlb96W8HUErMqwyd8rhgPZTQ3+0jaBGz7uH +KxVwMNdwzPYnRtDRXpm0DuXlP9+pYvlJg4082leZL6jj8OCWutaB+2x0rVLj +vpXxMh88Q7kZOIryU0CT5YbD/qJtLBF7DpoMnRT39MQha/80MRPAQcbOL3i9 +3jh0bwhwUrnHQeWysoWdvjjU88p1yCYOqr3RZ9pwAQcBt+NLbFsu6vZiuBdE +4sBpV56KcOYhsU3lj2/k4pA44l+Q/hBHVsIBYYv5OBA7sNakchzF9G/0Ci1c +zgXf9WMtgziST4rWuVSGw+gTOxm5LQRat+JkmU8lDuXBJRWtjQQy4s12HP6G +wzZL481rpMaRa56xvOpvHJ40+uy7fXEClZyclWlezlnDwayXKbETSEbsnfQt +YQK2G2pcnXwxgTDaXgmOBAGCawOTj/ROoI2SB5eKFAk4+mNHGNf6B2KfsscP +6RCwq9k4kmE0ifbJKfKWNhPwJdbozGu7SZRY3j5WYECA+eVd5loBk8hS4Thr +zTYCYn9lMOZeT6JXyK2btY8AYffeXFu9KXRe5ezn644EbFmZ9/KzyTSqrdGv +2+JMQPN4Roqh2zRSCyKrh04QEF8fsnOGOY2a6y5glu4E+BHCIy/rp5HR5ZBS +JX8CuEwnpQ6Pn2jma2Tm63ACFmdXHWSnzSC7a5bP3W8SMHP28Yh64wz6lyqZ +phCxPL/3om/Pzxl0khGbfDWagLJvgcWdtrPovW7Cg4MPCWjsFPAyEJpDNyLS +mD2vCBgRzypojpxHK4PFrT1zCPhvlfLL+pJ59Nj3nAI7b5m3EB13GZ1HhTa7 +npNFBPQtdcXaWf9CbPmWaiFEgLvvDdUe5QVkkyIgodNGAC3GeePT9kXUde90 +c04HARv9bOOzZX+jU8zGJKMuAnxDfvTG2vxGYaeSdPb0EjD3k14+WPMb5esa +2hwZJSDRqHL3yZoltLrUPe7yLwI+NCQqn50XwJ7/W3NsfpEAC/rfboSaIGb4 +eLNauAAJTTvtLsqYC2JWjJm8O6IktFyxEDZJEMSum91reSJLQkDLXqdPO4Ww +Fdun/9mgQMLGlBMhH72FsEdUF69MJRIMjVmmN+8JYXkrNk3mUkjQNfBvusQR +woa+VihVapAgzBqxHHshjAV81Ow1p5LwRoOpNfqfMLZQEJ3ZoE0CVWKzCF9a +BFv16Jhxhz4J2u+NLAyviGCWNMJ5bAcJW3IPyY0cE8XabR03nDMhYWoL/YtD +vCjmCe/GJvaQoKPkV7H5qygWujEy5JcpCS9/uVPotmJYzpjqE5lDJDxMgz+M +3cSxO08jPPoOkxAlFaTUlSeOnXLAtXLtSQgZogXpiUhg696/z7d1JoH4qKpb +WiyBzQZqXlE9ScLc6u/O3oorsDatmN04jYRvf9F35V9agUXfc6mN8SKBxnUO +vWEmiZ0+WHWXRiehkC3t1vJGEjswp2uv50vCOL1ama4lha3PjV+94L98H6ey +/s4UKWzOa7674RwJxc/PjLPXS2Ptyl5pTwJJ6D97Zsu1LGksv/Hz6TMXSWiN +PlNQZrwS8zX+Z0IyZHmfuYBIZrAMdpAnUPr9Ggkdavkrn+rJYmqpvtdfhZHA +lfsnTXdKFpt3bDYNZZIgwjvLwP3ksG8rdopb3172O7x9EsWVwwoqnjVSokjw +WD24WHhbHou7KB7P+YuEPydQ4gVbBcxfO8D5bQwJjsJ+fslZihidUmpjFUtC +3KJfHstVCfsfQDZdfg== + "]]}, "Charting`Private`Tag#2"]}}, {}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>, "DynamicHighlight"]], AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], Axes->{True, True}, AxesLabel->{None, None}, AxesOrigin->{0, -16.341239202272494`}, - CoordinatesToolOptions:>{"DisplayFunction" -> ({ - Part[#, 1], - Exp[ - Part[#, 2]]}& ), "CopiedValueFunction" -> ({ - Part[#, 1], - Exp[ - Part[#, 2]]}& )}, DisplayFunction->Identity, Frame->{{True, True}, {True, True}}, FrameLabel->{{ @@ -243443,7 +300183,15 @@ P7zGXd8= "freeformCursorMode" -> True, "placement" -> {"x" -> "All", "y" -> "None"}}}}, "DefaultMeshStyle" -> AbsolutePointSize[6], "ScalingFunctions" -> None, - "AxesInFront" -> True}, + "CoordinatesToolOptions" -> {"DisplayFunction" -> ({ + (Identity[#]& )[ + Part[#, 1]], + (Exp[#]& )[ + Part[#, 2]]}& ), "CopiedValueFunction" -> ({ + (Identity[#]& )[ + Part[#, 1]], + (Exp[#]& )[ + Part[#, 2]]}& )}, "AxesInFront" -> True}, PlotRange->{{0., 1.}, {-16.34123920227253, 2.0794415416798357`}}, PlotRangeClipping->True, PlotRangePadding->{{0, 0}, {0, 0}}, @@ -243454,8 +300202,8 @@ P7zGXd8= 3.895640609714281*^9, 3.895640646593809*^9, 3.895640940449761*^9, { 3.895641194616433*^9, 3.895641208575295*^9}, {3.89564133231604*^9, 3.8956413767523413`*^9}, 3.895644661538042*^9, 3.895644725163104*^9, - 3.896011756378645*^9, 3.896011794744671*^9}, - CellLabel->"Out[83]=",ExpressionUUID->"abe8fbf1-6177-4a6e-a8c2-9ec1f32742b8"] + 3.896011756378645*^9, 3.896011794744671*^9, 3.901787268380681*^9}, + CellLabel->"Out[88]=",ExpressionUUID->"24f457a5-5dbe-4d14-b10e-a38c5f4a6c29"] }, Open ]], Cell[BoxData[{ @@ -243484,8 +300232,7 @@ Cell[BoxData[{ RowBox[{"i", ",", "1", ",", "3"}], "}"}]}], "]"}], ";"}]}], "Input", CellChangeTimes->{{3.895640667928132*^9, 3.895640735818302*^9}, { 3.895640772965556*^9, 3.895640802756865*^9}}, - CellLabel-> - "In[503]:=",ExpressionUUID->"d75d6cfd-63cc-4dbc-80f6-b21bb988704b"], + CellLabel->"In[89]:=",ExpressionUUID->"d75d6cfd-63cc-4dbc-80f6-b21bb988704b"], Cell[CellGroupData[{ @@ -243574,134 +300321,135 @@ Cell[BoxData[ 3.895635084136878*^9, 3.895635092843463*^9}, {3.895635184396298*^9, 3.8956351845239983`*^9}, {3.895635761944291*^9, 3.895635762035574*^9}, { 3.8956387985211363`*^9, 3.895638812985619*^9}}, - CellLabel-> - "In[402]:=",ExpressionUUID->"9a7009a4-61f1-43f0-9cb2-fdeae58bd0f4"], + CellLabel->"In[91]:=",ExpressionUUID->"9a7009a4-61f1-43f0-9cb2-fdeae58bd0f4"], Cell[BoxData[ RowBox[{"{", RowBox[{ - GraphicsBox[{GraphicsComplexBox[CompressedData[" -1:eJx1mHk0VW3Yh4+jZMhMQiWpk6QSylvhPkVJUhRKEUlJgyiSJBGiJJWEzBkq -lco8PkKUeZZ573OMZxcKSRm+/a7v3f741vr8Y3H2Os/ez30/93X9trzNpUOn -6TQazZOLRvv3977Tg/VFQ6ba5QvrHhU9pTO9eNPWBkiHgO0p2SPTtVzMo+Zx -qaM/EoBVsujB3GEupsCcl4XeilQ4aGTLt4GgMdcYDw+W3EuH99c/ygv405iu -NdsgbDwHquT587OW05hS6aLdXFwIRr9p+p9wnoNMlk5VrWYxhF/gXfstfBaK -z0XJmn8rhemS4cZtaAYmGFrCby6Wg+vwlqFTw9NAn0oRkV1TAZWvVoi+XjgN -W+esMw+/rQKTh1OyH9b8hSof9ZoypVpwSh/aLqrzB+SCiamXw3Vgdcvg6znd -KSAme7qbVRrg+pjwimLD35DAyasQCmqEqIKRXX4akyC0PHmkt7cJ7C1FuPfK -/IJA+4wzsatbYN9TpRSLBRPwi5nSe9azFWJGaodCPo+Bs2pp+pKSr7BsqMJz -8NFP6Hlbeq9evh1yLV+ryG/+AX/PNT1bd70DAg+F9w94jcD6nYtmz+R1ws0E -4dwzL76BXry83XupbqC5qIY8Pj4ErsutVuEWPWC9+CHM8feD8jdTgVZDDBxr -HmyUusMCceFR3tI/GHAv3ywV/aYTFqBnqQqROAj36nBHEY1wXj2vjc+ABU3n -MsOeVX6EFH2b2MJfLJDrpGle25KGaP/nJ1byoN9daR9IK47ZOCFBZ4Z1XdfJ -mI2GdIvWqjsPuJjZbimloWM47Oy7cpBmXA0CSEirN5YFByRuuj0ITIC6CO2j -DXvYkDbWzhtJr0Rdm+7o3Jd2hsxd2l3bmXTm4rHQLXKB4eD23W8x8ZaLeSJS -YXtXOQ4dP1IS24/UQ96UrcCkCwvUc51u2JpmgfZloxufV7BhfWQXl1fSR2T8 -uP3cAUYQWGjaCmaNcjHFjqgdNJJjQdzVoqKlaeVw3Cfbob6SBbsVOZtNTgQh -mxJl9U4HNhRv7U5e5tWIjthOFjyStoW9WfGf91jQmWUzCRubGkIhJx/9o4a4 -mO/f9DjTPuBwYvz0t8mEBhCXCr58y5oFrcUqRtb3C2Dc0jyohJ8NTyeCj3bQ -8tC1ppBr3+QCoCVn8W0dOp15+uxIwBU+FoRYj/D7362AtDh287FMFuxkL3V0 -Fb4H0qlb9rQeY8NIveltv511KOiNmKIx4yYcfRjnt28dnXnHT+9ctSobWv6J -qVJbUYZetyqswr3ZwJgb28poaUUG1a0foqRNwEVig0ifA525mls5ZePVJ+Bj -fO+XbzkX81imlphYMg6Bad764vqNgBLXMD6ZsmCVxmlan0ER1DVaSn2ksWHd -k9PZeYuz0OIJJ8+8SD+wdxVzdRKgM4WcDNf6zuKQptraXTNSCVYqbgExKSx4 -tvSgWeLlZzC+enttkxEbFm0YYi9IqUaZw1VbRuTcQG009ZeZOp1pM20wVqHI -hmMBA+0lLSXojqFiSvc1Nug5cIsmOjcjXpZ3vBnDAdxSrf4xMqQztbdtLmiz -ZQNdVtDGsKoe1Z2UC2cHsuGLwQX9hTYdSC0mLDJNWhes3tLWurnTmQ2+l4Y6 -ykOgn3nWOb+Si5ma+w2LiMNB/FNUVEBEI0heHPW0M2LBrFsc7cLij1AohHWg -vyyo1O8vTFmegcx8MbrCcV+QvWkVt1aEzjzlMj0hOIXD9PYfrIHYKsiY1GHv -TGJBryi3v8TaOGBZ5T9uNGDDORvlaF/jKrRP+JVmYeRVWFrmdG/ddjrTSKMm -64sCG9T3gNNIXjFy9n91qesKG8xj1r5Z4tSEVBS03o3L2cOllWJCN03oTGXn -NK+vVmxIKDt98Ra9HhVGxlmy7rBh72D7esmjbWh636mnJxknQKBJeSfHls6M -EEvq7/FgwyfzmXGnRy2obY+Eg24wG+4n/JBUeNSNFujIOPZIq4Nwql62hA+d -qaT30P+DYwjYRTepdFRzMds1dff2RONwej/jqVxJIxTVNb/ONmQBrxK2Y+OF -j6CuLK8NUyyg8Zu+zVNMR+7VT0vNcn0g087x6D0xOjNo8rDloV84pJzTZIlP -VYH18XUij5+zwECiL6GlPR7MPWYmDumzQfz1roHaF5UoJGZp0ZrjLnBS1T1l -nRadWW4iLKMvz4Yby/dveKhSjIhEot7CiQ3h4TlqE78aUamOTFZJ5BnwtUsf -NDhKZ8Y/HGcfsWTDy+8vaLOxdWhLLgud9GXDcVqrT2PgV7Q6cHninJw5VMSv -vvHOns4UURnYa+XOhmDfD15pc83IXLd7q+0DNvC0yjHvi3Wh3mWrvVwZB8DJ -8P3WDGc6U8/T+q/NXTaoXBvmlt7Vjii+xTU/sSDsvwHFt81+R5efnsOB4pu2 -mJ5lkm47UHzb5vhWj7G2ESi+jW+7bY+gAii+9QpE62cIFwDFtxUX8+Ne8cTP -883r7sWcprNJiOLbLSudXKnmAjTPN0/lkouMckTxbUP0Df7hpFpE8S2v2USm -NqoRUXxr+GmbxruxFVF8w3col7aw2hHFN9z2nc02tW5E8e34xBnB6HoMUXw7 -Zf9T0fIiC1F8i54alc5uZyOKb/BExPmacR+i+Ba9/K+bQ3g/ovjmxWs5cYU1 -gCi+afd/2bF70RCi+JabWJSmODWEKL4xeE19sBYOovhm0KVvfs+VQBTfOFy9 -dzy6CUTxTdLxHbfgLIEovqn2Z8R//UAgim9b36v3mKVyEMU3P6fXkTKHB/9f -vi05Lrr16so+oPhmp7uS/5+8blB9LcqzjPcVpEtdV5MOaoEcpxDVQPsu6OM6 -ZP/lIgf1WBXp6ud2A/fo+HHHDQQavO4bqTfdA5V+4Z9jyPuJnVl11zCBvF/P -6oiDfwi0eGB35xUDHKrLHcKfDxCI4qepep1LxAECUfwMlcg85vlxCFH8bHyy -3LpKqn+en7J+Q0VyhgNA8XOTcrZQbRQGn7zbjvF4JMPvkwoPOiy/wuU2wzA3 -sS6YCd5X1qrOQeJcP0xnznbDfcllZnHcBFLZf9kq5HUPeLxxHukKJVC/c/4+ -MTcMRH/E8yuNEEjkb8RPWQUcJJRFRff8IhDFZ8YN/+e8dwhE8Vl6sXxmsi4H -UXymRVq9HD8xMM/nJzubjdsukf/n76iKLuqC4DF9z7bnZH0KH6g9EegB8y/x -GgomBKozqbowswYDxQjX+CcVBDrmF/DFvxYDKdzrmfMcgXgbRz9VXsHBb05t -iVM9gSjem0QK/A1eRCCK930v9vutlxua5310Y+uWNsXeed6n/14R/KN+ECje -+z4LGknXx0HIQcvXXSMJZquPvZA/0AYH7isZjPZ3gpjL1c+mUhz0xjjgxBFm -N7zJVEmO/M5BTemDRbk+PVAsoa37nNyPABW+FOdTGLyUEX3rQdZXzPfTzIgQ -Dp0GZ6v+kPWnfELOM0zJPprs5/98wrexsCLvBgdRPnHrq3fUD2xg3ie0+15V -tmv1QmdBlqfkky5IUwzlVQngoN3CipojrG4QOay3XGUngVYF3IkSEcNgzDFo -3VJEoJmdLeuIHAwsZH9deTVDIH5L2foYKxzk15S1+HcRiPKT0sFVGkJk/1J+ -st3nJV7qPIQoP3E78c1sEbNv3k9UXEqZkiv7IZD9d+ZiUw9Yifl5qycSSCfn -Eic1CIMvq2kDzhMEEnJyHNDTwCHe9UJXO9lPAvfs5nK6cMhVz1f3vkCgU53b -Ei7eY8GWMUFnDx4OonwnNzQroelzP7q5UlSTsw0DnapDBcLNBMqs0LRn9GGw -Wvfdr2dkP/Dol+VO3sGh5C//bWYJgQp3u0ps2MKCrMKKhZVdHMRtd3/RXpwF -KTc7FzHR4Lw/uczssde4w5r3p5HFmmberCGg/OlcoLL71uek95y6JJMZkghF -OhvPP/7cBpoDYQnr6zvhYeMOKxNeDvrj1myzQLkbqsSuaJiyOIgx9PxHtWMP -BAomBn7wJPs3aFNH4lEMkviidq5lk/1QcYy7fQEO7YLOvFnT5Of/+RlTyXLi -7wsCUX724tPj1NRQDqL87J5zrkml7OC8n026+y1c/7oXKqQYbUaeXfBZNkA4 -xJ2DHmk4MyNruiFedbGLvQaBDBT6tsjwYjA3a9j4J5tANTHT5stSyX4YGeXd -SK4vEP+uJcAUB1yxULWJ9W+9/tf36gRJRGoTiPK9U7obtZUihxDlewx9IbHm -933zvsd/pkDMKLUfuqWyNnoV9UCagugGBtnfElKhMvhtDML4Lt2/8ZNAwpkL -v2/egIOMoe2TvWMESn/8KbKyAYcHcj/SN1wnPz9fe+TDLRbEz34/Ys3gIMof -nW4GpnxcMoCScx2axjZhYLinNHmslkD+iqfCj7RjECPZV19A9sOioONFuAcO -NMnUgihyfpw90PxeW4kFF+vnxLXGOCh1YlVeYAvZD6GxO5+NDs77qFZwVLbH -Ffa8j65tZfi6zg1AdgPruo4DBpYWD9Z7EgQSZar8XiiNg5n31onm3+Q8SvRq -Hc7HIcfBWFXmMYGkB00WlkmwwTJu4QrF+AGk3Js6lVOKwUJ6XFYxySu+pX6V -GfY46Po91y1sJRDluwFhHc+HCnvR5eP6uTfDMQh98Gi7KPn9gsoduOVOkm8W -aYp/yPVfi+jgtZps2H58xyA496PeC0u2TYxgQB9WClpMPj/ly6mV5TmNC/F5 -Xx4z2/FSJJ4DlC/zjY/MjNWRc/QE7+nDOomwki/isR1PO6hLGBnrl3XCsEnm -lxIaB60M+tvbLt8Nksb9N2I7OejAba67rWd6wJTmmpHoTp73gl1XtA9jEO/U -mN6JEejRhTU8tXMYdH04+9KBnDeUj3t/nX1Pe0sgysf9lDvb1pPzn/LxH9JS -0d0ag/M+vokh9OZWXy8U63b5Ojh3AXeZs3+6MwdlG0QUXy7thnRrg/VXVAlk -PSpOl+PGgOALHG/KIFBWjfDP9JcYFG4oHt33l0CBuu5tN41wEKiTVDXoI+fN -f35fGPA9/uEeAlF+7yoREimROoQov8eMGDry/X3zfq/hyK0kM90Piz5IlN/N -7IHGV4RmQAQ5X3e//OB2E4NmpwXO3aMECj5aN8JQxCHfomVT8zhZ7zDn8ybV -OLgfLvucfouct6oR703cWZB/fSt35hYOovKCykx2se+OAZR/Jyr2txIGP8N0 -6vdXE8jpyNXNo00YqHfLXE4i6+uvwihpuYaDNX+q/dIaAhUc8rlVvpoFSSpi -C+7OcNDCgu8Sm+pZsLT4gcg23qH5/GFrO2Yp1Miezx/v3/KrBJ4ahFiuX2Kd -ZzEo+WS8JmCIrB/rz58/4jiIWhRFTEwRyOKlSpJrFg7bAu6GtYWR81ah9Uup -MBsmVaoI0eIBJCFe8vAQwuCX10ctHbK/7+Ya1byyxeFR/8TLtHbS3/7LN+6m -IaIpXH3o2F2LQ1IhGDQ0/E6tIX0iqCax95AmDnbPa4WnvhMowuBTYo0GmQee -/4pxjOlH1c/W1d/nYLDd/PMyG/L5qXwU9dz4xe1BfD4fKbTzNHYeGIJHa4Np -fXzk/l9PaO0j69/vOPTxdjoG5n0Jsb/JecfDyeJvMSV5JuyT9PR6H1Ie9Pbe -G4DBhEDFsgVkvYLEd3A0VXHSpw58i/lBoJipg1yoB4N92ckXc8n1qfwlImyw -tYGHjYKjTk+IrMAho7wh8NkkeR4yIk/KV2CwYI4VrkhebzT79ne7PRtsAkeN -pq/2ogDlrav6ozGYWRS5V5Pc32kt7jiLCQzwy26TEeT+UXlOzPWIXQtfz3ye -u+wv2PNdkQAqz4ltpN+THMRhpMOEP14mEeweZHm4rWmHd69S1n4t6gT25Jf7 -k9NDSD1MiFG2rBumf6gNfGwj56mRilnnyR5Izj29NcKN9E+T/eiuEQb5CttS -U3sI1FBw947+DAZriM4/ReT5pfJiq4bdP5ffEYjKi+uLK08avOQgKi+2qHzt -Dt09OJ8Xx6/uW/GJ3gfaHf9YzDh0QYlL+jmmEwdVmb7hPoG6QfzGt0NjmwjE -MVt/No6GgfZK5h7NdLJfzkhrcyVjkCVxUr+O9KWqJ9ixKUPSn2Tuqbr3k/X5 -L396hLWdjtpHnv//8meGpupr8+whROVP7YIyrz1zffP582uyeTXf6gFY5qnz -+MF70s//iltnkP18uevD7Cd3DDSrchcuIs9v3cstTnFrcBhnm4g9JP1FXaaq -IawChwMlE0J9t0kfDvXsTrzGAl33JZt2aHEQlWeFzS5dOmM4gPYMp/O4K2Kg -lZW2a6yS7L9hTw/NBgx4NrPrgsl++Ox1T9f4Kg7DvVd/fyb5NdjUMSCwigXF -gi6MUDqB3q1s3HmrhgUODe565eJD8/k449cqt4Vz7Pl8jE//TITwQZAInzuh -eAaDqQZnCb9Bsn5q1jdcRHFg7VXxcCf3z2+9v2B+Og7XRQ8dTH5GIA/mUmVd -QTZM1TGWxtcPIP9XVV3R+SRPdc7PTpH1rhDIPLjGBocnZsnMVZ0EovL3wc0P -bY/L96Ea+TPRpx5i4Pr7Uupq8vzWyAmcqd6GQ8ydJSHfh8n9sefhPbCFDZ4W -453m6f1ol5ra8vYBDD5rbnD3Jp+fyu9VYkZidC3WfH7f6yxWyvdkCBquTXhZ -LcJh0OJFkvG/fsQ7Jlj3HgN5d1H7UPJvj0VTF0wPs0HGZh0jIrQPxXoG1oT4 -kc/fwaekQ/pEjW3s+axNOPimSX52JX1D4qG5oUAXBrnX13kgcn3q/cDoocTz -4sBGdcMVV+/J4vA4/8QKTZK32fuTyLiNwfU5Lew3eR7LZUePHbMjec4rvyH5 -WS+aLQEH1Ugyn6hJZbwkr3dsXvz9xU8M7Nky+q3k9dT7hk9Fri4Z2tj8+waz -92mazO0cOCRb5GNCx+FD8gGeJeR+79LgSTr7mlzPJ9MfkfNqGl3oe95Kzrv4 -BJcM8n5nz9kLnrjKhpxlmZavEtgo1j1nN08xBnqT8uv8yPWOLljpu/M7Bg9d -Neu3k9dT7zO8n7hDog+OlGz2ZFxmYdBk7xH+lvxcYcLWx/oWG1rsTkZwvrBQ -zfLHks1VJK/zbDuM/+VL0pSJyG8MxLvCgo6Q3/8/bpqgmQ== - "], {{{}, {}, {}, {}, {}, {}, {}, - {RGBColor[1, 0, 0], Opacity[0.2], EdgeForm[None], - GraphicsGroupBox[PolygonBox[CompressedData[" + GraphicsBox[ + InterpretationBox[{ + TagBox[{GraphicsComplexBox[CompressedData[" +1:eJx1mHk0VW3YhzkKZR5KVCQypZIhb4X7FJFEyFBKGjRoVkqSDCFTSknmzCqV +yhjpURQh85Dp2PscHM6WIRFFvv2u793++Nb6zj9nnbX32vvs576f+7p+W/7o +BevjNC4uLm9uLq5/v3cdH2goHbQ1kD/S9Kj0EY3uy5+jHCwdCRtdltvP1HHT +9+1Pzh4dS4POj3x35/Zy0wXmfA+ayGZDuKXzonUEF32N1fBAWWguZHt+kBcI +4qK7126G6J9v4ZX84ncFK7noUrliDG5uBKpDekGH3OYgn2lYU6f3ESbP8CsP +xfyFj6cTlu8fKgfnT8NNm9EsTCjpi7w4VwFdwzqDx4ZngDadJbp8TRXcfyor +9nzhDGyaO5y/92UNrIqYXv5mzR+o8deu/axWByZ5g1vEDH+D3D1i+ulwPcT6 +mX07bTQNxK8eRotGI7T/EJH9aD4FaZziKuHwJuB6P7I9UPcXCK/MHOntbYY7 +B0V5dspMQphL3okkxVZYEa2WdXDBBEzSs3pPebeB5GjdYGTlOLhplucuLfsG +yQNV3gP3f0DPy/LQBvkOeOT4XEN+4xj8Od0cp3q9E8wsY/rZviOwdhvf3xPF +XWCXLFJ04skQmKTIn3wtxYAnVzQjHxwYBPeVTqvxgz1QIhABc4v7QX3IVqDN +HIOh2rvrpW4zQUJklL/8NwYZchulEl90wQIUl60Qj0NxnyFPAtEEZ7SL2xeZ +MaHVLT86rvoDZJkeTXo/yYT+t1x613RyENf/+SQt2RMYIu0P3dWP109I0ujR +3dcN8/4mwiGntprbd7nphR5Z5VHjOPC1X97DZfUVBJCwfm8SE8Qkb3rcDUuD ++liDfY3GLBD71sEfT6tG3RtuG96RdoPXVgbdW+g0uuB4lI5cWAx8IQIFiZfc +9EPxClu6K3BIG8tK77BvgOJpZ4FfV5gg9MH1hrNtARhcsrxRKcuC0Hvd3L4Z +H5DVg47TFkrhUKznLFQwyk0Xt9faYynHBKurpaXLcirggH/h+YZqJviEEhtt +DoWjo2Xq2l3nWYBrMTJX+DYhe+dfJfelnaEtLqXS+CCN/nk2bX1zYxQYIfSP +FuKmv37R48b1BofoH8eHfqU1goTUvUs+h8nrIQ3Lw3dK4Kfj/vCyxSzYU3Zv +XydXMbrWHHltSC4YzAsFbxnSaPTjp0aCLy9iQuLBkcVBIVWQk8xqcchnwk4X +qYvuIqEgna1j3ObAAu5q21uB2+pR+AtxFSulm1ASmhy4S5VGvx1ocvqrJgve +qj2u0ZL9jJ63KazG/ViwCh/fpNTahsy+tr1JkLaBunF10b7zNLoij3rW+qsP +Ycw6dDKggpvukK8vLp6Jw5ciP1MJ0yZA6WuUPtkyYUb7OFefWSnUNzlKfeBi +weTd44XFggVIcMLVuzg+EByuiLu7CtDowq7mygF/cZCWbWPUjlSDk4ZH8OMs +Jhy5u8cu/VIc/FTcUtdsyYI74oOsBVlfUf5wjc6InAdws7Mn7bRp9KMzZuNV +KizYF8buKGstQ7fNVbIY11gQd5pHLN2tBfEz/VLslM7DXKbTP5bmNLrB5o0l +7c4sSJUVOmpe04Dqj8jFsMJYcM3krOnCo51I63F0fI60EXyR51b28KTRGwMu +DHZWREKgySm3d9Xc9OyiISw2GYfVlQkJwbFNsOTcqPdJSybc9UnmOiv4Ad4L +Y53oDxNohv3vs1bmIbsAjKZwIAC2eDklK4vS6MeuzEwITeOgt2WMyU6qgbxf +hqxtGUxgO/AESSonA9Pp3YMmMxYkOqgnBljVoF0iz/Tex18F3lLXUNUtNLql +bm3BFwUWwB5wHSn+iNyCnl3ovsyCiFvKL5a6NiMNBf1XP+Vc4KyOuPBNGxpd +3S3H95sTCxRrj5/zoTWg9/HJjszbLPinr2Ptkn3taGbXsUdHlA6BV6P6No4z +jR4rntHf48UC+s7Zn673W1G7seR5o3ss8MwdX6Jwn4EWGMpc7JHWBq5mk0JJ +fxpdzSQi6M3FSJCJa9bo/MpN79Az2tmTiEOvtdIjubImKK1veV5ozgQLeWzr ++rMfQFtd3gCmmZDBtnlZrJKLPL8+Krcr8of6wxf3hYrT6OG/9jpaT+IwYqfH +lJiugcMHVEUfpDLhp0hfWmtHCuz3mp2wNmXB1JPt7Lon1Sjy8bLSNQeugPg6 +zyxVfRq9wkZExlSeBSqqu9dFaHxERDrRcNCVBf5Jb7UmJptQuaFMQVn8CXB3 +yh0w20ejp0T8ZNk7suAR4wnX36R6pFPEREcCWKDZ2+rfFPYNKYatTJ+T2w+y +TxRvvHKh0UU12DudPFnA4/PGN2euBe03YmxyvsuCY5/l6HfEu1HvCkVfdyUL +OGr5elOeG41u4n34z9EQFmTaDfNIb+9AFN8cW2z4CZchoPjGt3PfyuNzOFB8 +01Ayccww6gCKb5NnXpooKTcBxTeG7C0XBFVA8e0cX6JpnkgJUHzLOvMu+Rlv +yjzf1Haee9t8KgNRfPsTYFgk1VKCKL6VBqmXnVOqQBTf5O/eWDycUYcovrW0 +2sjUJTQhim+2E845/OvbEMU3ZWP18lZmB6L4FnXq1dHNWgxE8U3x5wmhxAYM +UXwrPPlDxfEcE1F8s58alS7sYCGKb/6Rom7XrPoQxTe67B+P8zH9iOJbjrDj +xGUmG1F8m+j5snUH3yCi+JaYWpqjMj2IKL7d4rf1x1o5iOLbs27T/aHuBKL4 +1jTHuu3FIBDFt1tnXvEI/SUQxbeBwbyUb28IRPHt+kvtHrtsDqL4xvR8Hi+z +d+D/5VvboOimq6v6gOLbJfFVi/8pZoDmczHeFfzPIELhupZ0eCu8dY3UDHPp +htApK5cv5ziox6nUyLSIAYpDPw9cXEeggesB8SYzPVAWHFP5mPw/SbOrQ8zT +MPDy/Bq75zeBBNk7ui6b4VBTdD4mlU0gip/Z6+uvxFoQiOKnk1i+g/eHQUTx +s+HRysM1Uv3z/FQuHiiVM2cDxU8eg0LhugQMPvm1O/B6ZYKkscLdTsdvcKnd +PNpDvBuaHuz63KbNQRLcY7azpxjwVGqFXTIPgTR2X3KKfN4Dl1+5jXRHEajf +7d0ucQ8MqkdSFquNEEj0T+yP5Qo4bNQSEzOeJBDF5zbvoFT+2wSi+PxISD4/ +04iDKD6Xxzo9/XmIPc9nOf0Wq/YLLOBa3FmTWNoNwxOm3u2pZH3e39V6KNAD +uypSdBVsCFRvU3N2dg0GtVHuKQ+rCOQQGPwlqA4De5ZvnNscgfibRj9VX8bB +dUprqWsDgSjeS8QK/LnHRyCK94KZuwPXyg3O8z67oU2nXaV3nvcb/FfdG2sY +AIr38b7hI7mmOAif1w/w1M0A61yHJ/IW7WBxR81stL8LMO+rlbZSHPTCKviQ +PZ0BcfkamfHfOag5d6C0yL8HhpYbGKWS6xGssSjL7RgGQivFXnqR9RUP+DQ7 +IoyDi9mpmt9k/SmfOO0dreaSSPbzfz5RXP++qvgGB1E+kdvtlzCGsed9QvzX +s+oO/V7oKinwXvKwG04qRvFrBHPQDhEVvREmAyLtTFZqbCPQ6uDbCaLiGLy7 +FK66DBFodlurKvEWg8VLJy8/myXQYsflDY+dcAhS/dwa1E0gyk8GiNW6wmT/ +Un6S5P4UL3cbRJSfKDoN2fHR++b9ZGdJOX3Jqn4IY/2ZPdfcA5OigX7a6QQy +fHuBkx2OQb0CF9ttgkDCrhfZJrokT8+d7e4g+0kg9OTc224cCja90/Y7S6Bj +XZvTzoUyoXxYyM2Ll4Mo3ymKKkhrruxHN1eJ6XE2Y7Cq1rpEpIVA+VV6Lkp9 +GDwzejUZR/YDr+nnol+3SR5NLb5FLyPQ+x3ukut0mOCHqhZWd3MQz8k7fDtx +JrT4dPHR0cC8P30TNHHRvc2c96cz0/p2fsxBoPzJ+4a656ZUHHKOXZDJj0yH +M5LrzzyobAc9dnTa2oYuCKjZ6mTDz0G/PVqOLlBngJTwZV1bJgcpDaaOfb3Y +A/f50sPeeJP9G76hM30fBsXCCduUWWQ/VDnwdCzAQULMjb9ghjz+n5/dWuc4 +8ecJgSg/K/78IDs7ioMoPzNyL7KpXj4w72djkYEL1z7vhSoppXZL727gWh0s +EunJQfd13ejxtQxYqC14xUWXQGYKfToy/BhspFk0/S4kUO3jmf0rsjFgsEf5 +15P3F0h51RpsS/r22veazcx/6/W/vrdfEFzNDQhE+Z6R8XoDtfhBRPneQ3Nh +8ZbXffO+N84oEbfM7geGVMF639IecNUUW6dE9rekVJQMfguDG0IX7tz4QSCR +/IXfN67D4YOZ88Od4wTKffApvroRhybZsdx118njZ+rs3/gwYZw2bH9YiYMo +f9xwMyzrw1I2yiw63zy+AYMY4/LM8ToCBakci7HvwGC3RF9DCdkPfOEHSnEv +HDZIZpckkPPjlEXLawM1Jig1zknoj3NQ9sTq4rBWsr8fJW2LGx2Y91GL+wmF +XpdZ8z7qDcoB7nNsKGxkXjc8j4G65d213gSBxOgaUwulcUjw2TTRMkXOo3Tf +tuF3OHw7aaUp84BA0gM2Cz9Lkr4ev1BWJYWN1Huzp9+WY0AsTC74SPJq0bLA +6jwXHLCgVKP3bQSifPfEo87Uwfe96NIB06KbMRgERdzfIkZeX0i9E3fchoPS +sRyV3+T9n4sa4nV6LLhot3UA3PpR79mlmydGMPAbVAsXJJ+f8uXMrxVvmxbi +874cZqX9VDSFA5Qve2SNzI7X4xB4iP/4XsN0+Lwk9sFJ3g7QlrS0Mv3cBdL7 +8r+UcXHQqvA/vR3yDPC36r+R1MVBFre4Q9pO9MC7qat56Z7kfi/ZftlgLwZH +LzXldmEEun92DW/dHAYhOaeenifnDeXjYe1/X3O9JBDl4wkKXe1ryflP+fhJ +ealEhu7AvI/v1xB+4dPXCx+NugPOu3XDk0q3oFw3Dio0i/14qZwBc45may9r +EujwqARNjofsZ7Gwn815BCqoFfmR+xSDqXUfR3f9IVCYkWf7TUscahuXaJr1 +kfPmP79PvvM9JcKYQJTfL5WNjJfMHkSU37+3UjKU7++b93v7DzxqMjP9wPdG +siIkvwf2PCH0gmPJ+brj6RuPmxhU3Fzgxhgl0L199SNKKjgYnGjd0PKTrHe0 +2xmbrzh8sv1cmetDzlvN2Nc2nkzourGJJ1+Hg6i8cHqm8GPAVjZ6dzshaUoN +g8P3DBt2fyWQq/3VjaPNGHBjMpcyyPoGaSiVtV7DwVA022VZLYFKrP19KhSZ +QGiJLwiZ5aCFJd8lNzSQ6/nlruhm/sH5/DF7cdxRuIk1nz88AhZphB0bgCTu +SfGuUxiklVutCR4k68f8/fu3BA4059LYiWkCHXyqkeFegMPKkJDo9mhy3iq0 +fSkXIfmuUUOIfWQjSYmyCGuEgZH3B31Dsr9Diixrnznj8ACfeJrTQfrbf/mm +0SZSLIu7DzmEHLSWisRAt2Uqu5b0ifDa9F5rPRxYj+tEpr8TKNbsU3qtLsm/ +jMnHFx/3o69xqg13OBg42FeuOEo+P5WP5DOsntwawOfzkdAYb1OXxSDcV77H +1beIrK9vWlsfWf/+i4MfbuVi8LMnLWmKnHe8nILFrbYsMBP0z3h0vQ+pD/j5 +7QzGoEqyasUCsl7hEls5epo4WKyzGHo8RqDH03u4UQ/Zz7mZ54rI+1P56/lK +s02NvCx0L+H4hKgsDn8qG8PifpH7IS/+iHwVBi58rBgV8nzLvy+nOlxYcChk +1HLmai8KVt+0uj8RA5PZuJ165PrO6PMkH5zAIPmix69Ycv2oPLfjtO3J1kU9 +83lOVU+g57sKAVSeU7WmhS4ZILnXabM4RSYd2PEFXh5rOuDVsyzlb6VdEPzj +y51fM4NIO1pY6fMKBrwZ02J/aCfnqaWGXdeRHlhTeHxTrAfpnza7UYgluX9W +bM7O7iFQY0nIbdNZDA4Nd/0uJfcvlReX6Zz859IrAlF5sa+s+ojZUw6i8uI6 +3W+MqB0D83kRVe+S/UTrA4POfw7Onu8Gwcu5p+muHFRj+4LnEGKApc+Q9fgG +AnHs1p5K5sJAfBndWC+X7JcT0gbcmRh8Ez9iWk/6Us1DzGHaHIdd0qGanv1k +ff7Ln17R7ccTdpH7/7/8KbxF8/n+wkFE5U+f4s++xnN98/kzYmT/10WKbFjh +bfjg7useWMoleTiP7OdL3W/+fvLEwKmiaCEfuX/rn+q4Jq/BoQu3EY8g/UVb +pqYxugqHfyomhPtukT4c5c1Iv8aEZ95LN2zV5yAqzxbvvXDhhDkbGQ/n8nqq +kPs3L2f7eDXZf8PeXnqNZH1VWPX3yH6o9A01srqKw6r+q1OVJL8GmjvZAquZ +sEnkilIUjUCvVjVt86llwus6T5MKicH5fHx/bLXHwjnWfD5ecHE8HWIGQDJm +7pDKCQx6mtwkAwfI+mkdvnFFDAe/nRpenuT6Ba4NEnqXiwP/Eus9mXEE8qIv +UzcSYkFTq9KylAY2CnpW0534DgOdrWf+TpP1rhLI37PmKA4X7DLpq7sIROVv +tfURzgfk+1Ct/InEYxGkT/66kK1I7t9aOYETXzeT+SB4aeT3YXJ9XHj5LXRY +kHT4Z9f+3H60XUtrZQcbgy+b1nn6kc9P5ffqlZbiNH3mfH7vVRQvX/RwEBqv +Tfg68eFgZvUkw+pfP+IfF6p/jcGMm5hLFPnbi2/6rO1ecj4cVFWKjepDSd5h +tZGBGAi3L1IzJH2i1jnpTMEGHIReL6l0J31DMmK/uUA3BoF+ql6IvD/1fmCL +VfoZCWCh+uGqq6HLcbiXe0hWj+Rt4e4MMm5jIP9HH5si92PF8lEHh5OkfwjJ +r8uM60V/y+C8ZjwGhhuk8p6S519sEfz+5Ae5fn0ypm3k+dT7hugv7lfyDLD5 +9w2W/jl69C0csF5e6m9DI/NfqgXvUnK9t+vyZpx6jkGqZ34QIufVDDrbl9qG +gUxa2pU88v/+Pe0idOgqC37L5zs+S2OhJM+3O3g/YiA5La8aSN5v34JVAdu+ +Y3Dspl7DFvJ86n3GSLonpPvjSO2ocd4lJgbKZ71iXpLHFSac/Q/7sEDA8Ugs +5wsT1a58sKSlBoO2t86dVv/yJWPaRnQKgwed0eH25PX/B9BAoKE= + "], {{{}, {}, {}, {}, {}, {}, {}, + {RGBColor[1, 0, 0], Opacity[0.2], EdgeForm[None], + GraphicsGroupBox[PolygonBox[CompressedData[" 1:eJwl1He8jnUYBvBz7L33Po699xZROEhR9t6ZR8qWmVV9srd2aaeMJkVRKBSh rKIlWjQoDd/7c/74nuu6n/PH876/8SYNSu0yNl1CQkIi9/qTKhvJf+Q98qAc Jqvwkt5TluQXfS0d9Tyc03fxEK3NmflCf4OnGEdjz/6Vh3iZdSzmLpr433/y @@ -243718,20 +300466,21 @@ Tn2ALMef+jhZjxf1HrIEk/nZPEbWTkz7jThgHiorM41rcUdlQ3rFuYj9iH2M 2GtKUY36sfaxd3FGSKYSteNu0jHOOSWpSr04B3HO4s5QlorUolmsW6w1JShO MYpShMIUoiAFyE8+8pKH3OQiJznITjaykoXMZCIjGUhPEhWoSdM4H3Euid/o KtSlBZ1i7yhDQ1rHGWAI5WlDV4ZTI/aTkTSJvSSF3glpv/vXAaC2oUg= - "]]]}, {}, {}}, {{}, {}, {}, - TagBox[ - {RGBColor[1, 0, 0], AbsoluteThickness[1.6], Opacity[1.], - LineBox[{1, 91, 73, 109, 58, 106, 88, 46, 103, 85, 70, 37, 100, 82, - 67, 55, 32, 96, 78, 63, 51, 42, 2, 92, 74, 59, 47, 38, 33, 3, 4, 5, - 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, - 23, 24, 25, 26, 27, 28, 93, 75, 60, 48, 39, 34, 97, 79, 64, 52, 43, - 29, 94, 76, 61, 49, 40, 35, 98, 80, 65, 53, 44, 30, 95, 77, 62, - 50, 41, 101, 83, 68, 56, 36, 99, 81, 66, 54, 104, 86, 71, 45, 102, - 84, 69, 107, 89, 57, 105, 87, 110, 72, 108, 90}]}, - Annotation[#, "Charting`Private`Tag$389305#1"]& ], - TagBox[ - {RGBColor[1, 0, 0], AbsoluteThickness[1.6], Opacity[1.], - LineBox[CompressedData[" + "]]]}, {}, {}}, {{}, {}, {}, + TagBox[ + {RGBColor[1, 0, 0], AbsoluteThickness[2], Opacity[1.], + LineBox[{1, 91, 73, 109, 58, 106, 88, 46, 103, 85, 70, 37, 100, + 82, 67, 55, 32, 96, 78, 63, 51, 42, 2, 92, 74, 59, 47, 38, 33, + 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, + 21, 22, 23, 24, 25, 26, 27, 28, 93, 75, 60, 48, 39, 34, 97, 79, + 64, 52, 43, 29, 94, 76, 61, 49, 40, 35, 98, 80, 65, 53, 44, 30, + 95, 77, 62, 50, 41, 101, 83, 68, 56, 36, 99, 81, 66, 54, 104, + 86, 71, 45, 102, 84, 69, 107, 89, 57, 105, 87, 110, 72, 108, + 90}]}, + Annotation[#, "Charting`Private`Tag#1"]& ], + TagBox[ + {RGBColor[1, 0, 0], AbsoluteThickness[2], Opacity[1.], + LineBox[CompressedData[" 1:eJwl02VwlAcYhdEEh+Lu7g6luLu7e9EWC7S4uw/u7u7uTnEoVqxIixSKu8vZ 4cfZ+7xfJpOdTZKiWUj1DsFBQUHRvYTYvPaT7WxP21Y2I6t1PZuEp3o6FYO/ f9+/ej9jKOEOzxW9jUV0JJ9nn+0Z1jCDsXQiv699sX+ylpmM4zd+D7wXutCV @@ -243744,19 +300493,1262 @@ CxfdrW0mNukGNhnPdeCPMSfzdBUbi7u6rc3GId3MpuWdnkxZHZkbejdNdCpe KIq5w3JJb2EB1dxx+E//wVTKu6NyS+9lJXXciXisTzKa4u5wXNZbaaRT8FIv pLqOy319hOY6He/1NCroaPyjf7VZ2Keb2tS80R1tLlbpujYx3Xnibm9z0IdT 7pY2A734GPgftXn4BhroiR8= - "]]}, - Annotation[#, "Charting`Private`Tag$389305#2"]& ]}}], {}}, + "]]}, + Annotation[#, "Charting`Private`Tag#2"]& ]}}], {}}, + {"WolframDynamicHighlight", <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>}], + DynamicBox[(Charting`HighlightActionBox["DynamicHighlight", {}, + Slot["HighlightElements"], + Slot["LayoutOptions"], + Slot["Meta"], + Charting`HighlightActionFunction["DynamicHighlight", { + GraphicsComplex[CompressedData[" +1:eJx1mHk0VW3YhzkKZR5KVCQypZIhb4X7FJFEyFBKGjRoVkqSDCFTSknmzCqV +yhjpURQh85Dp2PscHM6WIRFFvv2u793++Nb6zj9nnbX32vvs576f+7p+W/7o +BevjNC4uLm9uLq5/v3cdH2goHbQ1kD/S9Kj0EY3uy5+jHCwdCRtdltvP1HHT +9+1Pzh4dS4POj3x35/Zy0wXmfA+ayGZDuKXzonUEF32N1fBAWWguZHt+kBcI +4qK7126G6J9v4ZX84ncFK7noUrliDG5uBKpDekGH3OYgn2lYU6f3ESbP8CsP +xfyFj6cTlu8fKgfnT8NNm9EsTCjpi7w4VwFdwzqDx4ZngDadJbp8TRXcfyor +9nzhDGyaO5y/92UNrIqYXv5mzR+o8deu/axWByZ5g1vEDH+D3D1i+ulwPcT6 +mX07bTQNxK8eRotGI7T/EJH9aD4FaZziKuHwJuB6P7I9UPcXCK/MHOntbYY7 +B0V5dspMQphL3okkxVZYEa2WdXDBBEzSs3pPebeB5GjdYGTlOLhplucuLfsG +yQNV3gP3f0DPy/LQBvkOeOT4XEN+4xj8Od0cp3q9E8wsY/rZviOwdhvf3xPF +XWCXLFJ04skQmKTIn3wtxYAnVzQjHxwYBPeVTqvxgz1QIhABc4v7QX3IVqDN +HIOh2rvrpW4zQUJklL/8NwYZchulEl90wQIUl60Qj0NxnyFPAtEEZ7SL2xeZ +MaHVLT86rvoDZJkeTXo/yYT+t1x613RyENf/+SQt2RMYIu0P3dWP109I0ujR +3dcN8/4mwiGntprbd7nphR5Z5VHjOPC1X97DZfUVBJCwfm8SE8Qkb3rcDUuD ++liDfY3GLBD71sEfT6tG3RtuG96RdoPXVgbdW+g0uuB4lI5cWAx8IQIFiZfc +9EPxClu6K3BIG8tK77BvgOJpZ4FfV5gg9MH1hrNtARhcsrxRKcuC0Hvd3L4Z +H5DVg47TFkrhUKznLFQwyk0Xt9faYynHBKurpaXLcirggH/h+YZqJviEEhtt +DoWjo2Xq2l3nWYBrMTJX+DYhe+dfJfelnaEtLqXS+CCN/nk2bX1zYxQYIfSP +FuKmv37R48b1BofoH8eHfqU1goTUvUs+h8nrIQ3Lw3dK4Kfj/vCyxSzYU3Zv +XydXMbrWHHltSC4YzAsFbxnSaPTjp0aCLy9iQuLBkcVBIVWQk8xqcchnwk4X +qYvuIqEgna1j3ObAAu5q21uB2+pR+AtxFSulm1ASmhy4S5VGvx1ocvqrJgve +qj2u0ZL9jJ63KazG/ViwCh/fpNTahsy+tr1JkLaBunF10b7zNLoij3rW+qsP +Ycw6dDKggpvukK8vLp6Jw5ciP1MJ0yZA6WuUPtkyYUb7OFefWSnUNzlKfeBi +weTd44XFggVIcMLVuzg+EByuiLu7CtDowq7mygF/cZCWbWPUjlSDk4ZH8OMs +Jhy5u8cu/VIc/FTcUtdsyYI74oOsBVlfUf5wjc6InAdws7Mn7bRp9KMzZuNV +KizYF8buKGstQ7fNVbIY11gQd5pHLN2tBfEz/VLslM7DXKbTP5bmNLrB5o0l +7c4sSJUVOmpe04Dqj8jFsMJYcM3krOnCo51I63F0fI60EXyR51b28KTRGwMu +DHZWREKgySm3d9Xc9OyiISw2GYfVlQkJwbFNsOTcqPdJSybc9UnmOiv4Ad4L +Y53oDxNohv3vs1bmIbsAjKZwIAC2eDklK4vS6MeuzEwITeOgt2WMyU6qgbxf +hqxtGUxgO/AESSonA9Pp3YMmMxYkOqgnBljVoF0iz/Tex18F3lLXUNUtNLql +bm3BFwUWwB5wHSn+iNyCnl3ovsyCiFvKL5a6NiMNBf1XP+Vc4KyOuPBNGxpd +3S3H95sTCxRrj5/zoTWg9/HJjszbLPinr2Ptkn3taGbXsUdHlA6BV6P6No4z +jR4rntHf48UC+s7Zn673W1G7seR5o3ss8MwdX6Jwn4EWGMpc7JHWBq5mk0JJ +fxpdzSQi6M3FSJCJa9bo/MpN79Az2tmTiEOvtdIjubImKK1veV5ozgQLeWzr ++rMfQFtd3gCmmZDBtnlZrJKLPL8+Krcr8of6wxf3hYrT6OG/9jpaT+IwYqfH +lJiugcMHVEUfpDLhp0hfWmtHCuz3mp2wNmXB1JPt7Lon1Sjy8bLSNQeugPg6 +zyxVfRq9wkZExlSeBSqqu9dFaHxERDrRcNCVBf5Jb7UmJptQuaFMQVn8CXB3 +yh0w20ejp0T8ZNk7suAR4wnX36R6pFPEREcCWKDZ2+rfFPYNKYatTJ+T2w+y +TxRvvHKh0UU12DudPFnA4/PGN2euBe03YmxyvsuCY5/l6HfEu1HvCkVfdyUL +OGr5elOeG41u4n34z9EQFmTaDfNIb+9AFN8cW2z4CZchoPjGt3PfyuNzOFB8 +01Ayccww6gCKb5NnXpooKTcBxTeG7C0XBFVA8e0cX6JpnkgJUHzLOvMu+Rlv +yjzf1Haee9t8KgNRfPsTYFgk1VKCKL6VBqmXnVOqQBTf5O/eWDycUYcovrW0 +2sjUJTQhim+2E845/OvbEMU3ZWP18lZmB6L4FnXq1dHNWgxE8U3x5wmhxAYM +UXwrPPlDxfEcE1F8s58alS7sYCGKb/6Rom7XrPoQxTe67B+P8zH9iOJbjrDj +xGUmG1F8m+j5snUH3yCi+JaYWpqjMj2IKL7d4rf1x1o5iOLbs27T/aHuBKL4 +1jTHuu3FIBDFt1tnXvEI/SUQxbeBwbyUb28IRPHt+kvtHrtsDqL4xvR8Hi+z +d+D/5VvboOimq6v6gOLbJfFVi/8pZoDmczHeFfzPIELhupZ0eCu8dY3UDHPp +htApK5cv5ziox6nUyLSIAYpDPw9cXEeggesB8SYzPVAWHFP5mPw/SbOrQ8zT +MPDy/Bq75zeBBNk7ui6b4VBTdD4mlU0gip/Z6+uvxFoQiOKnk1i+g/eHQUTx +s+HRysM1Uv3z/FQuHiiVM2cDxU8eg0LhugQMPvm1O/B6ZYKkscLdTsdvcKnd +PNpDvBuaHuz63KbNQRLcY7azpxjwVGqFXTIPgTR2X3KKfN4Dl1+5jXRHEajf +7d0ucQ8MqkdSFquNEEj0T+yP5Qo4bNQSEzOeJBDF5zbvoFT+2wSi+PxISD4/ +04iDKD6Xxzo9/XmIPc9nOf0Wq/YLLOBa3FmTWNoNwxOm3u2pZH3e39V6KNAD +uypSdBVsCFRvU3N2dg0GtVHuKQ+rCOQQGPwlqA4De5ZvnNscgfibRj9VX8bB +dUprqWsDgSjeS8QK/LnHRyCK94KZuwPXyg3O8z67oU2nXaV3nvcb/FfdG2sY +AIr38b7hI7mmOAif1w/w1M0A61yHJ/IW7WBxR81stL8LMO+rlbZSHPTCKviQ +PZ0BcfkamfHfOag5d6C0yL8HhpYbGKWS6xGssSjL7RgGQivFXnqR9RUP+DQ7 +IoyDi9mpmt9k/SmfOO0dreaSSPbzfz5RXP++qvgGB1E+kdvtlzCGsed9QvzX +s+oO/V7oKinwXvKwG04qRvFrBHPQDhEVvREmAyLtTFZqbCPQ6uDbCaLiGLy7 +FK66DBFodlurKvEWg8VLJy8/myXQYsflDY+dcAhS/dwa1E0gyk8GiNW6wmT/ +Un6S5P4UL3cbRJSfKDoN2fHR++b9ZGdJOX3Jqn4IY/2ZPdfcA5OigX7a6QQy +fHuBkx2OQb0CF9ttgkDCrhfZJrokT8+d7e4g+0kg9OTc224cCja90/Y7S6Bj +XZvTzoUyoXxYyM2Ll4Mo3ymKKkhrruxHN1eJ6XE2Y7Cq1rpEpIVA+VV6Lkp9 +GDwzejUZR/YDr+nnol+3SR5NLb5FLyPQ+x3ukut0mOCHqhZWd3MQz8k7fDtx +JrT4dPHR0cC8P30TNHHRvc2c96cz0/p2fsxBoPzJ+4a656ZUHHKOXZDJj0yH +M5LrzzyobAc9dnTa2oYuCKjZ6mTDz0G/PVqOLlBngJTwZV1bJgcpDaaOfb3Y +A/f50sPeeJP9G76hM30fBsXCCduUWWQ/VDnwdCzAQULMjb9ghjz+n5/dWuc4 +8ecJgSg/K/78IDs7ioMoPzNyL7KpXj4w72djkYEL1z7vhSoppXZL727gWh0s +EunJQfd13ejxtQxYqC14xUWXQGYKfToy/BhspFk0/S4kUO3jmf0rsjFgsEf5 +15P3F0h51RpsS/r22veazcx/6/W/vrdfEFzNDQhE+Z6R8XoDtfhBRPneQ3Nh +8ZbXffO+N84oEbfM7geGVMF639IecNUUW6dE9rekVJQMfguDG0IX7tz4QSCR +/IXfN67D4YOZ88Od4wTKffApvroRhybZsdx118njZ+rs3/gwYZw2bH9YiYMo +f9xwMyzrw1I2yiw63zy+AYMY4/LM8ToCBakci7HvwGC3RF9DCdkPfOEHSnEv +HDZIZpckkPPjlEXLawM1Jig1zknoj3NQ9sTq4rBWsr8fJW2LGx2Y91GL+wmF +XpdZ8z7qDcoB7nNsKGxkXjc8j4G65d213gSBxOgaUwulcUjw2TTRMkXOo3Tf +tuF3OHw7aaUp84BA0gM2Cz9Lkr4ev1BWJYWN1Huzp9+WY0AsTC74SPJq0bLA +6jwXHLCgVKP3bQSifPfEo87Uwfe96NIB06KbMRgERdzfIkZeX0i9E3fchoPS +sRyV3+T9n4sa4nV6LLhot3UA3PpR79mlmydGMPAbVAsXJJ+f8uXMrxVvmxbi +874cZqX9VDSFA5Qve2SNzI7X4xB4iP/4XsN0+Lwk9sFJ3g7QlrS0Mv3cBdL7 +8r+UcXHQqvA/vR3yDPC36r+R1MVBFre4Q9pO9MC7qat56Z7kfi/ZftlgLwZH +LzXldmEEun92DW/dHAYhOaeenifnDeXjYe1/X3O9JBDl4wkKXe1ryflP+fhJ +ealEhu7AvI/v1xB+4dPXCx+NugPOu3XDk0q3oFw3Dio0i/14qZwBc45may9r +EujwqARNjofsZ7Gwn815BCqoFfmR+xSDqXUfR3f9IVCYkWf7TUscahuXaJr1 +kfPmP79PvvM9JcKYQJTfL5WNjJfMHkSU37+3UjKU7++b93v7DzxqMjP9wPdG +siIkvwf2PCH0gmPJ+brj6RuPmxhU3Fzgxhgl0L199SNKKjgYnGjd0PKTrHe0 +2xmbrzh8sv1cmetDzlvN2Nc2nkzourGJJ1+Hg6i8cHqm8GPAVjZ6dzshaUoN +g8P3DBt2fyWQq/3VjaPNGHBjMpcyyPoGaSiVtV7DwVA022VZLYFKrP19KhSZ +QGiJLwiZ5aCFJd8lNzSQ6/nlruhm/sH5/DF7cdxRuIk1nz88AhZphB0bgCTu +SfGuUxiklVutCR4k68f8/fu3BA4059LYiWkCHXyqkeFegMPKkJDo9mhy3iq0 +fSkXIfmuUUOIfWQjSYmyCGuEgZH3B31Dsr9Diixrnznj8ACfeJrTQfrbf/mm +0SZSLIu7DzmEHLSWisRAt2Uqu5b0ifDa9F5rPRxYj+tEpr8TKNbsU3qtLsm/ +jMnHFx/3o69xqg13OBg42FeuOEo+P5WP5DOsntwawOfzkdAYb1OXxSDcV77H +1beIrK9vWlsfWf/+i4MfbuVi8LMnLWmKnHe8nILFrbYsMBP0z3h0vQ+pD/j5 +7QzGoEqyasUCsl7hEls5epo4WKyzGHo8RqDH03u4UQ/Zz7mZ54rI+1P56/lK +s02NvCx0L+H4hKgsDn8qG8PifpH7IS/+iHwVBi58rBgV8nzLvy+nOlxYcChk +1HLmai8KVt+0uj8RA5PZuJ165PrO6PMkH5zAIPmix69Ycv2oPLfjtO3J1kU9 +83lOVU+g57sKAVSeU7WmhS4ZILnXabM4RSYd2PEFXh5rOuDVsyzlb6VdEPzj +y51fM4NIO1pY6fMKBrwZ02J/aCfnqaWGXdeRHlhTeHxTrAfpnza7UYgluX9W +bM7O7iFQY0nIbdNZDA4Nd/0uJfcvlReX6Zz859IrAlF5sa+s+ojZUw6i8uI6 +3W+MqB0D83kRVe+S/UTrA4POfw7Onu8Gwcu5p+muHFRj+4LnEGKApc+Q9fgG +AnHs1p5K5sJAfBndWC+X7JcT0gbcmRh8Ez9iWk/6Us1DzGHaHIdd0qGanv1k +ff7Ln17R7ccTdpH7/7/8KbxF8/n+wkFE5U+f4s++xnN98/kzYmT/10WKbFjh +bfjg7useWMoleTiP7OdL3W/+fvLEwKmiaCEfuX/rn+q4Jq/BoQu3EY8g/UVb +pqYxugqHfyomhPtukT4c5c1Iv8aEZ95LN2zV5yAqzxbvvXDhhDkbGQ/n8nqq +kPs3L2f7eDXZf8PeXnqNZH1VWPX3yH6o9A01srqKw6r+q1OVJL8GmjvZAquZ +sEnkilIUjUCvVjVt86llwus6T5MKicH5fHx/bLXHwjnWfD5ecHE8HWIGQDJm +7pDKCQx6mtwkAwfI+mkdvnFFDAe/nRpenuT6Ba4NEnqXiwP/Eus9mXEE8qIv +UzcSYkFTq9KylAY2CnpW0534DgOdrWf+TpP1rhLI37PmKA4X7DLpq7sIROVv +tfURzgfk+1Ct/InEYxGkT/66kK1I7t9aOYETXzeT+SB4aeT3YXJ9XHj5LXRY +kHT4Z9f+3H60XUtrZQcbgy+b1nn6kc9P5ffqlZbiNH3mfH7vVRQvX/RwEBqv +Tfg68eFgZvUkw+pfP+IfF6p/jcGMm5hLFPnbi2/6rO1ecj4cVFWKjepDSd5h +tZGBGAi3L1IzJH2i1jnpTMEGHIReL6l0J31DMmK/uUA3BoF+ql6IvD/1fmCL +VfoZCWCh+uGqq6HLcbiXe0hWj+Rt4e4MMm5jIP9HH5si92PF8lEHh5OkfwjJ +r8uM60V/y+C8ZjwGhhuk8p6S519sEfz+5Ae5fn0ypm3k+dT7hugv7lfyDLD5 +9w2W/jl69C0csF5e6m9DI/NfqgXvUnK9t+vyZpx6jkGqZ34QIufVDDrbl9qG +gUxa2pU88v/+Pe0idOgqC37L5zs+S2OhJM+3O3g/YiA5La8aSN5v34JVAdu+ +Y3Dspl7DFvJ86n3GSLonpPvjSO2ocd4lJgbKZ71iXpLHFSac/Q/7sEDA8Ugs +5wsT1a58sKSlBoO2t86dVv/yJWPaRnQKgwed0eH25PX/B9BAoKE= + "], {{{}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{ + Polygon[CompressedData[" +1:eJwl1He8jnUYBvBz7L33Po699xZROEhR9t6ZR8qWmVV9srd2aaeMJkVRKBSh +rKIlWjQoDd/7c/74nuu6n/PH876/8SYNSu0yNl1CQkIi9/qTKhvJf+Q98qAc +Jqvwkt5TluQXfS0d9Tyc03fxEK3NmflCf4OnGEdjz/6Vh3iZdSzmLpr433/y +EzaxniWM5+74LExgIpOYzBSmMo3p8fmZwUxmMZs5zOU+5tHUe/6Xn/IKG1jK +TZ5n4YT+Jk8zn2aJaYtzWLzKwyzjZs+yclJ/i40soLlniRzRN/MIt5jz8rX+ +HstpY87GKf1tnuF2c2F+0PeykBvM6fhM30IvvRS/6o/SSc/HN/pIWYP39YGy +PFdifWV9VuhtZXYmctp8p6zGdr2fLMsUfjenyrrM4FnzHbIIk7hgHi1rMZ19 +5iGyElP5O/ZONmAmi8wtZHomcNQ8XFZlq95bluaSPlbW4TH9Vpmfb/VRsia7 +9UGyAlf1lbTTc3BG30F/PZk/9Ofoqhflor6f+2lpzsAxfRt99DJc1h/nNr0A +3+l7WEWKOSdf6u/wPN3MxfhR/4gHuNGckeP6azxBZ3NBvtc/YDXtzbn4Sn+X +F+huLs5P+sc8SCtzJj7XX6evnsRv+pN00QtxXv+QwXpF/tLX0EHPzVl9hKzO +Tn2ALMef+jhZjxf1HrIEk/nZPEbWTkz7jThgHiorM41rcUdlQ3rFuYj9iH2M +7xLvozE9Yw/j7sRZYhjV40zGGsZZpEF811ir+A6Uo1Hcz7gf8V4qUyfuRtyt +2GtKUY36sfaxd3FGSKYSteNu0jHOOSWpSr04B3HO4s5QlorUolmsW6w1JShO +MYpShMIUoiAFyE8+8pKH3OQiJznITjaykoXMZCIjGUhPEhWoSdM4H3Euid/o +KtSlBZ1i7yhDQ1rHGWAI5WlDV4ZTI/aTkTSJvSSF3glpv/vXAaC2oUg= + "]]}]}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + + Line[{1, 91, 73, 109, 58, 106, 88, 46, 103, 85, 70, 37, 100, + 82, 67, 55, 32, 96, 78, 63, 51, 42, 2, 92, 74, 59, 47, 38, 33, + 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, + 20, 21, 22, 23, 24, 25, 26, 27, 28, 93, 75, 60, 48, 39, 34, + 97, 79, 64, 52, 43, 29, 94, 76, 61, 49, 40, 35, 98, 80, 65, + 53, 44, 30, 95, 77, 62, 50, 41, 101, 83, 68, 56, 36, 99, 81, + 66, 54, 104, 86, 71, 45, 102, 84, 69, 107, 89, 57, 105, 87, + 110, 72, 108, 90}]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwl02VwlAcYhdEEh+Lu7g6luLu7e9EWC7S4uw/u7u7uTnEoVqxIixSKu8vZ +4cfZ+7xfJpOdTZKiWUj1DsFBQUHRvYTYvPaT7WxP21Y2I6t1PZuEp3o6FYO/ +f9+/ej9jKOEOzxW9jUV0JJ9nn+0Z1jCDsXQiv699sX+ylpmM4zd+D7wXutCV +bnSnBz3pRW/60Jd+9GcAAxnEYIZQwM/5as+yjlmMp6TnEbiqt7OYoRQMfDCc +M+uZzQRKeRaRa3oHSxhGIc+COa83MIdK7hjc1geYSGl3JP7WO1lKDXc8Huij +DKewOxQX9Ebq66Q803OprGNyR7exWTmof7ZpeBv4fO1PTNJl7A905br7F5uZ +XbqxTUkPXrlD7I/0ZZm7po1PN/53t7PZ6c0xdwubnp58CPzubG76McJdxIam +CxfdrW0mNukGNhnPdeCPMSfzdBUbi7u6rc3GId3MpuWdnkxZHZkbejdNdCpe +6+XU0gl4qI8zkqLuMPylN9NQJ+eFnk9VHZt7+jBTKOeOwk29hxXUdifkkT7B +KIq5w3JJb2EB1dxx+E//wVTKu6NyS+9lJXXciXisTzKa4u5wXNZbaaRT8FIv +pLqOy319hOY6He/1NCroaPyjf7VZ2Keb2tS80R1tLlbpujYx3Xnibm9z0IdT +7pY2A734GPgftXn4BhroiR8= + "]]}, "Charting`Private`Tag#2"]}}], {}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 0.611360754965257}, {-13.815510557964274`, + 3.912023005428146}}, "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {0, -13.815510557964243`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), + "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, {Log, Exp}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJw9mHk8VP/3xxmFskuJikS2VLLkU+FMUZIIWUpJSYsWUUqSLCFbSkmyhSyV +SmWN9FYUIfuSbdw7g2GuLIko8rvfx+N35695vB4z877n/X6f8zrnPhWcL1if +oPHw8Pjy8vD873PPicHGsiFbQ8dWG0HCdRgWGMm698rogJq+UO8PVQK0H8cl +5soYQ6SVzjPxNA70rVQK8FK2AMugXH36Vg6YfWt/myRjA2dnDOwCmUOgFLkq +Y17+IPQpSVYsejAEs3uOPzymfARExvmbuy2GwN7ld+k9GRfYGLT67njjIFQY +yRaWJ56EBe4TGfBoEDQVDV7/kncF7+BFmpHHB0GQGZhmp+wGfqAS7DXPhp6N +t4xuy3iCSslgmbw5G2IeLy9be+gyRI8e/LZIiQ17xJ7rf0i8AvYf+dRlZweg +YKRWd1TeGyYYpZKWOQMQ9VJS1Ur5BuwuraAvXT0AKUv3hYTLBEH7kPjmK6v7 +wefbwwq74iBANXvkPtP6wS4YoykeCoaDmqIv/fv7QHjSw68kMQTGY0IWrnvR +B1dbYq4Oy4eB5O/nNZ0GfWB1v/OMhXIUyBu0WnVcYEGAYK5KmEwMCOw+sOrE +PA7qJtGhb91jQM2aFrF0EIem4AtDXZUx4J09OjfRgIMSn0b2hisPwO+6hs/m +Jzh8mUvf0NIUC4kBUaN5pjgIT8Tqykc+Aj7DItH6JAzieq4Z5f9LhouSqxf/ +V8KAAwdTc8bG00FT2cQx07gTRrtsFqfJZgA7sdDXe20nhBwRPLHfKAO+LI2/ +f4q/E3KPX5AtiMmAs1Ibzt6v6gBRN4NgH71MsM5zeKpg0QGfAzsc+H2zQGqX +4p0ux++g9UKCf6Xgc4hWvKYtE9UGQvMBh03kcmDq7CsTZZVmWGs1MlgekQcM +uZuuCKrBq24LxP16B+cFkk3zxUpBOk+CwcuLIPvs+9Tn/GlQwDSqrdf/BOq7 +z79rOZ2JPp1JWnFwuAL+BhsVS7eWokllA7GX5yuhLFSj/LxyJaLNZIuvWFsN +CneuLx7JrEeb548W7H9VC61tNrL1Sc2oNkin7ot6PdhOuuQKbmhH8neJmWcj +DaCyS6OijdmJiN+9jFbNJog9/dp5izYDpXNKqkWjmkHp10mR5EYMia7KGu3r +a4GiUz9VHc8zUaRr/skUpTawnx6TKepkoSl6dt9pv3YIihH3vGrVjzy1KvKW +lX8Hutxfb7dHA6j3VUVEowJ5rqKOk5eYbPT3TEuC2rUumOz9um2nwBBat13g +38mSbkh+UparOjOEXj/PVvle1g1hP7/e/j07hHSkLK1Mv3SDzIGCr+U8HKTP +jktf19gNwbXbnGwEOcjitrrZ2EA3YH5XqmylOehih3mct2QPNN/f86Vdh4Pe +ecRoRbr2QMS0levX8xxk2PXf4Tm3HhC+lHeG7sFBn4x7gt08e+BplWdonicH +VUsrd1j69QDPmjCxGB8O6i4t9Fv6oAdOKcUKaoZxEM/irtrksh4YmTT163jC +QSZpCqfeSDPgpqBtENbGQTpxospfVjLg7bg2+2MHB62O+tvXqcCAIKuB6ynd +HPTHu9V5gQYDpEUv6dkyOeilVdgRezoDEgo0sxJ/cNAS3nHbudMMeCa90i6V +j0C9TmXGpsUMUBr+dch9PYFqbV/yHUEMsPQftp7YSKAis/hPFysYMO9otu6S +FoHu6XnSE+sYsFBH+LKrHoF2iqnqjzIZEGNnskpzO4GyP9zRfiDUC3sq0/QU +bQjktcppDX64F573mB6M8CKQs6WmXfexXlhbdGJzvDeBLG7yhref7IX301fy +M3wIpDz0ZPybey/cE8iIfOtHoJa8wbLioF4YXmFo/OQWgTT3XnSKedELl157 +jvbEEmiln9H9O296YRmP1NH8OAIJvJWqDC/ohX1PCf2weAIxpAs3BJT1goeW +xHrlZAJFsv7OnW/phSnxkECdDAINXgtONJnthfKwR1WP3xKIY7fudCoPBpLL +6bv08wh0dGwJTZ4PA4ZE5K+WfAKZKfbrygpisIlm0fyniEBrwm4liUti8P5i +lNpyRKAGm9pzc2sxqIv1SntQTaBdI3n8PqoYHM3P3TFRQ6D3t5JSptVJfdeo +ce83AmUVu7VMbMTg0a6KrIl6At1YLaHP2YLB6jrrUrFWAmkM2wq1m2PQPM+6 +5csg78NmLwq3JONZuSUnp5dARqU7Lhnux8D5YnNeN0Ygh6iNXRkHMCgRTdqu +wiJQmOaibM/jGIisknjlyyaQ1KP5I6onMeht9pQKGSRQCu+UZPdpDNIrrNaG +DZHrNzGvGblhoGF5Z50fQaABz/d7JL0xqBlNW6w+SqCLPW//ffbBwKmyeKHA +GIHmdj57630Dg8obCzwZpJaSjpXFb2JwXeTC7es/yfX9IutiQjAQ7VikbjRB +7mcwMHB3GAbVUtUrF/wi4393gZMThUGDIg/bc5JAdQonk49HY7D494UcpSly +P+GHraVjMNBrnc6pI/XFQ6bFNx5hEBp9b6vENIH+lYObViIGRhul85+ROkxj +85qBZAxM5hJ268+Qz59bE26ejoGvz7f4fX/I55+UMeTNwuC75DHTBlIX1on9 +zHuGwfT6T2N7/hJohx5/5ukXGDzxKQhFpK57PHtwZQ553uwxwQ2zZDyCEyIN +bzCY9ZRwjSX1gPvQx5t5GPzqTU+ZJvXc9jY14h0Z/7KpS8/nCBT6vLYn+T0G +utvO/pshtdSS8mhrhIGx30cDo39kfD7vdvJ/wkBqRkEthNQafTkz7yowIBam +Fn4iddHeTNKOMVD4a4BNk9ooP/GYQjUGrgKsR6rzZHyr7i9trcWg/Z1LlxWp +HULCvobWY2DPCkjwJPXAiJ+vfhMGqaqshruk9rC/smmsBQNeTPZiJqln0bn+ +J+0YyKanX84ndajq8Uf2nRjsXdLfWEpqqeiD5kI9GIQEqvkiUj+e2ceLejEI +z8s6X0xqdedd+ReZGKic8330itQF1fquyv0YPDd+PZVA6h3a2qs62Rh83bze +J5DU3xLUGm9zMHCwr1rpTOoDC1YHb/+BwfEb+o1bSd13btmWyVEMAofUo4RJ +7d4q/OPpT/L8+mVN28n9zxrwpR6eJPfj7v07ntShmTM24tMY3O+Ki7In9RKx +McGKPxjcPPuaT4TUTaXht0znMDgy0v2njDz/e+fW8tfPk/Hnnn7mRmrrFWVB +NjQcap9Y8C8jtWS1A1/nAhyWSHgKFpL32XR1MsBJAAczq6eZVqS+p3KXp38R +DqkB6e39ZH5IBn+eGxXFwdXsdO0fMp+atI9evyyBQ+BuTV8fUt9j/vnzZwkO +NJey+EkyHyXomtMLZXBI8t882Urma8NI9ZWIFTjczTsip0/qu0knJsXlcPhb +1RSZ8JtA4n/jf65QxGGTtoTELjL/G57peqSuxaEbt5GMJuvl7oGGUWVVHAxP +tm1sJetJrGDhj03rcfho5vJgN1lvdS4pZws34iDyZmmVF1mPUUu2cfS1cLBY +bzH8eJxAoh7ubBM9HPTPn+vpJOu7Tl7o5Lct5PPClsX8GCF/X5fRZ62PA+tx +vdjMDwKJaHThjttxUD6eq/qH9Adh9s7uS2bk+RW7PXpC+kvtA8xhxhyHPTIR +Wj4DpN8a+3TcsMShrmmpllk/gYTSXreF2eKQvu6DVguTQIsdVzQ+dsIhVO1L +W2gPgaqFCvatdcbhgl0WfU03gcKLLeueu+BwH598lttJoEXLQ2ryXXHAQp8Y +f2gnkGDz2OeaSzh4TGsv82gkUFVAhLHVFRxWD1yZriL9NFRTubztKg5G4jmu +y+vI/hB1qAz3xWGjVE5pEunP/KZfin/fwmF0evFNejmBFqCEHMVEHAaH8tO+ +k/2gU994d28yDst1T/138TWBcoqHsfhUHCI7/r3heUXWW4GBpGQWDjfXO07+ +fUqgNy97PXne4nDGL07dlew3IetCRd7n4SC41HpfVgKBDj/TzPQqxGFVeHhc +B9mvBDMC2kfe4/D9lJWW7H0CHUlU3NpTiUO7X+gTQbLf6cjWNsVV4/Bf5aRo +/01y/3GeZ22+4fDZ9ktVnj+B8u5/TqxpwqFZbjxv/TXyfCNOzb/rwaFw83ud +wHOkf3hnV8RO4JCzoeFyvAV5n7/3O1pP4eAb13EiaQ+Bjl+enRSZIfP59o+0 +6F3/ywdzleB/OBwUBg9zQwKdOD0admkREwaJNXqi5Hwgaa+9z1KeCUvihf7e +FSD7Z0sXW2gNEzaLXVaOpRGo1DrIv1KJCYS25ILwOQ46bdH6xlCdCcpN80sM +Jjjow04vqfW6TAhE1QtrejjorE5JxyIzJlx7pdNrl8NBZQ2tL4rMmdBfXnPM +7BkHLT0/5nfKkglJit0d68h5CGWsVf5sy4SSL/dzcmLJeUb67kX/o6Ru+FBd +cp2DSmZchH5fZsJDEYWCLGMOEo/1Y2RcZcJzv2Ubtxlw0Amt+Dc2Pkzovr6Z +r0CXg8TO1tu/9WfCBG3E/qgyBx3v3pJ+PoIJFSMinr78HCSERA36UpjgJFHg +4PdxCB09pCZ+/wkTRLdqvThYNITyfxuxtmcyYZlcTKJUzhBy0vQOe5zNBONd +GwzVE4dQbiqr1aGACSlez/AKzyF0KKjIrbGGCcJZe0PWyZPz6Orm7f51THhT +72NSuWQILSz9IbWxkQmnvt4R3yI4hHIm15REtpH/f5iyPWFsEPGdui2wG2dC +q3+3AB0NomxT55QPU0xg+rxIlN0/iHQ0FAxhhgnr9b4zYncOog+iWBf6S66n +IJ3M0BtEDc2O0h95WGDsVWxTs2IQ/XI8GFW+mAV5PYFJ4xgb+dKXaxiLsKC5 +TXl5WiMb8Su2f60QY0GFZi0h8YmNZAZtFn6RYsG7xIVyqmlsZHjR8nqVHPl9 +vNOzX0fYqNJGTNZUgQUl+y9cOGnORpZ6dYVfFVlwZrboU/A2NnKeNZuoVmXB +xhuR2R+XsdGtEJMz37RYUBxbmN5SNYDEXfkFLXRZkHL0V/fBvAEUb/Y5o06P +jC9z6rH74wH0QtwIr9dngbvdtkHwHEAN8YYHmnaxoPHhqqO10gPooO/cpLUp +C/xLvgTsmu9HTKf395vNWPDBStlIYaAf/VLaWt9iyYIH5qKSrW/6ka/AzDnb +/eT6h9WU42P7ET+ncHGbLQvMhIMyH17rRzI5urvaHVig5DRsJ0DvR2nRv1j2 +jixQ3xDtckihH2l45gZ8d2JBk02MRDZvPzLcsqm0w4UFJx92PRn60IcqV4w5 +OJwi9yuisD4roQ9Z/ns13enKgiPhY5azV/qQc7mGTrcbC3Ia23U7VPsQkUE0 +HvZgwb3xNd4L51nIM/T5hZ5LLJhzn3AUbWahf2dcRY5cYcEfhQLH5+ksdMtc +NZtxlQUW95KKfC+xkLgme7eTDwu2WmWcXQIsFC+ZOdDry4IXq8w2N/GzkOKk +S9BRfxYIOR6L53xlohftimvwQBZ8FzZx1bvFRLrFTHQsmAU1qywlaQZM9CEx +1ZF5iwUKmVZPbw7iyMTv6F/ncBaMZvhARhCOGo7JP2JFsiDrW+W75oU4OmjM +2OxyhwVxX70u5xtiqGOXlJvxXRbsPGN7qm1RL1f75E0sVbzH4P7++Bd5+m3J +Hu56V03OmS507uI+L8tuhE9mRyc3nv/6O9ctPdDBjVerry2oOfI7dz+r8YnN +ym3t3P3Td8/98rjXxj0fPv+3AbnzrdzzSzjDJ5Hh2co97+ibKi+XebRw7yMo +5Z325FQz975wbUbWyoBm7n0/kRNxNq9t5OaDUt2J8/60Rm6+PGQ85fmX0sDN +J94a25sh2xu4+Xhbcoi1IPsbN1+THTSSg61qufk8/XQHu/5pDTffJb53CibS +arj18079ca223BdufR2IZHeWt5Vz6w/2gcdoySdufaqq7V0frfmJW78Rd3t4 +AzI/cv1gX/ndA108JVy/mLpzoqhEuJDrJzSjgQ/Zq/K5fpPJtnlVoprH9aOB +dzz6V3VzuX7nH0FssjkSxfXD3a7S7l5iEUD55bE7++wyLiYA5adsB75QKZVU +oPz2l1h/eltnGlB+LCF1w/tOZDpQfi/y0eO6i20hUP3AH2laHr1dClS/mNU5 +wdNvVgZUP7njn8pzTvgjUP3GQgHbtuHcR6D6UZtnQVxCzUeg+p3VlbKy5bmV +QPXD5MOji0PDq4HqlzJy7Yy60Rqg+qn+1nEmO6UWqH47aqfPXDJTC1Q/Fui4 +tI/H6htQ/T59PDuj074RqPkh7ueJ4d/pTUDNF1+LA02XmDYDNX+sqUpKCotv +Bmo+6bNWfihf3gzU/FLSb8SXRDQDNQ9nym+STn7ZDdT75HDdnQ3St5hAvZ+X +CkXD/OIBoHjD08taMfcPDQHFT+xSxYpPPh0Giq+YWT4aYAeMAsVfHjq+0FTY +NA4Un0kdrPYbvPcTKH4jNVY/FFM1ARTfWRmnnn14wSRQ/Of2YXG+3bJTQPEh +ng+jO0L0fgPFjzp+isl9Mp8Gii/FB5p9P2M8AxR/Mskf2iph9AcoPrU6embF +27V/geJX957JSbxYOAsU3+oe0R06PjILFP9y+TzSvAXNcfnY1FlBleFH/7j8 +TG1YP/SI5zyXr71WWPy+cBUPneJvOT4fFYRCeegUn4uydFm0nuChU3yw65PA +nfn9vHSKHx5xaq+9dYeXTvHFr0SIMPGKl07xR2OE/tNGvHSKT45bR0wFV/LS +KX4ZYnLa830NL53im7IJLZpd33jpFP/c5LrCfrael07x0RJ9F5HCMV46xU/N +i4RvGtFodIqvOlyW9PIQotEp/rrV1ylVRZxGp/hsw1H3AxGSNDrFb3tqHm+Y +lKLRKb5bGpEaskeNRqf4Ly87Z8pOh0an+DB/mUeE2lYaneLHkut9stUMaHSK +L7+xMuzZSqfRKf48n+X0n6U5jU7x6XO6kqI3bGh0il97OeUNmh2g0Sm+3Z6Q +VrXrMI1O8W/fJo3tHBcaneLjck+Vrr92pdEpfl4/oSHe70ajU3zd2fLN5nxP +Gp3i718VeFW8fWh0is/ztJgUSQWR+/l/fq9wrPlh2UMa/f8Ak8egnw== + "]]}}]}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVzXk81PkfwPGZr/aXIsektRSzk7uVJbRl8f4Wj5CfHLlvoo0tpQirg3Lm +ll/kHuvanaTcmfi4NsU4xy3HfL/O5eHItTbx2/3j9Xj++WK437TwxCgUyo1/ ++teLnnM9DfNWugw3bmpDKobv05O8NSGhAZQ+gxqxMAxXz0nLLJfQhw8MqkJQ +MIZPHZMNDZC/BO5mr09X+mG4ccdgWZaEJXStKYtM+2C4bKxUwR7dDqSLZe+9 +8sLwnYtXUt3kneF+r/K5Pz0w3MZjqy5ZwgMGM/LeX3DE8BY9yermzKsQ4FIx +Z2yL4aoyOq/W6V5wXZMm9MASw/mJR3nW8j6wV+RyxswEw8e+j9SLk/CD1+a6 +Y1o4hqfkfNMg5+APtJPBLCUdDL8o/Lt2feZd+E+Db4ySFoZXLXE0l+lBQJ0t +3bTWwPD4EpqiufwDqIthRlxUwvDcI6YRTyTCYKw9R2VDDMODO1JbrGvDoNv1 +lm0MDcOtwycxGYdw0LrvwlQQwXDBDd+H7MwIsPenBfgKYHhgX0rgIj0aTGoE +H+thGG7+dMT7knw8sLU9DlWvUPFQ/nKFaIkUUPM6arPTRcVPGCRFld1KAcmM +PtXRDireG35zfrQ1BSIMrvm9bafisnzKLJW7/4NVi5jN8FYq/u5Lvkpf7zPQ +R+iMOqLigmvPNOmxz+HDQoTgwksqnjb2i17lbjY4uwxyIhOouK0ds3RlNR9G +m/Yn7F2m4gJ7oY4G0qUQb+Zx4OQCBZczX5prjqmA0uBGhkAUBQ/oPAtp62/g +FePg22opCi5eITpOpSJQWtSOcvbbgypCj9Ol3QSbP/MrLD7fhSbvrKN2iy3g +8ccS9yz6AhvyOsIlN1rh45Lm/JWlHcC2WSJH5dog+Tdp0Rdf7cDpPdeqyy85 +8G3S9tEyuc/ACdPofHeiCwwq57VE9f4GeuLC9m9L3ZD+yHjIW38bFrYmxvtV +e2H4k7B0k8lfkP8nu00onguU+uXzET9sgZBU0fLUVB/EOYrwGUpuQqxX5dVc +2QE4lnaC5bhvAzZx1tS1h4MgttI1n/J+DfxOtVR83TwEzLm2h3PJn2DiZUtM +D2MEUp1eqDLUVuGzd1+G0i+jYGz2fGY2dBm+O7d/9yr7I1gzhWuvFi+CQR7j +p9fi41DsfyrlqcM8BEi5HOc5TkCdQBLsHZwB5UUrgUGTSVjsTFARjyTgsPAK +f8vfk1BIVxPPLvkI+1BGqUwmD9jTenxZC1wY0dY3nMjmwZSFfCq9mQultYuT +6UweHH+flRWdzgX7Kh0arYgHH2ofGR024sLrkgk/ShkP0j55Lm7l94JzpozW +WCsP8ldZBSM2PVATxGp5tsaD/cN3TCnmHRC/ddnJYpMHy9baxOFtDlzx39k4 +tM0Dba1VYjaXA0K+JgrhuzyQkB4c71xuB89ry9F3DhCQ7bh8MOpJG9Bs1E3N +6ASY321o+Ka8FX7WYA8fMCZgwK8qLaO9ERq6+1/UmBBwiTH5o8r1RjhyY+Xh +T2YEJIQwKdcFGwEVyMn/YUXAjoYnZdq4AQ6LJ94OcSUgBKmaucbVAXvbQ2DL +n4BDjb73PKyqQQAJ6UzlEiAq9iAoITYfXB2URJ7+SsC68HT+wEgeVG7pkecK +CZi154sSU2CCi2pQdA6LALcEU+uC2xlQziT77asIMPQSvxUgHAMOYTU+Pe3/ +/GIW1Cyd4xHLyD23fpOAmTcU7UDNcqShzNCFbQIKZy1fshUrUL3Q5Cj6TACm +N1PPkqpE3Vwn8UYKCZsJnjVswWq07mQX33yQBNPmRNtRChvp3ja7916ahJjE +MWpoYSNqtRSWNGKQoKj035NJqk3I7IfO6g8yJIAp+C6zm5D7jvFamyIJtrGz +I80DzSgywsC74xQJb07kcNSl36HudF3b3gskiA6N8Gdi7cju/pcNCyMS/io+ +P9tV3I4Il7dPucYkZNsrZ4ebc9C6rFZXnxkJcbR5ch+rA0mUal4YtCeB2m71 +OOJcN8pLWidtnEhIHS+m7OZ2I2W/8tAhFxJkOz1vhGA9SPesWt2wBwm/Sh9y +N+H0IPdmZY2PPiTw1MeLjoVy0ULBQo+jLwlhuW/UNza5yC/q95tjd0hIeqxQ +8rVvH4o0UWSNB5KQ4c0nWuDXj0RUZw1dgkngCykLLd/rR+m0wpmJ+yTghl/W +fZMH0ItBmeO8RyR8y1s7LT8wiDRrCeQWTsKpqYEwbuwQqs9kOhGRJJyZHvnu +iO0wMnjo+tn9CQlF1kt8EudHULcb/TkZS0KgwXWjr9xHkZ3++GmPBBKuvKPj +cbQxNHxBzEc/kYTgirUjMsnj6P9vS1y7 + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVlnk8VV0Xx6UMJbMSJYkkKR7jU2HpIZIIGaJEEklJKEkSISQNJESZhUpl +jLQVIWQeMt17zj3GewolRci737/O5/s556z9W2uvScb5vOUpTg4ODqFlHBz/ +fx44NdZWNW6t69BlxUu7f4UV+pJeTAl12KbNx/ymQIPak4TkQgkDiLZQzxVK +Z8PQBrlgP3kzMA8t1NbbzQaTzz2vUySswGNOxyaENQ5y0VJZS9J2MCQnUrPy +wTgsHDj58IT8ceD/zt0xYDYOti6/K+9LuIBy6Ka739vGoEZfsrQ62RVWeE1n +QeIYqMjqvPwp7Q7+YStVok+OAS8rJN1G3hOCYGuY39IoDCrf1L8t4QtbK8aq +pE1HIe7JuqotRy/CvUm7zyvlRuGAYJ72u+RLYPt+uaLkwgiUTDRpTEr7wzSj +UsS8YARinosoWMhfg/2VNXprNo1A6ppD4VESodAzLqR5adMwBHx+WGNTHgqo +8cDGj5zDYBNGcMoeDQM7FYHn14eHYPXMhaCK5HD4HhfOtf3ZEFzujLv8VToS +RH7nNfbpDIFFbN8ZM/kYkNbpsug9T0Ewb+HWSIk44Nl/ROrUEgmKRvciXnvF +wTZLzltrxkhoDzs/3l8XB/75k4vTrSTILVfK33npAQRdVQrQzCChdjFzZ2d7 +PCQHx0wWGZOwejpeQzo6EZbrlgm0pBCQMHhFv/jvY/AW2bTq3woGHLFLK5j6 +ngkq8kYO2QZ9MNlvtSpdMgtGk0sD/bf0Qfhx3lOH9bOgdk1SrBt3HxSePC9Z +EpcFHmI7PWLre0HAUycsQCsbLIvsn8qY9cLHkF577sAcEDOUvdPv8AVUnwlz +b+DNg3uyV9QkYrqBbyn4mNHGAvjl8cJIfmsHbLGYGKu+VQSMjTfcETSAX/Mu +SPj5Bs7xPDYuFqwE8SJhxrJlCPI93qblcadDCUu/qUX7AyjuP/em83Q2+nAm +Zb3d1xqYD9MvF++qRDPyOoLPz9VBVYRS9Tn5OsQ5ly+0fksDyNy5umoiuwVp +LjmVHH7RBF3dVpItKR2oKVS9uVaxBaxnXAp5d/Yg6bv0XO5EK2w1VKrpZvUh ++jeT0aXSDvGnXzrvUmOgTHZFg0BMB8j9dOV/3EYgAamcyaGhTihz+6HgcI6F +ot2LXVPlusF2dkqirI9Cv/Tyh04H9UBonJDvZYth5KtaU7S2+gvobZz390wc +QcwXNbfaZHBcBRxmfFijaP5M56NtV/phhvlpzz6ecbR9L89f14oBeJxRVagw +N45e5uVv/VI1AJE/Pt3+vTCO1MXMLYxrB0DiSMmnag420h5NyNzeNgBhTXsc +rXjZyOy2osnUyAAQQZfqrcXZyLvXNMFfZBA6Yg/U9qiz0ZsLcarR7oNwa9bC +/dM5NtLt//fYoucgrPYpOqN3gY0+GAyGefoOwtN634giXzZqEJfvNQ8aBI7N +kYJxAWw0UFkatObBILjJxfOqRLIRx6r+psdVgzAxYxzUm8FGRukybq/EGXCD +1zqU6GYj9QQB+doNDHj9XW30fS8bbYqZH+qTYUCoxcjV1AE2+uPf5bxCiQHi +Aj5a1iw2em4RedxWjwGPSlRykr+xkeiy79aLpxmQK77BJm05jZiOVQbG5QyQ ++/rzqNcOGjVZP19+HDHA/PpXy2llGpWZJH3wrmHAkoPJdh9VGt3X8tVLbmYA +l/rqi+5aNNonqKA9yWJAnI2RlMpeGuW/u6P2gI8JB+rStWStaOQn5biZPMaE +vEFju1t+NHI2V7EZOMGELWWnNJP8aWR2Y1lUjysT3s5eKs4KoJH8eMb3z15M +uM+TFf06iEadRWNV5aFM+Lpe1yDjJo1UDno7xj1jgs9L38nBeBptCNKPvfOK +CWs5xJyKE2jE81qsLqqECYee0tqRSTRiiJfuDK5iwgVV4R3yj2kUTc0vnutk +wi+h8BD1LBqNXQlLNlpgQnVkYv2T1zRi22w/ncZBgMg6PUPtIho5TYlySi8n +gCEc/bOzmEYmssMakrwE/MNp1vGnjEabI2+mCIkQ8NY7Zts6RKNWq6azi1sI +aI73S3/QQCPDiSLuAAUCnIoL/5tupNHbmymps4qY7+q3HfxMo5xyz85pZQIS +DWtypltodG2TsDZ7FwGbmi0rBbtopPTVmq/HlICOJepmIAPfh9VBFGWO9WzY +VVDApJF+5X8+uocJcPbuKBogaGQfo9yfdYSACoGUvVspGkWqrMz3PUkAv5Tw +i8BRGoklLh1XcCWA2eErFj5Go9Rlv0QGThOQWWOxJXIc229nXdH3JEDJ/M72 +IJpGI75vD4j4E9A4mb5KcZJG3oOv/34MIMCxrpyLZ4pGi/tyX/tfI6Du2gpf +BmYx8XhJ8gYBV/nP3776A9sPim6OCydAoHelov409mcsJGR/JAENYg0bVvzE ++t+cZxfEENAqyzHqO0OjZhnXxyfvEbDq9/kCuV/Yn6hjluJxBGh1zRY0Y/Y+ +alx+LZGAiHv3dwvP0uhvNXiqJhOgryxenIs5Uklz88hjAowWH+3XnsPnL26O +Ms0kIDDgc9KhP/h8VwndZTkEfBE5YdyKubRZ8EdRLgGzOz5MHZin0X9a3Nmn +nxGQEVASgTA3P1mw21CA4z06xbtzAevhneZvfUXAgq+wezzmEa/x9zeKCPjJ +zEydxby4t3sb/QbrX/vLJ2+RRhF5TYOP3xKgscfj7xxmMdHqe5aIAIOg9zr6 +f7G+gDf7uD8QIDYnsy0cs9JQwdybGgJorrTSD5jLDmbjdkyAzLwOMYtZvzj5 +hEwDAe48VKLCEtYnFbumq4mAnjcu/RaY7cMjP0W0EGBLBT/yxTwyERSo3U5A +mgLVehfzBdtL/0x1ErCMkPTOxryAzg5n9BAgmZl5sRhzhMLJRNs+Ag6KDrdV +Yha7Z2fKN0hAeMi2QIT5ydyhZYhJQFRRzrlyzIrOhsXeLAK2ng1MfIG5pEHb +XX6YgDyDl78eYf5PTU2qb5SAT5o7AkIwf360re02mwB72/oNzpiPrNgUtvcb +ASevabftxjx0du2umUkCQsYVY1Zj9upa/e3pDxy/YUnjHuz/gs7ytGMz2B8v +/99JmCOy56yEZgmI7U+IscUsKjjFW/OHgBseL5fzY26vjLppvEjA8YmBP1U4 +/vfPbuFuWcL6C0/nemK2XF8VasVJQlOGGfdazCIN9sv7VpAgKuzLW4rvs/3y +TLAjDwkmFk+zLTDf33qXY3glCWnBmT3DOD9Ewj4uTgqQ4G5yuukPzqd2Naer +F4VJCNmvEhiA+T7rz58/oiRwulQlzeB8FNZTmeWSICHluuZMF87X1omGS7fW +k3C36PhGbcx3U07NCG0kYb6+PfrRbxoJzSf9WC9Lwj9qwsKGOP9bczUupG0h +YYC0ErmH6+XukdZJeQUSdF27lbtwPQmWcH37ZwcJ701cHuzH9dbskupRqkwC +/6s19X64HmNE97C1VUkw22H29cl3Gglc8Bo10iJB+9zZwT5c383SfK6fd+Hz +ItfGfZvA3zdnDVlqk0A9aRGc+0YjfqV+0mEvCfInCxX+4P6wenTfgI8Jjl+5 +Z2IG7i9NDwj7OVMSDkjcUg0Ywf3WIKD3mjkJze1rVE2GacSX/rI70pqEzO3v +VDtZNFrlsL7tiSMJEdtquyMGadTAV3JoizMJ521y9DYP0Ciq3Lw5z4WEWHIm +t7CPRivXhTcWu5NARGQYvOuhEW/H1MdGHxIuzKqtvdBGo/rgWwYWl0jYNHJp +th730wgV+eruyyToCxW4r2vG8yHmaBUZSIKyWEFlCu7P3Ma15b9vkjA5u+qG +XjWNVqBHBbLJJIyNF6d/wfOgT9tgP/MxCes03P71fkmjgvKvRFIaCdG9f19x +vMD1VqIjIpJDwo0dDjPzT2n06jnTl+M1CWeCEhTd8bwJ3x7B/7aIBN41lody +HtHoWK5Ktl8pCVJRUQm9eF7xZgX3TLwl4YubhapkLI2OJ8vuHqwjoScoIoMX +zzt1yab2hAYS/q2bERi+gf1P8PWw+kzCR+va+qLrNCqK/Zjc2E5Cx8bvRTuu +4Pjeclt6M0hCqeZb9ZCzuH/459fET5NQsLP1YpIZvs/fhx0sf5EQmNB7KuUA +jU5eXJjhn8P5fPtb+j3D/+eD6dawvyTYrYYLpro0OnV6MtJnJQvG6M1aAng/ +ELFVO2QuzQLRJL75uzx4fnb2j/JtZoGm4EX5eE4aVVqGXq+TYwGtJrIiapGN +Tpt1vdJVZIF8+5KozjQbvdvnJ7ZDgwUhqIGrcZCNPNQreleasODKC3WmTQEb +VbV2PSszZcFwdeMJk1w2WnNuKsjNnAUpsgO92/E+hLK2yH+0ZkFFbWxBQTze +Z8Tvel93wtz6rqHiKhtVzLnw/b7Igof8MiU5BmwkFB/EyLrMgrygtcp7dNjo +lGrSK6sAFgxc1VxeosFGgh4ttq+vs2Cac8LWSZ6NTg7syjx3iwU1E/y+gdxs +xIcEdIZSWeAoXGIf9H4cOR3dJhSbwQKB3arP7MrGUfFvfWpvNgvWboxLFisY +R44q/pFP8llgYLhTVzF5HBWmUV32JSxI9csla3zH0dHQMs+2RhaszjkYvl0a +76ObOvZeb2bBq5YAozrRccRV+U1MuY0Fbp/uCO3iHUcFM5srorvx/w9T9z6a +GkPL3W7z7CdZ0HV9gEcPjaF8Y+fUd79YwAp4lix5eAypK8nowhwLdmh9YcTv +G0PvBIh+NI/tyYg/ZmiNodYOB/H3HBQY+JVbNa4fQz8d7GKqV1FQNBiS8p0Y +RYF665QM+Cno6JZfl942irhlez7VCFJQo9JEC38YRRJjVly1YhS8SebaqJA+ +inS9za/Wb8Tvkxxzfx4fRXVWgpLGMhRUHD5/3tV0FJlrNZd+kqXgzELZh7A9 +o8h5wWS6QYEC5WvR+e/XjqKb4UZnPqtSUB5fmtlZP4KE3Ll5zTQoSHX6OWBX +NIKSTD5mNWthfdm/nng9GUHPhPTJFm0KvGz2jIHvCGpN0j3SbkhB20Mppybx +EWQXuDhjaUzB9YraYMOlYcRyfBvbYULBOwt5fZmRYfRTbndLpzkFD0wFRLpe +DaNAnrmz1oex/WPb5JPihxE3u3RVtzUFJqtDsx9eGUYSBRqGPfYUyDl+teHR +G0bp935Stg4UKO6853JUZhgp+RYGf3GkoN0qTjh/2TDS3fVPZa8LBa4P+zPG +3w2huvVT9vZu2F9+mR05j4aQ+d8Xs33uFByPmjJfuDSEnKuV1Ac8KSho69Ho +VRhCdBbdduwCBfe/b/bnWqKQb0Te+UEfCha9ph0EOij094w7//FLFPyRKXHI +y6TQTVOFfMZlCszup5QF+lBISGV0v2MABbstsjxEgUJJItkjzEAKnkmZaLZz +U0h2xiXU6ToFfA4nktifWOhZj+xmMoSCL6uN3LVuspBGOQudCKOgUcpchFOH +hd4lpzmwblIgk23x9MYYiYyCnOadoyiYzAqArFAStZ6QTqSiKcj5XPemg4tE +dgYMTZc7FCR88rtYrEugXkMxT4O7FOw7Y+3WvZKJ/geBaEWS + "]]}, "Charting`Private`Tag#2"]}}, {}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>]]& )[<| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 0.611360754965257}, {-13.815510557964274`, + 3.912023005428146}}, "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {0, -13.815510557964243`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), + "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, {Log, Exp}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJw9mHk8VP/3xxmFskuJikS2VLLkU+FMUZIIWUpJSYsWUUqSLCFbSkmyhSyV +SmWN9FYUIfuSbdw7g2GuLIko8rvfx+N35695vB4z877n/X6f8zrnPhWcL1if +oPHw8Pjy8vD873PPicHGsiFbQ8dWG0HCdRgWGMm698rogJq+UO8PVQK0H8cl +5soYQ6SVzjPxNA70rVQK8FK2AMugXH36Vg6YfWt/myRjA2dnDOwCmUOgFLkq +Y17+IPQpSVYsejAEs3uOPzymfARExvmbuy2GwN7ld+k9GRfYGLT67njjIFQY +yRaWJ56EBe4TGfBoEDQVDV7/kncF7+BFmpHHB0GQGZhmp+wGfqAS7DXPhp6N +t4xuy3iCSslgmbw5G2IeLy9be+gyRI8e/LZIiQ17xJ7rf0i8AvYf+dRlZweg +YKRWd1TeGyYYpZKWOQMQ9VJS1Ur5BuwuraAvXT0AKUv3hYTLBEH7kPjmK6v7 +wefbwwq74iBANXvkPtP6wS4YoykeCoaDmqIv/fv7QHjSw68kMQTGY0IWrnvR +B1dbYq4Oy4eB5O/nNZ0GfWB1v/OMhXIUyBu0WnVcYEGAYK5KmEwMCOw+sOrE +PA7qJtGhb91jQM2aFrF0EIem4AtDXZUx4J09OjfRgIMSn0b2hisPwO+6hs/m +Jzh8mUvf0NIUC4kBUaN5pjgIT8Tqykc+Aj7DItH6JAzieq4Z5f9LhouSqxf/ +V8KAAwdTc8bG00FT2cQx07gTRrtsFqfJZgA7sdDXe20nhBwRPLHfKAO+LI2/ +f4q/E3KPX5AtiMmAs1Ibzt6v6gBRN4NgH71MsM5zeKpg0QGfAzsc+H2zQGqX +4p0ux++g9UKCf6Xgc4hWvKYtE9UGQvMBh03kcmDq7CsTZZVmWGs1MlgekQcM +uZuuCKrBq24LxP16B+cFkk3zxUpBOk+CwcuLIPvs+9Tn/GlQwDSqrdf/BOq7 +z79rOZ2JPp1JWnFwuAL+BhsVS7eWokllA7GX5yuhLFSj/LxyJaLNZIuvWFsN +CneuLx7JrEeb548W7H9VC61tNrL1Sc2oNkin7ot6PdhOuuQKbmhH8neJmWcj +DaCyS6OijdmJiN+9jFbNJog9/dp5izYDpXNKqkWjmkHp10mR5EYMia7KGu3r +a4GiUz9VHc8zUaRr/skUpTawnx6TKepkoSl6dt9pv3YIihH3vGrVjzy1KvKW +lX8Hutxfb7dHA6j3VUVEowJ5rqKOk5eYbPT3TEuC2rUumOz9um2nwBBat13g +38mSbkh+UparOjOEXj/PVvle1g1hP7/e/j07hHSkLK1Mv3SDzIGCr+U8HKTP +jktf19gNwbXbnGwEOcjitrrZ2EA3YH5XqmylOehih3mct2QPNN/f86Vdh4Pe +ecRoRbr2QMS0levX8xxk2PXf4Tm3HhC+lHeG7sFBn4x7gt08e+BplWdonicH +VUsrd1j69QDPmjCxGB8O6i4t9Fv6oAdOKcUKaoZxEM/irtrksh4YmTT163jC +QSZpCqfeSDPgpqBtENbGQTpxospfVjLg7bg2+2MHB62O+tvXqcCAIKuB6ynd +HPTHu9V5gQYDpEUv6dkyOeilVdgRezoDEgo0sxJ/cNAS3nHbudMMeCa90i6V +j0C9TmXGpsUMUBr+dch9PYFqbV/yHUEMsPQftp7YSKAis/hPFysYMO9otu6S +FoHu6XnSE+sYsFBH+LKrHoF2iqnqjzIZEGNnskpzO4GyP9zRfiDUC3sq0/QU +bQjktcppDX64F573mB6M8CKQs6WmXfexXlhbdGJzvDeBLG7yhref7IX301fy +M3wIpDz0ZPybey/cE8iIfOtHoJa8wbLioF4YXmFo/OQWgTT3XnSKedELl157 +jvbEEmiln9H9O296YRmP1NH8OAIJvJWqDC/ohX1PCf2weAIxpAs3BJT1goeW +xHrlZAJFsv7OnW/phSnxkECdDAINXgtONJnthfKwR1WP3xKIY7fudCoPBpLL +6bv08wh0dGwJTZ4PA4ZE5K+WfAKZKfbrygpisIlm0fyniEBrwm4liUti8P5i +lNpyRKAGm9pzc2sxqIv1SntQTaBdI3n8PqoYHM3P3TFRQ6D3t5JSptVJfdeo +ce83AmUVu7VMbMTg0a6KrIl6At1YLaHP2YLB6jrrUrFWAmkM2wq1m2PQPM+6 +5csg78NmLwq3JONZuSUnp5dARqU7Lhnux8D5YnNeN0Ygh6iNXRkHMCgRTdqu +wiJQmOaibM/jGIisknjlyyaQ1KP5I6onMeht9pQKGSRQCu+UZPdpDNIrrNaG +DZHrNzGvGblhoGF5Z50fQaABz/d7JL0xqBlNW6w+SqCLPW//ffbBwKmyeKHA +GIHmdj57630Dg8obCzwZpJaSjpXFb2JwXeTC7es/yfX9IutiQjAQ7VikbjRB +7mcwMHB3GAbVUtUrF/wi4393gZMThUGDIg/bc5JAdQonk49HY7D494UcpSly +P+GHraVjMNBrnc6pI/XFQ6bFNx5hEBp9b6vENIH+lYObViIGRhul85+ROkxj +85qBZAxM5hJ268+Qz59bE26ejoGvz7f4fX/I55+UMeTNwuC75DHTBlIX1on9 +zHuGwfT6T2N7/hJohx5/5ukXGDzxKQhFpK57PHtwZQ553uwxwQ2zZDyCEyIN +bzCY9ZRwjSX1gPvQx5t5GPzqTU+ZJvXc9jY14h0Z/7KpS8/nCBT6vLYn+T0G +utvO/pshtdSS8mhrhIGx30cDo39kfD7vdvJ/wkBqRkEthNQafTkz7yowIBam +Fn4iddHeTNKOMVD4a4BNk9ooP/GYQjUGrgKsR6rzZHyr7i9trcWg/Z1LlxWp +HULCvobWY2DPCkjwJPXAiJ+vfhMGqaqshruk9rC/smmsBQNeTPZiJqln0bn+ +J+0YyKanX84ndajq8Uf2nRjsXdLfWEpqqeiD5kI9GIQEqvkiUj+e2ceLejEI +z8s6X0xqdedd+ReZGKic8330itQF1fquyv0YPDd+PZVA6h3a2qs62Rh83bze +J5DU3xLUGm9zMHCwr1rpTOoDC1YHb/+BwfEb+o1bSd13btmWyVEMAofUo4RJ +7d4q/OPpT/L8+mVN28n9zxrwpR6eJPfj7v07ntShmTM24tMY3O+Ki7In9RKx +McGKPxjcPPuaT4TUTaXht0znMDgy0v2njDz/e+fW8tfPk/Hnnn7mRmrrFWVB +NjQcap9Y8C8jtWS1A1/nAhyWSHgKFpL32XR1MsBJAAczq6eZVqS+p3KXp38R +DqkB6e39ZH5IBn+eGxXFwdXsdO0fMp+atI9evyyBQ+BuTV8fUt9j/vnzZwkO +NJey+EkyHyXomtMLZXBI8t882Urma8NI9ZWIFTjczTsip0/qu0knJsXlcPhb +1RSZ8JtA4n/jf65QxGGTtoTELjL/G57peqSuxaEbt5GMJuvl7oGGUWVVHAxP +tm1sJetJrGDhj03rcfho5vJgN1lvdS4pZws34iDyZmmVF1mPUUu2cfS1cLBY +bzH8eJxAoh7ubBM9HPTPn+vpJOu7Tl7o5Lct5PPClsX8GCF/X5fRZ62PA+tx +vdjMDwKJaHThjttxUD6eq/qH9Adh9s7uS2bk+RW7PXpC+kvtA8xhxhyHPTIR +Wj4DpN8a+3TcsMShrmmpllk/gYTSXreF2eKQvu6DVguTQIsdVzQ+dsIhVO1L +W2gPgaqFCvatdcbhgl0WfU03gcKLLeueu+BwH598lttJoEXLQ2ryXXHAQp8Y +f2gnkGDz2OeaSzh4TGsv82gkUFVAhLHVFRxWD1yZriL9NFRTubztKg5G4jmu +y+vI/hB1qAz3xWGjVE5pEunP/KZfin/fwmF0evFNejmBFqCEHMVEHAaH8tO+ +k/2gU994d28yDst1T/138TWBcoqHsfhUHCI7/r3heUXWW4GBpGQWDjfXO07+ +fUqgNy97PXne4nDGL07dlew3IetCRd7n4SC41HpfVgKBDj/TzPQqxGFVeHhc +B9mvBDMC2kfe4/D9lJWW7H0CHUlU3NpTiUO7X+gTQbLf6cjWNsVV4/Bf5aRo +/01y/3GeZ22+4fDZ9ktVnj+B8u5/TqxpwqFZbjxv/TXyfCNOzb/rwaFw83ud +wHOkf3hnV8RO4JCzoeFyvAV5n7/3O1pP4eAb13EiaQ+Bjl+enRSZIfP59o+0 +6F3/ywdzleB/OBwUBg9zQwKdOD0admkREwaJNXqi5Hwgaa+9z1KeCUvihf7e +FSD7Z0sXW2gNEzaLXVaOpRGo1DrIv1KJCYS25ILwOQ46bdH6xlCdCcpN80sM +Jjjow04vqfW6TAhE1QtrejjorE5JxyIzJlx7pdNrl8NBZQ2tL4rMmdBfXnPM +7BkHLT0/5nfKkglJit0d68h5CGWsVf5sy4SSL/dzcmLJeUb67kX/o6Ru+FBd +cp2DSmZchH5fZsJDEYWCLGMOEo/1Y2RcZcJzv2Ubtxlw0Amt+Dc2Pkzovr6Z +r0CXg8TO1tu/9WfCBG3E/qgyBx3v3pJ+PoIJFSMinr78HCSERA36UpjgJFHg +4PdxCB09pCZ+/wkTRLdqvThYNITyfxuxtmcyYZlcTKJUzhBy0vQOe5zNBONd +GwzVE4dQbiqr1aGACSlez/AKzyF0KKjIrbGGCcJZe0PWyZPz6Orm7f51THhT +72NSuWQILSz9IbWxkQmnvt4R3yI4hHIm15REtpH/f5iyPWFsEPGdui2wG2dC +q3+3AB0NomxT55QPU0xg+rxIlN0/iHQ0FAxhhgnr9b4zYncOog+iWBf6S66n +IJ3M0BtEDc2O0h95WGDsVWxTs2IQ/XI8GFW+mAV5PYFJ4xgb+dKXaxiLsKC5 +TXl5WiMb8Su2f60QY0GFZi0h8YmNZAZtFn6RYsG7xIVyqmlsZHjR8nqVHPl9 +vNOzX0fYqNJGTNZUgQUl+y9cOGnORpZ6dYVfFVlwZrboU/A2NnKeNZuoVmXB +xhuR2R+XsdGtEJMz37RYUBxbmN5SNYDEXfkFLXRZkHL0V/fBvAEUb/Y5o06P +jC9z6rH74wH0QtwIr9dngbvdtkHwHEAN8YYHmnaxoPHhqqO10gPooO/cpLUp +C/xLvgTsmu9HTKf395vNWPDBStlIYaAf/VLaWt9iyYIH5qKSrW/6ka/AzDnb +/eT6h9WU42P7ET+ncHGbLQvMhIMyH17rRzI5urvaHVig5DRsJ0DvR2nRv1j2 +jixQ3xDtckihH2l45gZ8d2JBk02MRDZvPzLcsqm0w4UFJx92PRn60IcqV4w5 +OJwi9yuisD4roQ9Z/ns13enKgiPhY5azV/qQc7mGTrcbC3Ia23U7VPsQkUE0 +HvZgwb3xNd4L51nIM/T5hZ5LLJhzn3AUbWahf2dcRY5cYcEfhQLH5+ksdMtc +NZtxlQUW95KKfC+xkLgme7eTDwu2WmWcXQIsFC+ZOdDry4IXq8w2N/GzkOKk +S9BRfxYIOR6L53xlohftimvwQBZ8FzZx1bvFRLrFTHQsmAU1qywlaQZM9CEx +1ZF5iwUKmVZPbw7iyMTv6F/ncBaMZvhARhCOGo7JP2JFsiDrW+W75oU4OmjM +2OxyhwVxX70u5xtiqGOXlJvxXRbsPGN7qm1RL1f75E0sVbzH4P7++Bd5+m3J +Hu56V03OmS507uI+L8tuhE9mRyc3nv/6O9ctPdDBjVerry2oOfI7dz+r8YnN +ym3t3P3Td8/98rjXxj0fPv+3AbnzrdzzSzjDJ5Hh2co97+ibKi+XebRw7yMo +5Z325FQz975wbUbWyoBm7n0/kRNxNq9t5OaDUt2J8/60Rm6+PGQ85fmX0sDN +J94a25sh2xu4+Xhbcoi1IPsbN1+THTSSg61qufk8/XQHu/5pDTffJb53CibS +arj18079ca223BdufR2IZHeWt5Vz6w/2gcdoySdufaqq7V0frfmJW78Rd3t4 +AzI/cv1gX/ndA108JVy/mLpzoqhEuJDrJzSjgQ/Zq/K5fpPJtnlVoprH9aOB +dzz6V3VzuX7nH0FssjkSxfXD3a7S7l5iEUD55bE7++wyLiYA5adsB75QKZVU +oPz2l1h/eltnGlB+LCF1w/tOZDpQfi/y0eO6i20hUP3AH2laHr1dClS/mNU5 +wdNvVgZUP7njn8pzTvgjUP3GQgHbtuHcR6D6UZtnQVxCzUeg+p3VlbKy5bmV +QPXD5MOji0PDq4HqlzJy7Yy60Rqg+qn+1nEmO6UWqH47aqfPXDJTC1Q/Fui4 +tI/H6htQ/T59PDuj074RqPkh7ueJ4d/pTUDNF1+LA02XmDYDNX+sqUpKCotv +Bmo+6bNWfihf3gzU/FLSb8SXRDQDNQ9nym+STn7ZDdT75HDdnQ3St5hAvZ+X +CkXD/OIBoHjD08taMfcPDQHFT+xSxYpPPh0Giq+YWT4aYAeMAsVfHjq+0FTY +NA4Un0kdrPYbvPcTKH4jNVY/FFM1ARTfWRmnnn14wSRQ/Of2YXG+3bJTQPEh +ng+jO0L0fgPFjzp+isl9Mp8Gii/FB5p9P2M8AxR/Mskf2iph9AcoPrU6embF +27V/geJX957JSbxYOAsU3+oe0R06PjILFP9y+TzSvAXNcfnY1FlBleFH/7j8 +TG1YP/SI5zyXr71WWPy+cBUPneJvOT4fFYRCeegUn4uydFm0nuChU3yw65PA +nfn9vHSKHx5xaq+9dYeXTvHFr0SIMPGKl07xR2OE/tNGvHSKT45bR0wFV/LS +KX4ZYnLa830NL53im7IJLZpd33jpFP/c5LrCfrael07x0RJ9F5HCMV46xU/N +i4RvGtFodIqvOlyW9PIQotEp/rrV1ylVRZxGp/hsw1H3AxGSNDrFb3tqHm+Y +lKLRKb5bGpEaskeNRqf4Ly87Z8pOh0an+DB/mUeE2lYaneLHkut9stUMaHSK +L7+xMuzZSqfRKf48n+X0n6U5jU7x6XO6kqI3bGh0il97OeUNmh2g0Sm+3Z6Q +VrXrMI1O8W/fJo3tHBcaneLjck+Vrr92pdEpfl4/oSHe70ajU3zd2fLN5nxP +Gp3i718VeFW8fWh0is/ztJgUSQWR+/l/fq9wrPlh2UMa/f8Ak8egnw== + "]]}}]}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVzXk81PkfwPGZr/aXIsektRSzk7uVJbRl8f4Wj5CfHLlvoo0tpQirg3Lm +ll/kHuvanaTcmfi4NsU4xy3HfL/O5eHItTbx2/3j9Xj++WK437TwxCgUyo1/ ++teLnnM9DfNWugw3bmpDKobv05O8NSGhAZQ+gxqxMAxXz0nLLJfQhw8MqkJQ +MIZPHZMNDZC/BO5mr09X+mG4ccdgWZaEJXStKYtM+2C4bKxUwR7dDqSLZe+9 +8sLwnYtXUt3kneF+r/K5Pz0w3MZjqy5ZwgMGM/LeX3DE8BY9yermzKsQ4FIx +Z2yL4aoyOq/W6V5wXZMm9MASw/mJR3nW8j6wV+RyxswEw8e+j9SLk/CD1+a6 +Y1o4hqfkfNMg5+APtJPBLCUdDL8o/Lt2feZd+E+Db4ySFoZXLXE0l+lBQJ0t +3bTWwPD4EpqiufwDqIthRlxUwvDcI6YRTyTCYKw9R2VDDMODO1JbrGvDoNv1 +lm0MDcOtwycxGYdw0LrvwlQQwXDBDd+H7MwIsPenBfgKYHhgX0rgIj0aTGoE +H+thGG7+dMT7knw8sLU9DlWvUPFQ/nKFaIkUUPM6arPTRcVPGCRFld1KAcmM +PtXRDireG35zfrQ1BSIMrvm9bafisnzKLJW7/4NVi5jN8FYq/u5Lvkpf7zPQ +R+iMOqLigmvPNOmxz+HDQoTgwksqnjb2i17lbjY4uwxyIhOouK0ds3RlNR9G +m/Yn7F2m4gJ7oY4G0qUQb+Zx4OQCBZczX5prjqmA0uBGhkAUBQ/oPAtp62/g +FePg22opCi5eITpOpSJQWtSOcvbbgypCj9Ol3QSbP/MrLD7fhSbvrKN2iy3g +8ccS9yz6AhvyOsIlN1rh45Lm/JWlHcC2WSJH5dog+Tdp0Rdf7cDpPdeqyy85 +8G3S9tEyuc/ACdPofHeiCwwq57VE9f4GeuLC9m9L3ZD+yHjIW38bFrYmxvtV +e2H4k7B0k8lfkP8nu00onguU+uXzET9sgZBU0fLUVB/EOYrwGUpuQqxX5dVc +2QE4lnaC5bhvAzZx1tS1h4MgttI1n/J+DfxOtVR83TwEzLm2h3PJn2DiZUtM +D2MEUp1eqDLUVuGzd1+G0i+jYGz2fGY2dBm+O7d/9yr7I1gzhWuvFi+CQR7j +p9fi41DsfyrlqcM8BEi5HOc5TkCdQBLsHZwB5UUrgUGTSVjsTFARjyTgsPAK +f8vfk1BIVxPPLvkI+1BGqUwmD9jTenxZC1wY0dY3nMjmwZSFfCq9mQultYuT +6UweHH+flRWdzgX7Kh0arYgHH2ofGR024sLrkgk/ShkP0j55Lm7l94JzpozW +WCsP8ldZBSM2PVATxGp5tsaD/cN3TCnmHRC/ddnJYpMHy9baxOFtDlzx39k4 +tM0Dba1VYjaXA0K+JgrhuzyQkB4c71xuB89ry9F3DhCQ7bh8MOpJG9Bs1E3N +6ASY321o+Ka8FX7WYA8fMCZgwK8qLaO9ERq6+1/UmBBwiTH5o8r1RjhyY+Xh +T2YEJIQwKdcFGwEVyMn/YUXAjoYnZdq4AQ6LJ94OcSUgBKmaucbVAXvbQ2DL +n4BDjb73PKyqQQAJ6UzlEiAq9iAoITYfXB2URJ7+SsC68HT+wEgeVG7pkecK +CZi154sSU2CCi2pQdA6LALcEU+uC2xlQziT77asIMPQSvxUgHAMOYTU+Pe3/ +/GIW1Cyd4xHLyD23fpOAmTcU7UDNcqShzNCFbQIKZy1fshUrUL3Q5Cj6TACm +N1PPkqpE3Vwn8UYKCZsJnjVswWq07mQX33yQBNPmRNtRChvp3ja7916ahJjE +MWpoYSNqtRSWNGKQoKj035NJqk3I7IfO6g8yJIAp+C6zm5D7jvFamyIJtrGz +I80DzSgywsC74xQJb07kcNSl36HudF3b3gskiA6N8Gdi7cju/pcNCyMS/io+ +P9tV3I4Il7dPucYkZNsrZ4ebc9C6rFZXnxkJcbR5ch+rA0mUal4YtCeB2m71 +OOJcN8pLWidtnEhIHS+m7OZ2I2W/8tAhFxJkOz1vhGA9SPesWt2wBwm/Sh9y +N+H0IPdmZY2PPiTw1MeLjoVy0ULBQo+jLwlhuW/UNza5yC/q95tjd0hIeqxQ +8rVvH4o0UWSNB5KQ4c0nWuDXj0RUZw1dgkngCykLLd/rR+m0wpmJ+yTghl/W +fZMH0ItBmeO8RyR8y1s7LT8wiDRrCeQWTsKpqYEwbuwQqs9kOhGRJJyZHvnu +iO0wMnjo+tn9CQlF1kt8EudHULcb/TkZS0KgwXWjr9xHkZ3++GmPBBKuvKPj +cbQxNHxBzEc/kYTgirUjMsnj6P9vS1y7 + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVlnk8VV0Xx6UMJbMSJYkkKR7jU2HpIZIIGaJEEklJKEkSISQNJESZhUpl +jLQVIWQeMt17zj3GewolRci737/O5/s556z9W2uvScb5vOUpTg4ODqFlHBz/ +fx44NdZWNW6t69BlxUu7f4UV+pJeTAl12KbNx/ymQIPak4TkQgkDiLZQzxVK +Z8PQBrlgP3kzMA8t1NbbzQaTzz2vUySswGNOxyaENQ5y0VJZS9J2MCQnUrPy +wTgsHDj58IT8ceD/zt0xYDYOti6/K+9LuIBy6Ka739vGoEZfsrQ62RVWeE1n +QeIYqMjqvPwp7Q7+YStVok+OAS8rJN1G3hOCYGuY39IoDCrf1L8t4QtbK8aq +pE1HIe7JuqotRy/CvUm7zyvlRuGAYJ72u+RLYPt+uaLkwgiUTDRpTEr7wzSj +UsS8YARinosoWMhfg/2VNXprNo1A6ppD4VESodAzLqR5adMwBHx+WGNTHgqo +8cDGj5zDYBNGcMoeDQM7FYHn14eHYPXMhaCK5HD4HhfOtf3ZEFzujLv8VToS +RH7nNfbpDIFFbN8ZM/kYkNbpsug9T0Ewb+HWSIk44Nl/ROrUEgmKRvciXnvF +wTZLzltrxkhoDzs/3l8XB/75k4vTrSTILVfK33npAQRdVQrQzCChdjFzZ2d7 +PCQHx0wWGZOwejpeQzo6EZbrlgm0pBCQMHhFv/jvY/AW2bTq3woGHLFLK5j6 +ngkq8kYO2QZ9MNlvtSpdMgtGk0sD/bf0Qfhx3lOH9bOgdk1SrBt3HxSePC9Z +EpcFHmI7PWLre0HAUycsQCsbLIvsn8qY9cLHkF577sAcEDOUvdPv8AVUnwlz +b+DNg3uyV9QkYrqBbyn4mNHGAvjl8cJIfmsHbLGYGKu+VQSMjTfcETSAX/Mu +SPj5Bs7xPDYuFqwE8SJhxrJlCPI93qblcadDCUu/qUX7AyjuP/em83Q2+nAm +Zb3d1xqYD9MvF++qRDPyOoLPz9VBVYRS9Tn5OsQ5ly+0fksDyNy5umoiuwVp +LjmVHH7RBF3dVpItKR2oKVS9uVaxBaxnXAp5d/Yg6bv0XO5EK2w1VKrpZvUh ++jeT0aXSDvGnXzrvUmOgTHZFg0BMB8j9dOV/3EYgAamcyaGhTihz+6HgcI6F +ot2LXVPlusF2dkqirI9Cv/Tyh04H9UBonJDvZYth5KtaU7S2+gvobZz390wc +QcwXNbfaZHBcBRxmfFijaP5M56NtV/phhvlpzz6ecbR9L89f14oBeJxRVagw +N45e5uVv/VI1AJE/Pt3+vTCO1MXMLYxrB0DiSMmnag420h5NyNzeNgBhTXsc +rXjZyOy2osnUyAAQQZfqrcXZyLvXNMFfZBA6Yg/U9qiz0ZsLcarR7oNwa9bC +/dM5NtLt//fYoucgrPYpOqN3gY0+GAyGefoOwtN634giXzZqEJfvNQ8aBI7N +kYJxAWw0UFkatObBILjJxfOqRLIRx6r+psdVgzAxYxzUm8FGRukybq/EGXCD +1zqU6GYj9QQB+doNDHj9XW30fS8bbYqZH+qTYUCoxcjV1AE2+uPf5bxCiQHi +Aj5a1iw2em4RedxWjwGPSlRykr+xkeiy79aLpxmQK77BJm05jZiOVQbG5QyQ ++/rzqNcOGjVZP19+HDHA/PpXy2llGpWZJH3wrmHAkoPJdh9VGt3X8tVLbmYA +l/rqi+5aNNonqKA9yWJAnI2RlMpeGuW/u6P2gI8JB+rStWStaOQn5biZPMaE +vEFju1t+NHI2V7EZOMGELWWnNJP8aWR2Y1lUjysT3s5eKs4KoJH8eMb3z15M +uM+TFf06iEadRWNV5aFM+Lpe1yDjJo1UDno7xj1jgs9L38nBeBptCNKPvfOK +CWs5xJyKE2jE81qsLqqECYee0tqRSTRiiJfuDK5iwgVV4R3yj2kUTc0vnutk +wi+h8BD1LBqNXQlLNlpgQnVkYv2T1zRi22w/ncZBgMg6PUPtIho5TYlySi8n +gCEc/bOzmEYmssMakrwE/MNp1vGnjEabI2+mCIkQ8NY7Zts6RKNWq6azi1sI +aI73S3/QQCPDiSLuAAUCnIoL/5tupNHbmymps4qY7+q3HfxMo5xyz85pZQIS +DWtypltodG2TsDZ7FwGbmi0rBbtopPTVmq/HlICOJepmIAPfh9VBFGWO9WzY +VVDApJF+5X8+uocJcPbuKBogaGQfo9yfdYSACoGUvVspGkWqrMz3PUkAv5Tw +i8BRGoklLh1XcCWA2eErFj5Go9Rlv0QGThOQWWOxJXIc229nXdH3JEDJ/M72 +IJpGI75vD4j4E9A4mb5KcZJG3oOv/34MIMCxrpyLZ4pGi/tyX/tfI6Du2gpf +BmYx8XhJ8gYBV/nP3776A9sPim6OCydAoHelov409mcsJGR/JAENYg0bVvzE ++t+cZxfEENAqyzHqO0OjZhnXxyfvEbDq9/kCuV/Yn6hjluJxBGh1zRY0Y/Y+ +alx+LZGAiHv3dwvP0uhvNXiqJhOgryxenIs5Uklz88hjAowWH+3XnsPnL26O +Ms0kIDDgc9KhP/h8VwndZTkEfBE5YdyKubRZ8EdRLgGzOz5MHZin0X9a3Nmn +nxGQEVASgTA3P1mw21CA4z06xbtzAevhneZvfUXAgq+wezzmEa/x9zeKCPjJ +zEydxby4t3sb/QbrX/vLJ2+RRhF5TYOP3xKgscfj7xxmMdHqe5aIAIOg9zr6 +f7G+gDf7uD8QIDYnsy0cs9JQwdybGgJorrTSD5jLDmbjdkyAzLwOMYtZvzj5 +hEwDAe48VKLCEtYnFbumq4mAnjcu/RaY7cMjP0W0EGBLBT/yxTwyERSo3U5A +mgLVehfzBdtL/0x1ErCMkPTOxryAzg5n9BAgmZl5sRhzhMLJRNs+Ag6KDrdV +Yha7Z2fKN0hAeMi2QIT5ydyhZYhJQFRRzrlyzIrOhsXeLAK2ng1MfIG5pEHb +XX6YgDyDl78eYf5PTU2qb5SAT5o7AkIwf360re02mwB72/oNzpiPrNgUtvcb +ASevabftxjx0du2umUkCQsYVY1Zj9upa/e3pDxy/YUnjHuz/gs7ytGMz2B8v +/99JmCOy56yEZgmI7U+IscUsKjjFW/OHgBseL5fzY26vjLppvEjA8YmBP1U4 +/vfPbuFuWcL6C0/nemK2XF8VasVJQlOGGfdazCIN9sv7VpAgKuzLW4rvs/3y +TLAjDwkmFk+zLTDf33qXY3glCWnBmT3DOD9Ewj4uTgqQ4G5yuukPzqd2Naer +F4VJCNmvEhiA+T7rz58/oiRwulQlzeB8FNZTmeWSICHluuZMF87X1omGS7fW +k3C36PhGbcx3U07NCG0kYb6+PfrRbxoJzSf9WC9Lwj9qwsKGOP9bczUupG0h +YYC0ErmH6+XukdZJeQUSdF27lbtwPQmWcH37ZwcJ701cHuzH9dbskupRqkwC +/6s19X64HmNE97C1VUkw22H29cl3Gglc8Bo10iJB+9zZwT5c383SfK6fd+Hz +ItfGfZvA3zdnDVlqk0A9aRGc+0YjfqV+0mEvCfInCxX+4P6wenTfgI8Jjl+5 +Z2IG7i9NDwj7OVMSDkjcUg0Ywf3WIKD3mjkJze1rVE2GacSX/rI70pqEzO3v +VDtZNFrlsL7tiSMJEdtquyMGadTAV3JoizMJ521y9DYP0Ciq3Lw5z4WEWHIm +t7CPRivXhTcWu5NARGQYvOuhEW/H1MdGHxIuzKqtvdBGo/rgWwYWl0jYNHJp +th730wgV+eruyyToCxW4r2vG8yHmaBUZSIKyWEFlCu7P3Ma15b9vkjA5u+qG +XjWNVqBHBbLJJIyNF6d/wfOgT9tgP/MxCes03P71fkmjgvKvRFIaCdG9f19x +vMD1VqIjIpJDwo0dDjPzT2n06jnTl+M1CWeCEhTd8bwJ3x7B/7aIBN41lody +HtHoWK5Ktl8pCVJRUQm9eF7xZgX3TLwl4YubhapkLI2OJ8vuHqwjoScoIoMX +zzt1yab2hAYS/q2bERi+gf1P8PWw+kzCR+va+qLrNCqK/Zjc2E5Cx8bvRTuu +4Pjeclt6M0hCqeZb9ZCzuH/459fET5NQsLP1YpIZvs/fhx0sf5EQmNB7KuUA +jU5eXJjhn8P5fPtb+j3D/+eD6dawvyTYrYYLpro0OnV6MtJnJQvG6M1aAng/ +ELFVO2QuzQLRJL75uzx4fnb2j/JtZoGm4EX5eE4aVVqGXq+TYwGtJrIiapGN +Tpt1vdJVZIF8+5KozjQbvdvnJ7ZDgwUhqIGrcZCNPNQreleasODKC3WmTQEb +VbV2PSszZcFwdeMJk1w2WnNuKsjNnAUpsgO92/E+hLK2yH+0ZkFFbWxBQTze +Z8Tvel93wtz6rqHiKhtVzLnw/b7Igof8MiU5BmwkFB/EyLrMgrygtcp7dNjo +lGrSK6sAFgxc1VxeosFGgh4ttq+vs2Cac8LWSZ6NTg7syjx3iwU1E/y+gdxs +xIcEdIZSWeAoXGIf9H4cOR3dJhSbwQKB3arP7MrGUfFvfWpvNgvWboxLFisY +R44q/pFP8llgYLhTVzF5HBWmUV32JSxI9csla3zH0dHQMs+2RhaszjkYvl0a +76ObOvZeb2bBq5YAozrRccRV+U1MuY0Fbp/uCO3iHUcFM5srorvx/w9T9z6a +GkPL3W7z7CdZ0HV9gEcPjaF8Y+fUd79YwAp4lix5eAypK8nowhwLdmh9YcTv +G0PvBIh+NI/tyYg/ZmiNodYOB/H3HBQY+JVbNa4fQz8d7GKqV1FQNBiS8p0Y +RYF665QM+Cno6JZfl942irhlez7VCFJQo9JEC38YRRJjVly1YhS8SebaqJA+ +inS9za/Wb8Tvkxxzfx4fRXVWgpLGMhRUHD5/3tV0FJlrNZd+kqXgzELZh7A9 +o8h5wWS6QYEC5WvR+e/XjqKb4UZnPqtSUB5fmtlZP4KE3Ll5zTQoSHX6OWBX +NIKSTD5mNWthfdm/nng9GUHPhPTJFm0KvGz2jIHvCGpN0j3SbkhB20Mppybx +EWQXuDhjaUzB9YraYMOlYcRyfBvbYULBOwt5fZmRYfRTbndLpzkFD0wFRLpe +DaNAnrmz1oex/WPb5JPihxE3u3RVtzUFJqtDsx9eGUYSBRqGPfYUyDl+teHR +G0bp935Stg4UKO6853JUZhgp+RYGf3GkoN0qTjh/2TDS3fVPZa8LBa4P+zPG +3w2huvVT9vZu2F9+mR05j4aQ+d8Xs33uFByPmjJfuDSEnKuV1Ac8KSho69Ho +VRhCdBbdduwCBfe/b/bnWqKQb0Te+UEfCha9ph0EOij094w7//FLFPyRKXHI +y6TQTVOFfMZlCszup5QF+lBISGV0v2MABbstsjxEgUJJItkjzEAKnkmZaLZz +U0h2xiXU6ToFfA4nktifWOhZj+xmMoSCL6uN3LVuspBGOQudCKOgUcpchFOH +hd4lpzmwblIgk23x9MYYiYyCnOadoyiYzAqArFAStZ6QTqSiKcj5XPemg4tE +dgYMTZc7FCR88rtYrEugXkMxT4O7FOw7Y+3WvZKJ/geBaEWS + "]]}, "Charting`Private`Tag#2"]}}, {}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>], + ImageSizeCache->{{4.503599627370496*^15, -4.503599627370496*^15}, { + 4.503599627370496*^15, -4.503599627370496*^15}}]}, + Annotation[{ + GraphicsComplex[CompressedData[" +1:eJx1mHk0VW3YhzkKZR5KVCQypZIhb4X7FJFEyFBKGjRoVkqSDCFTSknmzCqV +yhjpURQh85Dp2PscHM6WIRFFvv2u793++Nb6zj9nnbX32vvs576f+7p+W/7o +BevjNC4uLm9uLq5/v3cdH2goHbQ1kD/S9Kj0EY3uy5+jHCwdCRtdltvP1HHT +9+1Pzh4dS4POj3x35/Zy0wXmfA+ayGZDuKXzonUEF32N1fBAWWguZHt+kBcI +4qK7126G6J9v4ZX84ncFK7noUrliDG5uBKpDekGH3OYgn2lYU6f3ESbP8CsP +xfyFj6cTlu8fKgfnT8NNm9EsTCjpi7w4VwFdwzqDx4ZngDadJbp8TRXcfyor +9nzhDGyaO5y/92UNrIqYXv5mzR+o8deu/axWByZ5g1vEDH+D3D1i+ulwPcT6 +mX07bTQNxK8eRotGI7T/EJH9aD4FaZziKuHwJuB6P7I9UPcXCK/MHOntbYY7 +B0V5dspMQphL3okkxVZYEa2WdXDBBEzSs3pPebeB5GjdYGTlOLhplucuLfsG +yQNV3gP3f0DPy/LQBvkOeOT4XEN+4xj8Od0cp3q9E8wsY/rZviOwdhvf3xPF +XWCXLFJ04skQmKTIn3wtxYAnVzQjHxwYBPeVTqvxgz1QIhABc4v7QX3IVqDN +HIOh2rvrpW4zQUJklL/8NwYZchulEl90wQIUl60Qj0NxnyFPAtEEZ7SL2xeZ +MaHVLT86rvoDZJkeTXo/yYT+t1x613RyENf/+SQt2RMYIu0P3dWP109I0ujR +3dcN8/4mwiGntprbd7nphR5Z5VHjOPC1X97DZfUVBJCwfm8SE8Qkb3rcDUuD ++liDfY3GLBD71sEfT6tG3RtuG96RdoPXVgbdW+g0uuB4lI5cWAx8IQIFiZfc +9EPxClu6K3BIG8tK77BvgOJpZ4FfV5gg9MH1hrNtARhcsrxRKcuC0Hvd3L4Z +H5DVg47TFkrhUKznLFQwyk0Xt9faYynHBKurpaXLcirggH/h+YZqJviEEhtt +DoWjo2Xq2l3nWYBrMTJX+DYhe+dfJfelnaEtLqXS+CCN/nk2bX1zYxQYIfSP +FuKmv37R48b1BofoH8eHfqU1goTUvUs+h8nrIQ3Lw3dK4Kfj/vCyxSzYU3Zv +XydXMbrWHHltSC4YzAsFbxnSaPTjp0aCLy9iQuLBkcVBIVWQk8xqcchnwk4X +qYvuIqEgna1j3ObAAu5q21uB2+pR+AtxFSulm1ASmhy4S5VGvx1ocvqrJgve +qj2u0ZL9jJ63KazG/ViwCh/fpNTahsy+tr1JkLaBunF10b7zNLoij3rW+qsP +Ycw6dDKggpvukK8vLp6Jw5ciP1MJ0yZA6WuUPtkyYUb7OFefWSnUNzlKfeBi +weTd44XFggVIcMLVuzg+EByuiLu7CtDowq7mygF/cZCWbWPUjlSDk4ZH8OMs +Jhy5u8cu/VIc/FTcUtdsyYI74oOsBVlfUf5wjc6InAdws7Mn7bRp9KMzZuNV +KizYF8buKGstQ7fNVbIY11gQd5pHLN2tBfEz/VLslM7DXKbTP5bmNLrB5o0l +7c4sSJUVOmpe04Dqj8jFsMJYcM3krOnCo51I63F0fI60EXyR51b28KTRGwMu +DHZWREKgySm3d9Xc9OyiISw2GYfVlQkJwbFNsOTcqPdJSybc9UnmOiv4Ad4L +Y53oDxNohv3vs1bmIbsAjKZwIAC2eDklK4vS6MeuzEwITeOgt2WMyU6qgbxf +hqxtGUxgO/AESSonA9Pp3YMmMxYkOqgnBljVoF0iz/Tex18F3lLXUNUtNLql +bm3BFwUWwB5wHSn+iNyCnl3ovsyCiFvKL5a6NiMNBf1XP+Vc4KyOuPBNGxpd +3S3H95sTCxRrj5/zoTWg9/HJjszbLPinr2Ptkn3taGbXsUdHlA6BV6P6No4z +jR4rntHf48UC+s7Zn673W1G7seR5o3ss8MwdX6Jwn4EWGMpc7JHWBq5mk0JJ +fxpdzSQi6M3FSJCJa9bo/MpN79Az2tmTiEOvtdIjubImKK1veV5ozgQLeWzr ++rMfQFtd3gCmmZDBtnlZrJKLPL8+Krcr8of6wxf3hYrT6OG/9jpaT+IwYqfH +lJiugcMHVEUfpDLhp0hfWmtHCuz3mp2wNmXB1JPt7Lon1Sjy8bLSNQeugPg6 +zyxVfRq9wkZExlSeBSqqu9dFaHxERDrRcNCVBf5Jb7UmJptQuaFMQVn8CXB3 +yh0w20ejp0T8ZNk7suAR4wnX36R6pFPEREcCWKDZ2+rfFPYNKYatTJ+T2w+y +TxRvvHKh0UU12DudPFnA4/PGN2euBe03YmxyvsuCY5/l6HfEu1HvCkVfdyUL +OGr5elOeG41u4n34z9EQFmTaDfNIb+9AFN8cW2z4CZchoPjGt3PfyuNzOFB8 +01Ayccww6gCKb5NnXpooKTcBxTeG7C0XBFVA8e0cX6JpnkgJUHzLOvMu+Rlv +yjzf1Haee9t8KgNRfPsTYFgk1VKCKL6VBqmXnVOqQBTf5O/eWDycUYcovrW0 +2sjUJTQhim+2E845/OvbEMU3ZWP18lZmB6L4FnXq1dHNWgxE8U3x5wmhxAYM +UXwrPPlDxfEcE1F8s58alS7sYCGKb/6Rom7XrPoQxTe67B+P8zH9iOJbjrDj +xGUmG1F8m+j5snUH3yCi+JaYWpqjMj2IKL7d4rf1x1o5iOLbs27T/aHuBKL4 +1jTHuu3FIBDFt1tnXvEI/SUQxbeBwbyUb28IRPHt+kvtHrtsDqL4xvR8Hi+z +d+D/5VvboOimq6v6gOLbJfFVi/8pZoDmczHeFfzPIELhupZ0eCu8dY3UDHPp +htApK5cv5ziox6nUyLSIAYpDPw9cXEeggesB8SYzPVAWHFP5mPw/SbOrQ8zT +MPDy/Bq75zeBBNk7ui6b4VBTdD4mlU0gip/Z6+uvxFoQiOKnk1i+g/eHQUTx +s+HRysM1Uv3z/FQuHiiVM2cDxU8eg0LhugQMPvm1O/B6ZYKkscLdTsdvcKnd +PNpDvBuaHuz63KbNQRLcY7azpxjwVGqFXTIPgTR2X3KKfN4Dl1+5jXRHEajf +7d0ucQ8MqkdSFquNEEj0T+yP5Qo4bNQSEzOeJBDF5zbvoFT+2wSi+PxISD4/ +04iDKD6Xxzo9/XmIPc9nOf0Wq/YLLOBa3FmTWNoNwxOm3u2pZH3e39V6KNAD +uypSdBVsCFRvU3N2dg0GtVHuKQ+rCOQQGPwlqA4De5ZvnNscgfibRj9VX8bB +dUprqWsDgSjeS8QK/LnHRyCK94KZuwPXyg3O8z67oU2nXaV3nvcb/FfdG2sY +AIr38b7hI7mmOAif1w/w1M0A61yHJ/IW7WBxR81stL8LMO+rlbZSHPTCKviQ +PZ0BcfkamfHfOag5d6C0yL8HhpYbGKWS6xGssSjL7RgGQivFXnqR9RUP+DQ7 +IoyDi9mpmt9k/SmfOO0dreaSSPbzfz5RXP++qvgGB1E+kdvtlzCGsed9QvzX +s+oO/V7oKinwXvKwG04qRvFrBHPQDhEVvREmAyLtTFZqbCPQ6uDbCaLiGLy7 +FK66DBFodlurKvEWg8VLJy8/myXQYsflDY+dcAhS/dwa1E0gyk8GiNW6wmT/ +Un6S5P4UL3cbRJSfKDoN2fHR++b9ZGdJOX3Jqn4IY/2ZPdfcA5OigX7a6QQy +fHuBkx2OQb0CF9ttgkDCrhfZJrokT8+d7e4g+0kg9OTc224cCja90/Y7S6Bj +XZvTzoUyoXxYyM2Ll4Mo3ymKKkhrruxHN1eJ6XE2Y7Cq1rpEpIVA+VV6Lkp9 +GDwzejUZR/YDr+nnol+3SR5NLb5FLyPQ+x3ukut0mOCHqhZWd3MQz8k7fDtx +JrT4dPHR0cC8P30TNHHRvc2c96cz0/p2fsxBoPzJ+4a656ZUHHKOXZDJj0yH +M5LrzzyobAc9dnTa2oYuCKjZ6mTDz0G/PVqOLlBngJTwZV1bJgcpDaaOfb3Y +A/f50sPeeJP9G76hM30fBsXCCduUWWQ/VDnwdCzAQULMjb9ghjz+n5/dWuc4 +8ecJgSg/K/78IDs7ioMoPzNyL7KpXj4w72djkYEL1z7vhSoppXZL727gWh0s +EunJQfd13ejxtQxYqC14xUWXQGYKfToy/BhspFk0/S4kUO3jmf0rsjFgsEf5 +15P3F0h51RpsS/r22veazcx/6/W/vrdfEFzNDQhE+Z6R8XoDtfhBRPneQ3Nh +8ZbXffO+N84oEbfM7geGVMF639IecNUUW6dE9rekVJQMfguDG0IX7tz4QSCR +/IXfN67D4YOZ88Od4wTKffApvroRhybZsdx118njZ+rs3/gwYZw2bH9YiYMo +f9xwMyzrw1I2yiw63zy+AYMY4/LM8ToCBakci7HvwGC3RF9DCdkPfOEHSnEv +HDZIZpckkPPjlEXLawM1Jig1zknoj3NQ9sTq4rBWsr8fJW2LGx2Y91GL+wmF +XpdZ8z7qDcoB7nNsKGxkXjc8j4G65d213gSBxOgaUwulcUjw2TTRMkXOo3Tf +tuF3OHw7aaUp84BA0gM2Cz9Lkr4ev1BWJYWN1Huzp9+WY0AsTC74SPJq0bLA +6jwXHLCgVKP3bQSifPfEo87Uwfe96NIB06KbMRgERdzfIkZeX0i9E3fchoPS +sRyV3+T9n4sa4nV6LLhot3UA3PpR79mlmydGMPAbVAsXJJ+f8uXMrxVvmxbi +874cZqX9VDSFA5Qve2SNzI7X4xB4iP/4XsN0+Lwk9sFJ3g7QlrS0Mv3cBdL7 +8r+UcXHQqvA/vR3yDPC36r+R1MVBFre4Q9pO9MC7qat56Z7kfi/ZftlgLwZH +LzXldmEEun92DW/dHAYhOaeenifnDeXjYe1/X3O9JBDl4wkKXe1ryflP+fhJ +ealEhu7AvI/v1xB+4dPXCx+NugPOu3XDk0q3oFw3Dio0i/14qZwBc45may9r +EujwqARNjofsZ7Gwn815BCqoFfmR+xSDqXUfR3f9IVCYkWf7TUscahuXaJr1 +kfPmP79PvvM9JcKYQJTfL5WNjJfMHkSU37+3UjKU7++b93v7DzxqMjP9wPdG +siIkvwf2PCH0gmPJ+brj6RuPmxhU3Fzgxhgl0L199SNKKjgYnGjd0PKTrHe0 +2xmbrzh8sv1cmetDzlvN2Nc2nkzourGJJ1+Hg6i8cHqm8GPAVjZ6dzshaUoN +g8P3DBt2fyWQq/3VjaPNGHBjMpcyyPoGaSiVtV7DwVA022VZLYFKrP19KhSZ +QGiJLwiZ5aCFJd8lNzSQ6/nlruhm/sH5/DF7cdxRuIk1nz88AhZphB0bgCTu +SfGuUxiklVutCR4k68f8/fu3BA4059LYiWkCHXyqkeFegMPKkJDo9mhy3iq0 +fSkXIfmuUUOIfWQjSYmyCGuEgZH3B31Dsr9Diixrnznj8ACfeJrTQfrbf/mm +0SZSLIu7DzmEHLSWisRAt2Uqu5b0ifDa9F5rPRxYj+tEpr8TKNbsU3qtLsm/ +jMnHFx/3o69xqg13OBg42FeuOEo+P5WP5DOsntwawOfzkdAYb1OXxSDcV77H +1beIrK9vWlsfWf/+i4MfbuVi8LMnLWmKnHe8nILFrbYsMBP0z3h0vQ+pD/j5 +7QzGoEqyasUCsl7hEls5epo4WKyzGHo8RqDH03u4UQ/Zz7mZ54rI+1P56/lK +s02NvCx0L+H4hKgsDn8qG8PifpH7IS/+iHwVBi58rBgV8nzLvy+nOlxYcChk +1HLmai8KVt+0uj8RA5PZuJ165PrO6PMkH5zAIPmix69Ycv2oPLfjtO3J1kU9 +83lOVU+g57sKAVSeU7WmhS4ZILnXabM4RSYd2PEFXh5rOuDVsyzlb6VdEPzj +y51fM4NIO1pY6fMKBrwZ02J/aCfnqaWGXdeRHlhTeHxTrAfpnza7UYgluX9W +bM7O7iFQY0nIbdNZDA4Nd/0uJfcvlReX6Zz859IrAlF5sa+s+ojZUw6i8uI6 +3W+MqB0D83kRVe+S/UTrA4POfw7Onu8Gwcu5p+muHFRj+4LnEGKApc+Q9fgG +AnHs1p5K5sJAfBndWC+X7JcT0gbcmRh8Ez9iWk/6Us1DzGHaHIdd0qGanv1k +ff7Ln17R7ccTdpH7/7/8KbxF8/n+wkFE5U+f4s++xnN98/kzYmT/10WKbFjh +bfjg7useWMoleTiP7OdL3W/+fvLEwKmiaCEfuX/rn+q4Jq/BoQu3EY8g/UVb +pqYxugqHfyomhPtukT4c5c1Iv8aEZ95LN2zV5yAqzxbvvXDhhDkbGQ/n8nqq +kPs3L2f7eDXZf8PeXnqNZH1VWPX3yH6o9A01srqKw6r+q1OVJL8GmjvZAquZ +sEnkilIUjUCvVjVt86llwus6T5MKicH5fHx/bLXHwjnWfD5ecHE8HWIGQDJm +7pDKCQx6mtwkAwfI+mkdvnFFDAe/nRpenuT6Ba4NEnqXiwP/Eus9mXEE8qIv +UzcSYkFTq9KylAY2CnpW0534DgOdrWf+TpP1rhLI37PmKA4X7DLpq7sIROVv +tfURzgfk+1Ct/InEYxGkT/66kK1I7t9aOYETXzeT+SB4aeT3YXJ9XHj5LXRY +kHT4Z9f+3H60XUtrZQcbgy+b1nn6kc9P5ffqlZbiNH3mfH7vVRQvX/RwEBqv +Tfg68eFgZvUkw+pfP+IfF6p/jcGMm5hLFPnbi2/6rO1ecj4cVFWKjepDSd5h +tZGBGAi3L1IzJH2i1jnpTMEGHIReL6l0J31DMmK/uUA3BoF+ql6IvD/1fmCL +VfoZCWCh+uGqq6HLcbiXe0hWj+Rt4e4MMm5jIP9HH5si92PF8lEHh5OkfwjJ +r8uM60V/y+C8ZjwGhhuk8p6S519sEfz+5Ae5fn0ypm3k+dT7hugv7lfyDLD5 +9w2W/jl69C0csF5e6m9DI/NfqgXvUnK9t+vyZpx6jkGqZ34QIufVDDrbl9qG +gUxa2pU88v/+Pe0idOgqC37L5zs+S2OhJM+3O3g/YiA5La8aSN5v34JVAdu+ +Y3Dspl7DFvJ86n3GSLonpPvjSO2ocd4lJgbKZ71iXpLHFSac/Q/7sEDA8Ugs +5wsT1a58sKSlBoO2t86dVv/yJWPaRnQKgwed0eH25PX/B9BAoKE= + "], {{{}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{ + Polygon[CompressedData[" +1:eJwl1He8jnUYBvBz7L33Po699xZROEhR9t6ZR8qWmVV9srd2aaeMJkVRKBSh +rKIlWjQoDd/7c/74nuu6n/PH876/8SYNSu0yNl1CQkIi9/qTKhvJf+Q98qAc +Jqvwkt5TluQXfS0d9Tyc03fxEK3NmflCf4OnGEdjz/6Vh3iZdSzmLpr433/y +EzaxniWM5+74LExgIpOYzBSmMo3p8fmZwUxmMZs5zOU+5tHUe/6Xn/IKG1jK +TZ5n4YT+Jk8zn2aJaYtzWLzKwyzjZs+yclJ/i40soLlniRzRN/MIt5jz8rX+ +HstpY87GKf1tnuF2c2F+0PeykBvM6fhM30IvvRS/6o/SSc/HN/pIWYP39YGy +PFdifWV9VuhtZXYmctp8p6zGdr2fLMsUfjenyrrM4FnzHbIIk7hgHi1rMZ19 +5iGyElP5O/ZONmAmi8wtZHomcNQ8XFZlq95bluaSPlbW4TH9Vpmfb/VRsia7 +9UGyAlf1lbTTc3BG30F/PZk/9Ofoqhflor6f+2lpzsAxfRt99DJc1h/nNr0A +3+l7WEWKOSdf6u/wPN3MxfhR/4gHuNGckeP6azxBZ3NBvtc/YDXtzbn4Sn+X +F+huLs5P+sc8SCtzJj7XX6evnsRv+pN00QtxXv+QwXpF/tLX0EHPzVl9hKzO +Tn2ALMef+jhZjxf1HrIEk/nZPEbWTkz7jThgHiorM41rcUdlQ3rFuYj9iH2M +7xLvozE9Yw/j7sRZYhjV40zGGsZZpEF811ir+A6Uo1Hcz7gf8V4qUyfuRtyt +2GtKUY36sfaxd3FGSKYSteNu0jHOOSWpSr04B3HO4s5QlorUolmsW6w1JShO +MYpShMIUoiAFyE8+8pKH3OQiJznITjaykoXMZCIjGUhPEhWoSdM4H3Euid/o +KtSlBZ1i7yhDQ1rHGWAI5WlDV4ZTI/aTkTSJvSSF3glpv/vXAaC2oUg= + "]]}]}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + + Line[{1, 91, 73, 109, 58, 106, 88, 46, 103, 85, 70, 37, 100, 82, + 67, 55, 32, 96, 78, 63, 51, 42, 2, 92, 74, 59, 47, 38, 33, 3, 4, + 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, + 22, 23, 24, 25, 26, 27, 28, 93, 75, 60, 48, 39, 34, 97, 79, 64, + 52, 43, 29, 94, 76, 61, 49, 40, 35, 98, 80, 65, 53, 44, 30, 95, + 77, 62, 50, 41, 101, 83, 68, 56, 36, 99, 81, 66, 54, 104, 86, 71, + 45, 102, 84, 69, 107, 89, 57, 105, 87, 110, 72, 108, 90}]}, + "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwl02VwlAcYhdEEh+Lu7g6luLu7e9EWC7S4uw/u7u7uTnEoVqxIixSKu8vZ +4cfZ+7xfJpOdTZKiWUj1DsFBQUHRvYTYvPaT7WxP21Y2I6t1PZuEp3o6FYO/ +f9+/ej9jKOEOzxW9jUV0JJ9nn+0Z1jCDsXQiv699sX+ylpmM4zd+D7wXutCV +bnSnBz3pRW/60Jd+9GcAAxnEYIZQwM/5as+yjlmMp6TnEbiqt7OYoRQMfDCc +M+uZzQRKeRaRa3oHSxhGIc+COa83MIdK7hjc1geYSGl3JP7WO1lKDXc8Huij +DKewOxQX9Ebq66Q803OprGNyR7exWTmof7ZpeBv4fO1PTNJl7A905br7F5uZ +XbqxTUkPXrlD7I/0ZZm7po1PN/53t7PZ6c0xdwubnp58CPzubG76McJdxIam +CxfdrW0mNukGNhnPdeCPMSfzdBUbi7u6rc3GId3MpuWdnkxZHZkbejdNdCpe +6+XU0gl4qI8zkqLuMPylN9NQJ+eFnk9VHZt7+jBTKOeOwk29hxXUdifkkT7B +KIq5w3JJb2EB1dxx+E//wVTKu6NyS+9lJXXciXisTzKa4u5wXNZbaaRT8FIv +pLqOy319hOY6He/1NCroaPyjf7VZ2Keb2tS80R1tLlbpujYx3Xnibm9z0IdT +7pY2A734GPgftXn4BhroiR8= + "]]}, "Charting`Private`Tag#2"]}}], {}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 0.611360754965257}, {-13.815510557964274`, + 3.912023005428146}}, "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {0, -13.815510557964243`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), + "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, {Log, Exp}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJw9mHk8VP/3xxmFskuJikS2VLLkU+FMUZIIWUpJSYsWUUqSLCFbSkmyhSyV +SmWN9FYUIfuSbdw7g2GuLIko8rvfx+N35695vB4z877n/X6f8zrnPhWcL1if +oPHw8Pjy8vD873PPicHGsiFbQ8dWG0HCdRgWGMm698rogJq+UO8PVQK0H8cl +5soYQ6SVzjPxNA70rVQK8FK2AMugXH36Vg6YfWt/myRjA2dnDOwCmUOgFLkq +Y17+IPQpSVYsejAEs3uOPzymfARExvmbuy2GwN7ld+k9GRfYGLT67njjIFQY +yRaWJ56EBe4TGfBoEDQVDV7/kncF7+BFmpHHB0GQGZhmp+wGfqAS7DXPhp6N +t4xuy3iCSslgmbw5G2IeLy9be+gyRI8e/LZIiQ17xJ7rf0i8AvYf+dRlZweg +YKRWd1TeGyYYpZKWOQMQ9VJS1Ur5BuwuraAvXT0AKUv3hYTLBEH7kPjmK6v7 +wefbwwq74iBANXvkPtP6wS4YoykeCoaDmqIv/fv7QHjSw68kMQTGY0IWrnvR +B1dbYq4Oy4eB5O/nNZ0GfWB1v/OMhXIUyBu0WnVcYEGAYK5KmEwMCOw+sOrE +PA7qJtGhb91jQM2aFrF0EIem4AtDXZUx4J09OjfRgIMSn0b2hisPwO+6hs/m +Jzh8mUvf0NIUC4kBUaN5pjgIT8Tqykc+Aj7DItH6JAzieq4Z5f9LhouSqxf/ +V8KAAwdTc8bG00FT2cQx07gTRrtsFqfJZgA7sdDXe20nhBwRPLHfKAO+LI2/ +f4q/E3KPX5AtiMmAs1Ibzt6v6gBRN4NgH71MsM5zeKpg0QGfAzsc+H2zQGqX +4p0ux++g9UKCf6Xgc4hWvKYtE9UGQvMBh03kcmDq7CsTZZVmWGs1MlgekQcM +uZuuCKrBq24LxP16B+cFkk3zxUpBOk+CwcuLIPvs+9Tn/GlQwDSqrdf/BOq7 +z79rOZ2JPp1JWnFwuAL+BhsVS7eWokllA7GX5yuhLFSj/LxyJaLNZIuvWFsN +CneuLx7JrEeb548W7H9VC61tNrL1Sc2oNkin7ot6PdhOuuQKbmhH8neJmWcj +DaCyS6OijdmJiN+9jFbNJog9/dp5izYDpXNKqkWjmkHp10mR5EYMia7KGu3r +a4GiUz9VHc8zUaRr/skUpTawnx6TKepkoSl6dt9pv3YIihH3vGrVjzy1KvKW +lX8Hutxfb7dHA6j3VUVEowJ5rqKOk5eYbPT3TEuC2rUumOz9um2nwBBat13g +38mSbkh+UparOjOEXj/PVvle1g1hP7/e/j07hHSkLK1Mv3SDzIGCr+U8HKTP +jktf19gNwbXbnGwEOcjitrrZ2EA3YH5XqmylOehih3mct2QPNN/f86Vdh4Pe +ecRoRbr2QMS0levX8xxk2PXf4Tm3HhC+lHeG7sFBn4x7gt08e+BplWdonicH +VUsrd1j69QDPmjCxGB8O6i4t9Fv6oAdOKcUKaoZxEM/irtrksh4YmTT163jC +QSZpCqfeSDPgpqBtENbGQTpxospfVjLg7bg2+2MHB62O+tvXqcCAIKuB6ynd +HPTHu9V5gQYDpEUv6dkyOeilVdgRezoDEgo0sxJ/cNAS3nHbudMMeCa90i6V +j0C9TmXGpsUMUBr+dch9PYFqbV/yHUEMsPQftp7YSKAis/hPFysYMO9otu6S +FoHu6XnSE+sYsFBH+LKrHoF2iqnqjzIZEGNnskpzO4GyP9zRfiDUC3sq0/QU +bQjktcppDX64F573mB6M8CKQs6WmXfexXlhbdGJzvDeBLG7yhref7IX301fy +M3wIpDz0ZPybey/cE8iIfOtHoJa8wbLioF4YXmFo/OQWgTT3XnSKedELl157 +jvbEEmiln9H9O296YRmP1NH8OAIJvJWqDC/ohX1PCf2weAIxpAs3BJT1goeW +xHrlZAJFsv7OnW/phSnxkECdDAINXgtONJnthfKwR1WP3xKIY7fudCoPBpLL +6bv08wh0dGwJTZ4PA4ZE5K+WfAKZKfbrygpisIlm0fyniEBrwm4liUti8P5i +lNpyRKAGm9pzc2sxqIv1SntQTaBdI3n8PqoYHM3P3TFRQ6D3t5JSptVJfdeo +ce83AmUVu7VMbMTg0a6KrIl6At1YLaHP2YLB6jrrUrFWAmkM2wq1m2PQPM+6 +5csg78NmLwq3JONZuSUnp5dARqU7Lhnux8D5YnNeN0Ygh6iNXRkHMCgRTdqu +wiJQmOaibM/jGIisknjlyyaQ1KP5I6onMeht9pQKGSRQCu+UZPdpDNIrrNaG +DZHrNzGvGblhoGF5Z50fQaABz/d7JL0xqBlNW6w+SqCLPW//ffbBwKmyeKHA +GIHmdj57630Dg8obCzwZpJaSjpXFb2JwXeTC7es/yfX9IutiQjAQ7VikbjRB +7mcwMHB3GAbVUtUrF/wi4393gZMThUGDIg/bc5JAdQonk49HY7D494UcpSly +P+GHraVjMNBrnc6pI/XFQ6bFNx5hEBp9b6vENIH+lYObViIGRhul85+ROkxj +85qBZAxM5hJ268+Qz59bE26ejoGvz7f4fX/I55+UMeTNwuC75DHTBlIX1on9 +zHuGwfT6T2N7/hJohx5/5ukXGDzxKQhFpK57PHtwZQ553uwxwQ2zZDyCEyIN +bzCY9ZRwjSX1gPvQx5t5GPzqTU+ZJvXc9jY14h0Z/7KpS8/nCBT6vLYn+T0G +utvO/pshtdSS8mhrhIGx30cDo39kfD7vdvJ/wkBqRkEthNQafTkz7yowIBam +Fn4iddHeTNKOMVD4a4BNk9ooP/GYQjUGrgKsR6rzZHyr7i9trcWg/Z1LlxWp +HULCvobWY2DPCkjwJPXAiJ+vfhMGqaqshruk9rC/smmsBQNeTPZiJqln0bn+ +J+0YyKanX84ndajq8Uf2nRjsXdLfWEpqqeiD5kI9GIQEqvkiUj+e2ceLejEI +z8s6X0xqdedd+ReZGKic8330itQF1fquyv0YPDd+PZVA6h3a2qs62Rh83bze +J5DU3xLUGm9zMHCwr1rpTOoDC1YHb/+BwfEb+o1bSd13btmWyVEMAofUo4RJ +7d4q/OPpT/L8+mVN28n9zxrwpR6eJPfj7v07ntShmTM24tMY3O+Ki7In9RKx +McGKPxjcPPuaT4TUTaXht0znMDgy0v2njDz/e+fW8tfPk/Hnnn7mRmrrFWVB +NjQcap9Y8C8jtWS1A1/nAhyWSHgKFpL32XR1MsBJAAczq6eZVqS+p3KXp38R +DqkB6e39ZH5IBn+eGxXFwdXsdO0fMp+atI9evyyBQ+BuTV8fUt9j/vnzZwkO +NJey+EkyHyXomtMLZXBI8t882Urma8NI9ZWIFTjczTsip0/qu0knJsXlcPhb +1RSZ8JtA4n/jf65QxGGTtoTELjL/G57peqSuxaEbt5GMJuvl7oGGUWVVHAxP +tm1sJetJrGDhj03rcfho5vJgN1lvdS4pZws34iDyZmmVF1mPUUu2cfS1cLBY +bzH8eJxAoh7ubBM9HPTPn+vpJOu7Tl7o5Lct5PPClsX8GCF/X5fRZ62PA+tx +vdjMDwKJaHThjttxUD6eq/qH9Adh9s7uS2bk+RW7PXpC+kvtA8xhxhyHPTIR +Wj4DpN8a+3TcsMShrmmpllk/gYTSXreF2eKQvu6DVguTQIsdVzQ+dsIhVO1L +W2gPgaqFCvatdcbhgl0WfU03gcKLLeueu+BwH598lttJoEXLQ2ryXXHAQp8Y +f2gnkGDz2OeaSzh4TGsv82gkUFVAhLHVFRxWD1yZriL9NFRTubztKg5G4jmu +y+vI/hB1qAz3xWGjVE5pEunP/KZfin/fwmF0evFNejmBFqCEHMVEHAaH8tO+ +k/2gU994d28yDst1T/138TWBcoqHsfhUHCI7/r3heUXWW4GBpGQWDjfXO07+ +fUqgNy97PXne4nDGL07dlew3IetCRd7n4SC41HpfVgKBDj/TzPQqxGFVeHhc +B9mvBDMC2kfe4/D9lJWW7H0CHUlU3NpTiUO7X+gTQbLf6cjWNsVV4/Bf5aRo +/01y/3GeZ22+4fDZ9ktVnj+B8u5/TqxpwqFZbjxv/TXyfCNOzb/rwaFw83ud +wHOkf3hnV8RO4JCzoeFyvAV5n7/3O1pP4eAb13EiaQ+Bjl+enRSZIfP59o+0 +6F3/ywdzleB/OBwUBg9zQwKdOD0admkREwaJNXqi5Hwgaa+9z1KeCUvihf7e +FSD7Z0sXW2gNEzaLXVaOpRGo1DrIv1KJCYS25ILwOQ46bdH6xlCdCcpN80sM +Jjjow04vqfW6TAhE1QtrejjorE5JxyIzJlx7pdNrl8NBZQ2tL4rMmdBfXnPM +7BkHLT0/5nfKkglJit0d68h5CGWsVf5sy4SSL/dzcmLJeUb67kX/o6Ru+FBd +cp2DSmZchH5fZsJDEYWCLGMOEo/1Y2RcZcJzv2Ubtxlw0Amt+Dc2Pkzovr6Z +r0CXg8TO1tu/9WfCBG3E/qgyBx3v3pJ+PoIJFSMinr78HCSERA36UpjgJFHg +4PdxCB09pCZ+/wkTRLdqvThYNITyfxuxtmcyYZlcTKJUzhBy0vQOe5zNBONd +GwzVE4dQbiqr1aGACSlez/AKzyF0KKjIrbGGCcJZe0PWyZPz6Orm7f51THhT +72NSuWQILSz9IbWxkQmnvt4R3yI4hHIm15REtpH/f5iyPWFsEPGdui2wG2dC +q3+3AB0NomxT55QPU0xg+rxIlN0/iHQ0FAxhhgnr9b4zYncOog+iWBf6S66n +IJ3M0BtEDc2O0h95WGDsVWxTs2IQ/XI8GFW+mAV5PYFJ4xgb+dKXaxiLsKC5 +TXl5WiMb8Su2f60QY0GFZi0h8YmNZAZtFn6RYsG7xIVyqmlsZHjR8nqVHPl9 +vNOzX0fYqNJGTNZUgQUl+y9cOGnORpZ6dYVfFVlwZrboU/A2NnKeNZuoVmXB +xhuR2R+XsdGtEJMz37RYUBxbmN5SNYDEXfkFLXRZkHL0V/fBvAEUb/Y5o06P +jC9z6rH74wH0QtwIr9dngbvdtkHwHEAN8YYHmnaxoPHhqqO10gPooO/cpLUp +C/xLvgTsmu9HTKf395vNWPDBStlIYaAf/VLaWt9iyYIH5qKSrW/6ka/AzDnb +/eT6h9WU42P7ET+ncHGbLQvMhIMyH17rRzI5urvaHVig5DRsJ0DvR2nRv1j2 +jixQ3xDtckihH2l45gZ8d2JBk02MRDZvPzLcsqm0w4UFJx92PRn60IcqV4w5 +OJwi9yuisD4roQ9Z/ns13enKgiPhY5azV/qQc7mGTrcbC3Ia23U7VPsQkUE0 +HvZgwb3xNd4L51nIM/T5hZ5LLJhzn3AUbWahf2dcRY5cYcEfhQLH5+ksdMtc +NZtxlQUW95KKfC+xkLgme7eTDwu2WmWcXQIsFC+ZOdDry4IXq8w2N/GzkOKk +S9BRfxYIOR6L53xlohftimvwQBZ8FzZx1bvFRLrFTHQsmAU1qywlaQZM9CEx +1ZF5iwUKmVZPbw7iyMTv6F/ncBaMZvhARhCOGo7JP2JFsiDrW+W75oU4OmjM +2OxyhwVxX70u5xtiqGOXlJvxXRbsPGN7qm1RL1f75E0sVbzH4P7++Bd5+m3J +Hu56V03OmS507uI+L8tuhE9mRyc3nv/6O9ctPdDBjVerry2oOfI7dz+r8YnN +ym3t3P3Td8/98rjXxj0fPv+3AbnzrdzzSzjDJ5Hh2co97+ibKi+XebRw7yMo +5Z325FQz975wbUbWyoBm7n0/kRNxNq9t5OaDUt2J8/60Rm6+PGQ85fmX0sDN +J94a25sh2xu4+Xhbcoi1IPsbN1+THTSSg61qufk8/XQHu/5pDTffJb53CibS +arj18079ca223BdufR2IZHeWt5Vz6w/2gcdoySdufaqq7V0frfmJW78Rd3t4 +AzI/cv1gX/ndA108JVy/mLpzoqhEuJDrJzSjgQ/Zq/K5fpPJtnlVoprH9aOB +dzz6V3VzuX7nH0FssjkSxfXD3a7S7l5iEUD55bE7++wyLiYA5adsB75QKZVU +oPz2l1h/eltnGlB+LCF1w/tOZDpQfi/y0eO6i20hUP3AH2laHr1dClS/mNU5 +wdNvVgZUP7njn8pzTvgjUP3GQgHbtuHcR6D6UZtnQVxCzUeg+p3VlbKy5bmV +QPXD5MOji0PDq4HqlzJy7Yy60Rqg+qn+1nEmO6UWqH47aqfPXDJTC1Q/Fui4 +tI/H6htQ/T59PDuj074RqPkh7ueJ4d/pTUDNF1+LA02XmDYDNX+sqUpKCotv +Bmo+6bNWfihf3gzU/FLSb8SXRDQDNQ9nym+STn7ZDdT75HDdnQ3St5hAvZ+X +CkXD/OIBoHjD08taMfcPDQHFT+xSxYpPPh0Giq+YWT4aYAeMAsVfHjq+0FTY +NA4Un0kdrPYbvPcTKH4jNVY/FFM1ARTfWRmnnn14wSRQ/Of2YXG+3bJTQPEh +ng+jO0L0fgPFjzp+isl9Mp8Gii/FB5p9P2M8AxR/Mskf2iph9AcoPrU6embF +27V/geJX957JSbxYOAsU3+oe0R06PjILFP9y+TzSvAXNcfnY1FlBleFH/7j8 +TG1YP/SI5zyXr71WWPy+cBUPneJvOT4fFYRCeegUn4uydFm0nuChU3yw65PA +nfn9vHSKHx5xaq+9dYeXTvHFr0SIMPGKl07xR2OE/tNGvHSKT45bR0wFV/LS +KX4ZYnLa830NL53im7IJLZpd33jpFP/c5LrCfrael07x0RJ9F5HCMV46xU/N +i4RvGtFodIqvOlyW9PIQotEp/rrV1ylVRZxGp/hsw1H3AxGSNDrFb3tqHm+Y +lKLRKb5bGpEaskeNRqf4Ly87Z8pOh0an+DB/mUeE2lYaneLHkut9stUMaHSK +L7+xMuzZSqfRKf48n+X0n6U5jU7x6XO6kqI3bGh0il97OeUNmh2g0Sm+3Z6Q +VrXrMI1O8W/fJo3tHBcaneLjck+Vrr92pdEpfl4/oSHe70ajU3zd2fLN5nxP +Gp3i718VeFW8fWh0is/ztJgUSQWR+/l/fq9wrPlh2UMa/f8Ak8egnw== + "]]}}]}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVzXk81PkfwPGZr/aXIsektRSzk7uVJbRl8f4Wj5CfHLlvoo0tpQirg3Lm +ll/kHuvanaTcmfi4NsU4xy3HfL/O5eHItTbx2/3j9Xj++WK437TwxCgUyo1/ ++teLnnM9DfNWugw3bmpDKobv05O8NSGhAZQ+gxqxMAxXz0nLLJfQhw8MqkJQ +MIZPHZMNDZC/BO5mr09X+mG4ccdgWZaEJXStKYtM+2C4bKxUwR7dDqSLZe+9 +8sLwnYtXUt3kneF+r/K5Pz0w3MZjqy5ZwgMGM/LeX3DE8BY9yermzKsQ4FIx +Z2yL4aoyOq/W6V5wXZMm9MASw/mJR3nW8j6wV+RyxswEw8e+j9SLk/CD1+a6 +Y1o4hqfkfNMg5+APtJPBLCUdDL8o/Lt2feZd+E+Db4ySFoZXLXE0l+lBQJ0t +3bTWwPD4EpqiufwDqIthRlxUwvDcI6YRTyTCYKw9R2VDDMODO1JbrGvDoNv1 +lm0MDcOtwycxGYdw0LrvwlQQwXDBDd+H7MwIsPenBfgKYHhgX0rgIj0aTGoE +H+thGG7+dMT7knw8sLU9DlWvUPFQ/nKFaIkUUPM6arPTRcVPGCRFld1KAcmM +PtXRDireG35zfrQ1BSIMrvm9bafisnzKLJW7/4NVi5jN8FYq/u5Lvkpf7zPQ +R+iMOqLigmvPNOmxz+HDQoTgwksqnjb2i17lbjY4uwxyIhOouK0ds3RlNR9G +m/Yn7F2m4gJ7oY4G0qUQb+Zx4OQCBZczX5prjqmA0uBGhkAUBQ/oPAtp62/g +FePg22opCi5eITpOpSJQWtSOcvbbgypCj9Ol3QSbP/MrLD7fhSbvrKN2iy3g +8ccS9yz6AhvyOsIlN1rh45Lm/JWlHcC2WSJH5dog+Tdp0Rdf7cDpPdeqyy85 +8G3S9tEyuc/ACdPofHeiCwwq57VE9f4GeuLC9m9L3ZD+yHjIW38bFrYmxvtV +e2H4k7B0k8lfkP8nu00onguU+uXzET9sgZBU0fLUVB/EOYrwGUpuQqxX5dVc +2QE4lnaC5bhvAzZx1tS1h4MgttI1n/J+DfxOtVR83TwEzLm2h3PJn2DiZUtM +D2MEUp1eqDLUVuGzd1+G0i+jYGz2fGY2dBm+O7d/9yr7I1gzhWuvFi+CQR7j +p9fi41DsfyrlqcM8BEi5HOc5TkCdQBLsHZwB5UUrgUGTSVjsTFARjyTgsPAK +f8vfk1BIVxPPLvkI+1BGqUwmD9jTenxZC1wY0dY3nMjmwZSFfCq9mQultYuT +6UweHH+flRWdzgX7Kh0arYgHH2ofGR024sLrkgk/ShkP0j55Lm7l94JzpozW +WCsP8ldZBSM2PVATxGp5tsaD/cN3TCnmHRC/ddnJYpMHy9baxOFtDlzx39k4 +tM0Dba1VYjaXA0K+JgrhuzyQkB4c71xuB89ry9F3DhCQ7bh8MOpJG9Bs1E3N +6ASY321o+Ka8FX7WYA8fMCZgwK8qLaO9ERq6+1/UmBBwiTH5o8r1RjhyY+Xh +T2YEJIQwKdcFGwEVyMn/YUXAjoYnZdq4AQ6LJ94OcSUgBKmaucbVAXvbQ2DL +n4BDjb73PKyqQQAJ6UzlEiAq9iAoITYfXB2URJ7+SsC68HT+wEgeVG7pkecK +CZi154sSU2CCi2pQdA6LALcEU+uC2xlQziT77asIMPQSvxUgHAMOYTU+Pe3/ +/GIW1Cyd4xHLyD23fpOAmTcU7UDNcqShzNCFbQIKZy1fshUrUL3Q5Cj6TACm +N1PPkqpE3Vwn8UYKCZsJnjVswWq07mQX33yQBNPmRNtRChvp3ja7916ahJjE +MWpoYSNqtRSWNGKQoKj035NJqk3I7IfO6g8yJIAp+C6zm5D7jvFamyIJtrGz +I80DzSgywsC74xQJb07kcNSl36HudF3b3gskiA6N8Gdi7cju/pcNCyMS/io+ +P9tV3I4Il7dPucYkZNsrZ4ebc9C6rFZXnxkJcbR5ch+rA0mUal4YtCeB2m71 +OOJcN8pLWidtnEhIHS+m7OZ2I2W/8tAhFxJkOz1vhGA9SPesWt2wBwm/Sh9y +N+H0IPdmZY2PPiTw1MeLjoVy0ULBQo+jLwlhuW/UNza5yC/q95tjd0hIeqxQ +8rVvH4o0UWSNB5KQ4c0nWuDXj0RUZw1dgkngCykLLd/rR+m0wpmJ+yTghl/W +fZMH0ItBmeO8RyR8y1s7LT8wiDRrCeQWTsKpqYEwbuwQqs9kOhGRJJyZHvnu +iO0wMnjo+tn9CQlF1kt8EudHULcb/TkZS0KgwXWjr9xHkZ3++GmPBBKuvKPj +cbQxNHxBzEc/kYTgirUjMsnj6P9vS1y7 + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVlnk8VV0Xx6UMJbMSJYkkKR7jU2HpIZIIGaJEEklJKEkSISQNJESZhUpl +jLQVIWQeMt17zj3GewolRci737/O5/s556z9W2uvScb5vOUpTg4ODqFlHBz/ +fx44NdZWNW6t69BlxUu7f4UV+pJeTAl12KbNx/ymQIPak4TkQgkDiLZQzxVK +Z8PQBrlgP3kzMA8t1NbbzQaTzz2vUySswGNOxyaENQ5y0VJZS9J2MCQnUrPy +wTgsHDj58IT8ceD/zt0xYDYOti6/K+9LuIBy6Ka739vGoEZfsrQ62RVWeE1n +QeIYqMjqvPwp7Q7+YStVok+OAS8rJN1G3hOCYGuY39IoDCrf1L8t4QtbK8aq +pE1HIe7JuqotRy/CvUm7zyvlRuGAYJ72u+RLYPt+uaLkwgiUTDRpTEr7wzSj +UsS8YARinosoWMhfg/2VNXprNo1A6ppD4VESodAzLqR5adMwBHx+WGNTHgqo +8cDGj5zDYBNGcMoeDQM7FYHn14eHYPXMhaCK5HD4HhfOtf3ZEFzujLv8VToS +RH7nNfbpDIFFbN8ZM/kYkNbpsug9T0Ewb+HWSIk44Nl/ROrUEgmKRvciXnvF +wTZLzltrxkhoDzs/3l8XB/75k4vTrSTILVfK33npAQRdVQrQzCChdjFzZ2d7 +PCQHx0wWGZOwejpeQzo6EZbrlgm0pBCQMHhFv/jvY/AW2bTq3woGHLFLK5j6 +ngkq8kYO2QZ9MNlvtSpdMgtGk0sD/bf0Qfhx3lOH9bOgdk1SrBt3HxSePC9Z +EpcFHmI7PWLre0HAUycsQCsbLIvsn8qY9cLHkF577sAcEDOUvdPv8AVUnwlz +b+DNg3uyV9QkYrqBbyn4mNHGAvjl8cJIfmsHbLGYGKu+VQSMjTfcETSAX/Mu +SPj5Bs7xPDYuFqwE8SJhxrJlCPI93qblcadDCUu/qUX7AyjuP/em83Q2+nAm +Zb3d1xqYD9MvF++qRDPyOoLPz9VBVYRS9Tn5OsQ5ly+0fksDyNy5umoiuwVp +LjmVHH7RBF3dVpItKR2oKVS9uVaxBaxnXAp5d/Yg6bv0XO5EK2w1VKrpZvUh ++jeT0aXSDvGnXzrvUmOgTHZFg0BMB8j9dOV/3EYgAamcyaGhTihz+6HgcI6F +ot2LXVPlusF2dkqirI9Cv/Tyh04H9UBonJDvZYth5KtaU7S2+gvobZz390wc +QcwXNbfaZHBcBRxmfFijaP5M56NtV/phhvlpzz6ecbR9L89f14oBeJxRVagw +N45e5uVv/VI1AJE/Pt3+vTCO1MXMLYxrB0DiSMmnag420h5NyNzeNgBhTXsc +rXjZyOy2osnUyAAQQZfqrcXZyLvXNMFfZBA6Yg/U9qiz0ZsLcarR7oNwa9bC +/dM5NtLt//fYoucgrPYpOqN3gY0+GAyGefoOwtN634giXzZqEJfvNQ8aBI7N +kYJxAWw0UFkatObBILjJxfOqRLIRx6r+psdVgzAxYxzUm8FGRukybq/EGXCD +1zqU6GYj9QQB+doNDHj9XW30fS8bbYqZH+qTYUCoxcjV1AE2+uPf5bxCiQHi +Aj5a1iw2em4RedxWjwGPSlRykr+xkeiy79aLpxmQK77BJm05jZiOVQbG5QyQ ++/rzqNcOGjVZP19+HDHA/PpXy2llGpWZJH3wrmHAkoPJdh9VGt3X8tVLbmYA +l/rqi+5aNNonqKA9yWJAnI2RlMpeGuW/u6P2gI8JB+rStWStaOQn5biZPMaE +vEFju1t+NHI2V7EZOMGELWWnNJP8aWR2Y1lUjysT3s5eKs4KoJH8eMb3z15M +uM+TFf06iEadRWNV5aFM+Lpe1yDjJo1UDno7xj1jgs9L38nBeBptCNKPvfOK +CWs5xJyKE2jE81qsLqqECYee0tqRSTRiiJfuDK5iwgVV4R3yj2kUTc0vnutk +wi+h8BD1LBqNXQlLNlpgQnVkYv2T1zRi22w/ncZBgMg6PUPtIho5TYlySi8n +gCEc/bOzmEYmssMakrwE/MNp1vGnjEabI2+mCIkQ8NY7Zts6RKNWq6azi1sI +aI73S3/QQCPDiSLuAAUCnIoL/5tupNHbmymps4qY7+q3HfxMo5xyz85pZQIS +DWtypltodG2TsDZ7FwGbmi0rBbtopPTVmq/HlICOJepmIAPfh9VBFGWO9WzY +VVDApJF+5X8+uocJcPbuKBogaGQfo9yfdYSACoGUvVspGkWqrMz3PUkAv5Tw +i8BRGoklLh1XcCWA2eErFj5Go9Rlv0QGThOQWWOxJXIc229nXdH3JEDJ/M72 +IJpGI75vD4j4E9A4mb5KcZJG3oOv/34MIMCxrpyLZ4pGi/tyX/tfI6Du2gpf +BmYx8XhJ8gYBV/nP3776A9sPim6OCydAoHelov409mcsJGR/JAENYg0bVvzE ++t+cZxfEENAqyzHqO0OjZhnXxyfvEbDq9/kCuV/Yn6hjluJxBGh1zRY0Y/Y+ +alx+LZGAiHv3dwvP0uhvNXiqJhOgryxenIs5Uklz88hjAowWH+3XnsPnL26O +Ms0kIDDgc9KhP/h8VwndZTkEfBE5YdyKubRZ8EdRLgGzOz5MHZin0X9a3Nmn +nxGQEVASgTA3P1mw21CA4z06xbtzAevhneZvfUXAgq+wezzmEa/x9zeKCPjJ +zEydxby4t3sb/QbrX/vLJ2+RRhF5TYOP3xKgscfj7xxmMdHqe5aIAIOg9zr6 +f7G+gDf7uD8QIDYnsy0cs9JQwdybGgJorrTSD5jLDmbjdkyAzLwOMYtZvzj5 +hEwDAe48VKLCEtYnFbumq4mAnjcu/RaY7cMjP0W0EGBLBT/yxTwyERSo3U5A +mgLVehfzBdtL/0x1ErCMkPTOxryAzg5n9BAgmZl5sRhzhMLJRNs+Ag6KDrdV +Yha7Z2fKN0hAeMi2QIT5ydyhZYhJQFRRzrlyzIrOhsXeLAK2ng1MfIG5pEHb +XX6YgDyDl78eYf5PTU2qb5SAT5o7AkIwf360re02mwB72/oNzpiPrNgUtvcb +ASevabftxjx0du2umUkCQsYVY1Zj9upa/e3pDxy/YUnjHuz/gs7ytGMz2B8v +/99JmCOy56yEZgmI7U+IscUsKjjFW/OHgBseL5fzY26vjLppvEjA8YmBP1U4 +/vfPbuFuWcL6C0/nemK2XF8VasVJQlOGGfdazCIN9sv7VpAgKuzLW4rvs/3y +TLAjDwkmFk+zLTDf33qXY3glCWnBmT3DOD9Ewj4uTgqQ4G5yuukPzqd2Naer +F4VJCNmvEhiA+T7rz58/oiRwulQlzeB8FNZTmeWSICHluuZMF87X1omGS7fW +k3C36PhGbcx3U07NCG0kYb6+PfrRbxoJzSf9WC9Lwj9qwsKGOP9bczUupG0h +YYC0ErmH6+XukdZJeQUSdF27lbtwPQmWcH37ZwcJ701cHuzH9dbskupRqkwC +/6s19X64HmNE97C1VUkw22H29cl3Gglc8Bo10iJB+9zZwT5c383SfK6fd+Hz +ItfGfZvA3zdnDVlqk0A9aRGc+0YjfqV+0mEvCfInCxX+4P6wenTfgI8Jjl+5 +Z2IG7i9NDwj7OVMSDkjcUg0Ywf3WIKD3mjkJze1rVE2GacSX/rI70pqEzO3v +VDtZNFrlsL7tiSMJEdtquyMGadTAV3JoizMJ521y9DYP0Ciq3Lw5z4WEWHIm +t7CPRivXhTcWu5NARGQYvOuhEW/H1MdGHxIuzKqtvdBGo/rgWwYWl0jYNHJp +th730wgV+eruyyToCxW4r2vG8yHmaBUZSIKyWEFlCu7P3Ma15b9vkjA5u+qG +XjWNVqBHBbLJJIyNF6d/wfOgT9tgP/MxCes03P71fkmjgvKvRFIaCdG9f19x +vMD1VqIjIpJDwo0dDjPzT2n06jnTl+M1CWeCEhTd8bwJ3x7B/7aIBN41lody +HtHoWK5Ktl8pCVJRUQm9eF7xZgX3TLwl4YubhapkLI2OJ8vuHqwjoScoIoMX +zzt1yab2hAYS/q2bERi+gf1P8PWw+kzCR+va+qLrNCqK/Zjc2E5Cx8bvRTuu +4Pjeclt6M0hCqeZb9ZCzuH/459fET5NQsLP1YpIZvs/fhx0sf5EQmNB7KuUA +jU5eXJjhn8P5fPtb+j3D/+eD6dawvyTYrYYLpro0OnV6MtJnJQvG6M1aAng/ +ELFVO2QuzQLRJL75uzx4fnb2j/JtZoGm4EX5eE4aVVqGXq+TYwGtJrIiapGN +Tpt1vdJVZIF8+5KozjQbvdvnJ7ZDgwUhqIGrcZCNPNQreleasODKC3WmTQEb +VbV2PSszZcFwdeMJk1w2WnNuKsjNnAUpsgO92/E+hLK2yH+0ZkFFbWxBQTze +Z8Tvel93wtz6rqHiKhtVzLnw/b7Igof8MiU5BmwkFB/EyLrMgrygtcp7dNjo +lGrSK6sAFgxc1VxeosFGgh4ttq+vs2Cac8LWSZ6NTg7syjx3iwU1E/y+gdxs +xIcEdIZSWeAoXGIf9H4cOR3dJhSbwQKB3arP7MrGUfFvfWpvNgvWboxLFisY +R44q/pFP8llgYLhTVzF5HBWmUV32JSxI9csla3zH0dHQMs+2RhaszjkYvl0a +76ObOvZeb2bBq5YAozrRccRV+U1MuY0Fbp/uCO3iHUcFM5srorvx/w9T9z6a +GkPL3W7z7CdZ0HV9gEcPjaF8Y+fUd79YwAp4lix5eAypK8nowhwLdmh9YcTv +G0PvBIh+NI/tyYg/ZmiNodYOB/H3HBQY+JVbNa4fQz8d7GKqV1FQNBiS8p0Y +RYF665QM+Cno6JZfl942irhlez7VCFJQo9JEC38YRRJjVly1YhS8SebaqJA+ +inS9za/Wb8Tvkxxzfx4fRXVWgpLGMhRUHD5/3tV0FJlrNZd+kqXgzELZh7A9 +o8h5wWS6QYEC5WvR+e/XjqKb4UZnPqtSUB5fmtlZP4KE3Ll5zTQoSHX6OWBX +NIKSTD5mNWthfdm/nng9GUHPhPTJFm0KvGz2jIHvCGpN0j3SbkhB20Mppybx +EWQXuDhjaUzB9YraYMOlYcRyfBvbYULBOwt5fZmRYfRTbndLpzkFD0wFRLpe +DaNAnrmz1oex/WPb5JPihxE3u3RVtzUFJqtDsx9eGUYSBRqGPfYUyDl+teHR +G0bp935Stg4UKO6853JUZhgp+RYGf3GkoN0qTjh/2TDS3fVPZa8LBa4P+zPG +3w2huvVT9vZu2F9+mR05j4aQ+d8Xs33uFByPmjJfuDSEnKuV1Ac8KSho69Ho +VRhCdBbdduwCBfe/b/bnWqKQb0Te+UEfCha9ph0EOij094w7//FLFPyRKXHI +y6TQTVOFfMZlCszup5QF+lBISGV0v2MABbstsjxEgUJJItkjzEAKnkmZaLZz +U0h2xiXU6ToFfA4nktifWOhZj+xmMoSCL6uN3LVuspBGOQudCKOgUcpchFOH +hd4lpzmwblIgk23x9MYYiYyCnOadoyiYzAqArFAStZ6QTqSiKcj5XPemg4tE +dgYMTZc7FCR88rtYrEugXkMxT4O7FOw7Y+3WvZKJ/geBaEWS + "]]}, "Charting`Private`Tag#2"]}}, {}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>, "DynamicHighlight"]], AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], Axes->{True, True}, AxesLabel->{None, None}, AxesOrigin->{0, -13.815510557964243`}, - CoordinatesToolOptions:>{"DisplayFunction" -> ({ - Part[#, 1], - Exp[ - Part[#, 2]]}& ), "CopiedValueFunction" -> ({ - Part[#, 1], - Exp[ - Part[#, 2]]}& )}, DisplayFunction->Identity, Frame->{{False, False}, {False, False}}, FrameLabel->{{None, None}, {None, None}}, @@ -243778,7 +301770,15 @@ pLqOy319hOY6He/1NCroaPyjf7VZ2Keb2tS80R1tLlbpujYx3Xnibm9z0IdT "freeformCursorMode" -> True, "placement" -> {"x" -> "All", "y" -> "None"}}}}, "DefaultMeshStyle" -> AbsolutePointSize[6], "ScalingFunctions" -> None, - "AxesInFront" -> True}, + "CoordinatesToolOptions" -> {"DisplayFunction" -> ({ + (Identity[#]& )[ + Part[#, 1]], + (Exp[#]& )[ + Part[#, 2]]}& ), "CopiedValueFunction" -> ({ + (Identity[#]& )[ + Part[#, 1]], + (Exp[#]& )[ + Part[#, 2]]}& )}, "AxesInFront" -> True}, PlotRange->{{0., 0.611360754965257}, {-13.815510557964274`, 3.912023005428146}}, PlotRangeClipping->True, @@ -243828,146 +301828,148 @@ pLqOy319hOY6He/1NCroaPyjf7VZ2Keb2tS80R1tLlbpujYx3Xnibm9z0IdT FormBox[ TemplateBox[{0, 0}, "Spacer2"], TraditionalForm], {0.005, 0.}}}}]], ",", - GraphicsBox[{GraphicsComplexBox[CompressedData[" -1:eJx1mHk4VG/Yxy3DMKeUtCFbUSqiRKVyj6hIRNJC2dpEUSm0ikKhXYiokFLI -3iLOE0IhshOyx5wZW9YS73mvn6c/3ut6/TOXa87MnOe+n+9zPp9bwd5l52EB -Pj6+L/x8fP/7uu1w1zfUbaFjyxDmD85msr1EUpfckAyC3SFbXU+dYbL37nv6 -uq8/Bi6kR51Q3MRkE5Ne+7fKvgaJzrQZTXOZbCWznq7cgDQom7Fe/j1PmO3+ -dR2EDr6D8uOuu0I+CrPnpYk38fOToOjoF2QXKszOaNUrLt2QAwtfJYZZOgmz -cxwjpPdx88DPotTQV0eYPbR444yEEwXwtebc91EJYbbA2KuZ0kpf4N7GD8Pf -24XYWpO2GeaJxaD+6XtN+RshdvG11V/zl5UCatige9tfiC13hxqL6ymDGh6w -ZuwVYlMjP5qq1Mth78H8QM8lQuwYTuYXsVsVMBS/a1yhn8EWk3ne295eCcNP -1uTWvGOwA4+lH3miWA051mumHb3GYA+zX7U7eNYAt8dtgYYBg31mVV7a3Nxa -SGIYT6RNZ7B/JOYFfFOoh+XlxOd7RYLsP46V4UvPfwfx/vqRID9B9nJd5sSR -zAbYJnojd9FmQfbWKIWjyfOa4OEo5XhuTIDtLmOzsGX/Dzjm4x8947UAW4Vr -QdQYN8NSxgvXlfYCbIkZfSJ5v5tB21VzOVdUgM0gw18vetQCd5Zc/X0ihZ/t -tDqzTtSoFS4aGb8wNeZnvzK0f5I93Apz+7zSqlv52EOU1MzO4Dbw2t8yudKD -j51uvlUwSL8d+pKpzTnDk+Bp4PM4rbMdXric9uwemwCFxm210T4dEJBaFOXF -/Qvaa64FSa7qhLHaupzhV+OwX7Z0jL+iE6K1HCKf2/2BoS83W096/YSKy42x -meVjEMqwPrNTsQvaZb+PlKqNgkRTepRAXhfYm1uK5toMg9Alr13rTnWDUI3b -5QmZQeCLs37dOosDBSyfh2Iv++F02Oubs3I48FZ+X5uZEA+yll8XEXem4JWq -9pbPbh0gvu20ircYF8acN1htsKyDBtWyinMfuLA3L/yLWK4HJEWCYrs1D8a7 -n+WXBzeTB15rictM8KCvW/6Q58vfJN//+XsyZ4evv+Q1eN9eX+N7m8kObTyv -lz4RCTVXy4Y8LJnsdwp+kecdOWBxhs/aYGkfzG+TLhKfT0F30iHO75Pd8FP7 -UphhNgUma5aOkTtbYHi9At/lw1x4KmmcWPe6CLZ0MscTp/NA5mDlA/91JaTw -ksywK4k8aGsL6U2p5E7dX+PU/XHIRjU/vZuSZ6DJTMSF95jJnvYrWFMu8CEo -m3v/VT3KZO/vGIhN1OGAoPtIEqunDz5lH1aZxePAC5RwuHALBTtTvc1UgynI -F7onctC1DRi5hnP5tLnAKhG/k/S1AsRZ3KOzO7hwc+fqPhMik/xuf8kmxZcH -Skl+PdbKnaSvYHfxg8udcOb1/IAfk79Is/v1jiaLb4GZmuC8Y15M9sUc97bD -nRT0qggaJC1qgu600e4/d7ig/k2z4ElwFlzIWXTHUIsH8olCM8IsashV1GiV -STUPkhUC7czRwNR6S6bWW0/uOTSSdU/yELAnXztrvGSy8//GrKgsDwaf9UXO -708w2SsKlF8VKnOAa7ZRWMq4Hx7Fsw7cq+FAhpq8WbUyF667CKnKeVMwxphI -vuvRDv7C1gq6ilyY9XZg/fiTahiWbpIpKONCzaunMsINL8j8K026Rad5UK2z -43OMXht5um6Gyz66ninFj9zVLEZIj8ogD67cDTBEf5UMrjPZKZd/Z+8pp2D6 -pcKJG1rNcHJ02tCGC1w46keYlFp/AtvKOVvGFXiQ0HAia7iunFwgavn2aA4P -bgU+Dprv0DdVz+qperaRtxJmKZstvgwpJxoFTUKY7D79BZcehvHAd12M0pKd -3WSYas6y8uxW0LoXFHn7ZR9pUBLyS5PDA9vhRkXD90NT9cuaqt8X0qikJiVC -cheoqSmFf0tishUFVV6tcHsA8TZ7xWeeYrLnvdVLqJLhQIBO47HqC/3gt+jA -2fJiDngH+yblhHAhqiRfar4HBc2HWlb7jbaDiXJXrJ0kF/ifWivH8NVCt2KN -x5N8LqSpP1uTc/YBmTljRmqNAw8chXd4dXS2kA76i9jrZblg9r3pRM3kKDlt -6JRn5iNfYPgu9asLYLKLGzLjTQspqP5yxz3hUzNoSIWcP3CSC85s4x6z24Vg -3ij2tGoeD5x/jKmLppWR4qH+S8+85cH8UrmV11HPVH/qp/rzk8zoKdbslTsH -I3Jva86HM9mdFdt7nt3hwdUOc8WHqT/JO6FVf1Ij26HqSXXQGosBcuP+wkOb -mnnws9HyGJ/C4FQ/8qf6UUGKtHpH7V7sDG6yjPycGHp/O49HuFt3gUS2pZff -yyFyyfmlW62KecBQi7IQ9e2f6k/5VH+ayZicxH19Tc2wgvnMtHSSR+7UOeq9 -dJAHL9ZuqudLH5nqV8JUv96TGo9DH6VK6sPQPVnGinQmu9zHpft7QRAcP6+j -RZ5msgPVzVbsn8+B2oU7WgTu98O4ta/X6QIOjMSJXEz/yoWki8/vznalwEHk -IdG0pgPU1yV090twodKUWqaWWAv2ceaLb37kwkuh1pGyjZdJrT3PqcaDPDhd -YBm4d2MLyZBeys8U6IFdm5seqJb8JXf7NAsssvIB1uxFdvE3mez6gEeLjHMp -GDwgMek+rQVmL9+fxXPkwpzJM0EBCl+g2C7AJGcWD1qL8xLBuJQM/LHQ/nwq -D9z1mnpv5vPIbTNebsh+5Aap24m/cRFMtq10x+L4AB6k9th9zaA6ybfRthEG -33lwPuBIBZ/TL1J90cakQbljUMNxkLN/zmRHffzoaVfAgyXjD13GfvWRJT6T -79V6ebBqCy9S+PkwOb7tYIjdYmuQHtPeaJTAZOfMVlfZ0MEDtwtB0xvbB8na -4a+Gi8Z4MM1pnrrt6CjJ0JM6+UNyNSDfYZb0WyZ72da711NOBoG2E9Eb4kqf -N9wa58dzOOAQcLUsMLIf3oyH+eXkcSDBZfSEGIcLWpXzSu6dpODXaLqI3Z4O -ePJxBqEtzoV0CskcZtTBY0r8kiDJBaTyx3Tvrs3kr/O/mHZ2PFBNvN6Q0d1M -ZliOipXx9UDXxTdGBQrj5IWSkLzd769Bg7l/ROgtuj5t02yKEAUrHXU04tVa -wCc1u0nTgQsOQue6VnR/AdEYw2drZ/LAatuNzGubvpKGgi6X/ybxYGm7o/iL -7Twy6PF8pGR1Flb6WP8qjGSyZWGlntoNHnx49aj40o1O8mxB/LuiWh7MuxBx -13b2LzJPT+pN7qMjUHtL0Cf3BZNt0zH9UXseDyTlDfpNEvpI/zijmhQuD659 -fsPYpDpMKgbKPJuU2wdGWdfixhOZ7CtrLxqQrTyoePw6n89rkHwgJX0kdpgH -ndGnJ0eNR8n2BYpe7otN4NM55/DaFCZ7pn1qZHw/fT6OOxwcUR4hpSq8VZ/8 -4cHrR1C38sMYifkzWnX7HFt6/Zg/72jKPlCzLwXMn1Gb9mk4174DzJ/iv8O0 -iSIvEvMnO035kEDFexLzp8+i2fUV+Z9JzJ9VagmZT63KScyfRhLl7Mcza0nM -n0pxQiI1VxtJzJ/8SmZDtewWEvOnduxDOQdeG4n5k6thtknUoZPE/Bnd3CV9 -s7KLxPy5ietqbe1GkZg/B0/fHAjk8UjMn+Jvctcs29FHYv6cYyLxwC2mn8T8 -yZ9htW9v5wCJ+dNMsDJTymCQxPwplDT6wShkiMT8WTjPdXO0yAiJ+XPj9IJa -+fOjJObPFLRj2/W6MRLz5ze2j+Zx6z8k5k/pjApn52/jJObP7NQqalJ/gsT8 -6T+XcDZsmSQxf566H8M7l86HMH/2SzzJzL3NjzB/6oeNnZrtJIAwf9oEuReP -rxdEmD+TqdOlAwsZCPNn1CK5gkUTDIT5U8oxlrhdI4Qwf57TvqzDzRNGmD9H -PeTVS54yEebPylK+Vy43RRDmz1TeuGKSnSjC/Gmcnx3Vo8hCmD8bvfxib7Sw -EOZP6Y7Sd1onCYT5c2tsHau9gkCYP92cGKZprQTC/Hkrcl/GnysEwvxpYlI/ -FLebhTB/Sqg+VJEbZiLMn9OMqL9HgvnQ/8efb8S2M6iTVYD5UyFXZ8zg0idY -FS8uvEDkJTRf6kkOi46FwITwPxFS3eBj9sVkwJeFBCT6+MVyumG9iYjsZ1UC -YV5deNPa/OVLAmFeXV6T4Vbyk0CYV5dpa50xyiEQ5lVj+8Lr5wgCYV7lW/zO -aJeNKMK8qpSxJTWqWAhhXv1vPX3/eHVhbZyAzf46wLxqllUS2rrmC3zyrrMU -vvQcLMcnWcYnU6Dsk/IO094ucJl4M5FL14tRuPZV9MNuyNS38PkkQiDMt7au -bDfb2wTCfBswMm11XDOBMN+qJERlrawmEOZbRsxubzFjuj9TfNs7/5qMQaco -wnwbczJrz1EGE2G+vdfXfDGsZfQf32YtU5pva1kO/NbP93pwusGTwX9/nymB -5lpLB28J5oD14xu3XnwkkCOz/Ji4HgUVKbGl6V0Ewjy85LSWkUYsgTAPl9oe -a9N4z0KYh/mQn8i9DyII83D+ssacrjkMhHn4v3o2/+NhPxtVg7KZDYB5eJX+ -zksPPhSDmPNGnwtrYiHW0/aEuVQGDJj1esTVdUFVXMpKQoeFri1s9HT26YZf -W+wXOg2xEOZnC24S6NL7FfNziwGk9dcTCPOznNGa5+aNBML8vPRCVHqEA70/ -pvh5gbrYDxEFFsL8fNg/vUntGhNhfrbItdqwmvn3Hz/LzSEjicoK8H5rpKpX -3Q0n+Q0jDwKBusAszPYKB8wHroi9zyCQ7pBb2F1VCrY7C16T6CYQ5u1Z9mZR -5ukEwryNjDYuGWhnIczbzRk8g2pxUYR5+1ff1mrEFEKYt//rb9c/3vYN1Sm8 -CTXgL5gVFbSLA6qLGY1+EQTqKUN/7gpQEKem9360nUALRibPrn9JgTvxYtua -EgJd8tQkyB1caI1Y0jR3BYFURseS7ca4sNL+VFrXM1GE+X1flqvlNVdhhPm9 -VOZIFt+HQVL/YsddlXgO+H1wv3ekiEBBkqrr7u6lYG5Tz0REJ5034SHmmd/0 -/pGMySgKIpD9qfam97FcEB7eFf/Tn4WCk1bWB2+j+dar8OEZaxGEfaA+1nm1 -X4UAwj7w3/4p++cDmdbX3h8aaATsA9LMvuRm1ldIPegilRH0DFZqvpFDw29A -ILR8evXXLrj6VUH4xkoWytuhxjG/QHutlFKDP8VC2B/aYmJi9noQCPvDLqF1 -d4NqCIT94dmbnCxtOp/YHx768keqnaXzMOUPpp6M1W4bWAj7g3uRk8e7bCbC -/pChIrmAX2rynz9s8tvPPBBRCTkdESHKxd0gWTf75EstApWpH3904iwH6oMH -nKqS6H4c/5zybSEFa2RnRETTecS+sVDvd/6sDwTCvmEzw/T1m1EWwr6R9CBT -w3OdKMK+wcnN4I9xFkLYN/7bz7x/vlEhmtZwSLcWXAdrYp4acgBtKuy/H0yg -+q0Ss8tHOKDdLS8zST8f1lh8PLj6CQU5UmKS/d8IxH5K3vmhz4W1W/c6CeoQ -SJb/17T1vVwQiDkpVJUvirC/eJhlR1tmCyPsL+p+iyJKvg6Tqif4gtY95YDc -9rHwxHwCed7xNPtmTIHmcaXIVvo8tzuUMXS8j4K0llKnL/R+Xnzf+dD3cC7E -H9h1XCmaha6/W6Z5ks0DrYLIbSm3RBD2IceAzOAKb0GEfei/vNT+86HjVp2W -JWH1kKT5Vn9nKwcqVO6bjtHnwwlxfVgcSIGNv/yW8Tq6H/0JZdkXaV43NovW -2MJE2J8G90XuPzr4m5w00Qo1D+CAS63R4d10P3ZfVvQ2W0uBV0y8pxSd9xD5 -dd+sGygIbp55mJVIIOxbtRs2HG95wEDYt/7LUzspaOncZjadAj0Rw7j7dH4E -Hupc35RGAd+Cfcv4CwmEfcw57UWjRtwA+SKqXt7sIAWScuY97XS+sZ+1DLqn -h//iR9jP/ssP+udn8xUj7Wbq/QDsZ8nZtw33pX8FX2uRw+Z6z0DIWus3p/Mt -sNbr7z3+uQscLCXLdJaz0KEjex9vcesGraI5O291shD2ucc1rh9NzhAI+5zg -irLQ/CoCYZ+blGknfFoIhH1O7nYy34oL9Hkw5XODO9tPZhiyEPa5XfzmNsX1 -TIR9rq1z+K6TwsQ/n7MSZuSTQlVgP56/XbqgG0TaOjN1VhFIP+6czKALBxRs -vO8WJtD5fT6QfUqGfp6uqVmymc4P9r+scJQKJIGw//mFfDi3VoDO15T/Se/2 -vxG1XRRh/5MVOLvtWaQQwv53JMli2/qiWug63qGkrE/zlW47IXCfQKZXN8if -HuDAS+GwwB/0evUZUfXq4RRsi1hgI19JoIUp1ypcgAu8+0vjYzYTKNTH5f0S -2sPecZ/f+VAtirBPDssI/eprEUZRHdPnoHAOeD+SW6uZR6DBohcHThnQ929s -omlJr8dZIuT4MYoCtTD9XeJRBBKJ0XjuFMyFF1c2Fn9LpPlJ53nr/vU8+Gzm -s4F6JoKwn6a6WY2rlQoi7KdOJX7nWrZ/h8WnWkwHGjiwNkxk95UGAp1HihkL -/Sj4aQyqGd8JZLRui+gndx6E1vHdeHaYic4U6EnW+3CgR17d7u47un7vjG/1 -r6JgVPPLNkV6/8eUShpY1VAgNF/FGyXT/Zjy39F7lbctyxjI40FIbz+TgjO1 -FivKOwg0w0BuUuc17f8qpqOvvtDnSZOgav8BCkImfmuW0e9jX9aPjrnYSPM3 -9mUBt8LtP3kN8EC2M1r6EgWPJjrEqpoIlKH+JHalMgWyvu+8u+h6HZrGYa76 -S/tvODeMSeeFZbF780pzCnZacV6Z0OcN9m1Zy6Cdt9QEUfOmP2eTN1JgqDv6 -eYj+/C3ZzTXJsyjoNZdp2EBfv+po8uxkBwpidsfmfm8jEPZzakiWl2DLj7Cf -XyFn2TyZ1wzYz+cGSRS5EaXQ+30XK0rqGWTbWgh72byDW9MuXXqT3wV2V3vd -5imzUFo/d4x07YYj7xomptF8gH3+eC946p8mEPZ5adUDzTX0/sI+f2lVjag8 -fV5jnydNR9ulLhMI+7znG8ekdaYshH0e4jP0b3YxEfb599+3zQ3+NPnP5wui -1xqMaldBip/V86C8bsiv2qAspE6gy4f5rNec4IBbw67w9fEEOrCZ+emjFAXz -7zw4NY2uF/b/V6tvpHxGBML+z7io3NksTCDs/1eSnI6J7hZF2P87bRaGt6QL -Iez/xwjz5aWz6mDh0uNHnHQ5kDRUrKFxl+6fgtninF4OhPmlr95K563Iatbc -16EU7FFY90mFPn/ua/8cfLOBC9ULss/aGhKoskBVPPInF1i2W1JvNokiPE8w -qE2es+OXMGp4GmQh+JADrDvzDPppf3h9LOvgx80UmFTAxQB6PUOOzBc/uyiQ -2FDZsTiGQB4qwYWp9+nn/w0x0cA0FtK5cnhdxVoerG86E2yaLILwfKK17reH -+i9BhOcTvkOu5rrx36FFbHj3pnoOGN1/kZ5J54t//oP+J9comGm19PR1On8i -B+4pGZylebBzgCd8lokSr37ZJ3OVA6Yu3X+XvCWQ7x77IF11CsjlSqvl6Lwt -X+J/ta6SgrZ1Vo63UgmE5x97XZ2XOnIZSEMjfkhXiIJi3UBnBzpPwY9va2fF -U3Cj2cl/iOa3ugvzNXStKNi0fG6eNv38wfMSmyuhVw49FUB4XiLjFf+0/Fgj -LHDb/ePheQrGl3XsCfhBoFNf0+K9lGiftJHd+pmul9zLienevznwcfFsPV86 -H3kDjkZephS4bNqv9YjOD563mLPvai2xFEThzTMu8q+nQEky24+g12PwYV8j -/8z//b5kU4q+Hx4jX4r/CAXvhFOlD9H5xfOZLg8q2zORH+H5TK1Dh3ZhYBP8 -FG16qyBPwWbpRbfd6fvRXhG0R8GIAmN+9V4F+n/xBeuuP9WkYPbn3x8W0r+X -PeLd/ZRFweXjjb2a9O/h+c7HI7Ujuqvo8+ZewJOWZRT0HdyymZ++fscbXWix -oIASKh0Yo69XetbpYsemIDdafH0d/f0VV9S/2c2hwPLIZd3r9HrxfOiTqvRd -ZWF+9D97GVJR - "], {{{}, {}, {}, {}, {}, {}, {}, - {RGBColor[1, 0, 0], Opacity[0.2], EdgeForm[None], - GraphicsGroupBox[PolygonBox[CompressedData[" + GraphicsBox[ + InterpretationBox[{ + TagBox[{GraphicsComplexBox[CompressedData[" +1:eJx1mHk4VV3Yh82Hs4s0I0RCb5QSUvJsUZFXGdKgUirNoZJGFZFCs3kK0SCZ +h0rsFUIhMhMyx9nnmMpU4tvf9Vr98V3X5x+X62z77PU86/fs+14KBxwt7QX4 ++Pg+8fPx/e/vzfbdX1CPtf4Tta0T/jks0k00VeW2lB/4BW46e9qZRe7cFZXY +PxADLunRp5TWs0hi0m3PJrlEkOtKk2ieyyIXW/R25/mkAUdi7cK3PBHy/Gdd +CPr5BrJOnt0W+F6EnJcm2czPT8GK415+dkEiZEabYUmZXi7MfZkQYnNChMw9 +Hi6zi5sPF63LTG7qi5BDyuskXp0qhK7ai19HZ4mQAmMvZ8gs/gQe694Nf+0Q +JrUn92dYJZTA7A9faysyhckSj1WfC/4pg+RGPYN73sKk/H167EVvOdTwgC2x +U5ikR741V2tUgP3BAt9rKsJkDCfrk/jdShiM3zauMCBEiss+6+voqILuSJ28 +2jdCpO+x9MORSjVQZKsz7YiHEDlMvuw4eq0WuL0uCzSNhUjnlflpc/Pq4J2Q +2UTadCHyW0K+zxeFBtCqID4+LBYkfx+vCl1y6SvIDTSM+HkJkksNWBOHsxoB +xG7nLdogSG6KVjiSPK8Zokfp4xfHBMjzsvsUW/d8A3tP7ycSiQKkGteaqDVr +gWVCz8+uOCBAzpLoF83/1QLkWa2lXDEBUogKTVwU1greKjd+nUrhJ0+syqoX +M22DG6Zmz83N+MmXJgcic4bbYEa/W1pNGx85REvP6ApoB989rZMrLvCR6Vab +BP2MOmAkmd6QOzwJ14w9H6d1dUCm45lrPWMToNC0ue6JZye4pRZHu3H/wBod +Dz+plV3QX1efO/xyHPbIlY3xV3ZBpvbRiGd2v2Ho0502J7fv8Ptq09OsijEI +ErJ1tlTqhha5ryNly0dhVnN6tEB+N6y2shHL2zcMwq5u23RP90BDjcvVCdmf +wPfCNrFtJgdK2Z7B4nEDcCYk8c7MXA6ELtzVbiHMg+ylt0QlHWgQXrZm40eX +TpDcfEbNXZwLKx30duvZ1EOjennlxXdcOG8d9kk87wIkRYBShy0P4jixBRUB +LdTeRG1J2QkeyHAWHroW94vi+z8/kXO23vSW8oDCzobam/dYZFDTJcP0iQjI +vlE+dMGGRb5R8Iq4dJwDFs58tsZL+mF+u0yx5HwaopIOcX459cD3Na4hJjk0 +uOosGaMsW2F4rQLfVXsuBMmYJdQnFsPGLtZ4wnQePLar8vfWLaVEVLJCrifw +gOgM7Eup4k49X9PU83GopuVehneknMHJUtSR95hFTvsRoCXvGwxDlu5/1I+w +yD2dg08T9Dkw4jKSxO7thw859mozeRyYQK/sizbSYJnqbqEeQIOG8EPRg2fb +QSjPZC7fGi4cLZW8n/S5EiTZ3COzO7mw0XJV/xYii/p6wHVfyk0e7E306rVV +7aJuCvaU+F/tAr/E+T7fJn9QFo8ajm9RvguTywTnHXNjkVdyz7fbd9FwUl3Q +OGlRM/Skjfb8vs8Fj1KtwsiAbLicu+i+iTYP8l8JS4RY11Ir6dHqLUwY0xR9 +7azQ4NR6S6fW20DtODSS/VDqECTyJTloxrHIgj8xy6oqAsB9bbHD21Msclmh +6ssiVQ6MWawTkTYbgLB49t6HtRxYs3yhRY0qF245CqvLu9OQIzSR/OBCB3iL +2CoYKHGB9Xpw7XhkDQzLNMsWlnOhOjRKVqTxOVVwvdmg+AwPavS3fowxbKfO +1Es47mLqWVcSdn659Qh1ocrvAlf+NtxEfxYb32KRKVd/5eyooCHsStHEbe0W +cBqdNqR3mQvs28SWMtsPsL9qzsZxBR64N57KHq6voBaI2bw+ksuDL76P/eYf +7Z+qZ81UPdupu69mqlooX4Wrp5oEtwSyyH6jBa7BITz4rhuzWMWyhwpRz/2n +IqcNLB76RdyL66eMSwN/aHF4sHK4Scnk7dBU/bKn6veJMi2tTQmX2gYNSxeH +fklikUqCai+XufjDxL6dkjNOs8h5rw1fVctyIEK/6VjN5QHwWrT3XEUJB9oC +biblBnIhurRAev4FGuYeal3lNdoBW1S7n9pJcWF2tK1qDF8d9CjVXogs4ELw +0lid3HP+VJaERGrtUR4cF9nq1tnVSh01WkSulePCpa/Np2onR6lpQ6evZYXd +hDDPJV71PiyypDEr3ryIhk/F98+/+tACmtKBl/Y6ceEZmPVa3CsCqybxqOp5 +POBrGdMQSyunJIO8lzi/5oFOmfyKW6h3qj8NU/35TmX0lmj1yV+Ehwtf114K +ZZFdlf/2xt7ngVeHlVJw6nfqflD179SIDhiMrPHTsR6k1u0pOrS+hQcdzTbH ++BR+TvWjYKoflZRom3v0dmUHyJAVKsiNYfa3w3j4edtu0M6xcfOKG6JULi3Z +tLuEB9xl0dZiNwem+lMx1Z8WKiY3YVd/cwvYsmLNyyZ5lKX+EfclP3nMPFjf +wJc+MtWvV1P9ektpPg4KS5UygmneckLL0llkhadjz9dCP9C5pK9NnWGRvhoW +y/bM50CP4tZWgUcDMG570+1MIQcaXoheSf/MhaQrzx7MPktDu2gw0azTCRq6 +r3oGZnHhjDn9z/KEOjjwwkr5znsu7DnUNlK+7iqlveMZ3XSQBwJFNr4717VS +QjJL+FkCveCs2+yvXvqH2u7ZIrBotydkz1pkF3+HRTb4hC0yy6MhfO+syfPT +WmH20j3ZvONcmDPp7Oej8AlK7Hy25M7kwdxP+QlgVkb5flM8cCmVB2h9c9+d +Ah61WSJOLyfMBU6aEn9ehLPI/TKdyvE+PPjAs/ucQXdRr5/sDzf+yoMlvocr ++U78oDQWrUv6KX8MFDhH5Q88Y5HR799fsyvkAT0e7Dj2o58q9Zx8u7yPB2Ub +eBEiz4ap8c0HA+2UbUFnZM0601csMne2hppeJw9mXfKb3tTxk6ob/myyaIwH +CqfmaewfHaWEDKWdvkmtgssPh9kyr1nkP5se3Epx8oMZJ4i+wLPMvOHWOjye +w4H9PjfKfSMGIHM8xCs3nwOPHEdPiXO4oF01r/ShEzPvxtJF7XZ0QuR7CWKN +JBcILpK1F6qHx7SkqyDFBaT223zntg3Uj0s/WHZ2PDiYcKsxo6eFyrAZFS/n +64UOt0zTQoVx6nJpYP72tx6wapt3eNBdpj7t0/YVIxqaj+trxi9vBc/UnGat +o1zIZl3sXtbzCcRiTGJXz+CBj+ntLI/1nykTQcerf5J4MKfjuOTzf3mU3+P5 +aPHuc1DmYfujKIJFysEKw+W3ebD7ZViJ6+0u6lxh/JviOh5cvBT+YP/sH1S+ +oXRmXthh0L4r6Jn3nEXu65we1pHP7G9544Etr/op7xemtSlcZl4XZQqtVx+m +lHxlYyfld8H7bI8X4wks8vrqK8ZUGw+KIhML+Nx+Uv7SMoefDvPgwpMzk6Nm +o1THAiW388pboMjJIbQuhUXOOJAaET/Ag4HxowdHVEco6Up39cjfPKgMhfoV +78YozJ+lM17e38+sH/Pn8fly/ssPlAHmT3fjXZoOdW8A8+cL/dA1RLEbhfmz +NEf1kEDlWwrz5ymp2Q2VBR8pzJ9L1V9lRe2uoDB/LphVQT6eUUdh/vSLFRat +vdFEYf60X2QxVEe2Upg/W2OD5Y/y2inMnwUaFuvFjnZRmD/L2rpl7lR1U5g/ +X9JnbW1daArz54mrdwZ9eTwK82f76zydf7b2U5g/dS1n+bvEDFCYP4de7961 +s2uQwvwZK1KVJW38k8L8aZ0w+s40cIjC/Fkz/+yGJ6IjFObPAaKwbuGlUQrz +Z3v81s236scozJ+kgafWSdvfFObPp28rHRy+jFOYP1lvqulJowkK82fIIsLB +pHWSwvx5ISCGdzGdD2H+1JKKzMq7x48wf1pFjJ2efUIAYf5843O+ZHytIML8 +KfDtTNmgohDC/HmvX65w0YQQwvxpeOMpca9WGGH+NJG4qs/NF0GYP3cEL9Qo +jWIhzJ+DBN9LxzuiCPPnh+xxpSQ7MYT50/dWTnSvEhth/sziu/X0disbYf5M +sCp7o+1EIMyfrVV17I5KAmH+bDwjZJ7WRiDMn3UTuzJ+XycQ5k8XomHoxXY2 +wvxZoRWsJj/MQpg/szfTfw4H8KH/jz/jPpgK0U7VgPlT7rP+mLHrB1gZLymy +QDQO+C/3Joc8eQq+r0J/h0v3wGrh4i2DN9lIYFY/v3huDxy5zJL7qE4gzKuT +822t4uIIhHnVb3WmS+l3AmFeFbTUdjbNJRDm1U/Li25dJAiEedVk3RvTbfvE +EOZV58yNqdElwgjz6n/r6f/LqxXxLwT27akHzKsdX0qD2nQ+wQf3ehsR12fg +1zzJNnNKgfIPqlvN+7pBQvD1RB5TL6Gi1S+fBPfA82vWnh9ECYT5Vt2MdNl/ +j0CYb4scpq960UIgzLcBfdHZK2oIhPnW0GW7u7gZ058pvg3S9JA17hJDmG8d +92XvOCLEQphvF/S3XAlpHf3Lt5th8fz9NhXAb/ts5wVOD+SICjzaZU6gubYy +ARsDGP6f4333+XsCHWdVHJM0pGH9n6dl6d0Ewjzcqa9tqvmUQJiHxSePtmu+ +ZSPMw+aPvUQfvhNFmId3LW3K7Z4jhDAP/1fPlr88vIqnZlw+oxEwD6/caOnq +/64ExB3WeV7WeQpJF/efspLOgEGLvgsv6rshdDJlBaHPRh6KTdccPHsged5B +xRNDbIT5mfU6GQyY/Yr52X0mpA00EAjzs4u69jOrJgJhft56Ojo9/CizP6b4 ++am++DdRBTbC/GwXmt683IOFMD8X5+7WW8X685efTwtTEURVJbi/NlU3rOmB +iTiTiINAoG6wCNl/nQPagdfF32YQyGDIJeSBOg25doIes3oIhHl79X2LaKt0 +AmHeVj6spzLYwUaYtzs4POMaSTGEeVulZ1MNYgkjzNv/9bf7L29rP9MvugO1 +4C2YHe23jQMlesJNXuEE6i1Hvx8IMP1sWf92tINAC0Ymz62No+FM1bPNOqUE +cr2mRVBbGe66qNI8dxmB1EbHku3GuKDndjqtO1YMYX7fUH3WxuOsCML8flL2 +cDbfu5+U0ZXOB2rxHPgheP7h4WIC+Ump6z7Yyaw3s3civIvJm8gQy/kXDY+y +n2QU+xHowOmO5rdPGe6YbR3/3ZuNApJWNARs5oG3T1Gws60owj5AxDqs8qoU +QNgH/ts/5X99QOCs59tDg02AfSDvW19yC/szpB50lM7wi4V9mpnyaDgTBIIq +ptd87gYpPwWR2yvYKH/rco7VZaZfm5QbvWk2wv7gKBYTs/MCgbA/9HnqPvCr +JRD2B7nQvOw1TD6xP5z7wB+x/ByThyl/WGAltMpFj42wP+h0nbjwJoeFsD8o +qkkt4Jee/OsPY9F7WHvDqyC3MzxQtaQHwiTnOMVpE6hc42TYqXMcEJv/40R1 +EtOPkx9TvijS4NggHv6EySP2DW/eWMHMdwTCvnHYyjwxc5SNsG98PJKleU1X +DGHf+Pd9Bn+MgzDCvvHffub99Y3xxtTGQwZ1cPZnbUyUCQe8yaKBRwEEatg0 +a3bFCAd4aKHsJPN+0LF+f3BVJA0W3tOlBr4QiIyi7n8z4oJBzo4TgvoEkuP/ +MW1tHxcOVjsJVxeIIewvNaY5T2xyRBD2l/ybi8JLPw9T6qf4/HSjOHDU4Fdo +QgGBrt2/ZvHFjIYVUUoRbcw8tzuUMXSyn4a20bITn5j9rPzI4dDXUC54r7A+ +ufgJG91684+WE8kD+48Rm1PuiiLsQ6X3sgIq3QUR9qH/8lL314ey/btsSkMa +IEnrtZFlGwfSzB+ZjzHz4ZSkESj70rBOQGHjeD3Tj4FX5TlXeHBW0eKJ5kYW +wv7kvCtiz5Gfv6jJLdpBVj4csH9jar+d6cf2q0ruFqtpiI2LvybN5D1woe4X +20YajqjMsGcnEAj71uw1eidb/YUQ9q3/8tRBCdo4tFtMp6HCwvjFIyY/AsH6 +t9an0WATvPMf/iICYR+bnfa8SfPFIPU8umGhxUEaumS39XYw+cZ+9r7/fHro +D36E/ey//KC/fjZLJspuhuE3wH5mWnPPZFf6Z7hpK2pvZRgLSoravzhdr4G9 +1mjnyY/dcM9Cqlx/KRsdOrzz8UaXHkC2cyzvdrER9rnvTWffb3EmEPY54dCy +oIJqAmGf88zqIDxbCYR9rvZIMt+yy8w8mPK5e9IdThkmbIR9TkTYal9JAwth +nysYGH5wQmHir8+tkxEqoISr4cB4wb8yhT2QGNqZpb+SQEYvLsr+dOTAl3c3 +HhS9YvL7bDDntCwNBbdrVTYw+cH+hyZQKlAEwv6X9ifr4moBJl9T/vd5ifft +6H/FEPa/GNFzm2MjhBH2v0aJ7ZvXFtdB98nOxapGHFBVaycEHhHI/IbewjOD +HIguCPH9xqzXSCi6QSOUhj6/BfsWVhFIMcWj0hG4cEx9SXzMBgIFeTq+VWE8 +LHX68/vvasQQ9smz04V/9LeKoOjO6XNQKAdyw+RXa+UT6Gfx872njWlIIbdq +2TDrcZgVePIYTQPbcsM2yWgCicZoPjsRwAUWW7/kSwLDT/rP2vas5cF0R089 +OlYUYT996bp7fHmZIMJ+2iV062Lrv19B+XSr+WAjB45vZW2/3kigS0gpQ9GL +BvIgqGd8JZCp7kaxD+d5kNTMdzvWnoWcCw2lGjw5cPDOCrsHb5j6vTG7O7CS +BqNpnzYrMfs/pkzKeHctDXm1au4omenHlP+6BVfdsykXQhf8A/sGWDQMNmxf +VtFJIAlj+Un9RBoehJqPvvzEzJNmQfWBvcz9Mn9rlTOfY1/ufhxzpYnhb+zL +I+If//3OawR/ua4nMq40jPh1ilc3EyhDI/LpClUafpZnuXcz9To0jcNa+YcD +c3ncEBaTF7b19g0rrGiQHue83MLMG+zbUtv8LO8uF0Qt63+fS15HQ+WSsY9D +zP/fldtQmzyThttrFzTqMdevPJI8O/ko83whT/O+thMI+/mNX3K8V/v5Efbz +27kz9kXOawHs51eezyp2Icqg7+s2drR0LPzZai3itu8N3J3m6ppZ0A1nXva5 +zFNlo7QB7hh1tgcsjzZOTGP4APv83g3kNaMzBMI+fz1wb0sts7+wz7O31Igt +ZOY19nnJupEO6asEwj4vo3AiSdecjbDPCxZlGN3pZiHs8w+bN88N+DD51+eF +fFYbj66phhSv3c/88nsgIVxPVViDQFft+Wx1TnHAS9Y6dG08gfZuYH14L03D +d9eA09OYemH/L4q4nfIREQj7f0qLSleLCIGw/7sFnzgmtl0MYf8v3KsY2pou +jLD/m6laLS2bWQ+KS04ePmHAgVXSpZqaD5j+KVgo5/ZxYFQubdUmJm/Fu2fO +TQyiYUvX6g9qzPx5tOb7z0w9LvQW5pzbb0KgqkJ1yYjvXGi32Zh6p1kM4fOE +z9XJc7b+EEGNUX7WgsEcePp8nvEA4w+Jx7IPvt9Aw4AyecWHWc/Qcdbz7900 +7Lxa2akcQ6ALagFFqY+4MDtKXMw3jY30r9vrVq5m7vfLOcA8WRTh8wnh0l8X +NH4IInw+8VvF2cog/iu0ig9vX9/AAeljz9OzmHzxz/cfiPSgoVRuyZlbTP5E +9z5cbHyOBw7VgzyRcyyUcOPTLtkbzPqHe/6ovCbQzR0H/Aw0aPhitHiVPJO3 +pSreN+qrmDwp7z5+N5VA+PwDOTgsOc4VQpqa8UMGwjTc9/d1OMrkKeDxvTXZ +8TToHjnpPcTwW/3l+ZoGu2kw9p+bv4Z5/+DzEj7XoOuHogQQPi+J2B0fVXGs +CRa4bP8WfIkGGbPOHT7fCHT6c1q822IaylsXbPrI1Es+bmK6+y+mnr6zDG8y ++cgfPG7qZs68DwT2aIcx+cHnLYJGD7RVbARRaIvEFf61NETPz/EimPUYv9vV +xD+Dhtlfk8xp5nl4QgXS/IeZ9Wmlyhxi8ovPZ1ZcpHOuJfAjfD4TZ9O9psi3 +Gb6LNb9WWEiDuuKie+eZ51mzzG+HgikNwbuX9ykwf0su0L0VpUWDqvjvd4rM +9+WMuPdEsWlo7Wrs02K+D5/vOB2pGzFYycybhz6Rrf8wfHB44wZ+5vqtmQbQ +ak2DuEXZ4Bhz/eLYLkc7koa57Jlr65n7V17X+GI3hwbDcVeDW8x68fnQOTWZ +B6oi/Oh/AJ8JYEM= + "], {{{}, {}, {}, {}, {}, {}, {}, + {RGBColor[1, 0, 0], Opacity[0.2], EdgeForm[None], + GraphicsGroupBox[PolygonBox[CompressedData[" 1:eJwt1WWQVlUABuBdOmUp6VhaUhqJBaVLmKVBakFKYEG6u1EWpRFFUUK6uxul FHRUUGIsQkdCHSmfM8uPZ9973vOdmfvdc+5+0XHxsf2TRERERPK5P2vkDBnL IfKyg3H89/wzg3iNn42XyR6UJwPf6ebLThQnBX+Fdcx03YJ8PDL+he9Zyyxd @@ -243985,24 +301987,24 @@ lvmUoi4t6cWksK9UoiavE8eY8F0pSR1a0JOJ4YxRkRia0pXRYZ8oQQVq0IQu jApnguKUpzqN6czIcP54iWIUpQiFKURBChBNfvKRlzzkJhc5yUF2svEiWclC ZjKRkSgy8ALpSUda0pCaVKQkBclJRlLKUY1GdGIECYTfldrE0oMJLKIsbenL VJZRlYHMYDkNmc0KOrKS4cyJSPyt+h9rF8b1 - "]]]}, {}, {}}, {{}, {}, {}, - TagBox[ - {RGBColor[1, 0, 0], AbsoluteThickness[1.6], Opacity[1.], - LineBox[{1, 143, 120, 166, 93, 163, 140, 73, 160, 137, 113, 58, 157, - 134, 108, 88, 49, 152, 129, 102, 82, 67, 2, 144, 121, 94, 74, 59, - 50, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, - 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, - 37, 38, 39, 40, 41, 145, 122, 95, 75, 60, 51, 42, 146, 123, 96, - 76, 61, 52, 43, 147, 124, 97, 77, 62, 53, 153, 130, 103, 83, 68, - 44, 148, 125, 98, 78, 63, 54, 154, 131, 104, 84, 69, 45, 149, 126, - 99, 79, 64, 55, 155, 132, 105, 85, 70, 46, 150, 127, 100, 80, 65, - 158, 135, 109, 89, 56, 156, 133, 106, 86, 161, 138, 115, 71, 159, - 136, 111, 164, 141, 91, 162, 139, 167, 118, 165, 142, 168, 47, 151, - 128}]}, - Annotation[#, "Charting`Private`Tag$389648#1"]& ], - TagBox[ - {RGBColor[1, 0, 0], AbsoluteThickness[1.6], Opacity[1.], - LineBox[CompressedData[" + "]]]}, {}, {}}, {{}, {}, {}, + TagBox[ + {RGBColor[1, 0, 0], AbsoluteThickness[2], Opacity[1.], + LineBox[{1, 143, 120, 166, 93, 163, 140, 73, 160, 137, 113, 58, + 157, 134, 108, 88, 49, 152, 129, 102, 82, 67, 2, 144, 121, 94, + 74, 59, 50, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, + 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, + 34, 35, 36, 37, 38, 39, 40, 41, 145, 122, 95, 75, 60, 51, 42, + 146, 123, 96, 76, 61, 52, 43, 147, 124, 97, 77, 62, 53, 153, + 130, 103, 83, 68, 44, 148, 125, 98, 78, 63, 54, 154, 131, 104, + 84, 69, 45, 149, 126, 99, 79, 64, 55, 155, 132, 105, 85, 70, 46, + 150, 127, 100, 80, 65, 158, 135, 109, 89, 56, 156, 133, 106, + 86, 161, 138, 115, 71, 159, 136, 111, 164, 141, 91, 162, 139, + 167, 118, 165, 142, 168, 47, 151, 128}]}, + Annotation[#, "Charting`Private`Tag#1"]& ], + TagBox[ + {RGBColor[1, 0, 0], AbsoluteThickness[2], Opacity[1.], + LineBox[CompressedData[" 1:eJwl0mVolWEAhuFNxRYbE7uwxcbEwEBR7O7u7u7u7u6crXOpm92BXdiFLfY1 /HGd+3ufdx8Mzsnarne9XoEBAQEpfGzVqVqPMDJxkNH8cLdN+1OJp84rtRNF Scot20JtRV7i8iHmPaZ5rk9mfjo/4zbbmW5rQBZ+OT/nDjvYyS52s4e9BLGP @@ -244014,19 +302016,1392 @@ ZK56b442JSeHGEMgOxhIFd74uzXalRKB/3/P+xhBbR66X6rtKEhC9jCUGnxx v0l7U450XLPN1WbkIhZvbWu1GyVJySPbMm1PIRLx1bZZ+1Ce9Fy3zdPm5CY2 72zrtDulSMVj23LtQGES8822RftSgQzcsM3XFuQhDjsZRFXeu1+vPShNap7Y VmhHipCEvQyjJt/db9V+VOQYE8jIAUZxkrqEMoUz3PTeAm3JPwoflLg= - "]]}, - Annotation[#, "Charting`Private`Tag$389648#2"]& ]}}], {}}, + "]]}, + Annotation[#, "Charting`Private`Tag#2"]& ]}}], {}}, + {"WolframDynamicHighlight", <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>}], + DynamicBox[(Charting`HighlightActionBox["DynamicHighlight", {}, + Slot["HighlightElements"], + Slot["LayoutOptions"], + Slot["Meta"], + Charting`HighlightActionFunction["DynamicHighlight", { + GraphicsComplex[CompressedData[" +1:eJx1mHk4VV3Yh82Hs4s0I0RCb5QSUvJsUZFXGdKgUirNoZJGFZFCs3kK0SCZ +h0rsFUIhMhMyx9nnmMpU4tvf9Vr98V3X5x+X62z77PU86/fs+14KBxwt7QX4 ++Pg+8fPx/e/vzfbdX1CPtf4Tta0T/jks0k00VeW2lB/4BW46e9qZRe7cFZXY +PxADLunRp5TWs0hi0m3PJrlEkOtKk2ieyyIXW/R25/mkAUdi7cK3PBHy/Gdd +CPr5BrJOnt0W+F6EnJcm2czPT8GK415+dkEiZEabYUmZXi7MfZkQYnNChMw9 +Hi6zi5sPF63LTG7qi5BDyuskXp0qhK7ai19HZ4mQAmMvZ8gs/gQe694Nf+0Q +JrUn92dYJZTA7A9faysyhckSj1WfC/4pg+RGPYN73sKk/H167EVvOdTwgC2x +U5ikR741V2tUgP3BAt9rKsJkDCfrk/jdShiM3zauMCBEiss+6+voqILuSJ28 +2jdCpO+x9MORSjVQZKsz7YiHEDlMvuw4eq0WuL0uCzSNhUjnlflpc/Pq4J2Q +2UTadCHyW0K+zxeFBtCqID4+LBYkfx+vCl1y6SvIDTSM+HkJkksNWBOHsxoB +xG7nLdogSG6KVjiSPK8Zokfp4xfHBMjzsvsUW/d8A3tP7ycSiQKkGteaqDVr +gWVCz8+uOCBAzpLoF83/1QLkWa2lXDEBUogKTVwU1greKjd+nUrhJ0+syqoX +M22DG6Zmz83N+MmXJgcic4bbYEa/W1pNGx85REvP6ApoB989rZMrLvCR6Vab +BP2MOmAkmd6QOzwJ14w9H6d1dUCm45lrPWMToNC0ue6JZye4pRZHu3H/wBod +Dz+plV3QX1efO/xyHPbIlY3xV3ZBpvbRiGd2v2Ho0502J7fv8Ptq09OsijEI +ErJ1tlTqhha5ryNly0dhVnN6tEB+N6y2shHL2zcMwq5u23RP90BDjcvVCdmf +wPfCNrFtJgdK2Z7B4nEDcCYk8c7MXA6ELtzVbiHMg+ylt0QlHWgQXrZm40eX +TpDcfEbNXZwLKx30duvZ1EOjennlxXdcOG8d9kk87wIkRYBShy0P4jixBRUB +LdTeRG1J2QkeyHAWHroW94vi+z8/kXO23vSW8oDCzobam/dYZFDTJcP0iQjI +vlE+dMGGRb5R8Iq4dJwDFs58tsZL+mF+u0yx5HwaopIOcX459cD3Na4hJjk0 +uOosGaMsW2F4rQLfVXsuBMmYJdQnFsPGLtZ4wnQePLar8vfWLaVEVLJCrifw +gOgM7Eup4k49X9PU83GopuVehneknMHJUtSR95hFTvsRoCXvGwxDlu5/1I+w +yD2dg08T9Dkw4jKSxO7thw859mozeRyYQK/sizbSYJnqbqEeQIOG8EPRg2fb +QSjPZC7fGi4cLZW8n/S5EiTZ3COzO7mw0XJV/xYii/p6wHVfyk0e7E306rVV +7aJuCvaU+F/tAr/E+T7fJn9QFo8ajm9RvguTywTnHXNjkVdyz7fbd9FwUl3Q +OGlRM/Skjfb8vs8Fj1KtwsiAbLicu+i+iTYP8l8JS4RY11Ir6dHqLUwY0xR9 +7azQ4NR6S6fW20DtODSS/VDqECTyJTloxrHIgj8xy6oqAsB9bbHD21Msclmh +6ssiVQ6MWawTkTYbgLB49t6HtRxYs3yhRY0qF245CqvLu9OQIzSR/OBCB3iL +2CoYKHGB9Xpw7XhkDQzLNMsWlnOhOjRKVqTxOVVwvdmg+AwPavS3fowxbKfO +1Es47mLqWVcSdn659Qh1ocrvAlf+NtxEfxYb32KRKVd/5eyooCHsStHEbe0W +cBqdNqR3mQvs28SWMtsPsL9qzsZxBR64N57KHq6voBaI2bw+ksuDL76P/eYf +7Z+qZ81UPdupu69mqlooX4Wrp5oEtwSyyH6jBa7BITz4rhuzWMWyhwpRz/2n +IqcNLB76RdyL66eMSwN/aHF4sHK4Scnk7dBU/bKn6veJMi2tTQmX2gYNSxeH +fklikUqCai+XufjDxL6dkjNOs8h5rw1fVctyIEK/6VjN5QHwWrT3XEUJB9oC +biblBnIhurRAev4FGuYeal3lNdoBW1S7n9pJcWF2tK1qDF8d9CjVXogs4ELw +0lid3HP+VJaERGrtUR4cF9nq1tnVSh01WkSulePCpa/Np2onR6lpQ6evZYXd +hDDPJV71PiyypDEr3ryIhk/F98+/+tACmtKBl/Y6ceEZmPVa3CsCqybxqOp5 +POBrGdMQSyunJIO8lzi/5oFOmfyKW6h3qj8NU/35TmX0lmj1yV+Ehwtf114K +ZZFdlf/2xt7ngVeHlVJw6nfqflD179SIDhiMrPHTsR6k1u0pOrS+hQcdzTbH ++BR+TvWjYKoflZRom3v0dmUHyJAVKsiNYfa3w3j4edtu0M6xcfOKG6JULi3Z +tLuEB9xl0dZiNwem+lMx1Z8WKiY3YVd/cwvYsmLNyyZ5lKX+EfclP3nMPFjf +wJc+MtWvV1P9ektpPg4KS5UygmneckLL0llkhadjz9dCP9C5pK9NnWGRvhoW +y/bM50CP4tZWgUcDMG570+1MIQcaXoheSf/MhaQrzx7MPktDu2gw0azTCRq6 +r3oGZnHhjDn9z/KEOjjwwkr5znsu7DnUNlK+7iqlveMZ3XSQBwJFNr4717VS +QjJL+FkCveCs2+yvXvqH2u7ZIrBotydkz1pkF3+HRTb4hC0yy6MhfO+syfPT +WmH20j3ZvONcmDPp7Oej8AlK7Hy25M7kwdxP+QlgVkb5flM8cCmVB2h9c9+d +Ah61WSJOLyfMBU6aEn9ehLPI/TKdyvE+PPjAs/ucQXdRr5/sDzf+yoMlvocr ++U78oDQWrUv6KX8MFDhH5Q88Y5HR799fsyvkAT0e7Dj2o58q9Zx8u7yPB2Ub +eBEiz4ap8c0HA+2UbUFnZM0601csMne2hppeJw9mXfKb3tTxk6ob/myyaIwH +CqfmaewfHaWEDKWdvkmtgssPh9kyr1nkP5se3Epx8oMZJ4i+wLPMvOHWOjye +w4H9PjfKfSMGIHM8xCs3nwOPHEdPiXO4oF01r/ShEzPvxtJF7XZ0QuR7CWKN +JBcILpK1F6qHx7SkqyDFBaT223zntg3Uj0s/WHZ2PDiYcKsxo6eFyrAZFS/n +64UOt0zTQoVx6nJpYP72tx6wapt3eNBdpj7t0/YVIxqaj+trxi9vBc/UnGat +o1zIZl3sXtbzCcRiTGJXz+CBj+ntLI/1nykTQcerf5J4MKfjuOTzf3mU3+P5 +aPHuc1DmYfujKIJFysEKw+W3ebD7ZViJ6+0u6lxh/JviOh5cvBT+YP/sH1S+ +oXRmXthh0L4r6Jn3nEXu65we1pHP7G9544Etr/op7xemtSlcZl4XZQqtVx+m +lHxlYyfld8H7bI8X4wks8vrqK8ZUGw+KIhML+Nx+Uv7SMoefDvPgwpMzk6Nm +o1THAiW388pboMjJIbQuhUXOOJAaET/Ag4HxowdHVEco6Up39cjfPKgMhfoV +78YozJ+lM17e38+sH/Pn8fly/ssPlAHmT3fjXZoOdW8A8+cL/dA1RLEbhfmz +NEf1kEDlWwrz5ymp2Q2VBR8pzJ9L1V9lRe2uoDB/LphVQT6eUUdh/vSLFRat +vdFEYf60X2QxVEe2Upg/W2OD5Y/y2inMnwUaFuvFjnZRmD/L2rpl7lR1U5g/ +X9JnbW1daArz54mrdwZ9eTwK82f76zydf7b2U5g/dS1n+bvEDFCYP4de7961 +s2uQwvwZK1KVJW38k8L8aZ0w+s40cIjC/Fkz/+yGJ6IjFObPAaKwbuGlUQrz +Z3v81s236scozJ+kgafWSdvfFObPp28rHRy+jFOYP1lvqulJowkK82fIIsLB +pHWSwvx5ISCGdzGdD2H+1JKKzMq7x48wf1pFjJ2efUIAYf5843O+ZHytIML8 +KfDtTNmgohDC/HmvX65w0YQQwvxpeOMpca9WGGH+NJG4qs/NF0GYP3cEL9Qo +jWIhzJ+DBN9LxzuiCPPnh+xxpSQ7MYT50/dWTnSvEhth/sziu/X0disbYf5M +sCp7o+1EIMyfrVV17I5KAmH+bDwjZJ7WRiDMn3UTuzJ+XycQ5k8XomHoxXY2 +wvxZoRWsJj/MQpg/szfTfw4H8KH/jz/jPpgK0U7VgPlT7rP+mLHrB1gZLymy +QDQO+C/3Joc8eQq+r0J/h0v3wGrh4i2DN9lIYFY/v3huDxy5zJL7qE4gzKuT +822t4uIIhHnVb3WmS+l3AmFeFbTUdjbNJRDm1U/Li25dJAiEedVk3RvTbfvE +EOZV58yNqdElwgjz6n/r6f/LqxXxLwT27akHzKsdX0qD2nQ+wQf3ehsR12fg +1zzJNnNKgfIPqlvN+7pBQvD1RB5TL6Gi1S+fBPfA82vWnh9ECYT5Vt2MdNl/ +j0CYb4scpq960UIgzLcBfdHZK2oIhPnW0GW7u7gZ058pvg3S9JA17hJDmG8d +92XvOCLEQphvF/S3XAlpHf3Lt5th8fz9NhXAb/ts5wVOD+SICjzaZU6gubYy +ARsDGP6f4333+XsCHWdVHJM0pGH9n6dl6d0Ewjzcqa9tqvmUQJiHxSePtmu+ +ZSPMw+aPvUQfvhNFmId3LW3K7Z4jhDAP/1fPlr88vIqnZlw+oxEwD6/caOnq +/64ExB3WeV7WeQpJF/efspLOgEGLvgsv6rshdDJlBaHPRh6KTdccPHsged5B +xRNDbIT5mfU6GQyY/Yr52X0mpA00EAjzs4u69jOrJgJhft56Ojo9/CizP6b4 ++am++DdRBTbC/GwXmt683IOFMD8X5+7WW8X685efTwtTEURVJbi/NlU3rOmB +iTiTiINAoG6wCNl/nQPagdfF32YQyGDIJeSBOg25doIes3oIhHl79X2LaKt0 +AmHeVj6spzLYwUaYtzs4POMaSTGEeVulZ1MNYgkjzNv/9bf7L29rP9MvugO1 +4C2YHe23jQMlesJNXuEE6i1Hvx8IMP1sWf92tINAC0Ymz62No+FM1bPNOqUE +cr2mRVBbGe66qNI8dxmB1EbHku3GuKDndjqtO1YMYX7fUH3WxuOsCML8flL2 +cDbfu5+U0ZXOB2rxHPgheP7h4WIC+Ump6z7Yyaw3s3civIvJm8gQy/kXDY+y +n2QU+xHowOmO5rdPGe6YbR3/3ZuNApJWNARs5oG3T1Gws60owj5AxDqs8qoU +QNgH/ts/5X99QOCs59tDg02AfSDvW19yC/szpB50lM7wi4V9mpnyaDgTBIIq +ptd87gYpPwWR2yvYKH/rco7VZaZfm5QbvWk2wv7gKBYTs/MCgbA/9HnqPvCr +JRD2B7nQvOw1TD6xP5z7wB+x/ByThyl/WGAltMpFj42wP+h0nbjwJoeFsD8o +qkkt4Jee/OsPY9F7WHvDqyC3MzxQtaQHwiTnOMVpE6hc42TYqXMcEJv/40R1 +EtOPkx9TvijS4NggHv6EySP2DW/eWMHMdwTCvnHYyjwxc5SNsG98PJKleU1X +DGHf+Pd9Bn+MgzDCvvHffub99Y3xxtTGQwZ1cPZnbUyUCQe8yaKBRwEEatg0 +a3bFCAd4aKHsJPN+0LF+f3BVJA0W3tOlBr4QiIyi7n8z4oJBzo4TgvoEkuP/ +MW1tHxcOVjsJVxeIIewvNaY5T2xyRBD2l/ybi8JLPw9T6qf4/HSjOHDU4Fdo +QgGBrt2/ZvHFjIYVUUoRbcw8tzuUMXSyn4a20bITn5j9rPzI4dDXUC54r7A+ +ufgJG91684+WE8kD+48Rm1PuiiLsQ6X3sgIq3QUR9qH/8lL314ey/btsSkMa +IEnrtZFlGwfSzB+ZjzHz4ZSkESj70rBOQGHjeD3Tj4FX5TlXeHBW0eKJ5kYW +wv7kvCtiz5Gfv6jJLdpBVj4csH9jar+d6cf2q0ruFqtpiI2LvybN5D1woe4X +20YajqjMsGcnEAj71uw1eidb/YUQ9q3/8tRBCdo4tFtMp6HCwvjFIyY/AsH6 +t9an0WATvPMf/iICYR+bnfa8SfPFIPU8umGhxUEaumS39XYw+cZ+9r7/fHro +D36E/ey//KC/fjZLJspuhuE3wH5mWnPPZFf6Z7hpK2pvZRgLSoravzhdr4G9 +1mjnyY/dcM9Cqlx/KRsdOrzz8UaXHkC2cyzvdrER9rnvTWffb3EmEPY54dCy +oIJqAmGf88zqIDxbCYR9rvZIMt+yy8w8mPK5e9IdThkmbIR9TkTYal9JAwth +nysYGH5wQmHir8+tkxEqoISr4cB4wb8yhT2QGNqZpb+SQEYvLsr+dOTAl3c3 +HhS9YvL7bDDntCwNBbdrVTYw+cH+hyZQKlAEwv6X9ifr4moBJl9T/vd5ifft +6H/FEPa/GNFzm2MjhBH2v0aJ7ZvXFtdB98nOxapGHFBVaycEHhHI/IbewjOD +HIguCPH9xqzXSCi6QSOUhj6/BfsWVhFIMcWj0hG4cEx9SXzMBgIFeTq+VWE8 +LHX68/vvasQQ9smz04V/9LeKoOjO6XNQKAdyw+RXa+UT6Gfx872njWlIIbdq +2TDrcZgVePIYTQPbcsM2yWgCicZoPjsRwAUWW7/kSwLDT/rP2vas5cF0R089 +OlYUYT996bp7fHmZIMJ+2iV062Lrv19B+XSr+WAjB45vZW2/3kigS0gpQ9GL +BvIgqGd8JZCp7kaxD+d5kNTMdzvWnoWcCw2lGjw5cPDOCrsHb5j6vTG7O7CS +BqNpnzYrMfs/pkzKeHctDXm1au4omenHlP+6BVfdsykXQhf8A/sGWDQMNmxf +VtFJIAlj+Un9RBoehJqPvvzEzJNmQfWBvcz9Mn9rlTOfY1/ufhxzpYnhb+zL +I+If//3OawR/ua4nMq40jPh1ilc3EyhDI/LpClUafpZnuXcz9To0jcNa+YcD +c3ncEBaTF7b19g0rrGiQHue83MLMG+zbUtv8LO8uF0Qt63+fS15HQ+WSsY9D +zP/fldtQmzyThttrFzTqMdevPJI8O/ko83whT/O+thMI+/mNX3K8V/v5Efbz +27kz9kXOawHs51eezyp2Icqg7+s2drR0LPzZai3itu8N3J3m6ppZ0A1nXva5 +zFNlo7QB7hh1tgcsjzZOTGP4APv83g3kNaMzBMI+fz1wb0sts7+wz7O31Igt +ZOY19nnJupEO6asEwj4vo3AiSdecjbDPCxZlGN3pZiHs8w+bN88N+DD51+eF +fFYbj66phhSv3c/88nsgIVxPVViDQFft+Wx1TnHAS9Y6dG08gfZuYH14L03D +d9eA09OYemH/L4q4nfIREQj7f0qLSleLCIGw/7sFnzgmtl0MYf8v3KsY2pou +jLD/m6laLS2bWQ+KS04ePmHAgVXSpZqaD5j+KVgo5/ZxYFQubdUmJm/Fu2fO +TQyiYUvX6g9qzPx5tOb7z0w9LvQW5pzbb0KgqkJ1yYjvXGi32Zh6p1kM4fOE +z9XJc7b+EEGNUX7WgsEcePp8nvEA4w+Jx7IPvt9Aw4AyecWHWc/Qcdbz7900 +7Lxa2akcQ6ALagFFqY+4MDtKXMw3jY30r9vrVq5m7vfLOcA8WRTh8wnh0l8X +NH4IInw+8VvF2cog/iu0ig9vX9/AAeljz9OzmHzxz/cfiPSgoVRuyZlbTP5E +9z5cbHyOBw7VgzyRcyyUcOPTLtkbzPqHe/6ovCbQzR0H/Aw0aPhitHiVPJO3 +pSreN+qrmDwp7z5+N5VA+PwDOTgsOc4VQpqa8UMGwjTc9/d1OMrkKeDxvTXZ +8TToHjnpPcTwW/3l+ZoGu2kw9p+bv4Z5/+DzEj7XoOuHogQQPi+J2B0fVXGs +CRa4bP8WfIkGGbPOHT7fCHT6c1q822IaylsXbPrI1Es+bmK6+y+mnr6zDG8y ++cgfPG7qZs68DwT2aIcx+cHnLYJGD7RVbARRaIvEFf61NETPz/EimPUYv9vV +xD+Dhtlfk8xp5nl4QgXS/IeZ9Wmlyhxi8ovPZ1ZcpHOuJfAjfD4TZ9O9psi3 +Gb6LNb9WWEiDuuKie+eZ51mzzG+HgikNwbuX9ykwf0su0L0VpUWDqvjvd4rM +9+WMuPdEsWlo7Wrs02K+D5/vOB2pGzFYycybhz6Rrf8wfHB44wZ+5vqtmQbQ +ak2DuEXZ4Bhz/eLYLkc7koa57Jlr65n7V17X+GI3hwbDcVeDW8x68fnQOTWZ +B6oi/Oh/AJ8JYEM= + "], {{{}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{ + Polygon[CompressedData[" +1:eJwt1WWQVlUABuBdOmUp6VhaUhqJBaVLmKVBakFKYEG6u1EWpRFFUUK6uxul +FHRUUGIsQkdCHSmfM8uPZ9973vOdmfvdc+5+0XHxsf2TRERERPK5P2vkDBnL +IfKyg3H89/wzg3iNn42XyR6UJwPf6ebLThQnBX+Fdcx03YJ8PDL+he9Zyyxd +S/Lz2PhXfmAd69nARjaxmS1sZRvb2cFOdrGbPexlH/s5wEEOcZgjHOUYxznB +SU5xmjN8wZec5Rznme3+WhHNE+PfuMIF3tG1pgBPjX/nKguMO1OClNzTXeRd +120oyDPjW3zouicViOJH3ULZhZKkYgPDqM9985/KflQjG1/p5si2FIpM3Ny1 +DKY2t1UfyV5UZDcTycgWRnGMJuxnKqf5ybpFsiuHKcUuJnCC1GxkOEdpwD6m +cIoH1n8m+3OE6uxhEifJzjbG0IwDTONr6xJkOwqzk/FEso4h1OGOzy2XvalE +JrYymqZcM79YxlGaNGxiBA15aH6ljKcGObikmyvbU4Qk3NV9LPtQmcxc1y2R +3ShDWv7WrZIDiCEnl3XvyQ4UJSl/6D6Rb1GFLNzQLZXdeZl0/KNbLQdSk1x8 +o3tfvkExkrGeodTlT/MrZF9eISs3dR/INylLejYzkkb8a36NfJta7GUyudnO +WI7TnINM5wzfWjdPdgz7E84tZcI9h7Me9p6h4ZmE9z18ZzqE9yacYeKZHs4m +9RkU/meEZ01r+jAl3DtVaEc/poX3hldpTnfGhfeO0tSjFb2ZHJ4rlalFM7ox +lvmUoi4t6cWksK9UoiavE8eY8F0pSR1a0JOJ4YxRkRia0pXRYZ8oQQVq0IQu +jApnguKUpzqN6czIcP54iWIUpQiFKURBChBNfvKRlzzkJhc5yUF2svEiWclC +ZjKRkSgy8ALpSUda0pCaVKQkBclJRlLKUY1GdGIECYTfldrE0oMJLKIsbenL +VJZRlYHMYDkNmc0KOrKS4cyJSPyt+h9rF8b1 + "]]}]}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + + Line[{1, 143, 120, 166, 93, 163, 140, 73, 160, 137, 113, 58, + 157, 134, 108, 88, 49, 152, 129, 102, 82, 67, 2, 144, 121, 94, + 74, 59, 50, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, + 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, + 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 145, 122, 95, 75, 60, + 51, 42, 146, 123, 96, 76, 61, 52, 43, 147, 124, 97, 77, 62, + 53, 153, 130, 103, 83, 68, 44, 148, 125, 98, 78, 63, 54, 154, + 131, 104, 84, 69, 45, 149, 126, 99, 79, 64, 55, 155, 132, 105, + 85, 70, 46, 150, 127, 100, 80, 65, 158, 135, 109, 89, 56, + 156, 133, 106, 86, 161, 138, 115, 71, 159, 136, 111, 164, 141, + 91, 162, 139, 167, 118, 165, 142, 168, 47, 151, 128}]}, + "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwl0mVolWEAhuFNxRYbE7uwxcbEwEBR7O7u7u7u7u6crXOpm92BXdiFLfY1 +/HGd+3ufdx8Mzsnarne9XoEBAQEpfGzVqVqPMDJxkNH8cLdN+1OJp84rtRNF +Scot20JtRV7i8iHmPaZ5rk9mfjo/4zbbmW5rQBZ+OT/nDjvYyS52s4e9BLGP +/RzgIIc4zBGOcoxgjhNCKGGEE0EkJzhJFNGc4jRnOMs5znOBi8zw/zUkK7+d +X3CXS8y0NSIbf5xfco9Fzq3JRzw+2i4zy3NjsvPX+RWrPHemGMm4b1usbchP +fHYxmGp8cr9Be1KGNFyxzdYm5Ij5YtnOACrz2rRau1CcI4wjOUEM5wS1OM4k +TvPAe0u0LeEU4DBjiSIBuxlCJNUJZiKn+Oz9jRrzY4ugLEcZTzRp2c9I6hDC +ZK56b442JSeHGEMgOxhIFd74uzXalRKB/3/P+xhBbR66X6rtKEhC9jCUGnxx +v0l7U450XLPN1WbkIhZvbWu1GyVJySPbMm1PIRLx1bZZ+1Ce9Fy3zdPm5CY2 +72zrtDulSMVj23LtQGES8822RftSgQzcsM3XFuQhDjsZRFXeu1+vPShNap7Y +VmhHipCEvQyjJt/db9V+VOQYE8jIAUZxkrqEMoUz3PTeAm3JPwoflLg= + "]]}, "Charting`Private`Tag#2"]}}], {}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 0.9377474806468347}, {-13.815510557964274`, + 3.912023005428146}}, "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {0, -13.815510557964243`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), + "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, {Log, Exp}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJw9mXk0Ve3bx82Hs0tJE0JK6IkoIZJrSwN5ZEqDSqk0qFAkDRKRQoMSiYQM +DTJPJfYdQklkJmSOs88xlanEu39rPff7l/Vdax/3cI3351I47GzlIMDHx/eS +n4/vf3+3O/R9Rf02BhVzX907pN4BQkbSLt+l1sGtwrkHoxe1g+bTR5EZUptB +UibGfq7Rd+heouh9QWkHvLTt0ysLagPTiob0J1I7QcDV7+3RkVZQDJKNn5Hf +C1H7kmKqT7bC1PYjYfZKdjAu/vHfH7wW2H10PP++1FFYx1M1rprbAsVG0jlF +kcfgj7KbtWHSN9BYvjH1l/xJ6BW6ebHj328g2ukTu0vJCfIf9tpWPG6GVnV/ +o9tSblCd9ELg4P4mCHm6GK3Ydx7MVKxXVc5rgu1zXuoXRLpDy5xd2zeUN0L2 +wGetQfmLMNWS0XLUsBHuvJ6nYql0FbQTDcpuQwNELzC/ESDlCy8/mArRLnVw +uSKseNdbXxAKXG88oVcHu/zaBZbv84ONMkIllHAdzBo965UXeQMmY/ezDjyp +BY/aEA+u/C04K0xFEbU1YPmg2XGH0h3YDisWH7KtBm/RDOVbUiHguFjuofrh +SvhnW/DNdJcQuPJcstydqIRqP+f+b6UhYFp/12Rv1hdQFFR9tdr9IRR9H0xr +Z3+Bkr9xq2urQ2HtVivPh+8+w6yfoVryQeHQ/bXiUafOJ3jUeskoazoK5L4Y +TBp7foA9e2NShobjwMd4r6ZT4xsY/LaTHSsdD3/NbUS8D76BG3aiDtZG8aC4 +TPs3pzcXMo44S2eHxMNBzRx5NJYD4k4b/S7rJEDqxUNnrKWz4YNPk62IZyKE +tM2wzVzSYW2ShMgS0ZfAf3kg7fGzBCBmvPdvk0uBFwYRekS5N7XCcqCvKDAT +KgpUjgrUvKUufNGFR7/ewBmp+c01JR+pRZkSbfz8FKxSe50Xs6+ayu40+lyp +XwhLJKvJp3MbqULHJzJ7ucUQEi8s2nC9lRpV2jjn9ZlScFhuOdpIdlACk6/m +yqz4BB3x4fIneF2U9syhbOvkz1CiYblJ7EQv9dl33ZeSfyqhsrNP5nZtHyV/ +j558MVAFr2hXOzt3mqLHv7fVaVTDqau3R4J4PCqOk/dJ/E4NdOUW6fxjPkSJ +yyYOdnfXgq6V5EP3uGEq6GTWsWjFehjN3bd3T+8INUa+6j7h1QDxIrV50sa/ +KLe1xZkLixrBJnninWnYKPU9uTjwq0Iz1C923fJMdJz641gbsfLSNxgmShuX +XpqgVhmypo/ltUBXkvn2m02T1LZYheNpi9qANPTTOm33h7oge3BZx/7vkPC2 +xsnp6xSlyrUhGszagfWmjp7ZPE1JzhkSLf7dDo+XE04mHTOUEBWRsjyyAzxC +43gXs/jQqXV5TWKmnaAlFZ1XdJcfvTI5HF0w1gnWUZNn558SQKO09Nze0C54 +E3jh89QGQZRlvU0wZHM3CHw/VzmyTAh5Gfs9zezthrtDcqXLp4WQQuv2xmd+ +PWB0PYG42yCM9HR8Q6TW9oLJnKsG3GIRtF+ucpK/phd2hy/VqIhhodFPtztd +vH/ACMH3yvm2KHokZOdmpdgHH/KnFFPtxZBkW1asQHEfBN0siB1QZKM7szw9 +c0r64NyrQfdFKmzE3rB5z+mPfXDXUqrKYBUbCTyqnl3/pQ+kQhREbq1hoxHL +QY8XTX0QMZO+hjBgo6oPKuYWg30wRzB3umgXGwW9jvjzRLof1guX7xi5wUbC +nt47dc/2Qx7fzYRbHWyUOcydpFz7wepEy/SsbjY6emzP063u/YDsFljd6WWj +YnN1jvXlfpjeptQSQLOR77JWLye/fkhbdGTZqVE2Eipb/+pZeD8897Lx+yBK +IAHJIX7xwn44fpkl91GNQOn++xJDivsh+Ym+irAGgQ5PlfwrU9oPKRE9eQZr +CVTY8yRM5XM/REoscHmpTSCfXFM1o3pmvZcmUUeAQPx2iXs8OP1QICrwYK8F +gfhe2KV0zuNAsnXlG20XApVwG5yeLuDAgS2k1+ZzBArSsFy9fzEHfrS6vt/h +RqBFuUav62Q54CwWF7fHg0CrS1VelalwgJWbBobXCLS/ZyQh2YADamak+6G7 +BFq28vSxU4YcWCddoakZTKC+0z0rVDZzQEW1ixB4QCDXXw1xMSYcCCDLhh+E +EihAMD82ZCcHPusLt/o/IdAbBf+oS44cmFlsZ/3yJYGuOvDZ6ZzhgL+sTcSG +JAJtfnFR9pczB76+ux5c9ppAVRqnI8+c54DY4p+n6lKZ9cDy8aFrHNAOuyb+ +NptAydc/7ZW9zuxnrP+vci6B3EqNpJr9OHDk9hr74DcEmtmh/cg6kAMOb0wd +dr0j0EI7mdCtoRywXBBw5/l7ArXEhNgIhnMg4fki4+FCAsX2zF6AIjhQGCm/ +XquYQGpn+EJ0YzhwwvB3RHIJs78rPcGqSRz4KXjh/rFyAp17nHJ7XiEHOmob +2d01BMqZeuxfWMyBa2EH2htqCTRld8P7XCkHhCMqH5XUEch/+YHz1Z85MOin +GxzSQKDIJPaB+w0c8JkHmcPNBOoQH9u1qZkD0iefZ+V9I5DS2Q6LkRYOOJqz +dl1rIVCqVu5mq04OZFo8sJhsJdCHAgfVeTwOlDnNXveinUBsBUulwkEOTMhl +rtvWQSCL6/pLz41wILbkcdB3Rjdvk5xfPc4BHloqO9NJIPmX07N9fjPnD5I0 +utFFoKOzOKy1fzmwkMd9zOom0EAV+hMsQMOm9k1vJxitqZk0aihMw72HQU4n +egjk8TBscJhFw0jzrtXVjC4Y9+mPYdPQ0dsyqNVLIEFbpy7L2TRUWxq/eMBo +43d7W/nn0jD/W6oFzeg7clsa0ubRcGvDkhb9HwSquabx1X4BDUZTnoY3Gb24 +S6ZcYjENIetz3CsYfWAL68N7aRp+eIaendXH2CtxpOCsLA0ltxqUtzD6h1hb +rsJSGtSWLb97gdGqpz+mf11Gg3Oz+JNnjD77JTPJewUNVR1Ltn1kdLZGdMIa +FRp+VeX59DF66n5gdMc/NGw8tnULfz+BDEfdHwer0VBoL+gryegbuw+HGGrQ +8HXzinXyjP78xuzO8FoaNs/6tF2R0RJLdG/GaNGgIv7n3TJG77qq6GO5nob4 +l0le0oyOaJ9zhX8DDbGLC/wJRrdv+nM+bSMNNSsnP44y66+I73W2J2lYyJ63 +oYnRjqzqkxJGzP3/TajMYnTKyfwj77fQMKxEXglk9K/y5wfOGtOQTppr2TJa +b3XIbgVTGsL3qQ8qMNrrnpflVzMa1sQoRnUy91c84mjqbUGDn8B+7UhGs212 +bVljTYP0FOfVDkab5xhChw0N4paVI5OMfUKk1HSD9zDnzxmYfsLopsuLNQ33 +0WD8cGGxHqPl2gTVhg8w58/5o1XF2P95bPNSyyM09MruHOhm/IUnVCLNf4zx +D60MmaOMXns8bX7aCeb7xwlF3xh/y191U1TCiYaWc0IWmYw/atcuqrjvQgN7 +R73YUkanXkkMnu/K7Devm/Bj/De2okR6sQcNchFF+XqMvy9x3/U9/BINMmY9 +uwO/E+ihXO8zGU8axkN6xOvaCHTTWVhN3ocGdzXtRGsmXvgXPxyO9qWhQm7l +uZtMPF1CitnL/Gkgj4BaNhNvZyQ2g1IQY38Bha1TTQSyyvCxVAulIXQwNn9N +PYHK981bmPKIhh296z+oMvG8WSi2WSOChsGQJQeXMvGuY/P+yLpoGiwDZksN +f2X2Nz5zfsNLGs7VJm7XqSBQ6NO7evlJNOgePx0wyuSPOcbyMwYpNARHWEy8 ++sTUh3CDm5syabAN3/MPfxnjz3qej00KaBC00nYzZfLToa5ZB8sRDWVRt9I/ +IiaeAyOXmxXRgKZRBlCMP7bkJVmU0RDAmyyZx+S79Ku/C3ZX07D+nmWsdRaB +VikHXG+qZeyhtM/xTgaB4iqljPc10FDUoOqD0ggUtlT3q10LDceV5zqwkwl0 +pfBCl0MvDT0G2qaaCQQadWQ9/9FHw56rNT1KcQRykgw7fZJm7GW1ZadELIHs +j2aPnh6ioXOi8tQnJt9vFRlluf2m4UH+s+zyECY+tp9T9RHnQuP03uw/TH2J +fj+H0JPggkTjeLf0VQJp6L7uH5bkQsPxNL7Vlwm0Q6UvwV6KC+c/8Eepn2fq +iYidgqEiF8zPxmY9OUEgoSKThXx6XDBy3+UjbkagB3o/fuXoc2GgtOD8IROm +XqX71jgDF06qrUyK20IgMoa6930zFwwLdp8SNCCQp5cWQZlzmf5VuW3hagKN +bVDgu+rAhU/qZTcvEgTyyyho0zrBhfR25d52EQLNX7U/n+fIhcy/eRfXCzD5 +UDrs0gEXLhyztkjJmWAjl4lZo/qXuaB0TF95hOkX+jMn+v/c44L4zIkuzbds +5KEaWpbxgAvzY8TFgjLZSDROM/FUKBdYbIPPX5PZSOmB09FvEVwIWGNzesUz +Njp8trvtbQIXtOfbJP0IYKMWtaqai++44E40j75g+pentISnIMUFGYVTqboW +zPcvrJVuv+fCXelul2wTZn3FBo/oEi4ssRZa567PRmMybbKlVVxIMBD/LqrA +RhJs7vH5PVx4pOkra9wrhmpL1SSifnChy3Zrxu02MfTIz/mtMocLGbOf33tX +L4bk+H/O2jDIhSN1LsJ1JWJIdWIyzX6SC/reZzP74sXQ1l7WVPJsHphsfGO6 +86AYEosziV8/lwfe4adOiu0SQ5/tA3cUzuPBl5UBt2L/FUPWreIxdYt48PF4 +nqaXrhg6VLtg65QCD7o5PON6CTF0uXD5PRNtHlg89Re9/04UGVxz0K1Zz/z+ +t1uoRZooEjBI7Ny/gQeznf306XhRdPPNP1ouJA8cPkZtT78jikJT1zSHbudB +QGBZuJudKEqNAsVuOx5Ua4Wryo+x0M9LP1n29jwQLMvefLuPhbR3J9KtR3gg +Imx98HMzC+XNmZPRcIIHOr2nPN4UsFDJtTbD8nM8sI/IalP3ZSHRA/dXGJ/n +gVPdCE/kPAuZ6m4V+3CBB6ltfLfiHVioavh1VcEVHrgus3ymuZWFvh32PJh+ +gwfOB/N3HxdiITlYY6R+izlPXdoC858i6JBMj1JSIPP9bOGfQx0iqLfm34H4 +ezyoNy14ZlsggoY2L/EMf8yDLXWutr6uIkhEOe/xtWQeuOVszYj9LIxMBJ2v +/k3lQemBZREdWcIo6Puyw5cyeBAnen57fJQwkngUsNItlwf/vs/mj3MSRkvE +bHOPF/JAuX9bPWIJo4M9syO7i3mAnJxWOnKFUOz79172pf+zX+1d2yohpHxp +5bZ9n3kwX0//dMdDIbSWnqjbUc+DvataC/sWCKHzpUlvyht5IFzx20PjpyDK +fXboifE3Hrzy3DelXimINu4vO7qpnQcVd/NCa3wE0bX1V4ypTub+NwdrK9sK +osL5Gqr6PTyQ2hlidUddEBlXhP3U4vCAiHda518jgAJemDakc3nA5/no2tEY +AVThN/NWfZAHfU/jrrQy75W5hzOikoZ54HK8cdxwrQCyMjjus/IXD94PXciK ++MmPHkrLHEsY48Gai3SBVzI/ahz7YrJ8kgfXf8vxXh/iR9I1PmrRf3hwXlUm +WEWEHx1I0ZaQneZB/nb677FQPpRtOyFexTcA99u2Lwz9wLynZFbyswQGoGR4 +LPiUwvT/azfdtodqFX8p/H23d45pqcIUhf+fDGfpUa+Xvym8Xk0ENK15N0nh +/SicWaRxaGKCwvv1eHZuZsJsgsLn8dTZ1MyXNU7h8w5PnTgyrjJO4fuo3MKL +Ekkco/B9ZZblCG1SG6Pwfa4da1U0eTtK4fuWvBQyu7X7F4XtURadUsLn/YvC +9upusz3Jp/CLwvZcGXSshu/UTwrb++KlJ8GH5v+ksD9kLguyt0YjFPYX7upY +G7EbwxT2J3oq3Hny5xCF/Y0rbzy84/UQhf3xa9DTkMUnhijsrzqV8mtuogEK ++zPa1DZ4u4RHYX9f0O0o8fxfHoXjgegJG0yv5VI4Xn7oxq1QtuqncDz5d1sr +hmf8oHC8feDZf8mmeykcj/teRX72vNVL4Xg9kOI/YKfSS+H4rzcw/xhn1EXh +/OAoYu7d09tB4fwhUGYbtGdjB4Xzy5Hkmy3Z/e0Uzj8vOfEl1aHtFM5vxa+F +5zy2aaBw/vNpOZM/1lRN4fzI1z6pIZZZReH8ufBTcTKYVVI4vwaa3srz3fSF +wvn3qX3twwDdCgrn961W64Z2EHkUzv91ETGyIi3PKVwfwlfF6xSef0jh+rH/ +aOd41carFK4vSPWPxZ6dWyhcfy7YRH4SL/IAXN98K7RKo0PzAdc/9i1iR6Xd +B8D1MRHMBizvlgGunwtm3EICFT4Brq/5rIt9q/s/Aa6/j2TMkptSygHX9xMV +EvdSv9QArv+s3JENU9H1gPuD+bF2KnF8jYD7h3MW9D/qyY2A+wuCi2QdhJoA +9x9rnfT36ds2Ae5vTqsJGqcubwPcL0VeKZu+pd0OuJ/6VH7vwusP7YD7rScH +JGcuzOoA3I+1ORpoJql3AO7XPHVWTlJWHYD7Rw3h+6JHXLsA96MFQtNpwR7d +gPvZhUc71vlPdAPud7tEw4k2nR7A/fDpySxR+909gPtl4dV6Wz+69wB+H8Wk +HuX8dukH/B6cRq8dyrYy5/jvfamnvtSyXoUL+P3ZGXojtTCMC/h92vxC9ErW +Fy7g9+sD54kz4kzdx+/biKV7uyyFeYDf75ZufHbGK4cA84Fx9/FU9sAQYH4w +ablRRNpsGDBfiDJoPVl/eRgwf+hfZt4h8GAYMJ84FHi9KihqGDC/qGD7hYu/ +HAbMY5rr3a9Oy/4CzIPWW9uKFR0cA8yL2uW+jVeqTwDmSX+utibkVU8C5k05 +2ieiEu3/AOZRQ41NhWOvpgDzKu+M8lhv7l/APCvH+ZxX/+Q0YN41nkZvKRyb +AczDgvZ3zKzx4CMxL5s75J1Z38lHYp523dTsuYUZP4l5W4Dy9d9n0vlJzONI +V61VXDEBEvO61ULPXdccFiAxz3PwC3g2J0WAxLwvdoJ2vDgpQGIeCGK3ipZv +ESQxL5Qbbh4P8RckMU/UqiY+3i8XJDFvfCdkNp05W4jEPJI74L5E01iIxLyy +zE5n1nFfIRLzzL5onaKGN0Ik5p0jSTunFIaFSMxDHY6UBHkpC5OYlzIpnz1n +jzCJeWpai77h3QBhEvPW+R++NVTnCJOYx/pufDf2rVuYxLy2t+HitwlJERLz +3Is2lSY3DERIzHsXvkp+bHtKhMQ8eI2jf4j9IxES8+K80647w96LkJgnc+Zs +WPqWJ0Ji3izXmzmnbSGLxLzbPSv2jOImFol5eP71qlEPWxaJefmolc9fteMs +EvN0nw3lTm/PsEjM26cP7pGYe5ZFYh6vc8lAmzrHIjGvn3uKGAxzZZGY54eE +bXM968YiMe+fWS246KQ3i8TzgBvo7wrjm8z6/80LIv1W+jcFskg8T8iXXG6f +dJtF4nnDup0BTx7dYZF4HlHa09xw4y6LxPOKq2daBXeEsUg8z7i/NLfhUgSL +xPOO06bE3xdPWCSeh1T62v0si2KReF7iYiXqzHvKIvE8JVtWqKQwjkXieYsC +54T84UQWiecx2ncE/Yqes0g8r0nhS3XSfMki8TxHZ1xvo+lr5v7+m/e8z/d9 +MZXMIvE8qHnVioivqSwSz4vKXJwiGtNZJJ4nzQqQE1qdxSLxvOny/TG2TC5z +nv/mUc9UzacfFrDI/wONGFS3 + "]]}}]}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVVHk4lfkfvXF5LWWJEkIiMdlaMAmfb5mKDIWaShKipLGEbIXIkqWmjOxb +UpI1e4lXlzD2kN3Ncol731eEixI/vz/Oc/44f5zznOc5R9bWxcyeg0KhxG/g +/3zKfupTzfQ5vefKp9eeVmOIqi/h+kX8ENyJZvNJVmDoYFp8crH4H7A5Qpqq +Woohxk75QC8FE2h0dU7qK8KQUWtvUYr4WRjYtyfpUyGG5KOkXqzLXIQPVcHZ +q/kYWj11Nc5GwQq0lrR1jfIwdN5uqSpa3A4KKIXOB19jqE5forw2+RpoPuIM +qX2FIXU53cIFmRsgy3SQsc3CEM9YUMZfCs5QJkWtp2ViaFgtTP+huAe4mvG4 +kGkYiknbUbPn0m1oD7aab0zF0CnB1zrVyZ7wtxH/r+wUDJXNtGh8k/GB6F0V +vb5JGHqUt1XRVMEf/J2GOU3iMJS+7XRohHgwNEwM9Ib+g6E7rXF1f70LhkNn +I1LiH2Hor5ARDrlLIVAlImeT+xBDmxdvBVQmh0JyiFJYfySGvLtjvAmZcAit ++bXH4AGGTP8dcDRReATrqpxiNwIxFMhTvDdcPAZi4k663/LA0G8nnzwoco0B +oZv83+LcMdQZ4jI92BADWr56mrjbRn+cyjmqnk9h7coFYaFbGKr/lana3RkL +QUeand85bfjPx2rIRCXAolnQL5XrGIof9tUvXUuFqvsdi94WGLpw8VnB7Fwm +eJZmOMkfwxD/eqDlSekCkJ4sEaRvx9Ae05mp2sgSYAoe2fWO5EZebYchfuEt +VP7tfjbuAzcSKxGmb9qEw37HsBibeG5UNqbf0q5Dg+05+YkWN7kRzTFF8iJR +Bz7n2g1D9bjRooKuYJ5TA0z2+gwui3AjjpUcIck9TRCs+549yOBCmuvWZeb5 +LSD6cbC3s5wLtQQfaqv/rR3eDOkc/SeCC8k8Zq1kz3RADwl8ghe4EGvpC/2z +eifYX62PCtjLhTKZlU0Cj7rge+7ZVdk5KhKQyvrGYHTDVLpWbe9bKoq6UXot +Xb4HGq20Nl8PpiI2ymE4BPQCMeO586ABFXkcqCvZXtsH76nGayVbqOhLfl3k +J9kB0Ojk/y+6mRP9dOxOUvIdBOm5gaWYME607yi2dq1yCIA3vFbuOCc6mSF7 +/Y0YHTKWWY4+KxzIS+rK7lHLL2AfEvFcsIADKRPn+HuNR0CV+sp9vy0HEhGc +5an7MQLIXWMfwcuBqHhSgVzyKETsvf/DqWgTunmosp/XaAzuGxm/OmO8CeUY +2qZXs8dAaDawpGeMghZZEkKTseMQZTm6vt+bgkrNT3LG/MGApTes4zT2OgQY +hKSVTDKg3MUtYHplDWSHT/U9D5mAwOLmjEDiF2hrBceIH5iE2b5+GjtnFSyl +21c2dU1CuaZDapbNT1hsejjmGvgVfvoPv6zsXIF4qpWHmfwUjEgPLrWrLYMI +vTSDo24Kfje34K29wgYuv8Czh29Nw0CPp/+a1AJQsq0KxrYyoZUvJEHg9RzU +E73OaduYYB15vyMqdQ6i1E1VLXcwYXr36VGOf+dArEI/77MUE1L1hm/03JkD +1QbFnEZFJqyY6nJLGM+B5cT3l/l6TFjyXCrkm5mFt7Jhqb6OTDD1oFgZKM2C +W2LBw600JiTtujhuykVC+WpiGK2OCf+6LDsJMAlYtQoNdGtgwkA2z93SNgLC +5C7f7mxhwlhsaCEtjoDkXL7L0b1M0FbbZdqjSMDHanvlrSQT1mry7BtPsGDH +uGSz8A4WPCu0Y/5wnYaqfQ94hJ1ZwKWqfeI/zwnQ7BZrjXZlwd8rpTw25yeg +8G7WE1F3FozzJPDTtSYgo7VeYoc3C7bbjR4KW2bAAxcuFZkgFlRT19488WaA +WXGQqUosC9S5onmuuo/DV22/RMNqFvhpKa3gZqNgPb75SnMNC+iOegdz1UZh +IDJZzriWBSmXRda9No9Cy1Bl7plGFjQ1P/bK+zgCRf4/qs93siD5buNauOYI +3KV5jdtPbuRT4TQolKOD8Ck35SABAg4461zSseiH9A+C/NrCBPATNVL21H5Q +P5w3PSdCgNsZ1m9q+X1gojj10kacANEMK8VMSh9EcFvJHpUnAKv4fmQ1vQeo +tYbbKdoEOLQKPy5s6wL2EVmKvz0B8ZLG+f0FzRBSXE3XcCCgCvOZUp1uAtF9 +llWkIwHb1j1iImWb4KBEnO9lVwKywHjG9J9GcF3evKhzhwC+cH6TdquPMF2y +PP3zMQHBrRoN6bFVMKTS0eXzngCvc8lNArXekMYS9uPECahR/nnmwtnjuG22 +ucLDDwRY2o0tdej649Pyvd7p9QQk7HuhRbv9FGdL0qUaOgj4nPRMinvoFS7M +R1wXnSDghNmhWRP+SvzEJLaav4WENJvupxGHW3HeTMMXvwuREGkUXhl8rA1v +sYk0oW0lYXtTXT4Yt+PmwwLPPouRQBlZUect6cCtu7edWJUlIWjIqYrd34nf +ock9NtQkoS6PSzDxXC9emAryDCsSXjNf1HfGjuDzvvOYjQ0JV/MfDJVNj+Ca +57NYw1dJ4Gi0iLqgO4pXCgoW9zqQ4Mh9OnBichSvv0c/2uxGQo/e6f8y9cfx +QVu/K0WhJFwuCJuxUpzEpWG/vlo4CZdyklv8widxa8kJhdxIEj6SNm1lrEl8 +suvPmRePSQhjmMsnFH/FZ//Y6ZeQSMLXw5l79ppN49x7KxPv5ZPAPxH3raib +wA05Xfx/FZKwjeEo/OpPEo/6stvWt5iEmmP0bw/rSVw4PkLJo4IErXaZ/Q9q +ZvCdvBYV12kkfIpKi9nhMItfmdiSzKgjgZAxmDPJm8UzPnwIsGkggbWa4LIy +P4vv9VU6eallQ1fNOMcbOocfYC1/Ntk4+5LdUTbmNd/x2w25b5v7SPDxTXli +LTqPVzy3TjEYJEEp6loX5eY8rmvZaHdshAQG3eIGRXYBv/f7XQN8jITG9IJ6 +SuACThNVV9aZIEHEN2bLMGMBN2iNm9dgknCAPSxv+G4Rj8g26i0iNvway6nH +VNh4a8j6O7VvJLQfJ1O5s9i4kG1xau4cCXOrDleXFJdwM73rQUoL5MY+jw1Q +SpfwpxKS116ySfB+7ra+bLyM97HbDOVWSJB1ElO3Xl7GJbqCVNJ/ktCVBP37 +36/glws0haXWSJBk7rILeP0DL7NYFuigzAAjsNyoQXYVp0oqbcI4ZsDjMP2p +Susv/H8nLMqx + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVl3c8Vu8bx+2H55QyKiNEQt+IEiG5iGRUVlRklVGUUUhDopSsUg9ZCRkV +yR6J584uZI9kr3jOc4hSlPid31/n9X697vO61ue6rvuWPOtp7szGwsLCz8rC +8v+vkfN0O5qx1GrZmP3IQXEUOHRFvIaF98GD6o32KVtGQPl5XFKhsB4IiKY6 +btQdhomt0kFXZY7Da+tpjcaIITBu6S14JnwC2K6EvHNaGATpCLGMNYnTkGyT +k9pxYRBWjM49dZSxg9+8H49+IwbgpNPvysfCTrCPkDdo2zgAtboipTVJLvBX +1sdCJ+crKG0/mPdT4gJMcYReGz36FbjHgtOsZDygMmbKuiWhHwYV7+tGCvtA +R84rNvszX4D2XAjtsPGFY3IWu1r5v4DRhteaVUl+MLDByuhAUx+UzDarzElc +g5WBwgEnnT6IesMvZyZzC1SztBojoRdSNpncCxO+C6/rjDlwr2640fK01urd +XeAIVzNY0ugGq5ARtu02IXBQlKOeztkN6xa9AyuS7sFy2hmK7bMu8O+i+TMl +HoA3Jz0Z6+oEsyf9bsdlosAIdgg5WHdAEHeh7ANhGrgJicconm2F/45EhxZ4 +0eDmS4EmP6wVOkI8Z7420MC456Hh6eLPIM0un73bLwZqhufyR6ifof5f+u6u +jljYq28eEPO+Gdb9iFWRiIiHifaWuLH9nyBu8Lpu8WoyiH/WWjYIqINTp1Pf +fp9Ph2CD08oefeUw9/UENU0kA/6ZWHIF2ZfDPTtuZwvdDJCWUv3DmCqDwnOe +IiW0DLBXLpVAv0qB1+NgyI39mZB3zeGShUgJ1AV/seYKyALa0Br1mFcB7M3h +49rK/RpYb8zmJ7zIBGwt6MwR8bfwSitRA2sKou8wm52uCS+Clio5J7bOd/Sr +n9Uh7mc5XBIW7O+s/0jfUsQ3xMpKh10KbypSbTroJWO6za2a1bBVoEP7+cY+ +erXbM9HTzFqgZXBy994ZpC/KHNzw5lIDOG83W+zTHqWzLWdvFN3xCUYz4iXO +E+N01TWHEovcZqhXMjvEc36K3nx33+f6/1qhdWxaNLJrmi7xCF9+NdsG2fgV +Ozs/nI7/Hh7qVuoA91uRCxEEQU9nVHzijeqE8bKa/f+ZfKfzimXNTUx0gbq5 +QIxf+jw94kKxS4p0DyyW2Zw+NbVA/6WdPXE+sBcyuLoqRAx+0n321hZtrukD +y9yl98ZPF+nDubXh7ZL90CN05fAL7t/0v25diTuvf4V5rKFv2/Ul+i4dyqpL +xQCM55gYhX5Zph9Jk3TN3zIE2johKhft/tKvitlLjZ4Zhsx3nR4e7St0eaYl +1ntsBCjl3fia3ipdYMN37to/I5CwHfMwHF2jc9AT325PGgX/2HTiWjELct9X +8YXHeAxUhFMqah6yomzDsylVv8bAInnZW9CdDS3iIhunYsehPPxq88oBdlRs +cYSdpjcBbMOXWxekOFCgQcjzoqkJePhdvGH7KgeSHDTqexEyCbp3MrGHvZxI +Y/9dmvDeKTDccEuLWcuFzoi3LrN2TsHJ+G1KLakUtPgpcswr6BssYCzZnpHc +KI7DzsdcehrqKlek8xx5kMBQcRpb7TREhFalzUpTUdS6gIDS+mm4nD3nt0WO +iqgH9E5d/DgND82E27R2URFbXMf6ns/TIEyT5Hqwh4oWzOb8X32ZhsS1gj2Y +FhW11cmZmM5Nwwb2stUaKyqKeJP495nIDKhxNh1fuEdFnAFBJ9S9Z6CCJTTz +wSgVFc0zl+lXZsD8/MDqugkqcnI59VzfbwaQ3SbzqCkqqjVRZFjcmIHVIzID +YTgV3ZUaDPQImYH8Leek3BepiKNRLftF/Ay8DLQMqePGEJvAd1be6hlwvUER +/6iAoYL7Nlm02hnIfaYpx6mEobMr9UdFG2bgbeJkhdZeDFVPPnsq1zwDSXyb +vF6rYii4zFhBt4e099ow+RxgiNUu65Q/YwaquNmenDbFEMsru7dj/AzItWgt +V/XCUD2z1+P5JgbYHtYO1LuMoQgls91nhBjwbfDKh+M+GNpSpvumW4wBnjzp +6af8MbS7QS67UY4BlLJ80LmNoTOTC5m5WgxQOKbt5/AQQ1I7L7q46zBgn0iL +snI0hqYvTu6Q02OAnPw4xvYEQ1d+9qanGjIgTLtx/kkshsLYK9NoJxjQrMk5 +eP8Zhsol7ydfd2PAmpCdxevXGLrlzGK3/xID7otZJh7IwZDeq2tiPz0Z0P7+ +TnTjGwy1KV1MuuTLAB6hH+7deaQ9MEtwuM0A1ae3ed+VYCj3zqfTYndIf37N +/JMtw5BPg65wfwgDzkXucYwux9DacdU4i3AGOJcbO1u9x9BmO9FY/VgGmG0K +i3r5AUMDqTRL9ngGZL7cYjBfjaG0yfWbUCIDqpMk1FRqMaRwiYWmnsqA8zp/ +EnPrSf9uTkbL5zDgB/vVxy5NGLqc8DaSv5oBo1191IlODJWuJNyvrmXA7ae2 +I71dGFqxuxd0uYEBnImtcfXdGLq/3da3o5kBcyHq0bReDCXlUG0f9zIgmB+K +5vsxNMr7y+pQPwNELrwsrviKIRnvUdOFAQa4mVCsbg9gKE+lTM98jAFFpk9M +lwcxVFflLM9PMKDRY/2+VyMYokqayVTPMWBJvGjfkVEMmd7R3HZ5gQFp9QkR +wyT3HxEQ7PjNAAJtE1sbw5DE69X1wX/I+CMEdO+NY8hpHYOy9x8DNhPMBMoE +hmbb0N9oNhwOjRx6t0SysnLOog4nDo9iIjzOT2LIP+bp3DwFh4V+q90dJFf9 +Dp5JpeIwOjUwpzKFIXZrj3Gz9Th0mBm8ekKywfvTg6wbcRD8mmeKkxwlfrg3 +nx+HBwe2Dmh+w1DnbaV2x0046K4E6ISSLDQu2sQnhANNrdSvhWTbw5S6DyI4 +fAuI9V43TdYra6HKWwyH+ge9sodJ/sYzVCa5DQcFqe0Pr5Isf/FjQbsUDp79 +vM9ekOz9uSgnaAcObaNbj3wkuUQpJXOPHA4/2yqCp0leeRyeMvofDgdd9A+z +zmBIZ9EvIVoBh2pH9rsCJN87eZamo4RDu96OfRIkN5cfi5rfi4Peuk9G0iTz +bVUPTVXBQY7373spkq1uSQebqeGQ8TonUITkxJENN1kP4JAmVHUfI3nk0F/f +/IM4dO5c/rhI2t+RMeXpqI3DZir/gS8ku1E6LvDpkvn/l9laTPLbC5XnPhzG +YV5G+2Y4yT+bXtp6G+BQoG2iYk2yxm7aSUljHOJtFOckSQ58FGjWfgyHPanS +yWNk/moX3IyDTHEIYTujmkQy1dLq8B4LHERWGNnHSTYp1YFRSxx4zVoXlsn6 +0IQV1KNPkfGXzq4+I/nLDSFlHRscDGI212qQLD7ErjBvS8Zf+leljaz/y7T+ +bWbncJgSOzE7QeqF4KgXYXUh9aFSKOpE8l7XfMH88+T5hMyar6TeKneFcvN5 +4DBwmcO0iNSjateWlsdeOFCP9/BsIznvZla04BXS34oJLITUb1pLvYiQPw7i +iTWVGqTet/pZDcdfx0H02OTJ8GEMxYhPvRANwOE3bZK3ewhDoZ6cChLBOPgp +qGZZkP3CKhQzn3IXhxbxnZdDyX66jqRLpO7joH0OFErIfrvEpwcyEWT92ST1 +V75gyLww2EwhFofYubTKPT0YarLh3/w2DofjU2p18mQ/63Gk9Ssl4jBH22q/ +jez3/ZYfzu1LwcEsbL3wfDvp3+813wOvcbjclWW0vwVDsc8falTm4KDuejFs +kZwfGwwk1rTe4hCdaLqU/YncD/FaoYeKcLCOP/UfayOpZ42ABMMqHNjNVX2M +yfnkML7Ovgnh0Jj8oOAjIvs5PGn7sRoc0CoqBDqpx4GKHNNGHMKI5Xp+ct4V +3PpTdbIDB7VHZmkWxRjaJRt250sXWQ8ZG7eoQgyltwob2PTiUNMrH4zyMfR0 +m3q73QAOrrIbnam5GLpZfXXceQqHSS1VY+VMDC26UV5+m8bh1K3OSZl0DHkI +PL14ASfrZX74BF8ahhydShYvfsdhbKnV/RM57/W5Fik+f3B4UvmipIlG9ofR +ZflgXib0rZ4u+Uvul5QPGzANPibw9f2eELmFISX1NzPzAkzodc1n2X0DQ8fl +pjMdhZngW8earOhL7hMuO0kdaSaYeKcVPzuPIY4aw80sGkzQ9bMK5j2GoSca +336WajJhtqHK18GQ3FcFdzs9gQkXFHbmpB/GkHYq/dGwHhN0qk66s2thKCBQ +BaObMMn7q+zQ5t0Y+nVAkuWWMxM+KTaGXsMwFFJYNaRyngkFI7JTI1wYEtx1 +ppJwY0LRv4pramzkPBR5et3WiwkuFqZvS5eoyGtp3aLmDSbIuGjKLpD3hZmi +pZm/j5jAu3Z+XPkdFfnLxzYWPmGCYCovT0QRFXGnK2e5xzKBQtVqbs+lIpkn +Hk5fE5kQtsfy4o4XVHTWe2LoXSYTVAUtc76FUdGAQlvntfdM8MP6F1+R95fn +OF8AO50JopLueeqm5PlXFjKRH5jwUGTCq8SQtC/d659Sz4StFhz7/DSp6Jfo +kFhDGxMytXiHuSWpiI/KdBWcZEKc8l0xgyke1NWgwJf8jQnj1vqFkUM8KC7E +850sgwmF618+et/Dg8RZf6w7MMeEc91enN31PEh+aTnfcZkJmkHeRdMZPEh/ +irKSu54Aw4PlxifseRBPumGG2kYCguLdL/BY8aBmx/Dj1fwEfN4Z9iDtKA+y +GORN7d5CwEfXCuVAdR7k0LVJf0WSgAkGYdDDx4NuVG9/ZKhKgOnz+9yP33Mj +rdvO6p1q5P9/fGJN87kRm1bW2JkDBKz3DNHEM7hRaPl/Kl7aBDh/TDYqiOJG +sXl7+mONCAgLb4z3seNGeckgPWFHQIdKvLzELwr6cf0HxdGRAPbGEr3IaQpS +PZmFD54jgIvTwr65n4IqNmwo7D1PwP4pd//yKgqqvz2k03SZAMfE4iHFuxTE +bft4h4EvAR7dCwSXLwUZq+vz1F0lIG+I5UGGMwW1zb9pq7pJwBUpsxfK+hT0 +9WyAfcE9AjztK0+6clCQOOzRVXxAxtOdv8nkBxdyEJ2UyQknz6/n/PF9lAtN +dR6dzXhEQI9x1QvrKi70XW9rQHwCAYe7r1jfvcKFuGQrEm7nEuBTql+Y1syJ +DNk9b/3LI6DBVipxtJgTRQxLnb1eSEA6t69RRjIn4osL2+lTRsDRDyWs6R6c +aCuPdZlrNQGyM0d6EIUT2U+uT5qoJQB5eOx0Y3KgtA8fAh0b/l+/rofWbRxI +9vrOIzbNBAhqaF4cjeFAe/Gl7uM9BJzeNVg9vYkD+TbklDf1EcDZ8sdf6Qc7 +Knvh8MzgKwHZATYriq3s6OCZRqdDIwS0PKyI7QxmR7fVbhrQx8j860Wrylqz +o2pBJXnNSQKET9DMoxTZkUHL0x8qDAKwDI999zvZUNgr494CJgEsAXG3nVLZ +UEvI2jvFOQKmn6ffHCTfKxvPFibnzBPg5dr3W2cvGzLXcg3e+ZOAD9+vFif+ +YEUxIqIumb8I2HMNrwrMZUV9vz4bbl8m4M4fceKNAysS6QxWSPlLgK+8aLQc +FyuyfavKJ7ZKQKUR/s8llgWVWC/xtrHMwuMho82xdeR7SnQnK4VtFurnf0W7 +S67S/wfD8oul + "]]}, "Charting`Private`Tag#2"]}}, {}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>]]& )[<| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 0.9377474806468347}, {-13.815510557964274`, + 3.912023005428146}}, "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {0, -13.815510557964243`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), + "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, {Log, Exp}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJw9mXk0Ve3bx82Hs0tJE0JK6IkoIZJrSwN5ZEqDSqk0qFAkDRKRQoMSiYQM +DTJPJfYdQklkJmSOs88xlanEu39rPff7l/Vdax/3cI3351I47GzlIMDHx/eS +n4/vf3+3O/R9Rf02BhVzX907pN4BQkbSLt+l1sGtwrkHoxe1g+bTR5EZUptB +UibGfq7Rd+heouh9QWkHvLTt0ysLagPTiob0J1I7QcDV7+3RkVZQDJKNn5Hf +C1H7kmKqT7bC1PYjYfZKdjAu/vHfH7wW2H10PP++1FFYx1M1rprbAsVG0jlF +kcfgj7KbtWHSN9BYvjH1l/xJ6BW6ebHj328g2ukTu0vJCfIf9tpWPG6GVnV/ +o9tSblCd9ELg4P4mCHm6GK3Ydx7MVKxXVc5rgu1zXuoXRLpDy5xd2zeUN0L2 +wGetQfmLMNWS0XLUsBHuvJ6nYql0FbQTDcpuQwNELzC/ESDlCy8/mArRLnVw +uSKseNdbXxAKXG88oVcHu/zaBZbv84ONMkIllHAdzBo965UXeQMmY/ezDjyp +BY/aEA+u/C04K0xFEbU1YPmg2XGH0h3YDisWH7KtBm/RDOVbUiHguFjuofrh +SvhnW/DNdJcQuPJcstydqIRqP+f+b6UhYFp/12Rv1hdQFFR9tdr9IRR9H0xr +Z3+Bkr9xq2urQ2HtVivPh+8+w6yfoVryQeHQ/bXiUafOJ3jUeskoazoK5L4Y +TBp7foA9e2NShobjwMd4r6ZT4xsY/LaTHSsdD3/NbUS8D76BG3aiDtZG8aC4 +TPs3pzcXMo44S2eHxMNBzRx5NJYD4k4b/S7rJEDqxUNnrKWz4YNPk62IZyKE +tM2wzVzSYW2ShMgS0ZfAf3kg7fGzBCBmvPdvk0uBFwYRekS5N7XCcqCvKDAT +KgpUjgrUvKUufNGFR7/ewBmp+c01JR+pRZkSbfz8FKxSe50Xs6+ayu40+lyp +XwhLJKvJp3MbqULHJzJ7ucUQEi8s2nC9lRpV2jjn9ZlScFhuOdpIdlACk6/m +yqz4BB3x4fIneF2U9syhbOvkz1CiYblJ7EQv9dl33ZeSfyqhsrNP5nZtHyV/ +j558MVAFr2hXOzt3mqLHv7fVaVTDqau3R4J4PCqOk/dJ/E4NdOUW6fxjPkSJ +yyYOdnfXgq6V5EP3uGEq6GTWsWjFehjN3bd3T+8INUa+6j7h1QDxIrV50sa/ +KLe1xZkLixrBJnninWnYKPU9uTjwq0Iz1C923fJMdJz641gbsfLSNxgmShuX +XpqgVhmypo/ltUBXkvn2m02T1LZYheNpi9qANPTTOm33h7oge3BZx/7vkPC2 +xsnp6xSlyrUhGszagfWmjp7ZPE1JzhkSLf7dDo+XE04mHTOUEBWRsjyyAzxC +43gXs/jQqXV5TWKmnaAlFZ1XdJcfvTI5HF0w1gnWUZNn558SQKO09Nze0C54 +E3jh89QGQZRlvU0wZHM3CHw/VzmyTAh5Gfs9zezthrtDcqXLp4WQQuv2xmd+ +PWB0PYG42yCM9HR8Q6TW9oLJnKsG3GIRtF+ucpK/phd2hy/VqIhhodFPtztd +vH/ACMH3yvm2KHokZOdmpdgHH/KnFFPtxZBkW1asQHEfBN0siB1QZKM7szw9 +c0r64NyrQfdFKmzE3rB5z+mPfXDXUqrKYBUbCTyqnl3/pQ+kQhREbq1hoxHL +QY8XTX0QMZO+hjBgo6oPKuYWg30wRzB3umgXGwW9jvjzRLof1guX7xi5wUbC +nt47dc/2Qx7fzYRbHWyUOcydpFz7wepEy/SsbjY6emzP063u/YDsFljd6WWj +YnN1jvXlfpjeptQSQLOR77JWLye/fkhbdGTZqVE2Eipb/+pZeD8897Lx+yBK +IAHJIX7xwn44fpkl91GNQOn++xJDivsh+Ym+irAGgQ5PlfwrU9oPKRE9eQZr +CVTY8yRM5XM/REoscHmpTSCfXFM1o3pmvZcmUUeAQPx2iXs8OP1QICrwYK8F +gfhe2KV0zuNAsnXlG20XApVwG5yeLuDAgS2k1+ZzBArSsFy9fzEHfrS6vt/h +RqBFuUav62Q54CwWF7fHg0CrS1VelalwgJWbBobXCLS/ZyQh2YADamak+6G7 +BFq28vSxU4YcWCddoakZTKC+0z0rVDZzQEW1ixB4QCDXXw1xMSYcCCDLhh+E +EihAMD82ZCcHPusLt/o/IdAbBf+oS44cmFlsZ/3yJYGuOvDZ6ZzhgL+sTcSG +JAJtfnFR9pczB76+ux5c9ppAVRqnI8+c54DY4p+n6lKZ9cDy8aFrHNAOuyb+ +NptAydc/7ZW9zuxnrP+vci6B3EqNpJr9OHDk9hr74DcEmtmh/cg6kAMOb0wd +dr0j0EI7mdCtoRywXBBw5/l7ArXEhNgIhnMg4fki4+FCAsX2zF6AIjhQGCm/ +XquYQGpn+EJ0YzhwwvB3RHIJs78rPcGqSRz4KXjh/rFyAp17nHJ7XiEHOmob +2d01BMqZeuxfWMyBa2EH2htqCTRld8P7XCkHhCMqH5XUEch/+YHz1Z85MOin +GxzSQKDIJPaB+w0c8JkHmcPNBOoQH9u1qZkD0iefZ+V9I5DS2Q6LkRYOOJqz +dl1rIVCqVu5mq04OZFo8sJhsJdCHAgfVeTwOlDnNXveinUBsBUulwkEOTMhl +rtvWQSCL6/pLz41wILbkcdB3Rjdvk5xfPc4BHloqO9NJIPmX07N9fjPnD5I0 +utFFoKOzOKy1fzmwkMd9zOom0EAV+hMsQMOm9k1vJxitqZk0aihMw72HQU4n +egjk8TBscJhFw0jzrtXVjC4Y9+mPYdPQ0dsyqNVLIEFbpy7L2TRUWxq/eMBo +43d7W/nn0jD/W6oFzeg7clsa0ubRcGvDkhb9HwSquabx1X4BDUZTnoY3Gb24 +S6ZcYjENIetz3CsYfWAL68N7aRp+eIaendXH2CtxpOCsLA0ltxqUtzD6h1hb +rsJSGtSWLb97gdGqpz+mf11Gg3Oz+JNnjD77JTPJewUNVR1Ltn1kdLZGdMIa +FRp+VeX59DF66n5gdMc/NGw8tnULfz+BDEfdHwer0VBoL+gryegbuw+HGGrQ +8HXzinXyjP78xuzO8FoaNs/6tF2R0RJLdG/GaNGgIv7n3TJG77qq6GO5nob4 +l0le0oyOaJ9zhX8DDbGLC/wJRrdv+nM+bSMNNSsnP44y66+I73W2J2lYyJ63 +oYnRjqzqkxJGzP3/TajMYnTKyfwj77fQMKxEXglk9K/y5wfOGtOQTppr2TJa +b3XIbgVTGsL3qQ8qMNrrnpflVzMa1sQoRnUy91c84mjqbUGDn8B+7UhGs212 +bVljTYP0FOfVDkab5xhChw0N4paVI5OMfUKk1HSD9zDnzxmYfsLopsuLNQ33 +0WD8cGGxHqPl2gTVhg8w58/5o1XF2P95bPNSyyM09MruHOhm/IUnVCLNf4zx +D60MmaOMXns8bX7aCeb7xwlF3xh/y191U1TCiYaWc0IWmYw/atcuqrjvQgN7 +R73YUkanXkkMnu/K7Devm/Bj/De2okR6sQcNchFF+XqMvy9x3/U9/BINMmY9 +uwO/E+ihXO8zGU8axkN6xOvaCHTTWVhN3ocGdzXtRGsmXvgXPxyO9qWhQm7l +uZtMPF1CitnL/Gkgj4BaNhNvZyQ2g1IQY38Bha1TTQSyyvCxVAulIXQwNn9N +PYHK981bmPKIhh296z+oMvG8WSi2WSOChsGQJQeXMvGuY/P+yLpoGiwDZksN +f2X2Nz5zfsNLGs7VJm7XqSBQ6NO7evlJNOgePx0wyuSPOcbyMwYpNARHWEy8 ++sTUh3CDm5syabAN3/MPfxnjz3qej00KaBC00nYzZfLToa5ZB8sRDWVRt9I/ +IiaeAyOXmxXRgKZRBlCMP7bkJVmU0RDAmyyZx+S79Ku/C3ZX07D+nmWsdRaB +VikHXG+qZeyhtM/xTgaB4iqljPc10FDUoOqD0ggUtlT3q10LDceV5zqwkwl0 +pfBCl0MvDT0G2qaaCQQadWQ9/9FHw56rNT1KcQRykgw7fZJm7GW1ZadELIHs +j2aPnh6ioXOi8tQnJt9vFRlluf2m4UH+s+zyECY+tp9T9RHnQuP03uw/TH2J +fj+H0JPggkTjeLf0VQJp6L7uH5bkQsPxNL7Vlwm0Q6UvwV6KC+c/8Eepn2fq +iYidgqEiF8zPxmY9OUEgoSKThXx6XDBy3+UjbkagB3o/fuXoc2GgtOD8IROm +XqX71jgDF06qrUyK20IgMoa6930zFwwLdp8SNCCQp5cWQZlzmf5VuW3hagKN +bVDgu+rAhU/qZTcvEgTyyyho0zrBhfR25d52EQLNX7U/n+fIhcy/eRfXCzD5 +UDrs0gEXLhyztkjJmWAjl4lZo/qXuaB0TF95hOkX+jMn+v/c44L4zIkuzbds +5KEaWpbxgAvzY8TFgjLZSDROM/FUKBdYbIPPX5PZSOmB09FvEVwIWGNzesUz +Njp8trvtbQIXtOfbJP0IYKMWtaqai++44E40j75g+pentISnIMUFGYVTqboW +zPcvrJVuv+fCXelul2wTZn3FBo/oEi4ssRZa567PRmMybbKlVVxIMBD/LqrA +RhJs7vH5PVx4pOkra9wrhmpL1SSifnChy3Zrxu02MfTIz/mtMocLGbOf33tX +L4bk+H/O2jDIhSN1LsJ1JWJIdWIyzX6SC/reZzP74sXQ1l7WVPJsHphsfGO6 +86AYEosziV8/lwfe4adOiu0SQ5/tA3cUzuPBl5UBt2L/FUPWreIxdYt48PF4 +nqaXrhg6VLtg65QCD7o5PON6CTF0uXD5PRNtHlg89Re9/04UGVxz0K1Zz/z+ +t1uoRZooEjBI7Ny/gQeznf306XhRdPPNP1ouJA8cPkZtT78jikJT1zSHbudB +QGBZuJudKEqNAsVuOx5Ua4Wryo+x0M9LP1n29jwQLMvefLuPhbR3J9KtR3gg +Imx98HMzC+XNmZPRcIIHOr2nPN4UsFDJtTbD8nM8sI/IalP3ZSHRA/dXGJ/n +gVPdCE/kPAuZ6m4V+3CBB6ltfLfiHVioavh1VcEVHrgus3ymuZWFvh32PJh+ +gwfOB/N3HxdiITlYY6R+izlPXdoC858i6JBMj1JSIPP9bOGfQx0iqLfm34H4 +ezyoNy14ZlsggoY2L/EMf8yDLXWutr6uIkhEOe/xtWQeuOVszYj9LIxMBJ2v +/k3lQemBZREdWcIo6Puyw5cyeBAnen57fJQwkngUsNItlwf/vs/mj3MSRkvE +bHOPF/JAuX9bPWIJo4M9syO7i3mAnJxWOnKFUOz79172pf+zX+1d2yohpHxp +5bZ9n3kwX0//dMdDIbSWnqjbUc+DvataC/sWCKHzpUlvyht5IFzx20PjpyDK +fXboifE3Hrzy3DelXimINu4vO7qpnQcVd/NCa3wE0bX1V4ypTub+NwdrK9sK +osL5Gqr6PTyQ2hlidUddEBlXhP3U4vCAiHda518jgAJemDakc3nA5/no2tEY +AVThN/NWfZAHfU/jrrQy75W5hzOikoZ54HK8cdxwrQCyMjjus/IXD94PXciK ++MmPHkrLHEsY48Gai3SBVzI/ahz7YrJ8kgfXf8vxXh/iR9I1PmrRf3hwXlUm +WEWEHx1I0ZaQneZB/nb677FQPpRtOyFexTcA99u2Lwz9wLynZFbyswQGoGR4 +LPiUwvT/azfdtodqFX8p/H23d45pqcIUhf+fDGfpUa+Xvym8Xk0ENK15N0nh +/SicWaRxaGKCwvv1eHZuZsJsgsLn8dTZ1MyXNU7h8w5PnTgyrjJO4fuo3MKL +Ekkco/B9ZZblCG1SG6Pwfa4da1U0eTtK4fuWvBQyu7X7F4XtURadUsLn/YvC +9upusz3Jp/CLwvZcGXSshu/UTwrb++KlJ8GH5v+ksD9kLguyt0YjFPYX7upY +G7EbwxT2J3oq3Hny5xCF/Y0rbzy84/UQhf3xa9DTkMUnhijsrzqV8mtuogEK ++zPa1DZ4u4RHYX9f0O0o8fxfHoXjgegJG0yv5VI4Xn7oxq1QtuqncDz5d1sr +hmf8oHC8feDZf8mmeykcj/teRX72vNVL4Xg9kOI/YKfSS+H4rzcw/xhn1EXh +/OAoYu7d09tB4fwhUGYbtGdjB4Xzy5Hkmy3Z/e0Uzj8vOfEl1aHtFM5vxa+F +5zy2aaBw/vNpOZM/1lRN4fzI1z6pIZZZReH8ufBTcTKYVVI4vwaa3srz3fSF +wvn3qX3twwDdCgrn961W64Z2EHkUzv91ETGyIi3PKVwfwlfF6xSef0jh+rH/ +aOd41carFK4vSPWPxZ6dWyhcfy7YRH4SL/IAXN98K7RKo0PzAdc/9i1iR6Xd +B8D1MRHMBizvlgGunwtm3EICFT4Brq/5rIt9q/s/Aa6/j2TMkptSygHX9xMV +EvdSv9QArv+s3JENU9H1gPuD+bF2KnF8jYD7h3MW9D/qyY2A+wuCi2QdhJoA +9x9rnfT36ds2Ae5vTqsJGqcubwPcL0VeKZu+pd0OuJ/6VH7vwusP7YD7rScH +JGcuzOoA3I+1ORpoJql3AO7XPHVWTlJWHYD7Rw3h+6JHXLsA96MFQtNpwR7d +gPvZhUc71vlPdAPud7tEw4k2nR7A/fDpySxR+909gPtl4dV6Wz+69wB+H8Wk +HuX8dukH/B6cRq8dyrYy5/jvfamnvtSyXoUL+P3ZGXojtTCMC/h92vxC9ErW +Fy7g9+sD54kz4kzdx+/biKV7uyyFeYDf75ZufHbGK4cA84Fx9/FU9sAQYH4w +ablRRNpsGDBfiDJoPVl/eRgwf+hfZt4h8GAYMJ84FHi9KihqGDC/qGD7hYu/ +HAbMY5rr3a9Oy/4CzIPWW9uKFR0cA8yL2uW+jVeqTwDmSX+utibkVU8C5k05 +2ieiEu3/AOZRQ41NhWOvpgDzKu+M8lhv7l/APCvH+ZxX/+Q0YN41nkZvKRyb +AczDgvZ3zKzx4CMxL5s75J1Z38lHYp523dTsuYUZP4l5W4Dy9d9n0vlJzONI +V61VXDEBEvO61ULPXdccFiAxz3PwC3g2J0WAxLwvdoJ2vDgpQGIeCGK3ipZv +ESQxL5Qbbh4P8RckMU/UqiY+3i8XJDFvfCdkNp05W4jEPJI74L5E01iIxLyy +zE5n1nFfIRLzzL5onaKGN0Ik5p0jSTunFIaFSMxDHY6UBHkpC5OYlzIpnz1n +jzCJeWpai77h3QBhEvPW+R++NVTnCJOYx/pufDf2rVuYxLy2t+HitwlJERLz +3Is2lSY3DERIzHsXvkp+bHtKhMQ8eI2jf4j9IxES8+K80647w96LkJgnc+Zs +WPqWJ0Ji3izXmzmnbSGLxLzbPSv2jOImFol5eP71qlEPWxaJefmolc9fteMs +EvN0nw3lTm/PsEjM26cP7pGYe5ZFYh6vc8lAmzrHIjGvn3uKGAxzZZGY54eE +bXM968YiMe+fWS246KQ3i8TzgBvo7wrjm8z6/80LIv1W+jcFskg8T8iXXG6f +dJtF4nnDup0BTx7dYZF4HlHa09xw4y6LxPOKq2daBXeEsUg8z7i/NLfhUgSL +xPOO06bE3xdPWCSeh1T62v0si2KReF7iYiXqzHvKIvE8JVtWqKQwjkXieYsC +54T84UQWiecx2ncE/Yqes0g8r0nhS3XSfMki8TxHZ1xvo+lr5v7+m/e8z/d9 +MZXMIvE8qHnVioivqSwSz4vKXJwiGtNZJJ4nzQqQE1qdxSLxvOny/TG2TC5z +nv/mUc9UzacfFrDI/wONGFS3 + "]]}}]}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVVHk4lfkfvXF5LWWJEkIiMdlaMAmfb5mKDIWaShKipLGEbIXIkqWmjOxb +UpI1e4lXlzD2kN3Ncol731eEixI/vz/Oc/44f5zznOc5R9bWxcyeg0KhxG/g +/3zKfupTzfQ5vefKp9eeVmOIqi/h+kX8ENyJZvNJVmDoYFp8crH4H7A5Qpqq +Woohxk75QC8FE2h0dU7qK8KQUWtvUYr4WRjYtyfpUyGG5KOkXqzLXIQPVcHZ +q/kYWj11Nc5GwQq0lrR1jfIwdN5uqSpa3A4KKIXOB19jqE5forw2+RpoPuIM +qX2FIXU53cIFmRsgy3SQsc3CEM9YUMZfCs5QJkWtp2ViaFgtTP+huAe4mvG4 +kGkYiknbUbPn0m1oD7aab0zF0CnB1zrVyZ7wtxH/r+wUDJXNtGh8k/GB6F0V +vb5JGHqUt1XRVMEf/J2GOU3iMJS+7XRohHgwNEwM9Ib+g6E7rXF1f70LhkNn +I1LiH2Hor5ARDrlLIVAlImeT+xBDmxdvBVQmh0JyiFJYfySGvLtjvAmZcAit ++bXH4AGGTP8dcDRReATrqpxiNwIxFMhTvDdcPAZi4k663/LA0G8nnzwoco0B +oZv83+LcMdQZ4jI92BADWr56mrjbRn+cyjmqnk9h7coFYaFbGKr/lana3RkL +QUeand85bfjPx2rIRCXAolnQL5XrGIof9tUvXUuFqvsdi94WGLpw8VnB7Fwm +eJZmOMkfwxD/eqDlSekCkJ4sEaRvx9Ae05mp2sgSYAoe2fWO5EZebYchfuEt +VP7tfjbuAzcSKxGmb9qEw37HsBibeG5UNqbf0q5Dg+05+YkWN7kRzTFF8iJR +Bz7n2g1D9bjRooKuYJ5TA0z2+gwui3AjjpUcIck9TRCs+549yOBCmuvWZeb5 +LSD6cbC3s5wLtQQfaqv/rR3eDOkc/SeCC8k8Zq1kz3RADwl8ghe4EGvpC/2z +eifYX62PCtjLhTKZlU0Cj7rge+7ZVdk5KhKQyvrGYHTDVLpWbe9bKoq6UXot +Xb4HGq20Nl8PpiI2ymE4BPQCMeO586ABFXkcqCvZXtsH76nGayVbqOhLfl3k +J9kB0Ojk/y+6mRP9dOxOUvIdBOm5gaWYME607yi2dq1yCIA3vFbuOCc6mSF7 +/Y0YHTKWWY4+KxzIS+rK7lHLL2AfEvFcsIADKRPn+HuNR0CV+sp9vy0HEhGc +5an7MQLIXWMfwcuBqHhSgVzyKETsvf/DqWgTunmosp/XaAzuGxm/OmO8CeUY +2qZXs8dAaDawpGeMghZZEkKTseMQZTm6vt+bgkrNT3LG/MGApTes4zT2OgQY +hKSVTDKg3MUtYHplDWSHT/U9D5mAwOLmjEDiF2hrBceIH5iE2b5+GjtnFSyl +21c2dU1CuaZDapbNT1hsejjmGvgVfvoPv6zsXIF4qpWHmfwUjEgPLrWrLYMI +vTSDo24Kfje34K29wgYuv8Czh29Nw0CPp/+a1AJQsq0KxrYyoZUvJEHg9RzU +E73OaduYYB15vyMqdQ6i1E1VLXcwYXr36VGOf+dArEI/77MUE1L1hm/03JkD +1QbFnEZFJqyY6nJLGM+B5cT3l/l6TFjyXCrkm5mFt7Jhqb6OTDD1oFgZKM2C +W2LBw600JiTtujhuykVC+WpiGK2OCf+6LDsJMAlYtQoNdGtgwkA2z93SNgLC +5C7f7mxhwlhsaCEtjoDkXL7L0b1M0FbbZdqjSMDHanvlrSQT1mry7BtPsGDH +uGSz8A4WPCu0Y/5wnYaqfQ94hJ1ZwKWqfeI/zwnQ7BZrjXZlwd8rpTw25yeg +8G7WE1F3FozzJPDTtSYgo7VeYoc3C7bbjR4KW2bAAxcuFZkgFlRT19488WaA +WXGQqUosC9S5onmuuo/DV22/RMNqFvhpKa3gZqNgPb75SnMNC+iOegdz1UZh +IDJZzriWBSmXRda9No9Cy1Bl7plGFjQ1P/bK+zgCRf4/qs93siD5buNauOYI +3KV5jdtPbuRT4TQolKOD8Ck35SABAg4461zSseiH9A+C/NrCBPATNVL21H5Q +P5w3PSdCgNsZ1m9q+X1gojj10kacANEMK8VMSh9EcFvJHpUnAKv4fmQ1vQeo +tYbbKdoEOLQKPy5s6wL2EVmKvz0B8ZLG+f0FzRBSXE3XcCCgCvOZUp1uAtF9 +llWkIwHb1j1iImWb4KBEnO9lVwKywHjG9J9GcF3evKhzhwC+cH6TdquPMF2y +PP3zMQHBrRoN6bFVMKTS0eXzngCvc8lNArXekMYS9uPECahR/nnmwtnjuG22 +ucLDDwRY2o0tdej649Pyvd7p9QQk7HuhRbv9FGdL0qUaOgj4nPRMinvoFS7M +R1wXnSDghNmhWRP+SvzEJLaav4WENJvupxGHW3HeTMMXvwuREGkUXhl8rA1v +sYk0oW0lYXtTXT4Yt+PmwwLPPouRQBlZUect6cCtu7edWJUlIWjIqYrd34nf +ock9NtQkoS6PSzDxXC9emAryDCsSXjNf1HfGjuDzvvOYjQ0JV/MfDJVNj+Ca +57NYw1dJ4Gi0iLqgO4pXCgoW9zqQ4Mh9OnBichSvv0c/2uxGQo/e6f8y9cfx +QVu/K0WhJFwuCJuxUpzEpWG/vlo4CZdyklv8widxa8kJhdxIEj6SNm1lrEl8 +suvPmRePSQhjmMsnFH/FZ//Y6ZeQSMLXw5l79ppN49x7KxPv5ZPAPxH3raib +wA05Xfx/FZKwjeEo/OpPEo/6stvWt5iEmmP0bw/rSVw4PkLJo4IErXaZ/Q9q +ZvCdvBYV12kkfIpKi9nhMItfmdiSzKgjgZAxmDPJm8UzPnwIsGkggbWa4LIy +P4vv9VU6eallQ1fNOMcbOocfYC1/Ntk4+5LdUTbmNd/x2w25b5v7SPDxTXli +LTqPVzy3TjEYJEEp6loX5eY8rmvZaHdshAQG3eIGRXYBv/f7XQN8jITG9IJ6 +SuACThNVV9aZIEHEN2bLMGMBN2iNm9dgknCAPSxv+G4Rj8g26i0iNvway6nH +VNh4a8j6O7VvJLQfJ1O5s9i4kG1xau4cCXOrDleXFJdwM73rQUoL5MY+jw1Q +SpfwpxKS116ySfB+7ra+bLyM97HbDOVWSJB1ElO3Xl7GJbqCVNJ/ktCVBP37 +36/glws0haXWSJBk7rILeP0DL7NYFuigzAAjsNyoQXYVp0oqbcI4ZsDjMP2p +Susv/H8nLMqx + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVl3c8Vu8bx+2H55QyKiNEQt+IEiG5iGRUVlRklVGUUUhDopSsUg9ZCRkV +yR6J584uZI9kr3jOc4hSlPid31/n9X697vO61ue6rvuWPOtp7szGwsLCz8rC +8v+vkfN0O5qx1GrZmP3IQXEUOHRFvIaF98GD6o32KVtGQPl5XFKhsB4IiKY6 +btQdhomt0kFXZY7Da+tpjcaIITBu6S14JnwC2K6EvHNaGATpCLGMNYnTkGyT +k9pxYRBWjM49dZSxg9+8H49+IwbgpNPvysfCTrCPkDdo2zgAtboipTVJLvBX +1sdCJ+crKG0/mPdT4gJMcYReGz36FbjHgtOsZDygMmbKuiWhHwYV7+tGCvtA +R84rNvszX4D2XAjtsPGFY3IWu1r5v4DRhteaVUl+MLDByuhAUx+UzDarzElc +g5WBwgEnnT6IesMvZyZzC1SztBojoRdSNpncCxO+C6/rjDlwr2640fK01urd +XeAIVzNY0ugGq5ARtu02IXBQlKOeztkN6xa9AyuS7sFy2hmK7bMu8O+i+TMl +HoA3Jz0Z6+oEsyf9bsdlosAIdgg5WHdAEHeh7ANhGrgJicconm2F/45EhxZ4 +0eDmS4EmP6wVOkI8Z7420MC456Hh6eLPIM0un73bLwZqhufyR6ifof5f+u6u +jljYq28eEPO+Gdb9iFWRiIiHifaWuLH9nyBu8Lpu8WoyiH/WWjYIqINTp1Pf +fp9Ph2CD08oefeUw9/UENU0kA/6ZWHIF2ZfDPTtuZwvdDJCWUv3DmCqDwnOe +IiW0DLBXLpVAv0qB1+NgyI39mZB3zeGShUgJ1AV/seYKyALa0Br1mFcB7M3h +49rK/RpYb8zmJ7zIBGwt6MwR8bfwSitRA2sKou8wm52uCS+Clio5J7bOd/Sr +n9Uh7mc5XBIW7O+s/0jfUsQ3xMpKh10KbypSbTroJWO6za2a1bBVoEP7+cY+ +erXbM9HTzFqgZXBy994ZpC/KHNzw5lIDOG83W+zTHqWzLWdvFN3xCUYz4iXO +E+N01TWHEovcZqhXMjvEc36K3nx33+f6/1qhdWxaNLJrmi7xCF9+NdsG2fgV +Ozs/nI7/Hh7qVuoA91uRCxEEQU9nVHzijeqE8bKa/f+ZfKfzimXNTUx0gbq5 +QIxf+jw94kKxS4p0DyyW2Zw+NbVA/6WdPXE+sBcyuLoqRAx+0n321hZtrukD +y9yl98ZPF+nDubXh7ZL90CN05fAL7t/0v25diTuvf4V5rKFv2/Ul+i4dyqpL +xQCM55gYhX5Zph9Jk3TN3zIE2johKhft/tKvitlLjZ4Zhsx3nR4e7St0eaYl +1ntsBCjl3fia3ipdYMN37to/I5CwHfMwHF2jc9AT325PGgX/2HTiWjELct9X +8YXHeAxUhFMqah6yomzDsylVv8bAInnZW9CdDS3iIhunYsehPPxq88oBdlRs +cYSdpjcBbMOXWxekOFCgQcjzoqkJePhdvGH7KgeSHDTqexEyCbp3MrGHvZxI +Y/9dmvDeKTDccEuLWcuFzoi3LrN2TsHJ+G1KLakUtPgpcswr6BssYCzZnpHc +KI7DzsdcehrqKlek8xx5kMBQcRpb7TREhFalzUpTUdS6gIDS+mm4nD3nt0WO +iqgH9E5d/DgND82E27R2URFbXMf6ns/TIEyT5Hqwh4oWzOb8X32ZhsS1gj2Y +FhW11cmZmM5Nwwb2stUaKyqKeJP495nIDKhxNh1fuEdFnAFBJ9S9Z6CCJTTz +wSgVFc0zl+lXZsD8/MDqugkqcnI59VzfbwaQ3SbzqCkqqjVRZFjcmIHVIzID +YTgV3ZUaDPQImYH8Leek3BepiKNRLftF/Ay8DLQMqePGEJvAd1be6hlwvUER +/6iAoYL7Nlm02hnIfaYpx6mEobMr9UdFG2bgbeJkhdZeDFVPPnsq1zwDSXyb +vF6rYii4zFhBt4e099ow+RxgiNUu65Q/YwaquNmenDbFEMsru7dj/AzItWgt +V/XCUD2z1+P5JgbYHtYO1LuMoQgls91nhBjwbfDKh+M+GNpSpvumW4wBnjzp +6af8MbS7QS67UY4BlLJ80LmNoTOTC5m5WgxQOKbt5/AQQ1I7L7q46zBgn0iL +snI0hqYvTu6Q02OAnPw4xvYEQ1d+9qanGjIgTLtx/kkshsLYK9NoJxjQrMk5 +eP8Zhsol7ydfd2PAmpCdxevXGLrlzGK3/xID7otZJh7IwZDeq2tiPz0Z0P7+ +TnTjGwy1KV1MuuTLAB6hH+7deaQ9MEtwuM0A1ae3ed+VYCj3zqfTYndIf37N +/JMtw5BPg65wfwgDzkXucYwux9DacdU4i3AGOJcbO1u9x9BmO9FY/VgGmG0K +i3r5AUMDqTRL9ngGZL7cYjBfjaG0yfWbUCIDqpMk1FRqMaRwiYWmnsqA8zp/ +EnPrSf9uTkbL5zDgB/vVxy5NGLqc8DaSv5oBo1191IlODJWuJNyvrmXA7ae2 +I71dGFqxuxd0uYEBnImtcfXdGLq/3da3o5kBcyHq0bReDCXlUG0f9zIgmB+K +5vsxNMr7y+pQPwNELrwsrviKIRnvUdOFAQa4mVCsbg9gKE+lTM98jAFFpk9M +lwcxVFflLM9PMKDRY/2+VyMYokqayVTPMWBJvGjfkVEMmd7R3HZ5gQFp9QkR +wyT3HxEQ7PjNAAJtE1sbw5DE69X1wX/I+CMEdO+NY8hpHYOy9x8DNhPMBMoE +hmbb0N9oNhwOjRx6t0SysnLOog4nDo9iIjzOT2LIP+bp3DwFh4V+q90dJFf9 +Dp5JpeIwOjUwpzKFIXZrj3Gz9Th0mBm8ekKywfvTg6wbcRD8mmeKkxwlfrg3 +nx+HBwe2Dmh+w1DnbaV2x0046K4E6ISSLDQu2sQnhANNrdSvhWTbw5S6DyI4 +fAuI9V43TdYra6HKWwyH+ge9sodJ/sYzVCa5DQcFqe0Pr5Isf/FjQbsUDp79 +vM9ekOz9uSgnaAcObaNbj3wkuUQpJXOPHA4/2yqCp0leeRyeMvofDgdd9A+z +zmBIZ9EvIVoBh2pH9rsCJN87eZamo4RDu96OfRIkN5cfi5rfi4Peuk9G0iTz +bVUPTVXBQY7373spkq1uSQebqeGQ8TonUITkxJENN1kP4JAmVHUfI3nk0F/f +/IM4dO5c/rhI2t+RMeXpqI3DZir/gS8ku1E6LvDpkvn/l9laTPLbC5XnPhzG +YV5G+2Y4yT+bXtp6G+BQoG2iYk2yxm7aSUljHOJtFOckSQ58FGjWfgyHPanS +yWNk/moX3IyDTHEIYTujmkQy1dLq8B4LHERWGNnHSTYp1YFRSxx4zVoXlsn6 +0IQV1KNPkfGXzq4+I/nLDSFlHRscDGI212qQLD7ErjBvS8Zf+leljaz/y7T+ +bWbncJgSOzE7QeqF4KgXYXUh9aFSKOpE8l7XfMH88+T5hMyar6TeKneFcvN5 +4DBwmcO0iNSjateWlsdeOFCP9/BsIznvZla04BXS34oJLITUb1pLvYiQPw7i +iTWVGqTet/pZDcdfx0H02OTJ8GEMxYhPvRANwOE3bZK3ewhDoZ6cChLBOPgp +qGZZkP3CKhQzn3IXhxbxnZdDyX66jqRLpO7joH0OFErIfrvEpwcyEWT92ST1 +V75gyLww2EwhFofYubTKPT0YarLh3/w2DofjU2p18mQ/63Gk9Ssl4jBH22q/ +jez3/ZYfzu1LwcEsbL3wfDvp3+813wOvcbjclWW0vwVDsc8falTm4KDuejFs +kZwfGwwk1rTe4hCdaLqU/YncD/FaoYeKcLCOP/UfayOpZ42ABMMqHNjNVX2M +yfnkML7Ovgnh0Jj8oOAjIvs5PGn7sRoc0CoqBDqpx4GKHNNGHMKI5Xp+ct4V +3PpTdbIDB7VHZmkWxRjaJRt250sXWQ8ZG7eoQgyltwob2PTiUNMrH4zyMfR0 +m3q73QAOrrIbnam5GLpZfXXceQqHSS1VY+VMDC26UV5+m8bh1K3OSZl0DHkI +PL14ASfrZX74BF8ahhydShYvfsdhbKnV/RM57/W5Fik+f3B4UvmipIlG9ofR +ZflgXib0rZ4u+Uvul5QPGzANPibw9f2eELmFISX1NzPzAkzodc1n2X0DQ8fl +pjMdhZngW8earOhL7hMuO0kdaSaYeKcVPzuPIY4aw80sGkzQ9bMK5j2GoSca +336WajJhtqHK18GQ3FcFdzs9gQkXFHbmpB/GkHYq/dGwHhN0qk66s2thKCBQ +BaObMMn7q+zQ5t0Y+nVAkuWWMxM+KTaGXsMwFFJYNaRyngkFI7JTI1wYEtx1 +ppJwY0LRv4pramzkPBR5et3WiwkuFqZvS5eoyGtp3aLmDSbIuGjKLpD3hZmi +pZm/j5jAu3Z+XPkdFfnLxzYWPmGCYCovT0QRFXGnK2e5xzKBQtVqbs+lIpkn +Hk5fE5kQtsfy4o4XVHTWe2LoXSYTVAUtc76FUdGAQlvntfdM8MP6F1+R95fn +OF8AO50JopLueeqm5PlXFjKRH5jwUGTCq8SQtC/d659Sz4StFhz7/DSp6Jfo +kFhDGxMytXiHuSWpiI/KdBWcZEKc8l0xgyke1NWgwJf8jQnj1vqFkUM8KC7E +850sgwmF618+et/Dg8RZf6w7MMeEc91enN31PEh+aTnfcZkJmkHeRdMZPEh/ +irKSu54Aw4PlxifseRBPumGG2kYCguLdL/BY8aBmx/Dj1fwEfN4Z9iDtKA+y +GORN7d5CwEfXCuVAdR7k0LVJf0WSgAkGYdDDx4NuVG9/ZKhKgOnz+9yP33Mj +rdvO6p1q5P9/fGJN87kRm1bW2JkDBKz3DNHEM7hRaPl/Kl7aBDh/TDYqiOJG +sXl7+mONCAgLb4z3seNGeckgPWFHQIdKvLzELwr6cf0HxdGRAPbGEr3IaQpS +PZmFD54jgIvTwr65n4IqNmwo7D1PwP4pd//yKgqqvz2k03SZAMfE4iHFuxTE +bft4h4EvAR7dCwSXLwUZq+vz1F0lIG+I5UGGMwW1zb9pq7pJwBUpsxfK+hT0 +9WyAfcE9AjztK0+6clCQOOzRVXxAxtOdv8nkBxdyEJ2UyQknz6/n/PF9lAtN +dR6dzXhEQI9x1QvrKi70XW9rQHwCAYe7r1jfvcKFuGQrEm7nEuBTql+Y1syJ +DNk9b/3LI6DBVipxtJgTRQxLnb1eSEA6t69RRjIn4osL2+lTRsDRDyWs6R6c +aCuPdZlrNQGyM0d6EIUT2U+uT5qoJQB5eOx0Y3KgtA8fAh0b/l+/rofWbRxI +9vrOIzbNBAhqaF4cjeFAe/Gl7uM9BJzeNVg9vYkD+TbklDf1EcDZ8sdf6Qc7 +Knvh8MzgKwHZATYriq3s6OCZRqdDIwS0PKyI7QxmR7fVbhrQx8j860Wrylqz +o2pBJXnNSQKET9DMoxTZkUHL0x8qDAKwDI999zvZUNgr494CJgEsAXG3nVLZ +UEvI2jvFOQKmn6ffHCTfKxvPFibnzBPg5dr3W2cvGzLXcg3e+ZOAD9+vFif+ +YEUxIqIumb8I2HMNrwrMZUV9vz4bbl8m4M4fceKNAysS6QxWSPlLgK+8aLQc +FyuyfavKJ7ZKQKUR/s8llgWVWC/xtrHMwuMho82xdeR7SnQnK4VtFurnf0W7 +S67S/wfD8oul + "]]}, "Charting`Private`Tag#2"]}}, {}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>], + ImageSizeCache->{{4.503599627370496*^15, -4.503599627370496*^15}, { + 4.503599627370496*^15, -4.503599627370496*^15}}]}, + Annotation[{ + GraphicsComplex[CompressedData[" +1:eJx1mHk4VV3Yh82Hs4s0I0RCb5QSUvJsUZFXGdKgUirNoZJGFZFCs3kK0SCZ +h0rsFUIhMhMyx9nnmMpU4tvf9Vr98V3X5x+X62z77PU86/fs+14KBxwt7QX4 ++Pg+8fPx/e/vzfbdX1CPtf4Tta0T/jks0k00VeW2lB/4BW46e9qZRe7cFZXY +PxADLunRp5TWs0hi0m3PJrlEkOtKk2ieyyIXW/R25/mkAUdi7cK3PBHy/Gdd +CPr5BrJOnt0W+F6EnJcm2czPT8GK415+dkEiZEabYUmZXi7MfZkQYnNChMw9 +Hi6zi5sPF63LTG7qi5BDyuskXp0qhK7ai19HZ4mQAmMvZ8gs/gQe694Nf+0Q +JrUn92dYJZTA7A9faysyhckSj1WfC/4pg+RGPYN73sKk/H167EVvOdTwgC2x +U5ikR741V2tUgP3BAt9rKsJkDCfrk/jdShiM3zauMCBEiss+6+voqILuSJ28 +2jdCpO+x9MORSjVQZKsz7YiHEDlMvuw4eq0WuL0uCzSNhUjnlflpc/Pq4J2Q +2UTadCHyW0K+zxeFBtCqID4+LBYkfx+vCl1y6SvIDTSM+HkJkksNWBOHsxoB +xG7nLdogSG6KVjiSPK8Zokfp4xfHBMjzsvsUW/d8A3tP7ycSiQKkGteaqDVr +gWVCz8+uOCBAzpLoF83/1QLkWa2lXDEBUogKTVwU1greKjd+nUrhJ0+syqoX +M22DG6Zmz83N+MmXJgcic4bbYEa/W1pNGx85REvP6ApoB989rZMrLvCR6Vab +BP2MOmAkmd6QOzwJ14w9H6d1dUCm45lrPWMToNC0ue6JZye4pRZHu3H/wBod +Dz+plV3QX1efO/xyHPbIlY3xV3ZBpvbRiGd2v2Ho0502J7fv8Ptq09OsijEI +ErJ1tlTqhha5ryNly0dhVnN6tEB+N6y2shHL2zcMwq5u23RP90BDjcvVCdmf +wPfCNrFtJgdK2Z7B4nEDcCYk8c7MXA6ELtzVbiHMg+ylt0QlHWgQXrZm40eX +TpDcfEbNXZwLKx30duvZ1EOjennlxXdcOG8d9kk87wIkRYBShy0P4jixBRUB +LdTeRG1J2QkeyHAWHroW94vi+z8/kXO23vSW8oDCzobam/dYZFDTJcP0iQjI +vlE+dMGGRb5R8Iq4dJwDFs58tsZL+mF+u0yx5HwaopIOcX459cD3Na4hJjk0 +uOosGaMsW2F4rQLfVXsuBMmYJdQnFsPGLtZ4wnQePLar8vfWLaVEVLJCrifw +gOgM7Eup4k49X9PU83GopuVehneknMHJUtSR95hFTvsRoCXvGwxDlu5/1I+w +yD2dg08T9Dkw4jKSxO7thw859mozeRyYQK/sizbSYJnqbqEeQIOG8EPRg2fb +QSjPZC7fGi4cLZW8n/S5EiTZ3COzO7mw0XJV/xYii/p6wHVfyk0e7E306rVV +7aJuCvaU+F/tAr/E+T7fJn9QFo8ajm9RvguTywTnHXNjkVdyz7fbd9FwUl3Q +OGlRM/Skjfb8vs8Fj1KtwsiAbLicu+i+iTYP8l8JS4RY11Ir6dHqLUwY0xR9 +7azQ4NR6S6fW20DtODSS/VDqECTyJTloxrHIgj8xy6oqAsB9bbHD21Msclmh +6ssiVQ6MWawTkTYbgLB49t6HtRxYs3yhRY0qF245CqvLu9OQIzSR/OBCB3iL +2CoYKHGB9Xpw7XhkDQzLNMsWlnOhOjRKVqTxOVVwvdmg+AwPavS3fowxbKfO +1Es47mLqWVcSdn659Qh1ocrvAlf+NtxEfxYb32KRKVd/5eyooCHsStHEbe0W +cBqdNqR3mQvs28SWMtsPsL9qzsZxBR64N57KHq6voBaI2bw+ksuDL76P/eYf +7Z+qZ81UPdupu69mqlooX4Wrp5oEtwSyyH6jBa7BITz4rhuzWMWyhwpRz/2n +IqcNLB76RdyL66eMSwN/aHF4sHK4Scnk7dBU/bKn6veJMi2tTQmX2gYNSxeH +fklikUqCai+XufjDxL6dkjNOs8h5rw1fVctyIEK/6VjN5QHwWrT3XEUJB9oC +biblBnIhurRAev4FGuYeal3lNdoBW1S7n9pJcWF2tK1qDF8d9CjVXogs4ELw +0lid3HP+VJaERGrtUR4cF9nq1tnVSh01WkSulePCpa/Np2onR6lpQ6evZYXd +hDDPJV71PiyypDEr3ryIhk/F98+/+tACmtKBl/Y6ceEZmPVa3CsCqybxqOp5 +POBrGdMQSyunJIO8lzi/5oFOmfyKW6h3qj8NU/35TmX0lmj1yV+Ehwtf114K +ZZFdlf/2xt7ngVeHlVJw6nfqflD179SIDhiMrPHTsR6k1u0pOrS+hQcdzTbH ++BR+TvWjYKoflZRom3v0dmUHyJAVKsiNYfa3w3j4edtu0M6xcfOKG6JULi3Z +tLuEB9xl0dZiNwem+lMx1Z8WKiY3YVd/cwvYsmLNyyZ5lKX+EfclP3nMPFjf +wJc+MtWvV1P9ektpPg4KS5UygmneckLL0llkhadjz9dCP9C5pK9NnWGRvhoW +y/bM50CP4tZWgUcDMG570+1MIQcaXoheSf/MhaQrzx7MPktDu2gw0azTCRq6 +r3oGZnHhjDn9z/KEOjjwwkr5znsu7DnUNlK+7iqlveMZ3XSQBwJFNr4717VS +QjJL+FkCveCs2+yvXvqH2u7ZIrBotydkz1pkF3+HRTb4hC0yy6MhfO+syfPT +WmH20j3ZvONcmDPp7Oej8AlK7Hy25M7kwdxP+QlgVkb5flM8cCmVB2h9c9+d +Ah61WSJOLyfMBU6aEn9ehLPI/TKdyvE+PPjAs/ucQXdRr5/sDzf+yoMlvocr ++U78oDQWrUv6KX8MFDhH5Q88Y5HR799fsyvkAT0e7Dj2o58q9Zx8u7yPB2Ub +eBEiz4ap8c0HA+2UbUFnZM0601csMne2hppeJw9mXfKb3tTxk6ob/myyaIwH +CqfmaewfHaWEDKWdvkmtgssPh9kyr1nkP5se3Epx8oMZJ4i+wLPMvOHWOjye +w4H9PjfKfSMGIHM8xCs3nwOPHEdPiXO4oF01r/ShEzPvxtJF7XZ0QuR7CWKN +JBcILpK1F6qHx7SkqyDFBaT223zntg3Uj0s/WHZ2PDiYcKsxo6eFyrAZFS/n +64UOt0zTQoVx6nJpYP72tx6wapt3eNBdpj7t0/YVIxqaj+trxi9vBc/UnGat +o1zIZl3sXtbzCcRiTGJXz+CBj+ntLI/1nykTQcerf5J4MKfjuOTzf3mU3+P5 +aPHuc1DmYfujKIJFysEKw+W3ebD7ZViJ6+0u6lxh/JviOh5cvBT+YP/sH1S+ +oXRmXthh0L4r6Jn3nEXu65we1pHP7G9544Etr/op7xemtSlcZl4XZQqtVx+m +lHxlYyfld8H7bI8X4wks8vrqK8ZUGw+KIhML+Nx+Uv7SMoefDvPgwpMzk6Nm +o1THAiW388pboMjJIbQuhUXOOJAaET/Ag4HxowdHVEco6Up39cjfPKgMhfoV +78YozJ+lM17e38+sH/Pn8fly/ssPlAHmT3fjXZoOdW8A8+cL/dA1RLEbhfmz +NEf1kEDlWwrz5ymp2Q2VBR8pzJ9L1V9lRe2uoDB/LphVQT6eUUdh/vSLFRat +vdFEYf60X2QxVEe2Upg/W2OD5Y/y2inMnwUaFuvFjnZRmD/L2rpl7lR1U5g/ +X9JnbW1daArz54mrdwZ9eTwK82f76zydf7b2U5g/dS1n+bvEDFCYP4de7961 +s2uQwvwZK1KVJW38k8L8aZ0w+s40cIjC/Fkz/+yGJ6IjFObPAaKwbuGlUQrz +Z3v81s236scozJ+kgafWSdvfFObPp28rHRy+jFOYP1lvqulJowkK82fIIsLB +pHWSwvx5ISCGdzGdD2H+1JKKzMq7x48wf1pFjJ2efUIAYf5843O+ZHytIML8 +KfDtTNmgohDC/HmvX65w0YQQwvxpeOMpca9WGGH+NJG4qs/NF0GYP3cEL9Qo +jWIhzJ+DBN9LxzuiCPPnh+xxpSQ7MYT50/dWTnSvEhth/sziu/X0disbYf5M +sCp7o+1EIMyfrVV17I5KAmH+bDwjZJ7WRiDMn3UTuzJ+XycQ5k8XomHoxXY2 +wvxZoRWsJj/MQpg/szfTfw4H8KH/jz/jPpgK0U7VgPlT7rP+mLHrB1gZLymy +QDQO+C/3Joc8eQq+r0J/h0v3wGrh4i2DN9lIYFY/v3huDxy5zJL7qE4gzKuT +822t4uIIhHnVb3WmS+l3AmFeFbTUdjbNJRDm1U/Li25dJAiEedVk3RvTbfvE +EOZV58yNqdElwgjz6n/r6f/LqxXxLwT27akHzKsdX0qD2nQ+wQf3ehsR12fg +1zzJNnNKgfIPqlvN+7pBQvD1RB5TL6Gi1S+fBPfA82vWnh9ECYT5Vt2MdNl/ +j0CYb4scpq960UIgzLcBfdHZK2oIhPnW0GW7u7gZ058pvg3S9JA17hJDmG8d +92XvOCLEQphvF/S3XAlpHf3Lt5th8fz9NhXAb/ts5wVOD+SICjzaZU6gubYy +ARsDGP6f4333+XsCHWdVHJM0pGH9n6dl6d0Ewjzcqa9tqvmUQJiHxSePtmu+ +ZSPMw+aPvUQfvhNFmId3LW3K7Z4jhDAP/1fPlr88vIqnZlw+oxEwD6/caOnq +/64ExB3WeV7WeQpJF/efspLOgEGLvgsv6rshdDJlBaHPRh6KTdccPHsged5B +xRNDbIT5mfU6GQyY/Yr52X0mpA00EAjzs4u69jOrJgJhft56Ojo9/CizP6b4 ++am++DdRBTbC/GwXmt683IOFMD8X5+7WW8X685efTwtTEURVJbi/NlU3rOmB +iTiTiINAoG6wCNl/nQPagdfF32YQyGDIJeSBOg25doIes3oIhHl79X2LaKt0 +AmHeVj6spzLYwUaYtzs4POMaSTGEeVulZ1MNYgkjzNv/9bf7L29rP9MvugO1 +4C2YHe23jQMlesJNXuEE6i1Hvx8IMP1sWf92tINAC0Ymz62No+FM1bPNOqUE +cr2mRVBbGe66qNI8dxmB1EbHku3GuKDndjqtO1YMYX7fUH3WxuOsCML8flL2 +cDbfu5+U0ZXOB2rxHPgheP7h4WIC+Ump6z7Yyaw3s3civIvJm8gQy/kXDY+y +n2QU+xHowOmO5rdPGe6YbR3/3ZuNApJWNARs5oG3T1Gws60owj5AxDqs8qoU +QNgH/ts/5X99QOCs59tDg02AfSDvW19yC/szpB50lM7wi4V9mpnyaDgTBIIq +ptd87gYpPwWR2yvYKH/rco7VZaZfm5QbvWk2wv7gKBYTs/MCgbA/9HnqPvCr +JRD2B7nQvOw1TD6xP5z7wB+x/ByThyl/WGAltMpFj42wP+h0nbjwJoeFsD8o +qkkt4Jee/OsPY9F7WHvDqyC3MzxQtaQHwiTnOMVpE6hc42TYqXMcEJv/40R1 +EtOPkx9TvijS4NggHv6EySP2DW/eWMHMdwTCvnHYyjwxc5SNsG98PJKleU1X +DGHf+Pd9Bn+MgzDCvvHffub99Y3xxtTGQwZ1cPZnbUyUCQe8yaKBRwEEatg0 +a3bFCAd4aKHsJPN+0LF+f3BVJA0W3tOlBr4QiIyi7n8z4oJBzo4TgvoEkuP/ +MW1tHxcOVjsJVxeIIewvNaY5T2xyRBD2l/ybi8JLPw9T6qf4/HSjOHDU4Fdo +QgGBrt2/ZvHFjIYVUUoRbcw8tzuUMXSyn4a20bITn5j9rPzI4dDXUC54r7A+ +ufgJG91684+WE8kD+48Rm1PuiiLsQ6X3sgIq3QUR9qH/8lL314ey/btsSkMa +IEnrtZFlGwfSzB+ZjzHz4ZSkESj70rBOQGHjeD3Tj4FX5TlXeHBW0eKJ5kYW +wv7kvCtiz5Gfv6jJLdpBVj4csH9jar+d6cf2q0ruFqtpiI2LvybN5D1woe4X +20YajqjMsGcnEAj71uw1eidb/YUQ9q3/8tRBCdo4tFtMp6HCwvjFIyY/AsH6 +t9an0WATvPMf/iICYR+bnfa8SfPFIPU8umGhxUEaumS39XYw+cZ+9r7/fHro +D36E/ey//KC/fjZLJspuhuE3wH5mWnPPZFf6Z7hpK2pvZRgLSoravzhdr4G9 +1mjnyY/dcM9Cqlx/KRsdOrzz8UaXHkC2cyzvdrER9rnvTWffb3EmEPY54dCy +oIJqAmGf88zqIDxbCYR9rvZIMt+yy8w8mPK5e9IdThkmbIR9TkTYal9JAwth +nysYGH5wQmHir8+tkxEqoISr4cB4wb8yhT2QGNqZpb+SQEYvLsr+dOTAl3c3 +HhS9YvL7bDDntCwNBbdrVTYw+cH+hyZQKlAEwv6X9ifr4moBJl9T/vd5ifft +6H/FEPa/GNFzm2MjhBH2v0aJ7ZvXFtdB98nOxapGHFBVaycEHhHI/IbewjOD +HIguCPH9xqzXSCi6QSOUhj6/BfsWVhFIMcWj0hG4cEx9SXzMBgIFeTq+VWE8 +LHX68/vvasQQ9smz04V/9LeKoOjO6XNQKAdyw+RXa+UT6Gfx872njWlIIbdq +2TDrcZgVePIYTQPbcsM2yWgCicZoPjsRwAUWW7/kSwLDT/rP2vas5cF0R089 +OlYUYT996bp7fHmZIMJ+2iV062Lrv19B+XSr+WAjB45vZW2/3kigS0gpQ9GL +BvIgqGd8JZCp7kaxD+d5kNTMdzvWnoWcCw2lGjw5cPDOCrsHb5j6vTG7O7CS +BqNpnzYrMfs/pkzKeHctDXm1au4omenHlP+6BVfdsykXQhf8A/sGWDQMNmxf +VtFJIAlj+Un9RBoehJqPvvzEzJNmQfWBvcz9Mn9rlTOfY1/ufhxzpYnhb+zL +I+If//3OawR/ua4nMq40jPh1ilc3EyhDI/LpClUafpZnuXcz9To0jcNa+YcD +c3ncEBaTF7b19g0rrGiQHue83MLMG+zbUtv8LO8uF0Qt63+fS15HQ+WSsY9D +zP/fldtQmzyThttrFzTqMdevPJI8O/ko83whT/O+thMI+/mNX3K8V/v5Efbz +27kz9kXOawHs51eezyp2Icqg7+s2drR0LPzZai3itu8N3J3m6ppZ0A1nXva5 +zFNlo7QB7hh1tgcsjzZOTGP4APv83g3kNaMzBMI+fz1wb0sts7+wz7O31Igt +ZOY19nnJupEO6asEwj4vo3AiSdecjbDPCxZlGN3pZiHs8w+bN88N+DD51+eF +fFYbj66phhSv3c/88nsgIVxPVViDQFft+Wx1TnHAS9Y6dG08gfZuYH14L03D +d9eA09OYemH/L4q4nfIREQj7f0qLSleLCIGw/7sFnzgmtl0MYf8v3KsY2pou +jLD/m6laLS2bWQ+KS04ePmHAgVXSpZqaD5j+KVgo5/ZxYFQubdUmJm/Fu2fO +TQyiYUvX6g9qzPx5tOb7z0w9LvQW5pzbb0KgqkJ1yYjvXGi32Zh6p1kM4fOE +z9XJc7b+EEGNUX7WgsEcePp8nvEA4w+Jx7IPvt9Aw4AyecWHWc/Qcdbz7900 +7Lxa2akcQ6ALagFFqY+4MDtKXMw3jY30r9vrVq5m7vfLOcA8WRTh8wnh0l8X +NH4IInw+8VvF2cog/iu0ig9vX9/AAeljz9OzmHzxz/cfiPSgoVRuyZlbTP5E +9z5cbHyOBw7VgzyRcyyUcOPTLtkbzPqHe/6ovCbQzR0H/Aw0aPhitHiVPJO3 +pSreN+qrmDwp7z5+N5VA+PwDOTgsOc4VQpqa8UMGwjTc9/d1OMrkKeDxvTXZ +8TToHjnpPcTwW/3l+ZoGu2kw9p+bv4Z5/+DzEj7XoOuHogQQPi+J2B0fVXGs +CRa4bP8WfIkGGbPOHT7fCHT6c1q822IaylsXbPrI1Es+bmK6+y+mnr6zDG8y ++cgfPG7qZs68DwT2aIcx+cHnLYJGD7RVbARRaIvEFf61NETPz/EimPUYv9vV +xD+Dhtlfk8xp5nl4QgXS/IeZ9Wmlyhxi8ovPZ1ZcpHOuJfAjfD4TZ9O9psi3 +Gb6LNb9WWEiDuuKie+eZ51mzzG+HgikNwbuX9ykwf0su0L0VpUWDqvjvd4rM +9+WMuPdEsWlo7Wrs02K+D5/vOB2pGzFYycybhz6Rrf8wfHB44wZ+5vqtmQbQ +ak2DuEXZ4Bhz/eLYLkc7koa57Jlr65n7V17X+GI3hwbDcVeDW8x68fnQOTWZ +B6oi/Oh/AJ8JYEM= + "], {{{}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{ + Polygon[CompressedData[" +1:eJwt1WWQVlUABuBdOmUp6VhaUhqJBaVLmKVBakFKYEG6u1EWpRFFUUK6uxul +FHRUUGIsQkdCHSmfM8uPZ9973vOdmfvdc+5+0XHxsf2TRERERPK5P2vkDBnL +IfKyg3H89/wzg3iNn42XyR6UJwPf6ebLThQnBX+Fdcx03YJ8PDL+he9Zyyxd +S/Lz2PhXfmAd69nARjaxmS1sZRvb2cFOdrGbPexlH/s5wEEOcZgjHOUYxznB +SU5xmjN8wZec5Rznme3+WhHNE+PfuMIF3tG1pgBPjX/nKguMO1OClNzTXeRd +120oyDPjW3zouicViOJH3ULZhZKkYgPDqM9985/KflQjG1/p5si2FIpM3Ny1 +DKY2t1UfyV5UZDcTycgWRnGMJuxnKqf5ybpFsiuHKcUuJnCC1GxkOEdpwD6m +cIoH1n8m+3OE6uxhEifJzjbG0IwDTONr6xJkOwqzk/FEso4h1OGOzy2XvalE +JrYymqZcM79YxlGaNGxiBA15aH6ljKcGObikmyvbU4Qk3NV9LPtQmcxc1y2R +3ShDWv7WrZIDiCEnl3XvyQ4UJSl/6D6Rb1GFLNzQLZXdeZl0/KNbLQdSk1x8 +o3tfvkExkrGeodTlT/MrZF9eISs3dR/INylLejYzkkb8a36NfJta7GUyudnO +WI7TnINM5wzfWjdPdgz7E84tZcI9h7Me9p6h4ZmE9z18ZzqE9yacYeKZHs4m +9RkU/meEZ01r+jAl3DtVaEc/poX3hldpTnfGhfeO0tSjFb2ZHJ4rlalFM7ox +lvmUoi4t6cWksK9UoiavE8eY8F0pSR1a0JOJ4YxRkRia0pXRYZ8oQQVq0IQu +jApnguKUpzqN6czIcP54iWIUpQiFKURBChBNfvKRlzzkJhc5yUF2svEiWclC +ZjKRkSgy8ALpSUda0pCaVKQkBclJRlLKUY1GdGIECYTfldrE0oMJLKIsbenL +VJZRlYHMYDkNmc0KOrKS4cyJSPyt+h9rF8b1 + "]]}]}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + + Line[{1, 143, 120, 166, 93, 163, 140, 73, 160, 137, 113, 58, 157, + 134, 108, 88, 49, 152, 129, 102, 82, 67, 2, 144, 121, 94, 74, 59, + 50, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, + 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, + 36, 37, 38, 39, 40, 41, 145, 122, 95, 75, 60, 51, 42, 146, 123, + 96, 76, 61, 52, 43, 147, 124, 97, 77, 62, 53, 153, 130, 103, 83, + 68, 44, 148, 125, 98, 78, 63, 54, 154, 131, 104, 84, 69, 45, 149, + 126, 99, 79, 64, 55, 155, 132, 105, 85, 70, 46, 150, 127, 100, + 80, 65, 158, 135, 109, 89, 56, 156, 133, 106, 86, 161, 138, 115, + 71, 159, 136, 111, 164, 141, 91, 162, 139, 167, 118, 165, 142, + 168, 47, 151, 128}]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwl0mVolWEAhuFNxRYbE7uwxcbEwEBR7O7u7u7u7u6crXOpm92BXdiFLfY1 +/HGd+3ufdx8Mzsnarne9XoEBAQEpfGzVqVqPMDJxkNH8cLdN+1OJp84rtRNF +Scot20JtRV7i8iHmPaZ5rk9mfjo/4zbbmW5rQBZ+OT/nDjvYyS52s4e9BLGP +/RzgIIc4zBGOcoxgjhNCKGGEE0EkJzhJFNGc4jRnOMs5znOBi8zw/zUkK7+d +X3CXS8y0NSIbf5xfco9Fzq3JRzw+2i4zy3NjsvPX+RWrPHemGMm4b1usbchP +fHYxmGp8cr9Be1KGNFyxzdYm5Ij5YtnOACrz2rRau1CcI4wjOUEM5wS1OM4k +TvPAe0u0LeEU4DBjiSIBuxlCJNUJZiKn+Oz9jRrzY4ugLEcZTzRp2c9I6hDC +ZK56b442JSeHGEMgOxhIFd74uzXalRKB/3/P+xhBbR66X6rtKEhC9jCUGnxx +v0l7U450XLPN1WbkIhZvbWu1GyVJySPbMm1PIRLx1bZZ+1Ce9Fy3zdPm5CY2 +72zrtDulSMVj23LtQGES8822RftSgQzcsM3XFuQhDjsZRFXeu1+vPShNap7Y +VmhHipCEvQyjJt/db9V+VOQYE8jIAUZxkrqEMoUz3PTeAm3JPwoflLg= + "]]}, "Charting`Private`Tag#2"]}}], {}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 0.9377474806468347}, {-13.815510557964274`, + 3.912023005428146}}, "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {0, -13.815510557964243`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), + "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, {Log, Exp}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJw9mXk0Ve3bx82Hs0tJE0JK6IkoIZJrSwN5ZEqDSqk0qFAkDRKRQoMSiYQM +DTJPJfYdQklkJmSOs88xlanEu39rPff7l/Vdax/3cI3351I47GzlIMDHx/eS +n4/vf3+3O/R9Rf02BhVzX907pN4BQkbSLt+l1sGtwrkHoxe1g+bTR5EZUptB +UibGfq7Rd+heouh9QWkHvLTt0ysLagPTiob0J1I7QcDV7+3RkVZQDJKNn5Hf +C1H7kmKqT7bC1PYjYfZKdjAu/vHfH7wW2H10PP++1FFYx1M1rprbAsVG0jlF +kcfgj7KbtWHSN9BYvjH1l/xJ6BW6ebHj328g2ukTu0vJCfIf9tpWPG6GVnV/ +o9tSblCd9ELg4P4mCHm6GK3Ydx7MVKxXVc5rgu1zXuoXRLpDy5xd2zeUN0L2 +wGetQfmLMNWS0XLUsBHuvJ6nYql0FbQTDcpuQwNELzC/ESDlCy8/mArRLnVw +uSKseNdbXxAKXG88oVcHu/zaBZbv84ONMkIllHAdzBo965UXeQMmY/ezDjyp +BY/aEA+u/C04K0xFEbU1YPmg2XGH0h3YDisWH7KtBm/RDOVbUiHguFjuofrh +SvhnW/DNdJcQuPJcstydqIRqP+f+b6UhYFp/12Rv1hdQFFR9tdr9IRR9H0xr +Z3+Bkr9xq2urQ2HtVivPh+8+w6yfoVryQeHQ/bXiUafOJ3jUeskoazoK5L4Y +TBp7foA9e2NShobjwMd4r6ZT4xsY/LaTHSsdD3/NbUS8D76BG3aiDtZG8aC4 +TPs3pzcXMo44S2eHxMNBzRx5NJYD4k4b/S7rJEDqxUNnrKWz4YNPk62IZyKE +tM2wzVzSYW2ShMgS0ZfAf3kg7fGzBCBmvPdvk0uBFwYRekS5N7XCcqCvKDAT +KgpUjgrUvKUufNGFR7/ewBmp+c01JR+pRZkSbfz8FKxSe50Xs6+ayu40+lyp +XwhLJKvJp3MbqULHJzJ7ucUQEi8s2nC9lRpV2jjn9ZlScFhuOdpIdlACk6/m +yqz4BB3x4fIneF2U9syhbOvkz1CiYblJ7EQv9dl33ZeSfyqhsrNP5nZtHyV/ +j558MVAFr2hXOzt3mqLHv7fVaVTDqau3R4J4PCqOk/dJ/E4NdOUW6fxjPkSJ +yyYOdnfXgq6V5EP3uGEq6GTWsWjFehjN3bd3T+8INUa+6j7h1QDxIrV50sa/ +KLe1xZkLixrBJnninWnYKPU9uTjwq0Iz1C923fJMdJz641gbsfLSNxgmShuX +XpqgVhmypo/ltUBXkvn2m02T1LZYheNpi9qANPTTOm33h7oge3BZx/7vkPC2 +xsnp6xSlyrUhGszagfWmjp7ZPE1JzhkSLf7dDo+XE04mHTOUEBWRsjyyAzxC +43gXs/jQqXV5TWKmnaAlFZ1XdJcfvTI5HF0w1gnWUZNn558SQKO09Nze0C54 +E3jh89QGQZRlvU0wZHM3CHw/VzmyTAh5Gfs9zezthrtDcqXLp4WQQuv2xmd+ +PWB0PYG42yCM9HR8Q6TW9oLJnKsG3GIRtF+ucpK/phd2hy/VqIhhodFPtztd +vH/ACMH3yvm2KHokZOdmpdgHH/KnFFPtxZBkW1asQHEfBN0siB1QZKM7szw9 +c0r64NyrQfdFKmzE3rB5z+mPfXDXUqrKYBUbCTyqnl3/pQ+kQhREbq1hoxHL +QY8XTX0QMZO+hjBgo6oPKuYWg30wRzB3umgXGwW9jvjzRLof1guX7xi5wUbC +nt47dc/2Qx7fzYRbHWyUOcydpFz7wepEy/SsbjY6emzP063u/YDsFljd6WWj +YnN1jvXlfpjeptQSQLOR77JWLye/fkhbdGTZqVE2Eipb/+pZeD8897Lx+yBK +IAHJIX7xwn44fpkl91GNQOn++xJDivsh+Ym+irAGgQ5PlfwrU9oPKRE9eQZr +CVTY8yRM5XM/REoscHmpTSCfXFM1o3pmvZcmUUeAQPx2iXs8OP1QICrwYK8F +gfhe2KV0zuNAsnXlG20XApVwG5yeLuDAgS2k1+ZzBArSsFy9fzEHfrS6vt/h +RqBFuUav62Q54CwWF7fHg0CrS1VelalwgJWbBobXCLS/ZyQh2YADamak+6G7 +BFq28vSxU4YcWCddoakZTKC+0z0rVDZzQEW1ixB4QCDXXw1xMSYcCCDLhh+E +EihAMD82ZCcHPusLt/o/IdAbBf+oS44cmFlsZ/3yJYGuOvDZ6ZzhgL+sTcSG +JAJtfnFR9pczB76+ux5c9ppAVRqnI8+c54DY4p+n6lKZ9cDy8aFrHNAOuyb+ +NptAydc/7ZW9zuxnrP+vci6B3EqNpJr9OHDk9hr74DcEmtmh/cg6kAMOb0wd +dr0j0EI7mdCtoRywXBBw5/l7ArXEhNgIhnMg4fki4+FCAsX2zF6AIjhQGCm/ +XquYQGpn+EJ0YzhwwvB3RHIJs78rPcGqSRz4KXjh/rFyAp17nHJ7XiEHOmob +2d01BMqZeuxfWMyBa2EH2htqCTRld8P7XCkHhCMqH5XUEch/+YHz1Z85MOin +GxzSQKDIJPaB+w0c8JkHmcPNBOoQH9u1qZkD0iefZ+V9I5DS2Q6LkRYOOJqz +dl1rIVCqVu5mq04OZFo8sJhsJdCHAgfVeTwOlDnNXveinUBsBUulwkEOTMhl +rtvWQSCL6/pLz41wILbkcdB3Rjdvk5xfPc4BHloqO9NJIPmX07N9fjPnD5I0 +utFFoKOzOKy1fzmwkMd9zOom0EAV+hMsQMOm9k1vJxitqZk0aihMw72HQU4n +egjk8TBscJhFw0jzrtXVjC4Y9+mPYdPQ0dsyqNVLIEFbpy7L2TRUWxq/eMBo +43d7W/nn0jD/W6oFzeg7clsa0ubRcGvDkhb9HwSquabx1X4BDUZTnoY3Gb24 +S6ZcYjENIetz3CsYfWAL68N7aRp+eIaendXH2CtxpOCsLA0ltxqUtzD6h1hb +rsJSGtSWLb97gdGqpz+mf11Gg3Oz+JNnjD77JTPJewUNVR1Ltn1kdLZGdMIa +FRp+VeX59DF66n5gdMc/NGw8tnULfz+BDEfdHwer0VBoL+gryegbuw+HGGrQ +8HXzinXyjP78xuzO8FoaNs/6tF2R0RJLdG/GaNGgIv7n3TJG77qq6GO5nob4 +l0le0oyOaJ9zhX8DDbGLC/wJRrdv+nM+bSMNNSsnP44y66+I73W2J2lYyJ63 +oYnRjqzqkxJGzP3/TajMYnTKyfwj77fQMKxEXglk9K/y5wfOGtOQTppr2TJa +b3XIbgVTGsL3qQ8qMNrrnpflVzMa1sQoRnUy91c84mjqbUGDn8B+7UhGs212 +bVljTYP0FOfVDkab5xhChw0N4paVI5OMfUKk1HSD9zDnzxmYfsLopsuLNQ33 +0WD8cGGxHqPl2gTVhg8w58/5o1XF2P95bPNSyyM09MruHOhm/IUnVCLNf4zx +D60MmaOMXns8bX7aCeb7xwlF3xh/y191U1TCiYaWc0IWmYw/atcuqrjvQgN7 +R73YUkanXkkMnu/K7Devm/Bj/De2okR6sQcNchFF+XqMvy9x3/U9/BINMmY9 +uwO/E+ihXO8zGU8axkN6xOvaCHTTWVhN3ocGdzXtRGsmXvgXPxyO9qWhQm7l +uZtMPF1CitnL/Gkgj4BaNhNvZyQ2g1IQY38Bha1TTQSyyvCxVAulIXQwNn9N +PYHK981bmPKIhh296z+oMvG8WSi2WSOChsGQJQeXMvGuY/P+yLpoGiwDZksN +f2X2Nz5zfsNLGs7VJm7XqSBQ6NO7evlJNOgePx0wyuSPOcbyMwYpNARHWEy8 ++sTUh3CDm5syabAN3/MPfxnjz3qej00KaBC00nYzZfLToa5ZB8sRDWVRt9I/ +IiaeAyOXmxXRgKZRBlCMP7bkJVmU0RDAmyyZx+S79Ku/C3ZX07D+nmWsdRaB +VikHXG+qZeyhtM/xTgaB4iqljPc10FDUoOqD0ggUtlT3q10LDceV5zqwkwl0 +pfBCl0MvDT0G2qaaCQQadWQ9/9FHw56rNT1KcQRykgw7fZJm7GW1ZadELIHs +j2aPnh6ioXOi8tQnJt9vFRlluf2m4UH+s+zyECY+tp9T9RHnQuP03uw/TH2J +fj+H0JPggkTjeLf0VQJp6L7uH5bkQsPxNL7Vlwm0Q6UvwV6KC+c/8Eepn2fq +iYidgqEiF8zPxmY9OUEgoSKThXx6XDBy3+UjbkagB3o/fuXoc2GgtOD8IROm +XqX71jgDF06qrUyK20IgMoa6930zFwwLdp8SNCCQp5cWQZlzmf5VuW3hagKN +bVDgu+rAhU/qZTcvEgTyyyho0zrBhfR25d52EQLNX7U/n+fIhcy/eRfXCzD5 +UDrs0gEXLhyztkjJmWAjl4lZo/qXuaB0TF95hOkX+jMn+v/c44L4zIkuzbds +5KEaWpbxgAvzY8TFgjLZSDROM/FUKBdYbIPPX5PZSOmB09FvEVwIWGNzesUz +Njp8trvtbQIXtOfbJP0IYKMWtaqai++44E40j75g+pentISnIMUFGYVTqboW +zPcvrJVuv+fCXelul2wTZn3FBo/oEi4ssRZa567PRmMybbKlVVxIMBD/LqrA +RhJs7vH5PVx4pOkra9wrhmpL1SSifnChy3Zrxu02MfTIz/mtMocLGbOf33tX +L4bk+H/O2jDIhSN1LsJ1JWJIdWIyzX6SC/reZzP74sXQ1l7WVPJsHphsfGO6 +86AYEosziV8/lwfe4adOiu0SQ5/tA3cUzuPBl5UBt2L/FUPWreIxdYt48PF4 +nqaXrhg6VLtg65QCD7o5PON6CTF0uXD5PRNtHlg89Re9/04UGVxz0K1Zz/z+ +t1uoRZooEjBI7Ny/gQeznf306XhRdPPNP1ouJA8cPkZtT78jikJT1zSHbudB +QGBZuJudKEqNAsVuOx5Ua4Wryo+x0M9LP1n29jwQLMvefLuPhbR3J9KtR3gg +Imx98HMzC+XNmZPRcIIHOr2nPN4UsFDJtTbD8nM8sI/IalP3ZSHRA/dXGJ/n +gVPdCE/kPAuZ6m4V+3CBB6ltfLfiHVioavh1VcEVHrgus3ymuZWFvh32PJh+ +gwfOB/N3HxdiITlYY6R+izlPXdoC858i6JBMj1JSIPP9bOGfQx0iqLfm34H4 +ezyoNy14ZlsggoY2L/EMf8yDLXWutr6uIkhEOe/xtWQeuOVszYj9LIxMBJ2v +/k3lQemBZREdWcIo6Puyw5cyeBAnen57fJQwkngUsNItlwf/vs/mj3MSRkvE +bHOPF/JAuX9bPWIJo4M9syO7i3mAnJxWOnKFUOz79172pf+zX+1d2yohpHxp +5bZ9n3kwX0//dMdDIbSWnqjbUc+DvataC/sWCKHzpUlvyht5IFzx20PjpyDK +fXboifE3Hrzy3DelXimINu4vO7qpnQcVd/NCa3wE0bX1V4ypTub+NwdrK9sK +osL5Gqr6PTyQ2hlidUddEBlXhP3U4vCAiHda518jgAJemDakc3nA5/no2tEY +AVThN/NWfZAHfU/jrrQy75W5hzOikoZ54HK8cdxwrQCyMjjus/IXD94PXciK ++MmPHkrLHEsY48Gai3SBVzI/ahz7YrJ8kgfXf8vxXh/iR9I1PmrRf3hwXlUm +WEWEHx1I0ZaQneZB/nb677FQPpRtOyFexTcA99u2Lwz9wLynZFbyswQGoGR4 +LPiUwvT/azfdtodqFX8p/H23d45pqcIUhf+fDGfpUa+Xvym8Xk0ENK15N0nh +/SicWaRxaGKCwvv1eHZuZsJsgsLn8dTZ1MyXNU7h8w5PnTgyrjJO4fuo3MKL +Ekkco/B9ZZblCG1SG6Pwfa4da1U0eTtK4fuWvBQyu7X7F4XtURadUsLn/YvC +9upusz3Jp/CLwvZcGXSshu/UTwrb++KlJ8GH5v+ksD9kLguyt0YjFPYX7upY +G7EbwxT2J3oq3Hny5xCF/Y0rbzy84/UQhf3xa9DTkMUnhijsrzqV8mtuogEK ++zPa1DZ4u4RHYX9f0O0o8fxfHoXjgegJG0yv5VI4Xn7oxq1QtuqncDz5d1sr +hmf8oHC8feDZf8mmeykcj/teRX72vNVL4Xg9kOI/YKfSS+H4rzcw/xhn1EXh +/OAoYu7d09tB4fwhUGYbtGdjB4Xzy5Hkmy3Z/e0Uzj8vOfEl1aHtFM5vxa+F +5zy2aaBw/vNpOZM/1lRN4fzI1z6pIZZZReH8ufBTcTKYVVI4vwaa3srz3fSF +wvn3qX3twwDdCgrn961W64Z2EHkUzv91ETGyIi3PKVwfwlfF6xSef0jh+rH/ +aOd41carFK4vSPWPxZ6dWyhcfy7YRH4SL/IAXN98K7RKo0PzAdc/9i1iR6Xd +B8D1MRHMBizvlgGunwtm3EICFT4Brq/5rIt9q/s/Aa6/j2TMkptSygHX9xMV +EvdSv9QArv+s3JENU9H1gPuD+bF2KnF8jYD7h3MW9D/qyY2A+wuCi2QdhJoA +9x9rnfT36ds2Ae5vTqsJGqcubwPcL0VeKZu+pd0OuJ/6VH7vwusP7YD7rScH +JGcuzOoA3I+1ORpoJql3AO7XPHVWTlJWHYD7Rw3h+6JHXLsA96MFQtNpwR7d +gPvZhUc71vlPdAPud7tEw4k2nR7A/fDpySxR+909gPtl4dV6Wz+69wB+H8Wk +HuX8dukH/B6cRq8dyrYy5/jvfamnvtSyXoUL+P3ZGXojtTCMC/h92vxC9ErW +Fy7g9+sD54kz4kzdx+/biKV7uyyFeYDf75ZufHbGK4cA84Fx9/FU9sAQYH4w +ablRRNpsGDBfiDJoPVl/eRgwf+hfZt4h8GAYMJ84FHi9KihqGDC/qGD7hYu/ +HAbMY5rr3a9Oy/4CzIPWW9uKFR0cA8yL2uW+jVeqTwDmSX+utibkVU8C5k05 +2ieiEu3/AOZRQ41NhWOvpgDzKu+M8lhv7l/APCvH+ZxX/+Q0YN41nkZvKRyb +AczDgvZ3zKzx4CMxL5s75J1Z38lHYp523dTsuYUZP4l5W4Dy9d9n0vlJzONI +V61VXDEBEvO61ULPXdccFiAxz3PwC3g2J0WAxLwvdoJ2vDgpQGIeCGK3ipZv +ESQxL5Qbbh4P8RckMU/UqiY+3i8XJDFvfCdkNp05W4jEPJI74L5E01iIxLyy +zE5n1nFfIRLzzL5onaKGN0Ik5p0jSTunFIaFSMxDHY6UBHkpC5OYlzIpnz1n +jzCJeWpai77h3QBhEvPW+R++NVTnCJOYx/pufDf2rVuYxLy2t+HitwlJERLz +3Is2lSY3DERIzHsXvkp+bHtKhMQ8eI2jf4j9IxES8+K80647w96LkJgnc+Zs +WPqWJ0Ji3izXmzmnbSGLxLzbPSv2jOImFol5eP71qlEPWxaJefmolc9fteMs +EvN0nw3lTm/PsEjM26cP7pGYe5ZFYh6vc8lAmzrHIjGvn3uKGAxzZZGY54eE +bXM968YiMe+fWS246KQ3i8TzgBvo7wrjm8z6/80LIv1W+jcFskg8T8iXXG6f +dJtF4nnDup0BTx7dYZF4HlHa09xw4y6LxPOKq2daBXeEsUg8z7i/NLfhUgSL +xPOO06bE3xdPWCSeh1T62v0si2KReF7iYiXqzHvKIvE8JVtWqKQwjkXieYsC +54T84UQWiecx2ncE/Yqes0g8r0nhS3XSfMki8TxHZ1xvo+lr5v7+m/e8z/d9 +MZXMIvE8qHnVioivqSwSz4vKXJwiGtNZJJ4nzQqQE1qdxSLxvOny/TG2TC5z +nv/mUc9UzacfFrDI/wONGFS3 + "]]}}]}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVVHk4lfkfvXF5LWWJEkIiMdlaMAmfb5mKDIWaShKipLGEbIXIkqWmjOxb +UpI1e4lXlzD2kN3Ncol731eEixI/vz/Oc/44f5zznOc5R9bWxcyeg0KhxG/g +/3zKfupTzfQ5vefKp9eeVmOIqi/h+kX8ENyJZvNJVmDoYFp8crH4H7A5Qpqq +Woohxk75QC8FE2h0dU7qK8KQUWtvUYr4WRjYtyfpUyGG5KOkXqzLXIQPVcHZ +q/kYWj11Nc5GwQq0lrR1jfIwdN5uqSpa3A4KKIXOB19jqE5forw2+RpoPuIM +qX2FIXU53cIFmRsgy3SQsc3CEM9YUMZfCs5QJkWtp2ViaFgtTP+huAe4mvG4 +kGkYiknbUbPn0m1oD7aab0zF0CnB1zrVyZ7wtxH/r+wUDJXNtGh8k/GB6F0V +vb5JGHqUt1XRVMEf/J2GOU3iMJS+7XRohHgwNEwM9Ib+g6E7rXF1f70LhkNn +I1LiH2Hor5ARDrlLIVAlImeT+xBDmxdvBVQmh0JyiFJYfySGvLtjvAmZcAit ++bXH4AGGTP8dcDRReATrqpxiNwIxFMhTvDdcPAZi4k663/LA0G8nnzwoco0B +oZv83+LcMdQZ4jI92BADWr56mrjbRn+cyjmqnk9h7coFYaFbGKr/lana3RkL +QUeand85bfjPx2rIRCXAolnQL5XrGIof9tUvXUuFqvsdi94WGLpw8VnB7Fwm +eJZmOMkfwxD/eqDlSekCkJ4sEaRvx9Ae05mp2sgSYAoe2fWO5EZebYchfuEt +VP7tfjbuAzcSKxGmb9qEw37HsBibeG5UNqbf0q5Dg+05+YkWN7kRzTFF8iJR +Bz7n2g1D9bjRooKuYJ5TA0z2+gwui3AjjpUcIck9TRCs+549yOBCmuvWZeb5 +LSD6cbC3s5wLtQQfaqv/rR3eDOkc/SeCC8k8Zq1kz3RADwl8ghe4EGvpC/2z +eifYX62PCtjLhTKZlU0Cj7rge+7ZVdk5KhKQyvrGYHTDVLpWbe9bKoq6UXot +Xb4HGq20Nl8PpiI2ymE4BPQCMeO586ABFXkcqCvZXtsH76nGayVbqOhLfl3k +J9kB0Ojk/y+6mRP9dOxOUvIdBOm5gaWYME607yi2dq1yCIA3vFbuOCc6mSF7 +/Y0YHTKWWY4+KxzIS+rK7lHLL2AfEvFcsIADKRPn+HuNR0CV+sp9vy0HEhGc +5an7MQLIXWMfwcuBqHhSgVzyKETsvf/DqWgTunmosp/XaAzuGxm/OmO8CeUY +2qZXs8dAaDawpGeMghZZEkKTseMQZTm6vt+bgkrNT3LG/MGApTes4zT2OgQY +hKSVTDKg3MUtYHplDWSHT/U9D5mAwOLmjEDiF2hrBceIH5iE2b5+GjtnFSyl +21c2dU1CuaZDapbNT1hsejjmGvgVfvoPv6zsXIF4qpWHmfwUjEgPLrWrLYMI +vTSDo24Kfje34K29wgYuv8Czh29Nw0CPp/+a1AJQsq0KxrYyoZUvJEHg9RzU +E73OaduYYB15vyMqdQ6i1E1VLXcwYXr36VGOf+dArEI/77MUE1L1hm/03JkD +1QbFnEZFJqyY6nJLGM+B5cT3l/l6TFjyXCrkm5mFt7Jhqb6OTDD1oFgZKM2C +W2LBw600JiTtujhuykVC+WpiGK2OCf+6LDsJMAlYtQoNdGtgwkA2z93SNgLC +5C7f7mxhwlhsaCEtjoDkXL7L0b1M0FbbZdqjSMDHanvlrSQT1mry7BtPsGDH +uGSz8A4WPCu0Y/5wnYaqfQ94hJ1ZwKWqfeI/zwnQ7BZrjXZlwd8rpTw25yeg +8G7WE1F3FozzJPDTtSYgo7VeYoc3C7bbjR4KW2bAAxcuFZkgFlRT19488WaA +WXGQqUosC9S5onmuuo/DV22/RMNqFvhpKa3gZqNgPb75SnMNC+iOegdz1UZh +IDJZzriWBSmXRda9No9Cy1Bl7plGFjQ1P/bK+zgCRf4/qs93siD5buNauOYI +3KV5jdtPbuRT4TQolKOD8Ck35SABAg4461zSseiH9A+C/NrCBPATNVL21H5Q +P5w3PSdCgNsZ1m9q+X1gojj10kacANEMK8VMSh9EcFvJHpUnAKv4fmQ1vQeo +tYbbKdoEOLQKPy5s6wL2EVmKvz0B8ZLG+f0FzRBSXE3XcCCgCvOZUp1uAtF9 +llWkIwHb1j1iImWb4KBEnO9lVwKywHjG9J9GcF3evKhzhwC+cH6TdquPMF2y +PP3zMQHBrRoN6bFVMKTS0eXzngCvc8lNArXekMYS9uPECahR/nnmwtnjuG22 +ucLDDwRY2o0tdej649Pyvd7p9QQk7HuhRbv9FGdL0qUaOgj4nPRMinvoFS7M +R1wXnSDghNmhWRP+SvzEJLaav4WENJvupxGHW3HeTMMXvwuREGkUXhl8rA1v +sYk0oW0lYXtTXT4Yt+PmwwLPPouRQBlZUect6cCtu7edWJUlIWjIqYrd34nf +ock9NtQkoS6PSzDxXC9emAryDCsSXjNf1HfGjuDzvvOYjQ0JV/MfDJVNj+Ca +57NYw1dJ4Gi0iLqgO4pXCgoW9zqQ4Mh9OnBichSvv0c/2uxGQo/e6f8y9cfx +QVu/K0WhJFwuCJuxUpzEpWG/vlo4CZdyklv8widxa8kJhdxIEj6SNm1lrEl8 +suvPmRePSQhjmMsnFH/FZ//Y6ZeQSMLXw5l79ppN49x7KxPv5ZPAPxH3raib +wA05Xfx/FZKwjeEo/OpPEo/6stvWt5iEmmP0bw/rSVw4PkLJo4IErXaZ/Q9q +ZvCdvBYV12kkfIpKi9nhMItfmdiSzKgjgZAxmDPJm8UzPnwIsGkggbWa4LIy +P4vv9VU6eallQ1fNOMcbOocfYC1/Ntk4+5LdUTbmNd/x2w25b5v7SPDxTXli +LTqPVzy3TjEYJEEp6loX5eY8rmvZaHdshAQG3eIGRXYBv/f7XQN8jITG9IJ6 +SuACThNVV9aZIEHEN2bLMGMBN2iNm9dgknCAPSxv+G4Rj8g26i0iNvway6nH +VNh4a8j6O7VvJLQfJ1O5s9i4kG1xau4cCXOrDleXFJdwM73rQUoL5MY+jw1Q +SpfwpxKS116ySfB+7ra+bLyM97HbDOVWSJB1ElO3Xl7GJbqCVNJ/ktCVBP37 +36/glws0haXWSJBk7rILeP0DL7NYFuigzAAjsNyoQXYVp0oqbcI4ZsDjMP2p +Susv/H8nLMqx + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVl3c8Vu8bx+2H55QyKiNEQt+IEiG5iGRUVlRklVGUUUhDopSsUg9ZCRkV +yR6J584uZI9kr3jOc4hSlPid31/n9X697vO61ue6rvuWPOtp7szGwsLCz8rC +8v+vkfN0O5qx1GrZmP3IQXEUOHRFvIaF98GD6o32KVtGQPl5XFKhsB4IiKY6 +btQdhomt0kFXZY7Da+tpjcaIITBu6S14JnwC2K6EvHNaGATpCLGMNYnTkGyT +k9pxYRBWjM49dZSxg9+8H49+IwbgpNPvysfCTrCPkDdo2zgAtboipTVJLvBX +1sdCJ+crKG0/mPdT4gJMcYReGz36FbjHgtOsZDygMmbKuiWhHwYV7+tGCvtA +R84rNvszX4D2XAjtsPGFY3IWu1r5v4DRhteaVUl+MLDByuhAUx+UzDarzElc +g5WBwgEnnT6IesMvZyZzC1SztBojoRdSNpncCxO+C6/rjDlwr2640fK01urd +XeAIVzNY0ugGq5ARtu02IXBQlKOeztkN6xa9AyuS7sFy2hmK7bMu8O+i+TMl +HoA3Jz0Z6+oEsyf9bsdlosAIdgg5WHdAEHeh7ANhGrgJicconm2F/45EhxZ4 +0eDmS4EmP6wVOkI8Z7420MC456Hh6eLPIM0un73bLwZqhufyR6ifof5f+u6u +jljYq28eEPO+Gdb9iFWRiIiHifaWuLH9nyBu8Lpu8WoyiH/WWjYIqINTp1Pf +fp9Ph2CD08oefeUw9/UENU0kA/6ZWHIF2ZfDPTtuZwvdDJCWUv3DmCqDwnOe +IiW0DLBXLpVAv0qB1+NgyI39mZB3zeGShUgJ1AV/seYKyALa0Br1mFcB7M3h +49rK/RpYb8zmJ7zIBGwt6MwR8bfwSitRA2sKou8wm52uCS+Clio5J7bOd/Sr +n9Uh7mc5XBIW7O+s/0jfUsQ3xMpKh10KbypSbTroJWO6za2a1bBVoEP7+cY+ +erXbM9HTzFqgZXBy994ZpC/KHNzw5lIDOG83W+zTHqWzLWdvFN3xCUYz4iXO +E+N01TWHEovcZqhXMjvEc36K3nx33+f6/1qhdWxaNLJrmi7xCF9+NdsG2fgV +Ozs/nI7/Hh7qVuoA91uRCxEEQU9nVHzijeqE8bKa/f+ZfKfzimXNTUx0gbq5 +QIxf+jw94kKxS4p0DyyW2Zw+NbVA/6WdPXE+sBcyuLoqRAx+0n321hZtrukD +y9yl98ZPF+nDubXh7ZL90CN05fAL7t/0v25diTuvf4V5rKFv2/Ul+i4dyqpL +xQCM55gYhX5Zph9Jk3TN3zIE2johKhft/tKvitlLjZ4Zhsx3nR4e7St0eaYl +1ntsBCjl3fia3ipdYMN37to/I5CwHfMwHF2jc9AT325PGgX/2HTiWjELct9X +8YXHeAxUhFMqah6yomzDsylVv8bAInnZW9CdDS3iIhunYsehPPxq88oBdlRs +cYSdpjcBbMOXWxekOFCgQcjzoqkJePhdvGH7KgeSHDTqexEyCbp3MrGHvZxI +Y/9dmvDeKTDccEuLWcuFzoi3LrN2TsHJ+G1KLakUtPgpcswr6BssYCzZnpHc +KI7DzsdcehrqKlek8xx5kMBQcRpb7TREhFalzUpTUdS6gIDS+mm4nD3nt0WO +iqgH9E5d/DgND82E27R2URFbXMf6ns/TIEyT5Hqwh4oWzOb8X32ZhsS1gj2Y +FhW11cmZmM5Nwwb2stUaKyqKeJP495nIDKhxNh1fuEdFnAFBJ9S9Z6CCJTTz +wSgVFc0zl+lXZsD8/MDqugkqcnI59VzfbwaQ3SbzqCkqqjVRZFjcmIHVIzID +YTgV3ZUaDPQImYH8Leek3BepiKNRLftF/Ay8DLQMqePGEJvAd1be6hlwvUER +/6iAoYL7Nlm02hnIfaYpx6mEobMr9UdFG2bgbeJkhdZeDFVPPnsq1zwDSXyb +vF6rYii4zFhBt4e099ow+RxgiNUu65Q/YwaquNmenDbFEMsru7dj/AzItWgt +V/XCUD2z1+P5JgbYHtYO1LuMoQgls91nhBjwbfDKh+M+GNpSpvumW4wBnjzp +6af8MbS7QS67UY4BlLJ80LmNoTOTC5m5WgxQOKbt5/AQQ1I7L7q46zBgn0iL +snI0hqYvTu6Q02OAnPw4xvYEQ1d+9qanGjIgTLtx/kkshsLYK9NoJxjQrMk5 +eP8Zhsol7ydfd2PAmpCdxevXGLrlzGK3/xID7otZJh7IwZDeq2tiPz0Z0P7+ +TnTjGwy1KV1MuuTLAB6hH+7deaQ9MEtwuM0A1ae3ed+VYCj3zqfTYndIf37N +/JMtw5BPg65wfwgDzkXucYwux9DacdU4i3AGOJcbO1u9x9BmO9FY/VgGmG0K +i3r5AUMDqTRL9ngGZL7cYjBfjaG0yfWbUCIDqpMk1FRqMaRwiYWmnsqA8zp/ +EnPrSf9uTkbL5zDgB/vVxy5NGLqc8DaSv5oBo1191IlODJWuJNyvrmXA7ae2 +I71dGFqxuxd0uYEBnImtcfXdGLq/3da3o5kBcyHq0bReDCXlUG0f9zIgmB+K +5vsxNMr7y+pQPwNELrwsrviKIRnvUdOFAQa4mVCsbg9gKE+lTM98jAFFpk9M +lwcxVFflLM9PMKDRY/2+VyMYokqayVTPMWBJvGjfkVEMmd7R3HZ5gQFp9QkR +wyT3HxEQ7PjNAAJtE1sbw5DE69X1wX/I+CMEdO+NY8hpHYOy9x8DNhPMBMoE +hmbb0N9oNhwOjRx6t0SysnLOog4nDo9iIjzOT2LIP+bp3DwFh4V+q90dJFf9 +Dp5JpeIwOjUwpzKFIXZrj3Gz9Th0mBm8ekKywfvTg6wbcRD8mmeKkxwlfrg3 +nx+HBwe2Dmh+w1DnbaV2x0046K4E6ISSLDQu2sQnhANNrdSvhWTbw5S6DyI4 +fAuI9V43TdYra6HKWwyH+ge9sodJ/sYzVCa5DQcFqe0Pr5Isf/FjQbsUDp79 +vM9ekOz9uSgnaAcObaNbj3wkuUQpJXOPHA4/2yqCp0leeRyeMvofDgdd9A+z +zmBIZ9EvIVoBh2pH9rsCJN87eZamo4RDu96OfRIkN5cfi5rfi4Peuk9G0iTz +bVUPTVXBQY7373spkq1uSQebqeGQ8TonUITkxJENN1kP4JAmVHUfI3nk0F/f +/IM4dO5c/rhI2t+RMeXpqI3DZir/gS8ku1E6LvDpkvn/l9laTPLbC5XnPhzG +YV5G+2Y4yT+bXtp6G+BQoG2iYk2yxm7aSUljHOJtFOckSQ58FGjWfgyHPanS +yWNk/moX3IyDTHEIYTujmkQy1dLq8B4LHERWGNnHSTYp1YFRSxx4zVoXlsn6 +0IQV1KNPkfGXzq4+I/nLDSFlHRscDGI212qQLD7ErjBvS8Zf+leljaz/y7T+ +bWbncJgSOzE7QeqF4KgXYXUh9aFSKOpE8l7XfMH88+T5hMyar6TeKneFcvN5 +4DBwmcO0iNSjateWlsdeOFCP9/BsIznvZla04BXS34oJLITUb1pLvYiQPw7i +iTWVGqTet/pZDcdfx0H02OTJ8GEMxYhPvRANwOE3bZK3ewhDoZ6cChLBOPgp +qGZZkP3CKhQzn3IXhxbxnZdDyX66jqRLpO7joH0OFErIfrvEpwcyEWT92ST1 +V75gyLww2EwhFofYubTKPT0YarLh3/w2DofjU2p18mQ/63Gk9Ssl4jBH22q/ +jez3/ZYfzu1LwcEsbL3wfDvp3+813wOvcbjclWW0vwVDsc8falTm4KDuejFs +kZwfGwwk1rTe4hCdaLqU/YncD/FaoYeKcLCOP/UfayOpZ42ABMMqHNjNVX2M +yfnkML7Ovgnh0Jj8oOAjIvs5PGn7sRoc0CoqBDqpx4GKHNNGHMKI5Xp+ct4V +3PpTdbIDB7VHZmkWxRjaJRt250sXWQ8ZG7eoQgyltwob2PTiUNMrH4zyMfR0 +m3q73QAOrrIbnam5GLpZfXXceQqHSS1VY+VMDC26UV5+m8bh1K3OSZl0DHkI +PL14ASfrZX74BF8ahhydShYvfsdhbKnV/RM57/W5Fik+f3B4UvmipIlG9ofR +ZflgXib0rZ4u+Uvul5QPGzANPibw9f2eELmFISX1NzPzAkzodc1n2X0DQ8fl +pjMdhZngW8earOhL7hMuO0kdaSaYeKcVPzuPIY4aw80sGkzQ9bMK5j2GoSca +336WajJhtqHK18GQ3FcFdzs9gQkXFHbmpB/GkHYq/dGwHhN0qk66s2thKCBQ +BaObMMn7q+zQ5t0Y+nVAkuWWMxM+KTaGXsMwFFJYNaRyngkFI7JTI1wYEtx1 +ppJwY0LRv4pramzkPBR5et3WiwkuFqZvS5eoyGtp3aLmDSbIuGjKLpD3hZmi +pZm/j5jAu3Z+XPkdFfnLxzYWPmGCYCovT0QRFXGnK2e5xzKBQtVqbs+lIpkn +Hk5fE5kQtsfy4o4XVHTWe2LoXSYTVAUtc76FUdGAQlvntfdM8MP6F1+R95fn +OF8AO50JopLueeqm5PlXFjKRH5jwUGTCq8SQtC/d659Sz4StFhz7/DSp6Jfo +kFhDGxMytXiHuSWpiI/KdBWcZEKc8l0xgyke1NWgwJf8jQnj1vqFkUM8KC7E +850sgwmF618+et/Dg8RZf6w7MMeEc91enN31PEh+aTnfcZkJmkHeRdMZPEh/ +irKSu54Aw4PlxifseRBPumGG2kYCguLdL/BY8aBmx/Dj1fwEfN4Z9iDtKA+y +GORN7d5CwEfXCuVAdR7k0LVJf0WSgAkGYdDDx4NuVG9/ZKhKgOnz+9yP33Mj +rdvO6p1q5P9/fGJN87kRm1bW2JkDBKz3DNHEM7hRaPl/Kl7aBDh/TDYqiOJG +sXl7+mONCAgLb4z3seNGeckgPWFHQIdKvLzELwr6cf0HxdGRAPbGEr3IaQpS +PZmFD54jgIvTwr65n4IqNmwo7D1PwP4pd//yKgqqvz2k03SZAMfE4iHFuxTE +bft4h4EvAR7dCwSXLwUZq+vz1F0lIG+I5UGGMwW1zb9pq7pJwBUpsxfK+hT0 +9WyAfcE9AjztK0+6clCQOOzRVXxAxtOdv8nkBxdyEJ2UyQknz6/n/PF9lAtN +dR6dzXhEQI9x1QvrKi70XW9rQHwCAYe7r1jfvcKFuGQrEm7nEuBTql+Y1syJ +DNk9b/3LI6DBVipxtJgTRQxLnb1eSEA6t69RRjIn4osL2+lTRsDRDyWs6R6c +aCuPdZlrNQGyM0d6EIUT2U+uT5qoJQB5eOx0Y3KgtA8fAh0b/l+/rofWbRxI +9vrOIzbNBAhqaF4cjeFAe/Gl7uM9BJzeNVg9vYkD+TbklDf1EcDZ8sdf6Qc7 +Knvh8MzgKwHZATYriq3s6OCZRqdDIwS0PKyI7QxmR7fVbhrQx8j860Wrylqz +o2pBJXnNSQKET9DMoxTZkUHL0x8qDAKwDI999zvZUNgr494CJgEsAXG3nVLZ +UEvI2jvFOQKmn6ffHCTfKxvPFibnzBPg5dr3W2cvGzLXcg3e+ZOAD9+vFif+ +YEUxIqIumb8I2HMNrwrMZUV9vz4bbl8m4M4fceKNAysS6QxWSPlLgK+8aLQc +FyuyfavKJ7ZKQKUR/s8llgWVWC/xtrHMwuMho82xdeR7SnQnK4VtFurnf0W7 +S67S/wfD8oul + "]]}, "Charting`Private`Tag#2"]}}, {}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>, "DynamicHighlight"]], AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], Axes->{True, True}, AxesLabel->{None, None}, AxesOrigin->{0, -13.815510557964243`}, - CoordinatesToolOptions:>{"DisplayFunction" -> ({ - Part[#, 1], - Exp[ - Part[#, 2]]}& ), "CopiedValueFunction" -> ({ - Part[#, 1], - Exp[ - Part[#, 2]]}& )}, DisplayFunction->Identity, Frame->{{False, False}, {False, False}}, FrameLabel->{{None, None}, {None, None}}, @@ -244048,7 +303423,15 @@ VmhHipCEvQyjJt/db9V+VOQYE8jIAUZxkrqEMoUz3PTeAm3JPwoflLg= "freeformCursorMode" -> True, "placement" -> {"x" -> "All", "y" -> "None"}}}}, "DefaultMeshStyle" -> AbsolutePointSize[6], "ScalingFunctions" -> None, - "AxesInFront" -> True}, + "CoordinatesToolOptions" -> {"DisplayFunction" -> ({ + (Identity[#]& )[ + Part[#, 1]], + (Exp[#]& )[ + Part[#, 2]]}& ), "CopiedValueFunction" -> ({ + (Identity[#]& )[ + Part[#, 1]], + (Exp[#]& )[ + Part[#, 2]]}& )}, "AxesInFront" -> True}, PlotRange->{{0., 0.9377474806468347}, {-13.815510557964274`, 3.912023005428146}}, PlotRangeClipping->True, @@ -244098,141 +303481,143 @@ VmhHipCEvQyjJt/db9V+VOQYE8jIAUZxkrqEMoUz3PTeAm3JPwoflLg= FormBox[ TemplateBox[{0, 0}, "Spacer2"], TraditionalForm], {0.005, 0.}}}}]], ",", - GraphicsBox[{GraphicsComplexBox[CompressedData[" -1:eJx113lcTO0f8PH2ZaRFy5xKiIoWIZSQ73EXIVtElLSQJZRSKhJFdJeSSosW -dIvQglCKztUmKdKmVNqkbc7MtO/qmd/rcfnjeb2e/pk/qpk513Wd73l/VB1c -9jgK8PHx5fLz8f3vdZtjdyXq2bfhnKZFoV8cg/QTy1z8r2IkGOwYybZ0YJAH -Dj7I6Ot/CDVJsgwdQwY5a8bvkOm8DBBuyD++Tp5BqptzuguDX8HFzGsR1QPi -pOcXQ4gZegvQompY/FmcZL6SaebnpyB+w/XTis/EyTftxuUV6wvgZ/BIyrIA -cbLAKUH5IF0EL68k3HS1FSeHNYyk0s6UQIpMkXnyOnFSYPyZtLL6Jzj80N9T -Wk6c1J+xe7M3vRy0Zjt9MuOIkeXXVn35oFUBcp+WBjp9FCPnh7HGn3C+wqyV -nMJ/EsVI1mhLc+3yKjh5fTq6zkOMfNib+0kytBpaJhoV3m8RIyVVHnM7Omog -ocTdR1xZjLx58vWx+2rfIPIDo1yMK0qOkM86Tlyugx1Dz8efvRcl3fWKXikU -1kOSnqMiJ0SUbEkvCq5UbYA75tmCaw6IkpNONXGaFxqBfxlLYYeqKKm9UXT6 -WG4TCNTr1XBZIqRpkurxF8xmsNhqmRaYIUJ6qtgubDvUAiJv92Recxchdeh9 -s+p2tELlF9GrIwYipKxUn1jRRCvYm1mVcQeESSEqLmNRfBuwzVJlpDKEyVOr -cr+Lm7WDNcMk39RemHy21eF+3kg7LPhvbpKatDA5zFKS7oz6CRZ3zbweU0Lk -672mgpEmHfD9u/Tvkw5C5OUtAfdedXZA2KFVWXzCQqTqj231/wX8gp8Re46H -JwmSaw2uRSrqdUJ38YncJl1B8tC8inH+6k4IPj/8dMFbAXL4U0j7Wb8uMEqw -HPuiI0DGCB1236PWDYo++rvd4vhJ2ebXSQJF3aB9yiIyYIqPFL7kZ2Ho2gOP -00PubDLkI/meHM5on9MLSbacgKsV0+B2NyNkTkEv5A9WOyvkTsF77UAxGWcW -hGfK9hwwmQCZbW46/pI0xDCN5mu4jELT0q/V3u9oIKT2hxteGoTniaDWcZgN -RkuVHJymabDJ0JdRmWaD2oBY4Tb0A64EPPBresqBRsVTo12zv1F8/8/Pffld -14MUr8GSwahsfi8GGfPjgvHr6USQrP6srreDQb5VvZF4wakXbLsVZ/NV/gbi -p3KZDMGChlvhK71uTULX2kt3t+axYNMr72X+7DEYWafK5+tIwxvbDaENscOw -uVN0Kn02GyLfbYhX1OsHkcW5d6+ks+GSab2FweNOcFY6XepjwYHS7zalSioI -FLJsQz0mORCdcuQg/baP+rHshnGIojtwLhs5VF5jkBKDUavn34yF17NHpiIs -GeShXwOP0jf0QkAKV2Z4zzQU5znqzGH3QpXShHrbkinYk+lvvjSKBao3r552 -ShgHocKtCnxrad79uCb2yM0RkGHQx+V+0ZBQH/RtwGEAGh0u2b68zoaj4bpq -tuM90HnUnL1dk7d+/4q0jKRWg1kin5hmNQf201Hcs4kdlHlEg9NOjVBIWWYu -UXKaQfoUeP507GTBuly5o2jlGPS8GuuZDKPBS7iwLPzOEFwsWBS2VZ8Ndt1X -OINfuKDHGqvd+Y0Nxs9i/WUn2mG04npy6mUOzALl5FuH3lDtFXlyBYu44JH9 -9K527iRleXT0fbjiURBZsrgv5SaD/PD7oW5NVRRMozd6XYcYpG7Jkmcfl/DO -k2ZsTPvtaYhPZdiE1/WCoo5gWL3bFAS6CC+d78+CvUPyk4P94xAkclh1oxoN -ydqr9B/MjMCIcrNKyVcaqCfxLTkDA/DhSvPGMjfe+TJnGZVeY8FChsnMK1kO -eG1f3kO010OnTGWRAMUBgzTuqdUyLZRXTaQXPf9f+Lmvx8zYjUG+9J3Is6xi -wYIZ/vus22NwdkxieP1FGipqd+2XURoGuxr5zVOqvPO64OvMurA+mCtulX28 -gA2y6+LERH50gJ6Hd5acEwdU9+hfrD0VAz7GtyrjpLgQc/Zql6HaMBWaNmeJ -uYYvXP36JXmeL4N8Sh1u7NjAAZtd4fsstMvhudZys11dHLh8Ol3H4EIv9U4r -MsLkDgeEqksM8j9/+rO+LX/Wl0WZfa57maBoAWm6fW5xtxmkmqDOM93zd6C/ -JulknC2DZGYbp9Wq9IJ4yJ7GxufTcGORjUdVeS/s0AkPWho9BUmfPygRXizI -nTh0+aTyBOxc0v3IXpGGt/m2LnUGo9CjVud1/wMN5m9XqySuGYRcKanMuhNs -oFTadr43pgEdMhLrEeOAcYeZ7FnrRsg0M/yP+4oDphVaeZIuDZTEsOvl3Pjr -MBVI1BEeDLK8KTd190cW+D77dU0UjcFKpegLNmd5+/d2l1ig1TDs/SH5oJbJ -Bu6KUjTT1gcyMUGa7tlsKE6R1JQr/gVfS9zQFlsO0HqbzT66ZMLWS6MZgcJc -+GoV4mtXMkC94ZSv5s73hvGopnpbPwa5Zc6BDmIVB+YetIqz5T13fI/GaK7/ -wYEtae72h126KKtLIfFeQRwQif2x88znAko+ar9+7VIuyHIXJ9oN8SOxdv+k -/RrO8NSk/MCNQAZJ1J/olC/lgBUlIHZ+uo3KEF88mK7IBYnDjH8CJkepn30l -px36OaAgqJujX8b+s18Vf/arkVp5LyY+U9EEUOM5s4pIBlkV4NLTWBIJq6c2 -XhmzY5A3l5vrHiJ6IWbdnjJDNA1Th6/7uZX0QjiRZL3syRQ893l8W+4cCz6f -nSnpXzYByw3Tevplabh39YN53N5RcHiyVyMkn4bfF8115awHQd/yMevHETZ8 -rr+idvcBDZIiORJXBTnwRF/N3yqsCe6eODOnM4MDP2XajlXr11P7A1oFFlkH -QMEDk+Sg8wyyITh+0Y5CFvh/Nyyq/zYGctqH3rOdaPBJDTPed2EYyu2DdxbM -YUPZqmn+jYx+uNmy0OFCJht0QUO4Rb8T5rtyi9oP8ubl40Huw19vYfld7fmX -+bhwSnPu5PHsfmqb1NP1efHn4YW22h2ZqwwyOrem+6UuB5ZaJq6v2V0FR/3K -Y/TqOVBhPrl5K18nlaLBeDAngAOr19gHBnW8p6ZeBiWXLeHCO5Xg74GO09Ty -RUbPh+afhPhYzdrrQQxyZih+n0QRB0JV5Xc8yGulojSsHZLluJAFrFtWPiPU -p9lTjQdoDty/uHGlgCJNTW07Em2vcRj0Jcw7JkMZpINdIRnO4IKrb0NpT88g -9ZEeuJo9jwuz3oxKa78Yp4SMlc62KK4Chei44+woBqllejvw5dlICPb2/vbY -njfv6Drne/K9YG9nptNWMg1ZU3dvFBT1wtTPf9dovJwC/Rrm5/CzLHDZnOip -uXYC7udLzVorQ8MFjStfjtuPwj2WzCVBigaRcZH25acHYfDCoKi9PRsUQ1HA -It7ce2M1JvmVjwOnPLUyuEt+wGxP0W32aRwImMV09VtQR138HF20P+caNMcc -993kySDtfkrYliEWXNv09bRe+xgEZOY1rz5Bg0Dow9oFQcMg/nBr8hppNgxU -nTVdO68ftgq6+P5+zoaTxbIza1w64UPx0pJllhxwAsQvKPce/ksp3j/xmwOv -x4v9jA36qch7BFK39oB5L4TDubz93CAty5rR5sAuC05s6kwV1N869jWtlgO5 -3fLdH3b8onZf3PKfrT8H9L4c1+lQyqFc8tV+bNbgwg3T034bB6eoImOlrML4 -Y5CzXLsxPJhBugkahNzI5wClbJ/uvLCVEl89HLtgDhcKPART5MuHKaO5UbI1 -PRwY3zfautuURandVEmemX8Qloj42UAYg/zmbOM1W4wL94b9Rcb2DVIa7aHn -hnn3e+OWU681twig9ZM3c1fO5ULtgp5y7S9jVMdcNT9PjZ3AKvDQV4pgkIEP -+87s1uaCo8mCzvaFfGghka+lxeTCjhc2imb6o9S+5gPbjVS54OxQX5+ZMkFh -v0u4GYoH+/4E7Hd1yWDbtNBawH5fuMhZIjG0FLDfE4aMRrznZgP2uwc13+Kc -4HbAfr8VUNruKZxNYb8nL2t6k1DzgcJ+jx/JeW4+t5LCfi9Znd13N/Ybhf2u -HzCWYJzaSGG/j87KXLc5spXCfs8XZa11Vf9JYb8/WDuTrJD+i8J+52aMpj3w -6Kaw34fY0TlmTBaF/d53LsfswzSbwn6/uLn6jqV7H4X9vqbRXcC3vp/Cfl98 -IzJuqeMghf2eAPFS3u1DFPa7803hh7ohIxT2+8w0aPnAGIX9/mpbnurEz3EK -+/1ZuklCQN4khf3u9DuCLR3ym8J+P/dN3b3u2AyF/b53dcyXOfl8CPs9aqa3 -dbSUH2G/w8zJJpNxAYT9bv5TqsljtRDCfh/W6k/pdRZG2O+bS68veP5dBGG/ -u0oNBN02EUPY76WBr1yFC8QR9ntS1sDWqCuzEPb7y2ApvQHj2Qj7fWrtPHXi -gBTCfnc1nVaezJFG2O/SYyuTIhplEPZ76yYUorZMFmG/zxVZ733LXw5hvz9I -rYrMnpFH2O/bS40M3ByZCPsdubXzbgkCYb/vSVwS311OIOx3/Xa+tKP8BMJ+ -N85tGT3yXR5hv1svkV5pkiGD/n9+7ztxMV5rrAGw3zcdkLhf/OMr6KXKiMwV -ewr6q6yXb40q+Ov3sJQjVs+zFBD2e4PWTLT4CBNhv1urOHfkvSMQ9rtV4fAK -49sEwn7feyk155QyE2G/C5tc7cyVkEPY788GM26J8s9G2O/OqYs3JCQ1A/b7 -lwsfhIvmVkOx/3crkUuPIeBqfQKX/8NfvwvsFJL3P6SAsN8tNupbeTxnIux3 -0ftncgXiCIT9/lG+fHosm0DY78c5Nx5lxjIR9vtucdO3zgLyCPt9LOhr41tT -aYT9nmVVIGkpUw9OolUnZYxZUJ01deeQNBNhz0878tdVriIQ9vwLeZ0xjS8E -wp4Pvc2feNqCQNjz8SKvitWuKyDs+U3b0teNDc5B2PPhGz9YHgRRhD0/rXdy -8mlEK2DPg0yenP7OGpB0Ngq4aPAIMpsVzQoLS/56ftug1pCxrgLCnjd8L+Qe -EsxE2PNun1emoGsEwp7vTpsG82ICYc+L1hU0WH5lIuz5C0I750W5yiPs+fND -D4smBGUQ9nzDRuO+WPfvsHH4/N3bS1nwlJ/deqxDAWHfXz5U9uCwAoGw78Ma -fWeSSgiEff9J4t63UA8CYd+X8RdmpFcrIOz7/qdJcmoBsgj7/mKo1vPKxwyE -fT+u2zrh+qQJLl1ePYvaRYOG/+Dr4jQC6YyNv7Afp+FTmtTy9McE6jOZeyn2 -Lq83r7n+c9KGiXAP+Kn/NrofLIdwD2jojVVc7JFEm0WGRd0neH24THDHCnMC -Obh2NOc8oiHW2reW7yuBop6vaIjaxoZuBtX2bimBcC/83/0b/dsLl8M9lHXP -tQHuhcyeIwkOdA1kHnFRehOZDE7EYDd/yse/vXDQOsNDhKmAcC+kEDnN/3kx -Ee4Fm5i9I8e8eOftTy+42eaXvSglEO6F0pEEzbAeJsK9sL479PjRR/II90Je -1Q/kYy2DcC/cOeWzJWNFA+icLn1ZuZAF97JUolS/KCDcD5Z7q3afESEQ7od1 -XZObsgsIhPvB9bJAhBzvPOF+uBW/tW/TmALC/WBnbxEz55Mswv2w3yAi2qNr -FsL90Cx48LPn3R9APqDCWkxoWO5okl//kEDz+Acl1nFpCPQ53Dj0nECd1ds5 -yWFssDl1WcbEh4lwbzR+o+wEPsoh3BuyJ7vOqRdKIfujb4ZP97Fgk9lV0tSY -QBoRzkcb42i4FbQhO62CQIFvtVafJdkQ4Ne19uNGAuEeeTdLtE1KRfBvj8SM -BQcdKWuBko7quAXLaLD4t2x4exiBhGR/FbAbaFDJiDqDKALhXpGLLdhjnCKN -Ur/5u8y9TsPl4hdPPMoI5Fw2l7VQhw3lMkYhaY4Ewj0zM+/ZsWwdcZRj8ywg -0YqGDc0aa9BrAhly+SwDBdkw5Vl2ZH4CgXDvTGus+nl9Zja6t8vq4N0XNJyq -F7FjVuDzV/Hn/HX97Z8U+RFBZal2wP1zqjk6+vf6Wrh+WMxxr3EyDHupuQoo -l/7tH4+hO0ftZykg3D8XDa8XyrowEe4fGSXVbq4r73740z86L9P43HjXh/tH -9UocejTMRLh/lu/rTlRB8gj3T1npHM3KABmE+8e7XPBTckQDJD0eyHNVYQFH -0HMVp0AB4R7SFF5yZOs0E+Eeaiq1qm3irTfuoQ/lor7fggiEe+iF98ZeEQYT -4R7aqXRydTpLFuEeutBkU6/tJYFwDylIN4bpKjfDwpfXql2Ahi8F27cz7hMo -JsAlZ3Evr8/rKtvn8fbDTvmXRmowr48r3izR5M1T3E8Fyt5nz/+SQ7ifnKTX -y56Ul0bOstGnT7JYECFz5JbKegKJPVz5+FQUDXNSLNdJ8/ZLYMPj9kPr2ND2 -IFDe1IxAuK96fX7sX9whjHBf5dsuVA9f0wopwzHNzzVpsI2iJR/wrvdStP3Z -hlpezx/bYJrBux9xf4muORqT/k0ahXaZvky9QoOWrQadwZsPXbtiY6QWs+FC -bVZH6Rne/PrTZ/bSz1rLB8VRvPPZj7r7aMjTdm5oe0Ggl+cuCXlM07Dy+5R6 -WBKBcL/R+8niovOS6Ird/HitVN68/bVr7Sve9eCeO7B7ZdJBnvPO3rcqyyyj -QbMyZfFj3rzfI15l8NmDBm/XTundvOcN7r2yTb+VbHfMQsk+5213DvGuz3rJ -ygupBDLxf6JqxuvofD721mne+weFnpDnb6XBf94gfZXnj0/F73VuBvPmu9EN -qUGel3AvlmQtM23dJobyNtxRYGTRcMeJu0KT9zzG/YiqhCYtfNoB9+PPg5HT -LxxrgdtowUhSSobVOlb33lmU/u3HDHl1lxBhBYT7Ucc9dsEmJybC/Xjsn027 -kpwJhPuxUeDjOX3e98H9aNc272PYJBPhfsywtlCuKJdHuB8XsDwVH92VQbgf -lfrk08OLG8Bmk2hxvhILhg6uDN39XgHhnmyriWPrTDAR7klvvtfM/XkEwj0Z -x9F1Z4YSCPfktw2HaormMBHuydkynrGbp2QR7kkjwddfrryXQLgnG1xYK6Zs -miFibddQ1noa9odcuhPCmz81JUtlErtoGFyc2S6fxZvPsMJ42b9sOD1+Kss7 -nIlwfxIFssP8Q3II9+eA+BxlpCuNhp1EU7q6WdCS6951cQ2BvHSiPmZG0PCw -4DR/Mm9/NlxxNKxew4Y9S7OnOnYRCPepUVPT73X3RRDu0/jbk0Lso62g6Ds5 -M6ZBw7DPg4NZNwiU831+ye5qGgz4dSrFiwiE+/X3+Y12nmxpNOPytHroEg3q -jo6mLz8SSC3ckhugxgYv1uJ/snjzDPftkR2Syxp3MZDEaFXP+T00mGYEODdm -EIj7ep01a5IGYtd1D81kAuH+dT+WK/HkuSTq7/Z77/aEBtmETp8O3nnFPfxP -Syjr+8o2SG0nFmqV0vDIOqz2Ne/zC26vOih/jobJAMFXErzvi3tZfunhxMy4 -Wag9b9Wbon4azJWrHuWnE+g1Q8I4IpEGu69KL1p47497WvbjEl/1UgFU8lXj -tOcPnq8+MLnavPOwbueCnTWBvHnWmuJtxDuPuLdtjltNV1FiSLdk1GDPKxr+ -S6q79pS3/ri/s4pnP8zKaQON43cqFIpo0K/0Vo/7RKBo5cqARbE0CBd5+p/4 -3/PzT58veHymx7BfCKnY/go7epWGLc+8Cv/397jX5RuLmSIR4ki6/t2F9nQa -noQ/7XLh/X/1+GY+wYe85+nkHgdxnqesjKxnmYTScLtp/uaozwTCfW/5eq7r -xzJRtOON3nD+WxoGDOo2RfB+/38Ap0vMLA== - "], {{{}, {}, {}, {}, {}, {}, {}, - {RGBColor[1, 0, 0], Opacity[0.2], EdgeForm[None], - GraphicsGroupBox[PolygonBox[CompressedData[" + GraphicsBox[ + InterpretationBox[{ + TagBox[{GraphicsComplexBox[CompressedData[" +1:eJx12Hk0VWvcwHHzcDJPZxtKSsosRUn67S6Vchu4qUiJaJCIkiaFUq6QkEyp +hJQM0SDKfswpRaFkCok4+5yTZBbvuevt6Y93rdc/Z1mc7ez9PPu3P18art52 +7gJ8fHzF/Hx8/71ucP/2DvXbr6q5J1kVlMQgg8QKFv6rHAv6G0cKt7syyB0O +t3O/D6ZBW6o8Q8+MQc6aCXJaNycXJFpK95srMsgFtpxv5Zcfwb8FF2IafoiT +/m/NIP7nM1j3WcOs8o04yXwk28HPT0HWqoueylni5JNuy9q6lWXQeXkk0zBE +nCzzuKHqQFdAQeCNcB9ncXJYy0I6+3A1ZMlW2Kabi5MC41kyqgtegUNasL+M +gjhpOrPnyT85tWAm6fHKhiNG1l5Y+rZKpw7mvtIP9XgpRqpHscbvcepBeAmn +/K8UMZI1+rmjyeg9HL44ff2jnxiZNlD8SiqyAVomWpVeWIuRUrPvcnt6GiGh ++tgZcVUxMvzg4323ND9AUhWjVowrSo6QWT0Hzn0Em59541kvRMljxhWPlMqb +4Y6xuzInQpT8nFNx+Z1GCyTYFgou3yFKTno0JmmfaoVpA5bSRg1RUne16PS+ +4jbgazZu5LJEyHWpGvsfMjtg6/rt2aG5IqT/bOd5XU6fQeiZXcGFYyKkHm0/ +6+PGTmh8K3p+ZJkIKS/9XaxiohNcbBxfc38Ik0JUUu785C4YtHkgK50rTB5a +WvxJ3KYbnBhWpetchMms9a63Ska6Yd4dtVRNGWFymKUi0xv3BRwSbU7cpYTI +x/+sE4y16oHWTzK/DroKkeesQ24+6u2Bq05Ln/IJC5Ea7Rua74R8hc8xdvuj +UwXJFcsuxCob90Jf5YHiNgNB0mlO3Th/Qy9cPj58f+4zAXL4VUT3kaA+gBvb +x97qCZDxQruP2Wl+A6Ezplt8k/hJ+Y7HqQIV32Duoa2xIVN8pHBA0FYzn35A +ORHX1pjxkXz3dud2yw3AL2dOyPm6afBNzI2QK+N9P9TgpVQ8BS90Q8VkvVgQ ++Ui+f4fVBMhu8NULlqLhmJKFupb3KLTp1zecfE7DXOlt0WYBQ5CXApo9u9lQ +sVjF1WOahl25prKzp9lwfUisfANqh8CQ20Ft9zkwnzg02if5geL7P1+3FDdf +DFO+AH5DcYX8JxhkfPspy8fTKaDa8GaB8UYG+UzjUsopjwGo+aYsyffuFxBf +VF/LEix4diV6yYkrk9C3IiBxfQkLpgpOGgazx2DEXIPvrDsNN5xXRbYkDMPa +XtGpHEk2iL5YlaxsPAgiC4sTA3PYoLa2eeuyu73gpeJZc2YrBwybd9WozEag +9NQ50m+SAwvu7XWgn32n2g0vWUYoHwPWOQvXdxcYpMRQnIl6eALUSo5MxWxn +kE5ff2TkrBqAxZlc2WG7aagscdeTYw+ApsrEgq5FU2BXEGyrH8eCs+HnPT1u +jINQ+XolvhU0FEUsT9gbPgKyDHq/wlca3D6Fffjh+gNaXQOc8y+y4XS4gabz +eD/0utmy/9bmQH2IyOeRBw1gk8Inpt3AgRR2HPdISg9lG9PisUkrEoSMbCWq +PRnkmTL/L+69LHhVrOCGloxB/6Ox/skoGtyFyl9HX/sJp8vmR603ZYMxK5Az +9JbLex1r2vSBDZzMhGD5iW4YrbuY/uAcB7aYqaZfcXpCddeVKJTN50J94f1E +3eJJarvb6ItoZTe4uXDh98xwBln1K82g8X0c/ERPjPucGKRB9aKsl4sGIFM7 +Ib776jQkP2Dsiv44AOO6glHNvlMQ6i2srx7MAs6Q4uTQ4DiEiezWWK1Jg672 +UtPbMyMwotoxu7qehuB7yZ+LfvyAqsCO1a992WC/mWVRc4EF8xhWM4/kObBi +k1E/0d0MvbLvKgQoDrTmcg+ZyH6mTjTGnqDV/wU/+34bS18GmX92omT7exZ0 +T/HfYl0dgyNjEsMrT/P2aePmbbIqw7CnUXHtlAYbpufWz5hHfQc1ccfC/WVs +GF6eJCbS3gPGfiefKnhw4Po/pqebDsXDGcsr75KkubDxyPk+M81hKjJbbpGt +1llwqH+bPucsg7xP7W7tWcWBK5ui7bfq1kKejpHN5j4O9BzO0Vt2aoB6rhMb +Y3WNA/bN1ctK37z6fX0//76+LMrmzcf8G8pbwd7ou2/SVQapKaiXZXD8GlQ1 +ph5McmaQzELL7KbZA2ARYdfamjcNl+bv8ntfOwBVetFh+tenIPVNlQpxggWt +k07nDqpOwKZF3zJclGmoLnX2/rhsFPo1P564VUVDYpHJ7JTlQ1AsLV3w8QAb +Dqh2bXphSQNyshDrF+NAO20jf2RnKxTYmN3hPuLAujqdEinvFkpi2OdccfJF +qA0lPhJ+DLK2rfjBlpcsmH3/6wVRNAZLVK6f2nWEBvvCzWKhjsPwT7vU7SYm +G54Z1aCZru8gGx+mfayQDQezpLQVKr9CfbUvsnbmQL7FWpuX3gWwPmA0N1SY +CwkOEWf3VP+gnnBqTbjqJ4EZ19bsHMQgreV29BBLOfBmh2OSM++5c9YtXntl +Owdkco657PbuoxwDIpJPhHGgOal90+E3ZZRi3DbTJn0uLGIvTNnzkx+JdQen +btPygqVrandcCmWQRPOBXsUa3vuRgNjx6S4qV3zhUI4yF9btYvwVMjlKffle +7ek6yAELIYMi09fs3+tV93u9WqklN+OTC5StwKLlqE1dLIN8H+Ld31odCyum +VgeO7WGQ4Ua2Bk7EALiY2702Q9MwtftikG/1AHwiUnca3puCvDN3ryocZYGJ +z0z1oOEEGJll9w/K02Bxoco26Z9RcL33j1ZEKQ0FAbYGCjuHwHT7XVb7Xjb0 +NARqJt6mQUqkSOK8IAdUlmkGO0a1QeKBw3K9uRxgS3ftazBtpraFdArM3xkC +RKpVethxBtlyOXn+xnIWrPhkVtH8YQwUdJ1esD1465UTZWl/ahhqXS5vKpPj +7X+Taf7VjEEI/zzP9VQBGwLNtIQ/m/aCug+3otuBA2srh7hpX5+BUaKu+jk+ +LmjpqE3uLxykNkjfX1mSfBzm62pekz3PIK8XN37LN+CAy+6UlY1b3oNbUG28 +cTMH5tpOrl3P10tlajFuy4VwwGOpS2hYzwtqKj8s/fUiLlxRvvwp1H2aMppv +kfdT/SB0J2g3XQxjkDM/k+0lKjiwe67ixtslnVSc1k7XdAUu+AHriuOZEeqV +5FTrDpoDLadXLxFQpqmpDXuvu2jthucM257JSAbpuqecjGZwQeBsS01//xD1 +kv5xvnAOF7Y/HpXRfThOCVmqHPmsvBRc7iXtZ8cxSJ11V0Pzj8TCw5MnP9x1 +4c07+qPXTcUBuLvHRq+rehqeTiVeKqsYgA09/y7Xyp8C00bmm+gjLDi3JsVf +e8UE3CqVnrVClobbCwPf7ncZhZss2QBBioaf4yLdRp5DMHRqSNTFhQ2OV1DI +fN7ce+I4JlXPx4GMEzq53EXtIOkvusElmwNMSaZP0NyP1Ok31yu2FV0Ah4T9 +Z9f4M8g9XyScXyMWGFrVexp3j0FIQUmHyQEaZsLTmuaGDYN42vr05TJsWNR4 +ZN2KOYOwXtD77K88Npwsl59Z7t0LVZX61YbbOfBpJeIXVHgBdzIrt0384j1f +xiuDLJcNUrE3CbRgpx94PhSO5vLWc5WMPGtGlwPjDpyEBzPvofnKvvrsJg78 ++Kb4rWrjV2rLaes7zsEcuFa3X69HpYjyLtVsX6vFhYH1nkGrh6aoCkuVp+XJ ++0BysW5r9GUG6Su4LOJSKQfOqbnkeM3rpMRNhhPmynHB45hgpmLtMGWhFiff +2M+BhG2jnVvWsSjN8NnpM+oOkCQctAuiGOQHr10nJMV46zkaLDJmP0RpdUce +Hebd74/XHnqsbS2AVk6GFy9R44KURn+t7tsxqkdNM8hfaxNkVfiZqsQwyNC0 +74e36PLud8u5vd3z+NA8olRHh8mFirxdyjamo5R9x46/LTS40Oza3FyQOUFh +v1ds8HALO/sFsN+fy1x2zo5sAux3XTUviZTIGsB+z++3GDmpVgjY71w+5a1H +Bf8G7HefKzXd/sKFFPZ7gnnbkxuNVRT2u/ZUUZ6t2jsK+13brPB7YsIHCvtd +/+LYDcsHrRT2e4BEgfna2E4K+91IlLXCZ8EXCvvdY/lMulLOVwr73TJ3NPu2 +3zcK+72Ae73IhsmisN/3+BXZVE2zKex3jw0N17Yf+05hv0c0HxM42zxIYb+H +Bscm6bsPUdjvVpAsfbL7J4X9nhsknGYQMUJhv6/hJ3XOwBiF/f56a4nGxJdx +Cvs9MtfqRkjJJIX9ntETw5aJ+EVhv0e1Ljj2cd8Mhf3uahb/Vq6UD2G/X6IH +Okdr+BH2+3wjjzarcQGE/f71mXSbn4kQwn732zmYOeAljLDfExZempv3SQRh +v98V/RF21UoMYb8HGz32ES4TR9jvJjY/1scFzkLY73YOcsY/LCUR9nt0HXMB +sUMaYb9/lBRUmyySQdjvz12WpMa0yiLs95PFORGahvII+11po/7JK8EKCPt9 +sWdabOGMIsJ+X8boMvV1ZyLsd7nT9m3WhwiE/c7XfiP5Wy2BsN8/zl+T7cZP +IOz38suSY3s/KSLsdzMXmSVWubLo//M7aXM6WWesBbDf7bdK3KpsrwfjB7Ii +amL3IWrJTqP1cWV//C7M984x76kSwn4fyrt6XXyEibDf/WaJfyl5TiDs94y1 +ysaWVwmE/d6lXlx0SJWJsN83dgb1FksoIOz3A3Z5V0T5JRH2+/nshatupHYA +9nuEb5VwhVoDVAZ/chQJuAsvA5tvcPmr/vhdrXNcIdhJCWG/h2iVOPrlMRH2 +u9xowXOBJAJhvyv0y82MFRII+12niZFRkMBE2O/LBNc/8xJQRNjvSlfqW5+t +k0HY72BWJrVdthk8RN8flLVkweQapTgnGSbCnl/9cN3Hd0sJhD1/847dmNZb +AmHP2yySSPHcSiDs+ScXH1RqXlRC2PMHs7LNx4bkEPZ8q3XVdgcQRdjz+dYH +J+/HdAL2vJdCiYLppkaQ8rIIOb0sA1q7lW3Ky6v/eN6myPCnpYESwp6XPTt1 +NOIyE2HPm3iG3kMXCIQ9v8zXl7StJBD2fMasgpbt9UyEPc8dMJ8T56OIsOdH +ItMrJgRlEfZ8wEHL7wnHPsHq4eOJV/VZ8GmxZte+HiWEfd/Y+y11txKBsO9H +FkxOp1YTCPs+bKtGU6QfgbDvB7pqc3MalBD2vXjfbQXNEHmEfS8frpP37i4D +Yd9nQeeEz702CDhnMovaTIPqgPbjymwC6Y2NP3QZp4G5pt8w5y6BvlupBSQk +sqE6w+6vg7uYCPdA5fkJi1uXFRDugZM7x+pO90uhtSLDoscmWMB0/bVxsS2B +XH16OooyeMcTHm7kqydQXN7ilrgNbIhc/aTruT6BcC/87/qN/umFMRM/VYOj +XYB74dro3huudCMU7PVWeRKbDo4CQ9/4M1/+6YX9Sef9RJhKCPcCM/xqx50T +TIR7oUO4dHjfCd5++90LM2vevn5YQyDcCxfGU7Wj+pkI94JJkvd+twxFhHth +2+12dGanLMK94BBwxjp3cQvoedbkv5vHgq/f1eI03ioh3A+Nz6/YHhYhEO4H +LV9168IyAuF+MBucjFHg7SfcD+7Vpt/XjCkh3A9W5c7xcq/kEe6HFZzo6359 +sxDuhzEnhzf+ie1A3qaiPlvRYJniU9qcRqA5/EMS5lwaZH41tv3MI1Bvw9+c +9Cg2+GcLyFqdYSLcG4sEHu4ReKmAcG8MtvQdXVAujVzcngx7fmdBRMg0zxAE +0orxcmtNomGwO64wu45Aoc90TI6QbNBK3mv+cjWBcI+8kRLtkp4t+KdHwqnL +YXtff4bqnoakuYY0ZJ6JHvk7ikBC8l/L2C00dOY9PIwoAuFeWaVfZmeZKYMe +fAj2VrtIw9SN1ky/1wTyeq3GmqfHhtke+8Oz3QmEe8ZPLmtfoZ44KtqVFZLi +SMPbd+nL0WMCmXH5tocKsoHvZaC7+g0C4d5Zb7z0y8UZSXRzs6ND4kMa0uK3 +7GHW4f1X93v/9f3pH03PEUFV6W7A/fO17fr1Xyub4OJuMfd/LNNB/Zymj4Bq +zZ/+sZ4f5uYySwnh/nl3Sq5c3puJcP+4PxHs4/rw7off/fP2TAafL+/8cP/E +kztLM4aZCPcPv0BTymykiHD/rJCX034XIotw/8x9IPgqPaYFUu/+KPGZzYIM +15alnDIlhHvI4NSk6/ppJsI99P7Zz8Y23vXGPeRhER7wIYxAuIdmxDQHRBhM +hHvIasrDJIclj3APjTfsatY9IYFwDylYt0YZqHbAvPwLDd7Am4ePX9kwbhEo +PsS7aOEADTvlDnyZw1uPPapftR5cZkOiGHeRNm+e4n7KLPY5cvyrAsL99PiK +ufxBRRnkJX/d8yCLBQs2dkTOXkkgsbQldw/F0VDhFrBShrdeAqvudjuZs0Gs +wFBxnQ2BcF9d82nftrBHGP3pqy3zFkQv74TM4fiOPG0abpiZS93mnW/AdZcj +LU00vJHIWJvLux9xf7mBW3zOBxkU2bcu/0EgDU3bPg/k8uZD3+aEeOmFbFgt +EPG15jBvfv3usynZrM7aIXGU7HXkpYE9DbNe2Ld2PSRQ/tEAIb9pGhQz6zWj +UgmE+02xkKysOC6FAveoJ+s8oCHxRKPZI9754J67c3tJqgPPeUduOb4ueE2D +mtSFhXd5895O/P2yN368+dUcLb2F97zBvcdc9kvFeeMslH7muPOmnzQIMEOM +Tz0gkFXwPQ0bXkcvDiFspnnHD4s8oMjfScPCsvf0eZ4/XlW+0Au//N//P1ul +h3hewr3ofd9wXecGMVSy6poS4ykNxQ6Gxtq85zHuR5UsvsmtZ7oB96P/37HT +D92bgNu6lZGqkg6fFB1vPt9a86cfZV0mvCKElRDuxw9WIxprPJgI96OY8dHN +qV4Ewv24t93kmCnv8+B+HKvSeRk1yUS4Hy3OmqvW1Soi3I87o/yVMxJlEe7H +R6WKOdGVLbBrjWhlqQoL1nV0R255oYRwTy7UNmTrTTAR7km7ZgHlbSUEwj35 +l+mvY8xIAuGeVM/zaqyQYyLck/bL/RPWTskj3JMWnEdvA19IINyTwVtYi6d2 +dUDMir6fT1fyntdL62IjePOnsVpfNqWPhvvBX7oVn/LmMyy2NPyXDXMM/n16 +MpqJcH+W/ys6zP9TAeH+FFWTU0UGMmjYQzSz7xsLioamek8vJ9AJvbiXBTE0 +PL63gj+dtz6rAt3NGpazQT84e6pnM4Fwn1r3tv0yvyWCcJ+uDJwUYrt1gvLZ +yZkxLRoknho6PL1EoKJP6tVbGnjzqIN6L15BINyvag//2uPPlkEz3vcbfgbw +Ol54lnX+SwJpRm/nhmiyoVPN+q+nvHmG+7bbQsqwdTMDSYy+7z9uR8MloX+9 +WnMJxH1svpM1SYPINsvj2ukEwv27ZKJY4l6eFBr8FvTC9x4NG42yA3p4+xX3 +cPWvSNanJV3woJuYp1PD87NXZ+Nj3t8vu7rUQfEoDbcfKj+S4H3eP71suTul +IGkW6i5Z+qRikIbdRy/dLc0h0GOGhGVMCg2lc2c9/Mw7Pu7pPZWLzi6oEUDV +9Vqe/u00rLbK4Ojy9oP5prmbGkNpUE97cdKCtx9xb/t7OE6/p8SQQfXoMrtH +NDziKobc511/3N91rpJpT4u6QGv/tTqlChqGDr/XSnpFoOuq70LmJ9BQ2JQf +cuC/5+fvPu9MO9xvNiiEZjt/jXI7T4NHzNKy/34f97pUWSVTJEYcyTQ/P9Wd +Q8OZk9t6vXnvbxhfyyeYxpuHtwddxXmecrTYOcsqkgajq5vXxr0hEO5722dq +Pi9fi6KNT4yHS5/RkC+8e00M7+f/A0hfw1I= + "], {{{}, {}, {}, {}, {}, {}, {}, + {RGBColor[1, 0, 0], Opacity[0.2], EdgeForm[None], + GraphicsGroupBox[PolygonBox[CompressedData[" 1:eJwl1WWUVVUABtAZurt76O7u7hy6u2GGbglBBUFRuru7GxQUDExQMAmDdKmE goKxz5of+333fTfeW+fcc29Un9jomHgRERGRHPZxWk6TLdlPQbYwlmSsZAh1 eerYN2UvKpODX3VzZCdKk4F4nNFPl60oRHL+0v3GW8zwvTWFScHfut95m7Oc @@ -244250,26 +303635,27 @@ hnXPVipRh2gGhXXPOorTiE7EMIctVKQ2bRgYniuspRgVqEVrBjCDNRSlPDVp RX+ms5oiFKYQBSlAfvKRlyjykJtc5CQH2clGVrKQmUxkJAPpSUda0pCaVKQk BclJRlKSkJhEJCQB8SlHDVrSj2msIryDGtKR4cxmM2XpwRjms4vqTGQR+2nB Mg7Tl+NMZWVE3HvtfwMLxOE= - "]]]}, {}, {}}, {{}, {}, {}, - TagBox[ - {RGBColor[1, 0, 0], AbsoluteThickness[1.6], Opacity[1.], - LineBox[{1, 155, 126, 185, 99, 181, 152, 77, 177, 148, 121, 60, 172, - 143, 116, 94, 50, 165, 136, 109, 87, 70, 2, 156, 127, 100, 78, 61, - 51, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, - 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, - 37, 38, 39, 40, 41, 157, 128, 101, 79, 62, 52, 42, 158, 129, 102, - 80, 63, 53, 43, 159, 130, 103, 81, 64, 54, 166, 137, 110, 88, 71, - 44, 160, 131, 104, 82, 65, 55, 167, 138, 111, 89, 72, 45, 161, 132, - 105, 83, 66, 56, 168, 139, 112, 90, 73, 46, 162, 133, 106, 84, 67, - 57, 169, 140, 113, 91, 74, 47, 163, 134, 107, 85, 68, 173, 144, - 117, 95, 58, 170, 141, 114, 92, 75, 175, 146, 119, 97, 48, 164, - 135, 108, 86, 178, 149, 122, 69, 174, 145, 118, 96, 180, 151, 124, - 59, 171, 142, 115, 182, 153, 93, 179, 150, 187, 123, 184, 154, 188, - 76, 176, 147, 186, 120, 183}]}, - Annotation[#, "Charting`Private`Tag$390319#1"]& ], - TagBox[ - {RGBColor[1, 0, 0], AbsoluteThickness[1.6], Opacity[1.], - LineBox[CompressedData[" + "]]]}, {}, {}}, {{}, {}, {}, + TagBox[ + {RGBColor[1, 0, 0], AbsoluteThickness[2], Opacity[1.], + LineBox[{1, 155, 126, 185, 99, 181, 152, 77, 177, 148, 121, 60, + 172, 143, 116, 94, 50, 165, 136, 109, 87, 70, 2, 156, 127, 100, + 78, 61, 51, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, + 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, + 34, 35, 36, 37, 38, 39, 40, 41, 157, 128, 101, 79, 62, 52, 42, + 158, 129, 102, 80, 63, 53, 43, 159, 130, 103, 81, 64, 54, 166, + 137, 110, 88, 71, 44, 160, 131, 104, 82, 65, 55, 167, 138, 111, + 89, 72, 45, 161, 132, 105, 83, 66, 56, 168, 139, 112, 90, 73, + 46, 162, 133, 106, 84, 67, 57, 169, 140, 113, 91, 74, 47, 163, + 134, 107, 85, 68, 173, 144, 117, 95, 58, 170, 141, 114, 92, 75, + 175, 146, 119, 97, 48, 164, 135, 108, 86, 178, 149, 122, 69, + 174, 145, 118, 96, 180, 151, 124, 59, 171, 142, 115, 182, 153, + 93, 179, 150, 187, 123, 184, 154, 188, 76, 176, 147, 186, 120, + 183}]}, + Annotation[#, "Charting`Private`Tag#1"]& ], + TagBox[ + {RGBColor[1, 0, 0], AbsoluteThickness[2], Opacity[1.], + LineBox[CompressedData[" 1:eJwl0nOwVnkAx+F7s23fatps257d7W52N9u2bde2ebNdk23b5mT7afrjmc85 33N+877zzhsU0ja4TWBAQMBXttPXzZ+sIQ3/05lIzKQFxfno3fFan7wk4blt uFYnK3EIxQ57P/2LP4jMJ9sLdtLf/d+kJQqfbS/ZxW72sJd97OcABznEYY5w @@ -244280,19 +303666,1404 @@ hGX0YDOpWEgnNlGR1fRhG4997mCtzFoysoguxGAWrSjJCnry3ZmJGkJ+ltKd ZMynA+V5673RWpucJGAObSlDOKbTjKKk5okzQ7QKmYjJD9skbUgBkvPONkbr kIuEhOepfahWJTOxCGAyjShICt6bx2pdcpOICDyzD9NqZCE2/9GaUgQyhcYU IogFdKQCH5wfp/XIwxK6kZi5tGMjZVlFb7YSkRk0Zz3FWM5PYemAzw== - "]]}, - Annotation[#, "Charting`Private`Tag$390319#2"]& ]}}], {}}, + "]]}, + Annotation[#, "Charting`Private`Tag#2"]& ]}}], {}}, + {"WolframDynamicHighlight", <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>}], + DynamicBox[(Charting`HighlightActionBox["DynamicHighlight", {}, + Slot["HighlightElements"], + Slot["LayoutOptions"], + Slot["Meta"], + Charting`HighlightActionFunction["DynamicHighlight", { + GraphicsComplex[CompressedData[" +1:eJx12Hk0VWvcwHHzcDJPZxtKSsosRUn67S6Vchu4qUiJaJCIkiaFUq6QkEyp +hJQM0SDKfswpRaFkCok4+5yTZBbvuevt6Y93rdc/Z1mc7ez9PPu3P18art52 +7gJ8fHzF/Hx8/71ucP/2DvXbr6q5J1kVlMQgg8QKFv6rHAv6G0cKt7syyB0O +t3O/D6ZBW6o8Q8+MQc6aCXJaNycXJFpK95srMsgFtpxv5Zcfwb8FF2IafoiT +/m/NIP7nM1j3WcOs8o04yXwk28HPT0HWqoueylni5JNuy9q6lWXQeXkk0zBE +nCzzuKHqQFdAQeCNcB9ncXJYy0I6+3A1ZMlW2Kabi5MC41kyqgtegUNasL+M +gjhpOrPnyT85tWAm6fHKhiNG1l5Y+rZKpw7mvtIP9XgpRqpHscbvcepBeAmn +/K8UMZI1+rmjyeg9HL44ff2jnxiZNlD8SiqyAVomWpVeWIuRUrPvcnt6GiGh ++tgZcVUxMvzg4323ND9AUhWjVowrSo6QWT0Hzn0Em59541kvRMljxhWPlMqb +4Y6xuzInQpT8nFNx+Z1GCyTYFgou3yFKTno0JmmfaoVpA5bSRg1RUne16PS+ +4jbgazZu5LJEyHWpGvsfMjtg6/rt2aG5IqT/bOd5XU6fQeiZXcGFYyKkHm0/ +6+PGTmh8K3p+ZJkIKS/9XaxiohNcbBxfc38Ik0JUUu785C4YtHkgK50rTB5a +WvxJ3KYbnBhWpetchMms9a63Ska6Yd4dtVRNGWFymKUi0xv3BRwSbU7cpYTI +x/+sE4y16oHWTzK/DroKkeesQ24+6u2Bq05Ln/IJC5Ea7Rua74R8hc8xdvuj +UwXJFcsuxCob90Jf5YHiNgNB0mlO3Th/Qy9cPj58f+4zAXL4VUT3kaA+gBvb +x97qCZDxQruP2Wl+A6Ezplt8k/hJ+Y7HqQIV32Duoa2xIVN8pHBA0FYzn35A +ORHX1pjxkXz3dud2yw3AL2dOyPm6afBNzI2QK+N9P9TgpVQ8BS90Q8VkvVgQ ++Ui+f4fVBMhu8NULlqLhmJKFupb3KLTp1zecfE7DXOlt0WYBQ5CXApo9u9lQ +sVjF1WOahl25prKzp9lwfUisfANqh8CQ20Ft9zkwnzg02if5geL7P1+3FDdf +DFO+AH5DcYX8JxhkfPspy8fTKaDa8GaB8UYG+UzjUsopjwGo+aYsyffuFxBf +VF/LEix4diV6yYkrk9C3IiBxfQkLpgpOGgazx2DEXIPvrDsNN5xXRbYkDMPa +XtGpHEk2iL5YlaxsPAgiC4sTA3PYoLa2eeuyu73gpeJZc2YrBwybd9WozEag +9NQ50m+SAwvu7XWgn32n2g0vWUYoHwPWOQvXdxcYpMRQnIl6eALUSo5MxWxn +kE5ff2TkrBqAxZlc2WG7aagscdeTYw+ApsrEgq5FU2BXEGyrH8eCs+HnPT1u +jINQ+XolvhU0FEUsT9gbPgKyDHq/wlca3D6Fffjh+gNaXQOc8y+y4XS4gabz +eD/0utmy/9bmQH2IyOeRBw1gk8Inpt3AgRR2HPdISg9lG9PisUkrEoSMbCWq +PRnkmTL/L+69LHhVrOCGloxB/6Ox/skoGtyFyl9HX/sJp8vmR603ZYMxK5Az +9JbLex1r2vSBDZzMhGD5iW4YrbuY/uAcB7aYqaZfcXpCddeVKJTN50J94f1E +3eJJarvb6ItoZTe4uXDh98xwBln1K82g8X0c/ERPjPucGKRB9aKsl4sGIFM7 +Ib776jQkP2Dsiv44AOO6glHNvlMQ6i2srx7MAs6Q4uTQ4DiEiezWWK1Jg672 +UtPbMyMwotoxu7qehuB7yZ+LfvyAqsCO1a992WC/mWVRc4EF8xhWM4/kObBi +k1E/0d0MvbLvKgQoDrTmcg+ZyH6mTjTGnqDV/wU/+34bS18GmX92omT7exZ0 +T/HfYl0dgyNjEsMrT/P2aePmbbIqw7CnUXHtlAYbpufWz5hHfQc1ccfC/WVs +GF6eJCbS3gPGfiefKnhw4Po/pqebDsXDGcsr75KkubDxyPk+M81hKjJbbpGt +1llwqH+bPucsg7xP7W7tWcWBK5ui7bfq1kKejpHN5j4O9BzO0Vt2aoB6rhMb +Y3WNA/bN1ctK37z6fX0//76+LMrmzcf8G8pbwd7ou2/SVQapKaiXZXD8GlQ1 +ph5McmaQzELL7KbZA2ARYdfamjcNl+bv8ntfOwBVetFh+tenIPVNlQpxggWt +k07nDqpOwKZF3zJclGmoLnX2/rhsFPo1P564VUVDYpHJ7JTlQ1AsLV3w8QAb +Dqh2bXphSQNyshDrF+NAO20jf2RnKxTYmN3hPuLAujqdEinvFkpi2OdccfJF +qA0lPhJ+DLK2rfjBlpcsmH3/6wVRNAZLVK6f2nWEBvvCzWKhjsPwT7vU7SYm +G54Z1aCZru8gGx+mfayQDQezpLQVKr9CfbUvsnbmQL7FWpuX3gWwPmA0N1SY +CwkOEWf3VP+gnnBqTbjqJ4EZ19bsHMQgreV29BBLOfBmh2OSM++5c9YtXntl +Owdkco657PbuoxwDIpJPhHGgOal90+E3ZZRi3DbTJn0uLGIvTNnzkx+JdQen +btPygqVrandcCmWQRPOBXsUa3vuRgNjx6S4qV3zhUI4yF9btYvwVMjlKffle +7ek6yAELIYMi09fs3+tV93u9WqklN+OTC5StwKLlqE1dLIN8H+Ld31odCyum +VgeO7WGQ4Ua2Bk7EALiY2702Q9MwtftikG/1AHwiUnca3puCvDN3ryocZYGJ +z0z1oOEEGJll9w/K02Bxoco26Z9RcL33j1ZEKQ0FAbYGCjuHwHT7XVb7Xjb0 +NARqJt6mQUqkSOK8IAdUlmkGO0a1QeKBw3K9uRxgS3ftazBtpraFdArM3xkC +RKpVethxBtlyOXn+xnIWrPhkVtH8YQwUdJ1esD1465UTZWl/ahhqXS5vKpPj +7X+Taf7VjEEI/zzP9VQBGwLNtIQ/m/aCug+3otuBA2srh7hpX5+BUaKu+jk+ +LmjpqE3uLxykNkjfX1mSfBzm62pekz3PIK8XN37LN+CAy+6UlY1b3oNbUG28 +cTMH5tpOrl3P10tlajFuy4VwwGOpS2hYzwtqKj8s/fUiLlxRvvwp1H2aMppv +kfdT/SB0J2g3XQxjkDM/k+0lKjiwe67ixtslnVSc1k7XdAUu+AHriuOZEeqV +5FTrDpoDLadXLxFQpqmpDXuvu2jthucM257JSAbpuqecjGZwQeBsS01//xD1 +kv5xvnAOF7Y/HpXRfThOCVmqHPmsvBRc7iXtZ8cxSJ11V0Pzj8TCw5MnP9x1 +4c07+qPXTcUBuLvHRq+rehqeTiVeKqsYgA09/y7Xyp8C00bmm+gjLDi3JsVf +e8UE3CqVnrVClobbCwPf7ncZhZss2QBBioaf4yLdRp5DMHRqSNTFhQ2OV1DI +fN7ce+I4JlXPx4GMEzq53EXtIOkvusElmwNMSaZP0NyP1Ok31yu2FV0Ah4T9 +Z9f4M8g9XyScXyMWGFrVexp3j0FIQUmHyQEaZsLTmuaGDYN42vr05TJsWNR4 +ZN2KOYOwXtD77K88Npwsl59Z7t0LVZX61YbbOfBpJeIXVHgBdzIrt0384j1f +xiuDLJcNUrE3CbRgpx94PhSO5vLWc5WMPGtGlwPjDpyEBzPvofnKvvrsJg78 ++Kb4rWrjV2rLaes7zsEcuFa3X69HpYjyLtVsX6vFhYH1nkGrh6aoCkuVp+XJ ++0BysW5r9GUG6Su4LOJSKQfOqbnkeM3rpMRNhhPmynHB45hgpmLtMGWhFiff +2M+BhG2jnVvWsSjN8NnpM+oOkCQctAuiGOQHr10nJMV46zkaLDJmP0RpdUce +Hebd74/XHnqsbS2AVk6GFy9R44KURn+t7tsxqkdNM8hfaxNkVfiZqsQwyNC0 +74e36PLud8u5vd3z+NA8olRHh8mFirxdyjamo5R9x46/LTS40Oza3FyQOUFh +v1ds8HALO/sFsN+fy1x2zo5sAux3XTUviZTIGsB+z++3GDmpVgjY71w+5a1H +Bf8G7HefKzXd/sKFFPZ7gnnbkxuNVRT2u/ZUUZ6t2jsK+13brPB7YsIHCvtd +/+LYDcsHrRT2e4BEgfna2E4K+91IlLXCZ8EXCvvdY/lMulLOVwr73TJ3NPu2 +3zcK+72Ae73IhsmisN/3+BXZVE2zKex3jw0N17Yf+05hv0c0HxM42zxIYb+H +Bscm6bsPUdjvVpAsfbL7J4X9nhsknGYQMUJhv6/hJ3XOwBiF/f56a4nGxJdx +Cvs9MtfqRkjJJIX9ntETw5aJ+EVhv0e1Ljj2cd8Mhf3uahb/Vq6UD2G/X6IH +Okdr+BH2+3wjjzarcQGE/f71mXSbn4kQwn732zmYOeAljLDfExZempv3SQRh +v98V/RF21UoMYb8HGz32ES4TR9jvJjY/1scFzkLY73YOcsY/LCUR9nt0HXMB +sUMaYb9/lBRUmyySQdjvz12WpMa0yiLs95PFORGahvII+11po/7JK8EKCPt9 +sWdabOGMIsJ+X8boMvV1ZyLsd7nT9m3WhwiE/c7XfiP5Wy2BsN8/zl+T7cZP +IOz38suSY3s/KSLsdzMXmSVWubLo//M7aXM6WWesBbDf7bdK3KpsrwfjB7Ii +amL3IWrJTqP1cWV//C7M984x76kSwn4fyrt6XXyEibDf/WaJfyl5TiDs94y1 +ysaWVwmE/d6lXlx0SJWJsN83dgb1FksoIOz3A3Z5V0T5JRH2+/nshatupHYA +9nuEb5VwhVoDVAZ/chQJuAsvA5tvcPmr/vhdrXNcIdhJCWG/h2iVOPrlMRH2 +u9xowXOBJAJhvyv0y82MFRII+12niZFRkMBE2O/LBNc/8xJQRNjvSlfqW5+t +k0HY72BWJrVdthk8RN8flLVkweQapTgnGSbCnl/9cN3Hd0sJhD1/847dmNZb +AmHP2yySSPHcSiDs+ScXH1RqXlRC2PMHs7LNx4bkEPZ8q3XVdgcQRdjz+dYH +J+/HdAL2vJdCiYLppkaQ8rIIOb0sA1q7lW3Ky6v/eN6myPCnpYESwp6XPTt1 +NOIyE2HPm3iG3kMXCIQ9v8zXl7StJBD2fMasgpbt9UyEPc8dMJ8T56OIsOdH +ItMrJgRlEfZ8wEHL7wnHPsHq4eOJV/VZ8GmxZte+HiWEfd/Y+y11txKBsO9H +FkxOp1YTCPs+bKtGU6QfgbDvB7pqc3MalBD2vXjfbQXNEHmEfS8frpP37i4D +Yd9nQeeEz702CDhnMovaTIPqgPbjymwC6Y2NP3QZp4G5pt8w5y6BvlupBSQk +sqE6w+6vg7uYCPdA5fkJi1uXFRDugZM7x+pO90uhtSLDoscmWMB0/bVxsS2B +XH16OooyeMcTHm7kqydQXN7ilrgNbIhc/aTruT6BcC/87/qN/umFMRM/VYOj +XYB74dro3huudCMU7PVWeRKbDo4CQ9/4M1/+6YX9Sef9RJhKCPcCM/xqx50T +TIR7oUO4dHjfCd5++90LM2vevn5YQyDcCxfGU7Wj+pkI94JJkvd+twxFhHth +2+12dGanLMK94BBwxjp3cQvoedbkv5vHgq/f1eI03ioh3A+Nz6/YHhYhEO4H +LV9168IyAuF+MBucjFHg7SfcD+7Vpt/XjCkh3A9W5c7xcq/kEe6HFZzo6359 +sxDuhzEnhzf+ie1A3qaiPlvRYJniU9qcRqA5/EMS5lwaZH41tv3MI1Bvw9+c +9Cg2+GcLyFqdYSLcG4sEHu4ReKmAcG8MtvQdXVAujVzcngx7fmdBRMg0zxAE +0orxcmtNomGwO64wu45Aoc90TI6QbNBK3mv+cjWBcI+8kRLtkp4t+KdHwqnL +YXtff4bqnoakuYY0ZJ6JHvk7ikBC8l/L2C00dOY9PIwoAuFeWaVfZmeZKYMe +fAj2VrtIw9SN1ky/1wTyeq3GmqfHhtke+8Oz3QmEe8ZPLmtfoZ44KtqVFZLi +SMPbd+nL0WMCmXH5tocKsoHvZaC7+g0C4d5Zb7z0y8UZSXRzs6ND4kMa0uK3 +7GHW4f1X93v/9f3pH03PEUFV6W7A/fO17fr1Xyub4OJuMfd/LNNB/Zymj4Bq +zZ/+sZ4f5uYySwnh/nl3Sq5c3puJcP+4PxHs4/rw7off/fP2TAafL+/8cP/E +kztLM4aZCPcPv0BTymykiHD/rJCX034XIotw/8x9IPgqPaYFUu/+KPGZzYIM +15alnDIlhHvI4NSk6/ppJsI99P7Zz8Y23vXGPeRhER7wIYxAuIdmxDQHRBhM +hHvIasrDJIclj3APjTfsatY9IYFwDylYt0YZqHbAvPwLDd7Am4ePX9kwbhEo +PsS7aOEADTvlDnyZw1uPPapftR5cZkOiGHeRNm+e4n7KLPY5cvyrAsL99PiK +ufxBRRnkJX/d8yCLBQs2dkTOXkkgsbQldw/F0VDhFrBShrdeAqvudjuZs0Gs +wFBxnQ2BcF9d82nftrBHGP3pqy3zFkQv74TM4fiOPG0abpiZS93mnW/AdZcj +LU00vJHIWJvLux9xf7mBW3zOBxkU2bcu/0EgDU3bPg/k8uZD3+aEeOmFbFgt +EPG15jBvfv3usynZrM7aIXGU7HXkpYE9DbNe2Ld2PSRQ/tEAIb9pGhQz6zWj +UgmE+02xkKysOC6FAveoJ+s8oCHxRKPZI9754J67c3tJqgPPeUduOb4ueE2D +mtSFhXd5895O/P2yN368+dUcLb2F97zBvcdc9kvFeeMslH7muPOmnzQIMEOM +Tz0gkFXwPQ0bXkcvDiFspnnHD4s8oMjfScPCsvf0eZ4/XlW+0Au//N//P1ul +h3hewr3ofd9wXecGMVSy6poS4ykNxQ6Gxtq85zHuR5UsvsmtZ7oB96P/37HT +D92bgNu6lZGqkg6fFB1vPt9a86cfZV0mvCKElRDuxw9WIxprPJgI96OY8dHN +qV4Ewv24t93kmCnv8+B+HKvSeRk1yUS4Hy3OmqvW1Soi3I87o/yVMxJlEe7H +R6WKOdGVLbBrjWhlqQoL1nV0R255oYRwTy7UNmTrTTAR7km7ZgHlbSUEwj35 +l+mvY8xIAuGeVM/zaqyQYyLck/bL/RPWTskj3JMWnEdvA19IINyTwVtYi6d2 +dUDMir6fT1fyntdL62IjePOnsVpfNqWPhvvBX7oVn/LmMyy2NPyXDXMM/n16 +MpqJcH+W/ys6zP9TAeH+FFWTU0UGMmjYQzSz7xsLioamek8vJ9AJvbiXBTE0 +PL63gj+dtz6rAt3NGpazQT84e6pnM4Fwn1r3tv0yvyWCcJ+uDJwUYrt1gvLZ +yZkxLRoknho6PL1EoKJP6tVbGnjzqIN6L15BINyvag//2uPPlkEz3vcbfgbw +Ol54lnX+SwJpRm/nhmiyoVPN+q+nvHmG+7bbQsqwdTMDSYy+7z9uR8MloX+9 +WnMJxH1svpM1SYPINsvj2ukEwv27ZKJY4l6eFBr8FvTC9x4NG42yA3p4+xX3 +cPWvSNanJV3woJuYp1PD87NXZ+Nj3t8vu7rUQfEoDbcfKj+S4H3eP71suTul +IGkW6i5Z+qRikIbdRy/dLc0h0GOGhGVMCg2lc2c9/Mw7Pu7pPZWLzi6oEUDV +9Vqe/u00rLbK4Ojy9oP5prmbGkNpUE97cdKCtx9xb/t7OE6/p8SQQfXoMrtH +NDziKobc511/3N91rpJpT4u6QGv/tTqlChqGDr/XSnpFoOuq70LmJ9BQ2JQf +cuC/5+fvPu9MO9xvNiiEZjt/jXI7T4NHzNKy/34f97pUWSVTJEYcyTQ/P9Wd +Q8OZk9t6vXnvbxhfyyeYxpuHtwddxXmecrTYOcsqkgajq5vXxr0hEO5722dq +Pi9fi6KNT4yHS5/RkC+8e00M7+f/A0hfw1I= + "], {{{}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{ + Polygon[CompressedData[" +1:eJwl1WWUVVUABtAZurt76O7u7hy6u2GGbglBBUFRuru7GxQUDExQMAmDdKmE +goKxz5of+333fTfeW+fcc29Un9jomHgRERGRHPZxWk6TLdlPQbYwlmSsZAh1 +eerYN2UvKpODX3VzZCdKk4F4nNFPl60oRHL+0v3GW8zwvTWFScHfut95m7Oc +4x3e5TwXeI/3+YAPuchHfMwnfMpnfM4lLvMFX3KFq3zF13zDt3zH91zjOje4 +yQ/8yE/8zC1e9D/bUISUPNM94FXbnSlDRuJzWz9TRlOUVDzXPWSu7S6UJRMJ +WMoAapKXO46bJdtSjNSsYij1+Mf+BbI3VcjJBkbShEf2z5NdKcc2xpOZtcRE +xs1/Q/YwheMkZBkDOUgtdjKJY+RjM2M4SnP2MZWT3PW7L8l2HKA4WxlHGlYz +jPrsZjL/Omeh7ENVdjCRXGxkFE157LjXZDfKk4V1xNKIRCxnELXJzz3nvCzb +U4K0/KdbJPtSjdz8oXtddqcCWUnMff0rsgMlSRcZt3gW04/q5OFP9XzZg4pk +Iwm/6GfLjpQiPWsYTgMiWUJ/ahDFJkbTjCfOf0P2pBLbmUB21jOCIzRmLy9w +gqSsYDCHqMOuMGeuN4ETYczZR3NOsYJjTOYkSzhId5ZzhNFhnNhJNaawlEP0 +Ylx4RrCHBkxiMQfowLAwnmyiNL0ZH+5l9tKMbowKc80OqtKTsWEs2E192jM0 +zC8bKUVTujIy3P9spwr1aMeQcH+ygZI0oQsjwrpkG5WpS1sGh3XIekrQmM7E +hnXPVipRh2gGhXXPOorTiE7EMIctVKQ2bRgYniuspRgVqEVrBjCDNRSlPDVp +RX+ms5oiFKYQBSlAfvKRlyjykJtc5CQH2clGVrKQmUxkJAPpSUda0pCaVKQk +BclJRlKSkJhEJCQB8SlHDVrSj2msIryDGtKR4cxmM2XpwRjms4vqTGQR+2nB +Mg7Tl+NMZWVE3HvtfwMLxOE= + "]]}]}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + + Line[{1, 155, 126, 185, 99, 181, 152, 77, 177, 148, 121, 60, + 172, 143, 116, 94, 50, 165, 136, 109, 87, 70, 2, 156, 127, + 100, 78, 61, 51, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, + 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, + 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 157, 128, 101, 79, + 62, 52, 42, 158, 129, 102, 80, 63, 53, 43, 159, 130, 103, 81, + 64, 54, 166, 137, 110, 88, 71, 44, 160, 131, 104, 82, 65, 55, + 167, 138, 111, 89, 72, 45, 161, 132, 105, 83, 66, 56, 168, + 139, 112, 90, 73, 46, 162, 133, 106, 84, 67, 57, 169, 140, + 113, 91, 74, 47, 163, 134, 107, 85, 68, 173, 144, 117, 95, 58, + 170, 141, 114, 92, 75, 175, 146, 119, 97, 48, 164, 135, 108, + 86, 178, 149, 122, 69, 174, 145, 118, 96, 180, 151, 124, 59, + 171, 142, 115, 182, 153, 93, 179, 150, 187, 123, 184, 154, + 188, 76, 176, 147, 186, 120, 183}]}, + "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwl0nOwVnkAx+F7s23fatps257d7W52N9u2bde2ebNdk23b5mT7afrjmc85 +33N+877zzhsU0ja4TWBAQMBXttPXzZ+sIQ3/05lIzKQFxfno3fFan7wk4blt +uFYnK3EIxQ57P/2LP4jMJ9sLdtLf/d+kJQqfbS/ZxW72sJd97OcABznEYY5w +lGMc5wQnOcVpznCWc5znAhe5xGWucJVrXOcGN7nFbe5wl3vc5wEDfM9KpCMq +X2yvGOG6BtmIS2ge2gdqMOmJFvj7937NSNc1yU48wjCVJhQmJY+8N0j/IQPR ++ZeWlOCb5xO0AflIyjzaU443no/SWuRgMV2Jz2x+/QE2UJqV9GILYZlGU9ZR +hGX0YDOpWEgnNlGR1fRhG4997mCtzFoysoguxGAWrSjJCnry3ZmJGkJ+ltKd +ZMynA+V5673RWpucJGAObSlDOKbTjKKk5okzQ7QKmYjJD9skbUgBkvPONkbr +kIuEhOepfahWJTOxCGAyjShICt6bx2pdcpOICDyzD9NqZCE2/9GaUgQyhcYU +IogFdKQCH5wfp/XIwxK6kZi5tGMjZVlFb7YSkRk0Zz3FWM5PYemAzw== + "]]}, "Charting`Private`Tag#2"]}}], {}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 0.9743602044100654}, {-13.815510557964274`, + 3.912023005428146}}, "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {0, -13.815510557964243`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), + "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, {Log, Exp}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJw9mXk4Vd/3x83DzTzdYygpKbMUJWmdPpTKp4FPKlIiGiQi0qRQykdIlMxF +ppKhpEQ525xSKZRMIRH33HuTzOJ3f8/z3Z+/7rOe5z7nnL332u+11uut4ept +5y7Ax8dXzM/H9/+/W9x/fECD9uuqt3i4hV/4BkKWKie+Kq8ElVy+6Z3ne2HF +nfjkImUr0PQcE1SV7oU+Nc3gAK1t8N5VMuNZaQ/YvP38OEV5J0yY+KsanOwB +zYj5mXPqDlD3J4r1ZUUPzGw5eNtFaz/cS1uR7jDVDbvdxl/GKLvB401Hpx/E +dkO1pcqzquRDsDZoWojt1g1Giy0Kf6sfhd4di5bErO4Gsd6Q9F1aXhBBXQs/ ++OYrdBpetYxU9oNLeUvXpaR3wc07BFqy1x9CdrCWz+zrgi3SD9aWJ58ChU3t +0QaqXfCU02DCVT8DE04ObwMSOyEqT26ZrdYFyIXuKZ/7HXBXcfuVcOXLQNqc +S9aZaINzb29X7yq9DE8qFPNjatpgV2i3wOK9obDwoeDrzNg2kBj1uViWfAUc +As9vKljeBqebb56m1f+FwKOWPxP8voBtbJvHNq0oALNKqd2yrRAsVrT0X+Wb +8ELmmnNeVAvoWN8Ie3ziJgT8fXP2kXsLfAz1HmyvuwnfO27f/rO2BTQF9XIN +Tt2CW+MHU1zpZqj9k2HQ/DEOvBTKFUy3NYPESJyJekQCRPrWClerNUF851nL +4tlUsN8pcbemsxH2OKQV/BzOAF01L4nUqHrgtu9kpKtkwhdFxzsvdtbDlf1i +7v9YZoL6RU0fAdV6KDrorfL0ZiY4Coz84M95BVJeFqHnVmVBe6+yTVVVHdSE +fHEUCcyGV0GtKVz+WjB+KCuiJvYAolfsNdocVwnz5oKdrBcUwONBi7EzaiWw +xJbzo+raE+DyKe88Kfg3BLwzg/jfz8Hnen1vgHAJxXwi28XPT0GCecfTlOZa +6mmvZcP7tZWgPVNaaKv2gar0SFF1oKtB26zkZ2LCJ2pUy0I673gd6F+ZSLF8 +2E4JTObKqC55DYESReYbb3ZTpnMHnv6T3wBGoqw1Pku+UQ2XV76r1XkPHqvn +MpXyv1Pq0azJ+5xGsCwYz0vz/0Gxxr92tRh9hCLu7VIbJovKGCp7LRXVBAf8 +S21qZ9mU1Pxsbl9fM3hsabq12+8nFXG0+NBdzU8Q2eoncKF1mBojc/uOXPwM +YSE3k/TdRyg/4+onSlWtYAXJ0md6f1Nf86uvfdBog4Jg4QyDyDFq2qM5Sfts +O2zgJ3XOwwSlu1509lBZB7zZWa4x9W2Ssk7XOPyI2QVRBVYpoeXTVMB850U9 +Tl8hqy+WLRP5h9Kj7ed93toN0e1L/D4fmqPkpX+KVfPukatZ/Du5Cj4kRCUV +LE7ugav0UPd4PT86trLsi7hNLyw28uiwmhRAuZtd75aP9cL359Id/iZCaJSl +ItMf9w389w7nDHkJo+J/rAVvWvVBwtKrCwu/iKCLm0LvPOnvg2zRX+E3rMSQ +RueW1nuh3yHEqNhHuFIcrVl1+aaycT+Y2PzaHBc0DzkteD/J39QPdg5yxr8s +JdHo68jeE8EDEPOeuYTYI43ihfb72Wn+gM+SgmrTpTJIvqs4XaD6B7xwWZEe +2y6LhAODd5r5DMKZsvxITUN5xHd/f0Gv3BAobdU/cz1EAfkmFkTKVQ7Bcs+M +myVziujZTOLVyuohkHWZ8ooUVkIz+68E+9YNwabF4W4u85TQ1cX7/D82DMHh +pEv+IkwllPyQsS/m8xDYlBr+tjRQQjXl7npy7CFQ655UCHFSQsQ31TeyBAuE ++T44Fj5TQvs2iNZUqLDAuqs3asdLJZSe/avcZz4LslzbVnIqlZCeZ/3jD4tY +8P2nWpzGOyW0fvRU4g19FnxZrtlzqE8JeYh+PCpryYLpDUpxTjJM9FI3TEzW +iwWrGD2mvu5MZNrMfBtzggWfrMY0NngwUeH57BsKJ1nw4axclbw3E6W/rVUh +TrOAGXGj695pJgrzFtZXD2GB7IWZk5HXmMiuKMRWP44FoVrljv6FTDSwJjBx +czkLRgpv3BYfY6ID3ySc3yAWLNU2ZOtNMVHbteTFW6tYYHB22nXzLBM1dJQ9 +3PGKBc0vrtseFyHQ4wtT5bs/8uL+H+n7lQh0vjLgm3s/C9Y/sv78YSWBRj1E +cwZ+sKB0ZKb/3GoCecnf9jzKYsGSrV1R89cSyMXt6ajnTxZEhs6CtSWBNoqM +ivpN8b7f9c/W5bYEkt3iqxciRYPcOfuOTccIdLdCet4aWRrEjE9uT/cikJFZ +3uCwPA3uTwUHuD4E2rbsR5aLMg1dwhWjh04TKFxkv8Z6TRpMPMPuo8sEUr4w +PTehRYPEM0OHZ1cJlDMa31WoTUOKmblUWjiB6vqakhYa0pBzPmbs72gCCVVt +VuJbw3v/eNELgSQCxa4Z+P1sLQ1jK9/fjEwh0KLHl5u8gYZVxa9tGHcJRKZR +0V+taLBM9alozSBQ4EWTedR2GlSHtItr8ggkMf5x8JQdDVeF/vVqLyBQsteJ +Vwb2NMx7ad/e84hApftyQ1MdaXj3IXM1KibQmLkG3wV3GvzniX8rf0Gg0KLy +LpMjNNi1CijvKieQgq7TS7YHDR+f/27uoAi0QuX22X0naNDyVd9UUkmgyhsr +HRRP0pD2SPmJRDWB7MQ/rnrrz9uf1hjpHTUEOjEhMbr2HG89S6Zn0+sINOf9 +oOl3IA1PhedtevyKQFED1o8fBtHQsuvrUEE9geY7f492u0SDR+zKyqTXBHr4 +KcRb7QoNMyntOf5vCGS+beG25jAa1DNenrFoINDrmpd6Eddo8FNqlx7hxY4W +e+dZRdFgdGP7xri3BBp8MjE4HU3DnXt2E1rvCHRaL+5VUSwNxffX8GfyYrGM +FdnH4miodgtcK/OeQLdVP4QuTqChpOVx6BFerBXr5daeRMNwb1xJHi8uZkhY +xqbSULFw3qOvvNgq5L6GTRoNy0MJm1le3DS5kU8wg/e8tGFX8UYCufr0dZVm +0cAUHm3m48XDP4Jf+t6nYatRXmAf7/9BB9STdR7SkHi62ewJL5ZpfXG2N5+G +82d29Xvz4jvbHR0SH9GQEb/jAJMXG9SNr7J7QsMTrmLoA973l6+7pcR4RkOZ +g6GxNi/e+tR4tOI5DY+F92+I5a2/Q7+x6cwLGvg6U5J/8PbnDks2UJCi4WCn +iZ8pL3a9/49WZAUvH85n8fny9lfr8K33StU0jBz/qPX/+z+o+fn03Voa5ja8 +e/OIdz4Pe4lFOvW8fPHqbi7mnd+Ju45vit7QoCZ1eWk273zHVLvm1zXy8tXX +l7TlnX/pF/W6HU2853dRH8V5+RF42+VEWwsNbyWyNhbw8kdI/nslu42G7sJH +xxEvv+oatTwDOmlYb5XF0eXlX3jUEUX+bhqWVn6kL/HyU5ZBH1b4ToPCoNzc +RAmBmuv0ZVMHaHgQ8q1X8RmB4kO9S5cO0bBX7si3Bbz8XsA/ImHOpUHmT3PH +70IC9ZavfFo9TMP+k1ezK/IJlHn+lPO23zQIMEONzz4kkN7E5COXSd55bRg0 +zM8mELfYfC9rmgaRXZantDN5enQyUMh/lgbFnEbN6HQCmXH5docJsoHvVZC7 +Ou++buwXncmXZEPWRmVjyxsEEs/YnLlahg1/mf7xY0YRqMHl2rZKOTZ4WEQE +fuLpwT+dUmktTDaYDU/HKvD040Cz4sYZDTaE79RoifInkGbMbm6oJhu61Tb9 +9YynPwPbE+Kll7JhvUDk9/rjPL17o8ZapMeG+R6HI/LcCXSucnH0ZlM22CyT +SPXcSaB1Qe5mTavZoB+SN9O3nUAC67J7nczZIFZkqGhtQ6Cw5zomJ0g2aCUf +NH+1nkBxhcvb4rawIWr9054X+gQqTAXNvv1s+Lx4Q54bP4FGzo6IuriwYaJW +51X0NK9e7M5mdR5kQzy5tyJrlInKpKWLPh9hw+XJdO3oQSaqDepa/8aXtx/z +itp2NzJRu2ug8+MrbNBpYWQVJTDRAlhuafgvGxYY/PvsTAyvPqh+13p4jQ2J +Ytxl2rx60t/0Nyczmg0BeQKyVueZ6KeVWmBCIhvqsuz+OrqPiUSWliUG5bOh +R72s9JgqE20W9L7wp5AN6oVezdVyTBTxdZHr2SI2zIlpDokwmEg2Plzbr4QN +7nWmPzdMKCE1cceSw5VsGOppKMhvUkLGrImWbZ/Y8PTKwxrNK7x6W2AqO3+W +DVXXJCcOflFETx0npBr5OGBxwVz1fYMikhIplbgkyAF+gZbU+UgRIScLsUEx +DpgkeR92y1JEixhWc0/kOcAdMl8Q56OI+t1s2X9rc2CV4ObnXgKKaJ2MPGtO +lwNV/4qO8v9WQLfLmn88NuBATpnPiVPfFdAmuT19xEoOLBN4dEDglQJ6QO1v +71vHgZpLUxZ3rykgLxXP+vM7ObC1O7i/TEIB1dbo1xnu5oD96oCEjTPySN2H +W93rwAGrGQ+TfJY8aqzzRZuceXGVc7zca3lk7H/mmYIHB8QH0hQ0Q+XR+Psr +mQ8vcuBobp75xIgcCgpNC+54wAEzF5kVVgWySDJAdItLHgf2RgcoZyXKosQj +x+X6CziwRl5O+0OoLCqyMbvHfcKBXWmd6PxeWdQv+6FagOLAWFRm9ZSgLPIV +XBV5tYIDao/+OhDAlkFzv5PtJao54AZu8fmfZBDReqRfsZ4D6/Qr7SxzZJBN +Kp+YdhMHlK43tj+3lkGt1w815rVwQFRNThUZyCC34IZ441YOFF83lz+qKIMu +uMVrr+3kwHDbwMklVdKoUMfIZvsAB87snXh/blAKWajFyTcPcmDFVJnE/UIp +9Fpypn0PzQHFErKm+pQU+vazztN1mAObjVd+uzIniZSeOUf5T3PgiF3hdVF+ +SXQvp2bX1B/e+XOevAt6KYGMEnXVL/JxYbJpX6vuaQm0OXC8IEyYC2s4Mbf9 +B+ahT177TkuKcUHAcn9qUdI85HqgioxhcIG56o+K89Z56Lzl9Q9J0lyQj9Ap +/JDNQOImowkL5bjQayFl2L6dgeK09rpmKnBhRja3u2FEHC0iKnR0mFyQqqxh +isSKowLxpSP5ylzwl8s9VKInjtZOR5StUONCgIfj7EdKDL2if10qWcAF7weG +1t1bxJB9156/LTS4YPtczefVG1HU+75coXIxF9o31e52AFHkXaHZuVGLC5v6 +O/6Y3xVBM4/DM98s48Itn85dS/uEUVjGz+M7dLnQnXF80GxYCCnG7TJt0efC +WynRHun5gkirN+rkKC8+ULPswpJ6gf/i4o3HirU3Cfz3/2XspakHfvP/9zxr +y4X9vYv4/nvfdeVrX8LcZyn8PUObPYPXj8xQ+HsbSx4k6pZNU3g9ra6trUU5 +UxRe7+7icRndR5MU3g8pjcEG3XcTFN4v632Mv0Knxym8n9WF+5RtTMcpvN/+ +wLrueH6Mwufh4SeYo9gwSuHz2nri0oCZ5iiFz1PgQlv94OAI9d95j4eITNiP +UDgfEhwiLxyo+0XhfNHSUZs+XDJM4XxKnawJtlw1TOF8W3L/oAP9/CeF89FC +yKDU9A2bwvnadm79CgFlmsL5nLBrvHuHNYvC+d53PF9v1dkhCt8HmXw/l/3e +AxS+Lwttpzdu5uun8H369UPxR+3W7xS+b6nsOO6J1D4K30cZJCB2araHwvd1 +/0LFrWnl3RS+zxfVXPK9FnVT+L63F3CPmch+pbAeWL/XKZfybqOwXrClew41 +mbZSWE+Ykkyf4IWfKaw3i4lj4wOSn6gXOjdjrW7x9Ky1blXF29eUY2Bk8ulw +DrQmdW47/raSytFipMmFcsBjpUtYeN9Lase5TfecQzhw6/1hvT6VUgrr2Q4z +1czrTk8prHe3/zE913IsHrAePrbYaPPKuwiwXm6sGeFmfH8OWE+/rEX8ggov +AeutYeu+epX5CLAeX98WY79TtwGwXr/d45jkzJvrsZ677E9d27zjI2C9n3Tg +JDyc+wi4HjSGinwde9gEuF6s2WY0SPS2Aq4nnbSN/Im97YDrjcoqzRDH6A7A +9SjrtE4Bd1kn4Hp1e0SsagvqBFzPODkJIfJTvYDr3ejqJDGRzj7A9fBorpS2 +Qs13wPUyyExL+KtpP+B6eqZKfm61dz/gequ2sXXnqux+wPX8XISBpvPkIOB6 +b7+dZVF/mQW4Hzii2rPtpSUNuF/oawrSTOT1zbifcLyOQhfz+kbcb1QvV3H1 +4PVZuJ8xZgVxRt7xdOV//dHswsY58+ifgPun50b1aK7nJ+D+atRkln89Yxhw +/7Ws+YT1mgXDgPsz0ZfrkpWNhwH3k25fwj/9cv0FuH8NuZ/8tfTXL8D9b2Kp +yfzU1SOA++WiQFsDhb0jgPvp35MivUaeI4D77YXSu2LMAkcAzx/uQlVvYm79 +BjwP7WvevktWZRTwPGVfsl0szHEU8Lxlnx9taX92FPA8NheR0bIwfBTwvJbi +vC6qLWEU8PxYGrk64WDEGOB5VFd7pWna3BjgebWuwtn786pxwPOsxeVa26R/ +xgHPu2lLg94ddhkHPA/7KVmoa3mPA563X5cpuKEVE4Dn8d4Z/rusGxOA5/X5 +D75fFkUTgOf5NV/Mqls/TQCe9w2tGj2NeycA84CZojOGIewJwLzgQsQlT4+U +ScA8gTOiOD0yPAmYN7RPO108qjoFmEeY+MzVDRtOAeYVFzekBmivmQLMM6Ke +yA/usZoCzE+eX49Zcfr6NGC+oqkytaRn2Qxg/jKpKxjd6jsDmM/U6sWE69+e +AcxvvhDpew3vzwDmO1v6/l2t9XgGMP/5M9LkpVQ2A881rqae9RiC+h/Kknwf +/oDT919Z+euGYHkOV3bUbhYM6pblvlo2BDnaCfG9N2aBWWKZ1zJ/CCwi7drb +C2chwsjWwIkYAhdzuzdmaBZq6c9edxSHIPuAjV5P3Sxg/vTHmRN66f0sYD6F +8iNvbTDjIzG/Wnhs583QGT4S8y2h86Y7fJP4Scy/IGX3xDs9ARLzsWunRh8s +fC5AYn42UHOkrMNAkMR87Wus3eGYdEES87cbTiuf8QkLkZjPtX+R+XPUVYjE +/M4h0eZ0NiVEYr636J5auqaMMIn5nxPDqsLaRZjEfHDY5qGsdIEwifmhi43j +G+4vYRLzxeZ3opfGVomQmD8KPbcruuwnQmI+uXPz7rywAhES80u+VuNmLkuE +xHxz1oCltFVDlMT8M8G2RHD1HlES89F7xu7KnEhREvNTm9+Fk7kvRUnMV5Nq +GQ1iXFES89eEOr/z4qpiJOazbVPtSi83iZGY3x6/Mnv7s78Yifmu8ApO1V+p +YiTmvwtf64d5vBIjMR82k/R4bcMRIzE/dsgICZBRECcxX86VrbbNNBcnMX8u +CkqJ8HEWJzGf7r42lmMYKk5ifp277oqncq44ifm29VcNs5q34iTm3/8WXY5t ++iVOYj4u0VZx2FyRQWI+35Euz9AzY5CY36s2vV1ivJVBYr7fIDk2E7ubQWL+ +/xs9NR5wYpDYH6htTj+a5MwgsX+wZmZ90MQBBon9hUdnznzKdmGQ2H/Q3zpW +stuVQWJ/QsjIVqLOk0Fi/8LfftDG0pf3/v/5Gw1hxGfCn0Fi/4NIt8oMP8Ug +sT/ikHD4woYABon9E/+RuBL+0wwS+ysOje8yF1xgkNh/YcZ1tDoHM0jszyzW +1bwle4lBYv/G85FwDJcXY3+HddHC9cNlBon9n5UbGvZcDWOQ//lDCdotV8IZ +JPaPJJfrtsdcY5DYX7qzdOnPnAgGif2nFwzbvuko3v79z59KEg7eB9EMEvtX +9kY/fZNuMEjsb+VW+5uqxDJI7H9ZtJ20eX+TQWJ/zOV+0mF2HG89//PP6u9L +1gYnMcj/A3bmnaA= + "]]}}]}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVlXk41PsXx+3G92YnxhIi2ZIrEaXz6SrCTVGyZIkWJUUupc0WJXuSPZW4 +KYVQkct3LNFiK2QiZJpsM/MdTGOd+Pn9cZ7zvP44z/t93s95nqPhG+h0QoCP +j69mtf7f7U6Mf6JMOO98/0S8JSoXQ0JWSkHDZBPweZLrx8rA0Jb7WXmV5N1g +2f+PfWc6hugqWlEXtR2gpDnUVOkOhuzb+yrukQ+Bs9FUcO5tDGklqhatqLlB +rnCUJ6RiiGd3LNNH2wv+wxzpS8kYcjk+V5dGPg73N26cKk7EULOV0uumvJMg +/qf+QFoChow0Lct/qZ0GWrZu7414DJFo0QWHtc+ByZ4215txGBrcfNMqiRwC +jAhL308xGEq/r0jZcCQUAl4Ip7GvY8hO8umO+rwLoKmvdVd6lV8RbVvZapdA +IeMb1TsKQ8nPZXQctcPBraujaF04hh7I778RT46BUE5GNX8Yhq60ZzYffhMD +btl+4XsuYuhw7HcBzSOxoFiwuyj+AobWcM9H1ObdgLY4xT7FUAyF9aSHMdVu +QajzhL1VMIYc7/T7O2gng5CR45rWAAxFkSo33iKnw6Z9s9UuvhjSs7kdVxGU +Di8uXfry2AdDn2MDJwZa08GCtyty/uhqfoIGJYYX7kJLT8HpXG8MtfwuNOz5 +nAG/KK+MxzxW9TkZW9USs6FNfJZ3xwVDWYOXrV4u54Nyd/sG430YcnV7WDY1 +XQjfCmQxA3MM/bES5WGzrgzW9Df4bZfH0AZHYrwpoQpuVcbc6Z4RQxc7zCHr +Vw3YDGuYv20XQwpV0kP8/DiU7LwRQC4RQ69oVm2dOxrhe8Js8eZYMdTof0/Z +jdkMlZH3Es97iyGutqXk87OtUCLd7Fi0XQwJLJRIKW/4AG6F0Rel5MSQ6crR +VwdL28Bc3P+DPUFCbTEmHS16naD+YVOc/zsSUktlLDwhukB4C9H0Vz4JMeaG +h3qNPsPZG8uZfaEkVDhZ+0EiuRv6FwfW1u0lIQnVx2w6vQeyW0OuiimTUOLp +lycfaH2B3BasjcQWRbOohH4qog/sf5UvlNSJohDj5qq1TVR4ZHyCTCSJouHS +5oRPGv2Q7VgtuM1VFC359+TqXh6AZUPG2n0aokh/l+jyydpvwEc17mEzRJBN +gYbfC4UhOGTr8jyuTARdVPVeP+IxDEI1TpUxISLIgOn8R9++79DTIXp91kwE +yUpOkZoXv4OPvftH9owwEsJzyzTzRmDa/pm0ZJkwOmNS+1XMngYe2O4GGx9h +VGLr+6B+lgbrH6kUaEkJIy5DSWo04we45diHPcaF0MuDNoLpu+kw8FXq92lf +IRSxN/Z+1SgdbnuYvOYTFkIag3bUR7E/YfiOk19agSCyMItJJxuPwtjbU7Xf +DAWRx7rOBf7uUUi4wH2qXiOAuB+SaEFRYwD3XOY7DARQlpBXiJPWOAhdNT0Q +nMuPZIdeFgg0j4P6mUPpsTw+JHwt6pD5+QmglCbd3WPOh/ieeJXRZCbhtzcR +e71zGVqYfefuy0/C46P2BiOty5Bo5GjooTgJPtudPppTlkGh2up5r+okWCY5 +DQyUL4Nhq07JO51JKNbNzqLdXgaPnzP/lu6chD+L2dJcp2Wo0biZf9l/Et6P +k8X5Pv2G4JyyJJnGVT1O97m1tTx4zcu52dg8CXb0W9u0K3jA87oRFdw6CV8V +C45sfsKDm5qeoZ/bJqHFIC1+UyYP8p5hnml9k7CgL5hKDebB2/oTBjKsSdBS +WtwwosMDxR/KH6UVGVCTkrYlLGUJ6vTjSNLnGJBcJTvhunsRTHsU2tOCGBCx +J/+irsUilF99fFvuHwZsPb/SOr15EQraW5QUwxgwsOQRcVp5EeIChTepRTOA +4MgvcaYXwKky2nFTBgPCE68H+N9bgDGLazm29QzgVV7aHM2ah6M/1nh/pDBg +8+6uAGPaPPQn5Gnua2KAxVfzZuqXeWj7VvvswDsGqD79GSNKmYeK8MV6l88M +oPH4HzBuz8PVxos/Towy4EOt3HHKlnmQtgs2iJZgQshaSzXtwDl40CD5h4U0 +Ex5ujOzw85kDI/PnE9OyTLCMaXHMPTgHDjrj//qQmdDa4B3YZzYH8SJeGru0 +mKCva2L6cGUWhJps1/JZMOFN0rbsY4mzMLtdgy/8BBPuee9M7s/mQmxl/dDW +U0xYSSzsVY/ngpy+Rx3LnwnOpalWzpe5sEUp87Jn0CpX7yfFuXMhaH4Nd8cV +Jnj27D8srcSFiar5iaVUJpwQavqYdvcXfNvU1X3pPyaoSx5OM7/GgfsM6WuC +OBN+LYjQjAI44PvkoHZSAxMqrzkayh3hwIRWX9iDFibkvNmqmr+NA7PKQ6qt +XUyIfpI3/GZmBqQxpp/cTyYc/xr/ZcZ3BqxHRXml4iwQrduZRzaeBrFC26Jt +UizQ6QmysVg3DW0+CQ6NMizgbl3m34VNw8FBiYe9CiyoMXpPWRmZgqM98tY8 +DRYsq3etbE+dgiuNmqm2piwwZkQSnA42lOeDFt2LBc1/Kvn6LzOBc5kj6uPD +AvcUSqzmqi9Tl8eMwWMsoHdHauU8ZEKtpGRl3ykWnFIecaizYkJL5NCuj8Es +cN7PsHwfs3pXvte8K26w4EqioZb3wgSIbKzNiSxlgYo19ZDZ41GwFQwM/13O +gktNsivbAkchcXi97+VKFkSaawsPm46CdFa8bkg1C06XSOjKvf0JKmLu1X6N +q/ttyyWJDNJXfc/3OnxhAVGcHS27SAPPMlNp1WUWZHJITXaUQXjlPi/RxUfA +v2F6ZWydQZAQebPmuiABSmZa0e6p34DiYUmaIBEwyLSXDToyAOux3StVsgRY +OBhNKNKoMHrckfW3LgFdsSLDs8+6YaeULGNFn4AFNyL72cpnyKztGa8wJMDH +K39Hz4HPsFfGla5oQkC7q3uu9+pfeIp7DdB3EpDikOZ8SL8NzikFvL96iIDN +VM/3SqoUaHm7qXWzCwFfd1D4BeXqQO08u5nmRoD1Ww678GcNdLUGU/Z6E1Bh +aW3/LrASjEMvvZbzJyDzoOmV3jNZMNd5o+hZBAEHzJWLUjxe4Qeu7H3kHU3A +3U4/A7rSG7xYG3soE0uAv4lPXDy9Dne/lpQXFk8ANXfQ4Wx7I/6fXvqd3XcJ +cKa2mjW0f8AjYx9GfXtKgKbimbkx8S+4+EVRO5/nBCiIK5yPUu/Dc06dlRkt +I4AlOXKy25SKV9qbP2JXEWDTqVcvEdiPj0p/ahbACRgoY5/ZKj2MBwuaJd1s +ICBCxaf03Prv+MqvPOc1zQR4qcvve1j/HVeknhqVf0+AFEWAdGF5BLfP5yPp +dhOQz8pgB+XTcWrKya7nvQTMjMuPt+z7iR+PassyphKg7rhkbcs3iocfz9Ld +Mbg6Xxri4xU4hpfrGdnvHyOAfrbUwOzyJG6pkiHbM0FA9uG57wdsGPgHcd6A +K5OA/iu7tgiQmfiPqdYA32kCLIUM35h+ZOFrX3snhy4RsOHJMTdmzRT+qPjt +4cXfq34W3kZZmU3jRjn6ahF8bNDWU1nyq57Gba/NlcUJsyHbLSn8aOsM/uWc +Z5g4iQ0Cc9Ei884c3PdoE0rDVjm8//3EBAe/apXyKVeSDfuCro+Za3Fxsa3c +bHUZNviHCBbLt3HxDO0jvkVybAgFRor71Vl8vWKDnp4CG5rLPcn2pnN4mdhG +TimZDTae2F+xS3P4jqXE2i0qbJDQmGjT75jH3zFnrlevY4PLyzkp/RcLuPOQ +69+WGmyg+lKplcWLOK2zXq5Rkw1d1U9z9GuX8MAGrUFrbTZM2gZE7eLwcF5F +fNFHHTakkBO+xp1YxuMKp84e0F/Vs1Ifpa3no8hnHDbt3cQGHdbG/KO/+Cna +tOR/uKv80vrMS929ApT/AR7Gumk= + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVlXk4Vdsfxg2ZhWM4ZwtJpsiUUkn61qWQRle6KEU0uGWKpCgUlSkhM0WG +BvMc5SwzUeaSKY7Z2eccriFjfvv3x37283me/ay91vu+6/3K2TmbOXCwsbGt +Ec//38cdJtvR1LlDtccd7YMejMAmgy0uvyT3wJYPbKvm3jTY/So2sVDSEBRu +LnJKCdNgVFrBz1PpFLTabU4rLR8G068/CpIkzWFJx0NK4/YwKITIpG/IWkLD +ehj95+5hWDt+JcZWyQbepOxOtVwZgvP2vz9HSNpDgfGN1feRQ1BrsKW0JvEq +HPRd3cSwHwItef28edkbQDuzXTFi/xDw0vxTLZScIIQaHHSl+RcMaD4xCJV0 +h0fZyoeSUgch6hWGFK09wP8MfdfaxUE4Lvz+YGXiHRA37gvXkBqEEmaLDkvW +C5YuWH71jB+AsGzRHWeVHsAHGFpxfdcPryVOBwZJPobDpvcTVZd64f7XmFqL +8sdQVCWRE1HXCxYBQxzy1gGwLYvzS3pkLwguuD6sSAwESx9v49xdvXC3K+ou +LvsMfG4YzMS5/4Szkb2Op5TCAHSrhc6TesCPt1D5mWQUfBIJvpQd1g2qRi+e +FrhEgeeJqD/5Dt3QEeA81dcQBWP9MTHrB7tBgVPtg8adl/Dy95UkO7wL6tfT +NLo6osFJvFJ876kuEJyL1pENiYNQt3quWulOiB24Z1D8JxnOmQu+rhtog38s +U3JnZtNgp7STYHJYE7D6zPlTt6TDTwmrV5/MmyDQhtfhb4N0kH2o4Moh1QSF +V5y3lESlgxXH3CT720YQctIPuL8vA/pokqY1NQ1Q5//TitsnExp9e5JY7PWg +nUXiluZ9D+G7rbVMoqtBYMPvgtHWXCiY0l/0ki4DxbPMyZrgImCxSZrf5jwB +nt90IXb+I7g+b6J5cpVRKUWkQXZ2KsTp9ZckddVTS2gGLa0Hq0FlrTzvrHQ7 +tdoxScoSrwUV3bKZ+Ljv1AUlfeHsWw2gHriUZJDVR+VY/iAipfgFfAQL9Y5F +DVH3blwu+TunBbR46AdcFUeoLY/3fKtXbQXH/Rvp5Jwxqmw4ffkdsw0Mcn9n +p3hMUum/fw12a3VAISum3JRCp6ZNV3wRCuuEyx7lpvV/GFQhmUzW6GgXOB7v +fHnefYYacqP46muF7xDa487xoGeWunj4w+j1hz/gqX9UgrrDHNVdu7aIXNMD +hpAo7EWbp/7KqQ1ul+uFXD+uNI3QReqqY1eCyr0+OMp+WNUblqg7j/D8uVrR +D83mlXIrI8tUo1S5a/mUQQjLNUwKqFylespc2j584RdkjEYyRELXqWr4OYEf +J4cgvE/R/cfVDaqY8AxvLXGP7HRjv4lWsaFN1IRc+cRheIJPD/1uYkf/7qn4 +yWdKA3ktx37DZQ70wcTudeUiDcY+Cvd76GxCC/QtIuPRI+BhPft22okLFf9t +xBllOApxyk+25f3kRg+NA14VjY9CJs9/QS8MeZHcwPGeNwFj4K9V7MpVzYcO +7HscJak9Djqm/5lE+wqgC1tbl9k7x8HMUlT7P4PNaOFLKM3FbwIiWimK2D/C +KHaTjbuZwiT82MwpvVougsQGi1M5aifhk+3u1Mg+EuLy8TPXdZ0Cr4qcUAVN +McT2ziaXJjoN5JPqXs/9xZFbfG6oaPU07LqZFlW2IYFK1+KfVNdOA8l2xSmU +i4zWbAL93BqmwVg+yN5WgIyeyF/06GiZhmsJjzy4KWSUmMV/MeLHNJiWa84b +aJBRXaWDmihjGqSHlsX9L5ARNiLVTMLowMXWbpVXSkYXj/LUVW2hg9EgLezM +ZzJKzfyv0lWGDhl2vXuY1WSkdrOpoH07HcZmpKPlvpHRkYU78S/U6fBzl8Lw +1VEycuTpuEEyoMPqUXL0BREK+rzzKS/JiQ77+If3ujlQ0N4uytcIFzp8N1yU +O+pIQXnemS/Eb9Oh/Z5ojZgzBaV+rd+C3aUDJeTF4Ju7FPTUmUtd1p8OpAdr +t0ODKcis0P+sejQdApQqrTzyKGjigE+8SSUd5vJexPAtUtDlEcFLzYgOyiqa +DLUVCuoNTpQ/WUMHjXurdiZ/KKilvyLrTCMduj49P3uLG0MFD1Yqz3cQPD6Z +akPGkHe154jDOB2O5Bv9aN+DoQVHnrcTk3Qon1sbv78fQ05iMTdv0OmgeHIw +TOYghmztSxZuztAhNOAPGBlg6Bj3Ao/7CrF/u/WTu85iiHTcTc1fCAfR++f6 +jf/F0OsqYYEDJBx4tW+fTnXCkJZu9tSsGA4OJZwTLFcMndoxmWEricMgV9XC +1bsYCuK2kTuigIPOzafv0GMMST5Y3VhSwkGwVNOy9AmG3i7EDuap4JCkqyeU +EoShhtHOhG2aOLz1jlg8EY6hTTUmZLYDxP9/F37iSMBQ5IGJ+dKDOCzuaY0K +TcLQ9oLHnc6Aw77iL6b8rzF0OIUa/ssQB4Nk16qeNAz5PNQRoJ7GQWpapbgu +G0OCvzum7pjh8GTTM6e+XAwlOrk0apzDQeDzub7hfAyVX/wQkGyFw7f29P2o +GEOLenJsDxxw8BDgG6n8hKGAwspBnes4mPVwSFpUYkh854XPDEccOj7Od/VT +MbR7S8y9iy44KLnJGpdVY6j6xR5Lids4pORLFgnWYsiMr2PfVw9Cn54I4TN1 +GHJZElw4eJ84j+Lqn9QGDG04v++c98GhhEvAuKARQ2ETRgVZvjh0W/yazm3C +kMylsXD7Rzg4Ru6pTviCoazv/s7SgTisJfW99WjGkN6pbae6nuIgm/bZS78F +Q1/qPquFBOPgTu4TniPYSt9awDAMB60Xp49Ff8XQVNHS1Go4Dq/emC0pfcPQ +XbXoxsJIHIrfHWBPJ5g3bXfmv9E41Nr7HBRpxVCMVHuAfBwOZd0FAdcJVop0 +su9LwGGWFl2WTXAxv6BBZDIOVdsE8n8RbOj/Ts40BYddAZjpH4I7l4+xcaYR +66XM2vG1YcjOdXSwPAMHCtdCFxvBs5N+n93e4XBSK9tnlPje97JsomoWDvF3 +u3SLCBbp+XSPloODt5fFuDPBr05bWcbn45AWe+YyhWCNht/7zIpwKGJJBLwn +9l956CWZvxSHCktNbRWCT5ZoL1R9xKGAy+ZoJHH+fvW2Tq9POLANJCVOEvq8 +opN8OKk4XBnQcd9LsN27v5VCq4g8eGewuRH6Kl172UquxWHuVofS//WfUvhx +93U9DhtHvzXnE/5k0bDtqk1EXpyGuooJ/1xeWzUXNuMgLfRYOZPwd1FqUKah +jcirm9vhs4T/5T9lG850EusPUjv4iHz4xNi69Hbj8FUw41gukZ9NYmPVjF4c +hvLybyEiXw1tSjc9B3A4YpjB3EnkLyjsugT7EA7K1R34IyKfJH78mvgYDuJT +ohtLZRjqalAnJU/g8N5/hCZRiqHYAOdy5WkcrEWvj2wl8r2VfU5Qj4WDyHpX +/3wehmiVe0pqZ3Gwuf0ksyoHQ+nedy6dmseBgxKgfS8LQ2pLy/m2y4RfR6c0 +czIxxCrWs6av4sBtYXBHJZ3oo9s+mzz+4CDxtk0hPBVDuiy28085GcDW6Osg +S9zXY+M8azmbGZBxTFLb4AWG+NJM0veLMOCvvevulDAMtdgGn6oWZYCjfojP +d6IP/h4QSummMEB3djVSnOiPy10Sx9bkGBBkLtcd5oEhhYjzrAAFBgxJG/9V +SvTPxOm4WGFlBhzhCB1rukX0XbM0fbsaA2Qcr4VkO2DofrV8uMleBpjuEEy+ +aY6hQ74Oup37GaDun702ehpDHIcyaRf0GMBbqClhZIqhpx9VdVwOM0Ap8Ype +4xEMReft6o0+zoCwIyXDn9QxlJcMCqM2DPghfzTbnh1Dc/fmeGxtGbBUr9oY +vkrMi/OZ9IErDIg9bF2VsUBBFcLChT+uM+DxcqpK+BQF1fsOHml2I/QQKOw9 +30ZBfXY+lwoCGaDazZ9RGEdBW2GXgeYzBmzVeFbqFUHMB6kxpaxgBsTzsnao +EPNkvPMEMz2cAZ7ZHCRDbwqaMZT2iYtnQEOG2V83LlIQt3JFvG8OA4ZlK8r/ +laIgE07nB+t5DJDNc+qqFaWgkF/b7e4VMmCDV2Gam5+CSLFBKu5lDHBo2Dtz +dImMpPmsyq5VM2B6uCU3p5OMtOlL3ae+M6AkMKtOIZCYt7l7STJ/GFATvHnp +yk8JVGK1JNTGxgT9B3pSrS0SSIi7XPARJxPYObqTZZAEQhf0ead4maCT4HzN +PkMCbec33CgSYwJrWm9rtKsEGrc/yzihwoR9nCYfnTgk0CERMfrGTibUPONZ +YJ8XRzEVXZMFGkx4W+HqcmdMHBmL/jOK7WHCDo78yxyN4ug91aZv9BAT6h6t +6L8OFkdOW242eZsz4eSQ33iFoDiqr1Nv0DzPhHP7PeOOrYkhWVdWLc2SCYZr +jjo5dDHU1uCGjC8RXHMpVvSLGNL28CoVd2QC30SKuEKAGPrdGpie9ZAJNz5k +6y3NiSLfgBS//vdM0LUV2W2YS0KbPXmO22YzwTrcUzIjnoTir98SHc9lwgEx +UZX2ABIqNNV9wypigkXKAPK2JqFxUnstB5UJi2HptSucJOTGuS/0SRUTpPP/ +uuzJEEEb84nnBGuZYA/2sTnfRRDWc31cookJh9SrzQzeiiDTZDZelU4mkJ+3 +9X00EkE9z6+2ZXczgUdaVAppiCB7v5ZY7R4mFD/XE7shIYIe2MeqHBxgwmzv +xG3FGmGUp6plenqCCV7WS633p4SQvnS0WNcUE3avVAi+yxNCXzav9f2DM0Gi +7HBd7R0hNDLTcNNulgkm2ntGAjc2I3LppTCPVSZcN8t7zsO+Gb15W2exsk74 +zyz65vtZEGnF75R9yMaC5c6LPTvvCiITn9+5T7lYcIAZEeMxIYC+O128u5mX +BRwGNsmFCQLI7nLN4Qh+FlD2rW+5dFIAeRs8b08QZoFYiGpeeyY/4tNZiNsm +ygKavpBm32l+FK1kbZcuzoI10oehljk+tB2rUlWlsECouo7CHcmHcvmU53Ik +WeAh+uFqmRofOrgaUrFbmgWejlZ/Oqi8qBH/71HZVhY4v9c0GjrOi84N/nNC +X44FZz9KuzY28yBaa6V4tTwL+ozrz1sCD3KuUhg4psQC4/H+db3X3GitICi9 +eQcLXroOWCiPcqGnaTO3zuxkwVDarSnd2U1IItpib7c6C74K8QwLy3AiJVrY +7QWCL9fteKDYxIH+B1tg5dU= + "]]}, "Charting`Private`Tag#2"]}}, {}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>]]& )[<| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 0.9743602044100654}, {-13.815510557964274`, + 3.912023005428146}}, "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {0, -13.815510557964243`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), + "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, {Log, Exp}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJw9mXk4Vd/3x83DzTzdYygpKbMUJWmdPpTKp4FPKlIiGiQi0qRQykdIlMxF +ppKhpEQ525xSKZRMIRH33HuTzOJ3f8/z3Z+/7rOe5z7nnL332u+11uut4ept +5y7Ax8dXzM/H9/+/W9x/fECD9uuqt3i4hV/4BkKWKie+Kq8ElVy+6Z3ne2HF +nfjkImUr0PQcE1SV7oU+Nc3gAK1t8N5VMuNZaQ/YvP38OEV5J0yY+KsanOwB +zYj5mXPqDlD3J4r1ZUUPzGw5eNtFaz/cS1uR7jDVDbvdxl/GKLvB401Hpx/E +dkO1pcqzquRDsDZoWojt1g1Giy0Kf6sfhd4di5bErO4Gsd6Q9F1aXhBBXQs/ ++OYrdBpetYxU9oNLeUvXpaR3wc07BFqy1x9CdrCWz+zrgi3SD9aWJ58ChU3t +0QaqXfCU02DCVT8DE04ObwMSOyEqT26ZrdYFyIXuKZ/7HXBXcfuVcOXLQNqc +S9aZaINzb29X7yq9DE8qFPNjatpgV2i3wOK9obDwoeDrzNg2kBj1uViWfAUc +As9vKljeBqebb56m1f+FwKOWPxP8voBtbJvHNq0oALNKqd2yrRAsVrT0X+Wb +8ELmmnNeVAvoWN8Ie3ziJgT8fXP2kXsLfAz1HmyvuwnfO27f/rO2BTQF9XIN +Tt2CW+MHU1zpZqj9k2HQ/DEOvBTKFUy3NYPESJyJekQCRPrWClerNUF851nL +4tlUsN8pcbemsxH2OKQV/BzOAF01L4nUqHrgtu9kpKtkwhdFxzsvdtbDlf1i +7v9YZoL6RU0fAdV6KDrorfL0ZiY4Coz84M95BVJeFqHnVmVBe6+yTVVVHdSE +fHEUCcyGV0GtKVz+WjB+KCuiJvYAolfsNdocVwnz5oKdrBcUwONBi7EzaiWw +xJbzo+raE+DyKe88Kfg3BLwzg/jfz8Hnen1vgHAJxXwi28XPT0GCecfTlOZa +6mmvZcP7tZWgPVNaaKv2gar0SFF1oKtB26zkZ2LCJ2pUy0I673gd6F+ZSLF8 +2E4JTObKqC55DYESReYbb3ZTpnMHnv6T3wBGoqw1Pku+UQ2XV76r1XkPHqvn +MpXyv1Pq0azJ+5xGsCwYz0vz/0Gxxr92tRh9hCLu7VIbJovKGCp7LRXVBAf8 +S21qZ9mU1Pxsbl9fM3hsabq12+8nFXG0+NBdzU8Q2eoncKF1mBojc/uOXPwM +YSE3k/TdRyg/4+onSlWtYAXJ0md6f1Nf86uvfdBog4Jg4QyDyDFq2qM5Sfts +O2zgJ3XOwwSlu1509lBZB7zZWa4x9W2Ssk7XOPyI2QVRBVYpoeXTVMB850U9 +Tl8hqy+WLRP5h9Kj7ed93toN0e1L/D4fmqPkpX+KVfPukatZ/Du5Cj4kRCUV +LE7ugav0UPd4PT86trLsi7hNLyw28uiwmhRAuZtd75aP9cL359Id/iZCaJSl +ItMf9w389w7nDHkJo+J/rAVvWvVBwtKrCwu/iKCLm0LvPOnvg2zRX+E3rMSQ +RueW1nuh3yHEqNhHuFIcrVl1+aaycT+Y2PzaHBc0DzkteD/J39QPdg5yxr8s +JdHo68jeE8EDEPOeuYTYI43ihfb72Wn+gM+SgmrTpTJIvqs4XaD6B7xwWZEe +2y6LhAODd5r5DMKZsvxITUN5xHd/f0Gv3BAobdU/cz1EAfkmFkTKVQ7Bcs+M +myVziujZTOLVyuohkHWZ8ooUVkIz+68E+9YNwabF4W4u85TQ1cX7/D82DMHh +pEv+IkwllPyQsS/m8xDYlBr+tjRQQjXl7npy7CFQ655UCHFSQsQ31TeyBAuE ++T44Fj5TQvs2iNZUqLDAuqs3asdLJZSe/avcZz4LslzbVnIqlZCeZ/3jD4tY +8P2nWpzGOyW0fvRU4g19FnxZrtlzqE8JeYh+PCpryYLpDUpxTjJM9FI3TEzW +iwWrGD2mvu5MZNrMfBtzggWfrMY0NngwUeH57BsKJ1nw4axclbw3E6W/rVUh +TrOAGXGj695pJgrzFtZXD2GB7IWZk5HXmMiuKMRWP44FoVrljv6FTDSwJjBx +czkLRgpv3BYfY6ID3ySc3yAWLNU2ZOtNMVHbteTFW6tYYHB22nXzLBM1dJQ9 +3PGKBc0vrtseFyHQ4wtT5bs/8uL+H+n7lQh0vjLgm3s/C9Y/sv78YSWBRj1E +cwZ+sKB0ZKb/3GoCecnf9jzKYsGSrV1R89cSyMXt6ajnTxZEhs6CtSWBNoqM +ivpN8b7f9c/W5bYEkt3iqxciRYPcOfuOTccIdLdCet4aWRrEjE9uT/cikJFZ +3uCwPA3uTwUHuD4E2rbsR5aLMg1dwhWjh04TKFxkv8Z6TRpMPMPuo8sEUr4w +PTehRYPEM0OHZ1cJlDMa31WoTUOKmblUWjiB6vqakhYa0pBzPmbs72gCCVVt +VuJbw3v/eNELgSQCxa4Z+P1sLQ1jK9/fjEwh0KLHl5u8gYZVxa9tGHcJRKZR +0V+taLBM9alozSBQ4EWTedR2GlSHtItr8ggkMf5x8JQdDVeF/vVqLyBQsteJ +Vwb2NMx7ad/e84hApftyQ1MdaXj3IXM1KibQmLkG3wV3GvzniX8rf0Gg0KLy +LpMjNNi1CijvKieQgq7TS7YHDR+f/27uoAi0QuX22X0naNDyVd9UUkmgyhsr +HRRP0pD2SPmJRDWB7MQ/rnrrz9uf1hjpHTUEOjEhMbr2HG89S6Zn0+sINOf9 +oOl3IA1PhedtevyKQFED1o8fBtHQsuvrUEE9geY7f492u0SDR+zKyqTXBHr4 +KcRb7QoNMyntOf5vCGS+beG25jAa1DNenrFoINDrmpd6Eddo8FNqlx7hxY4W +e+dZRdFgdGP7xri3BBp8MjE4HU3DnXt2E1rvCHRaL+5VUSwNxffX8GfyYrGM +FdnH4miodgtcK/OeQLdVP4QuTqChpOVx6BFerBXr5daeRMNwb1xJHi8uZkhY +xqbSULFw3qOvvNgq5L6GTRoNy0MJm1le3DS5kU8wg/e8tGFX8UYCufr0dZVm +0cAUHm3m48XDP4Jf+t6nYatRXmAf7/9BB9STdR7SkHi62ewJL5ZpfXG2N5+G +82d29Xvz4jvbHR0SH9GQEb/jAJMXG9SNr7J7QsMTrmLoA973l6+7pcR4RkOZ +g6GxNi/e+tR4tOI5DY+F92+I5a2/Q7+x6cwLGvg6U5J/8PbnDks2UJCi4WCn +iZ8pL3a9/49WZAUvH85n8fny9lfr8K33StU0jBz/qPX/+z+o+fn03Voa5ja8 +e/OIdz4Pe4lFOvW8fPHqbi7mnd+Ju45vit7QoCZ1eWk273zHVLvm1zXy8tXX +l7TlnX/pF/W6HU2853dRH8V5+RF42+VEWwsNbyWyNhbw8kdI/nslu42G7sJH +xxEvv+oatTwDOmlYb5XF0eXlX3jUEUX+bhqWVn6kL/HyU5ZBH1b4ToPCoNzc +RAmBmuv0ZVMHaHgQ8q1X8RmB4kO9S5cO0bBX7si3Bbz8XsA/ImHOpUHmT3PH +70IC9ZavfFo9TMP+k1ezK/IJlHn+lPO23zQIMEONzz4kkN7E5COXSd55bRg0 +zM8mELfYfC9rmgaRXZantDN5enQyUMh/lgbFnEbN6HQCmXH5docJsoHvVZC7 +Ou++buwXncmXZEPWRmVjyxsEEs/YnLlahg1/mf7xY0YRqMHl2rZKOTZ4WEQE +fuLpwT+dUmktTDaYDU/HKvD040Cz4sYZDTaE79RoifInkGbMbm6oJhu61Tb9 +9YynPwPbE+Kll7JhvUDk9/rjPL17o8ZapMeG+R6HI/LcCXSucnH0ZlM22CyT +SPXcSaB1Qe5mTavZoB+SN9O3nUAC67J7nczZIFZkqGhtQ6Cw5zomJ0g2aCUf +NH+1nkBxhcvb4rawIWr9054X+gQqTAXNvv1s+Lx4Q54bP4FGzo6IuriwYaJW +51X0NK9e7M5mdR5kQzy5tyJrlInKpKWLPh9hw+XJdO3oQSaqDepa/8aXtx/z +itp2NzJRu2ug8+MrbNBpYWQVJTDRAlhuafgvGxYY/PvsTAyvPqh+13p4jQ2J +Ytxl2rx60t/0Nyczmg0BeQKyVueZ6KeVWmBCIhvqsuz+OrqPiUSWliUG5bOh +R72s9JgqE20W9L7wp5AN6oVezdVyTBTxdZHr2SI2zIlpDokwmEg2Plzbr4QN +7nWmPzdMKCE1cceSw5VsGOppKMhvUkLGrImWbZ/Y8PTKwxrNK7x6W2AqO3+W +DVXXJCcOflFETx0npBr5OGBxwVz1fYMikhIplbgkyAF+gZbU+UgRIScLsUEx +DpgkeR92y1JEixhWc0/kOcAdMl8Q56OI+t1s2X9rc2CV4ObnXgKKaJ2MPGtO +lwNV/4qO8v9WQLfLmn88NuBATpnPiVPfFdAmuT19xEoOLBN4dEDglQJ6QO1v +71vHgZpLUxZ3rykgLxXP+vM7ObC1O7i/TEIB1dbo1xnu5oD96oCEjTPySN2H +W93rwAGrGQ+TfJY8aqzzRZuceXGVc7zca3lk7H/mmYIHB8QH0hQ0Q+XR+Psr +mQ8vcuBobp75xIgcCgpNC+54wAEzF5kVVgWySDJAdItLHgf2RgcoZyXKosQj +x+X6CziwRl5O+0OoLCqyMbvHfcKBXWmd6PxeWdQv+6FagOLAWFRm9ZSgLPIV +XBV5tYIDao/+OhDAlkFzv5PtJao54AZu8fmfZBDReqRfsZ4D6/Qr7SxzZJBN +Kp+YdhMHlK43tj+3lkGt1w815rVwQFRNThUZyCC34IZ441YOFF83lz+qKIMu +uMVrr+3kwHDbwMklVdKoUMfIZvsAB87snXh/blAKWajFyTcPcmDFVJnE/UIp +9Fpypn0PzQHFErKm+pQU+vazztN1mAObjVd+uzIniZSeOUf5T3PgiF3hdVF+ +SXQvp2bX1B/e+XOevAt6KYGMEnXVL/JxYbJpX6vuaQm0OXC8IEyYC2s4Mbf9 +B+ahT177TkuKcUHAcn9qUdI85HqgioxhcIG56o+K89Z56Lzl9Q9J0lyQj9Ap +/JDNQOImowkL5bjQayFl2L6dgeK09rpmKnBhRja3u2FEHC0iKnR0mFyQqqxh +isSKowLxpSP5ylzwl8s9VKInjtZOR5StUONCgIfj7EdKDL2if10qWcAF7weG +1t1bxJB9156/LTS4YPtczefVG1HU+75coXIxF9o31e52AFHkXaHZuVGLC5v6 +O/6Y3xVBM4/DM98s48Itn85dS/uEUVjGz+M7dLnQnXF80GxYCCnG7TJt0efC +WynRHun5gkirN+rkKC8+ULPswpJ6gf/i4o3HirU3Cfz3/2XspakHfvP/9zxr +y4X9vYv4/nvfdeVrX8LcZyn8PUObPYPXj8xQ+HsbSx4k6pZNU3g9ra6trUU5 +UxRe7+7icRndR5MU3g8pjcEG3XcTFN4v632Mv0Knxym8n9WF+5RtTMcpvN/+ +wLrueH6Mwufh4SeYo9gwSuHz2nri0oCZ5iiFz1PgQlv94OAI9d95j4eITNiP +UDgfEhwiLxyo+0XhfNHSUZs+XDJM4XxKnawJtlw1TOF8W3L/oAP9/CeF89FC +yKDU9A2bwvnadm79CgFlmsL5nLBrvHuHNYvC+d53PF9v1dkhCt8HmXw/l/3e +AxS+Lwttpzdu5uun8H369UPxR+3W7xS+b6nsOO6J1D4K30cZJCB2araHwvd1 +/0LFrWnl3RS+zxfVXPK9FnVT+L63F3CPmch+pbAeWL/XKZfybqOwXrClew41 +mbZSWE+Ykkyf4IWfKaw3i4lj4wOSn6gXOjdjrW7x9Ky1blXF29eUY2Bk8ulw +DrQmdW47/raSytFipMmFcsBjpUtYeN9Lase5TfecQzhw6/1hvT6VUgrr2Q4z +1czrTk8prHe3/zE913IsHrAePrbYaPPKuwiwXm6sGeFmfH8OWE+/rEX8ggov +AeutYeu+epX5CLAeX98WY79TtwGwXr/d45jkzJvrsZ677E9d27zjI2C9n3Tg +JDyc+wi4HjSGinwde9gEuF6s2WY0SPS2Aq4nnbSN/Im97YDrjcoqzRDH6A7A +9SjrtE4Bd1kn4Hp1e0SsagvqBFzPODkJIfJTvYDr3ejqJDGRzj7A9fBorpS2 +Qs13wPUyyExL+KtpP+B6eqZKfm61dz/gequ2sXXnqux+wPX8XISBpvPkIOB6 +b7+dZVF/mQW4Hzii2rPtpSUNuF/oawrSTOT1zbifcLyOQhfz+kbcb1QvV3H1 +4PVZuJ8xZgVxRt7xdOV//dHswsY58+ifgPun50b1aK7nJ+D+atRkln89Yxhw +/7Ws+YT1mgXDgPsz0ZfrkpWNhwH3k25fwj/9cv0FuH8NuZ/8tfTXL8D9b2Kp +yfzU1SOA++WiQFsDhb0jgPvp35MivUaeI4D77YXSu2LMAkcAzx/uQlVvYm79 +BjwP7WvevktWZRTwPGVfsl0szHEU8Lxlnx9taX92FPA8NheR0bIwfBTwvJbi +vC6qLWEU8PxYGrk64WDEGOB5VFd7pWna3BjgebWuwtn786pxwPOsxeVa26R/ +xgHPu2lLg94ddhkHPA/7KVmoa3mPA563X5cpuKEVE4Dn8d4Z/rusGxOA5/X5 +D75fFkUTgOf5NV/Mqls/TQCe9w2tGj2NeycA84CZojOGIewJwLzgQsQlT4+U +ScA8gTOiOD0yPAmYN7RPO108qjoFmEeY+MzVDRtOAeYVFzekBmivmQLMM6Ke +yA/usZoCzE+eX49Zcfr6NGC+oqkytaRn2Qxg/jKpKxjd6jsDmM/U6sWE69+e +AcxvvhDpew3vzwDmO1v6/l2t9XgGMP/5M9LkpVQ2A881rqae9RiC+h/Kknwf +/oDT919Z+euGYHkOV3bUbhYM6pblvlo2BDnaCfG9N2aBWWKZ1zJ/CCwi7drb +C2chwsjWwIkYAhdzuzdmaBZq6c9edxSHIPuAjV5P3Sxg/vTHmRN66f0sYD6F +8iNvbTDjIzG/Wnhs583QGT4S8y2h86Y7fJP4Scy/IGX3xDs9ARLzsWunRh8s +fC5AYn42UHOkrMNAkMR87Wus3eGYdEES87cbTiuf8QkLkZjPtX+R+XPUVYjE +/M4h0eZ0NiVEYr636J5auqaMMIn5nxPDqsLaRZjEfHDY5qGsdIEwifmhi43j +G+4vYRLzxeZ3opfGVomQmD8KPbcruuwnQmI+uXPz7rywAhES80u+VuNmLkuE +xHxz1oCltFVDlMT8M8G2RHD1HlES89F7xu7KnEhREvNTm9+Fk7kvRUnMV5Nq +GQ1iXFES89eEOr/z4qpiJOazbVPtSi83iZGY3x6/Mnv7s78Yifmu8ApO1V+p +YiTmvwtf64d5vBIjMR82k/R4bcMRIzE/dsgICZBRECcxX86VrbbNNBcnMX8u +CkqJ8HEWJzGf7r42lmMYKk5ifp277oqncq44ifm29VcNs5q34iTm3/8WXY5t ++iVOYj4u0VZx2FyRQWI+35Euz9AzY5CY36s2vV1ivJVBYr7fIDk2E7ubQWL+ +/xs9NR5wYpDYH6htTj+a5MwgsX+wZmZ90MQBBon9hUdnznzKdmGQ2H/Q3zpW +stuVQWJ/QsjIVqLOk0Fi/8LfftDG0pf3/v/5Gw1hxGfCn0Fi/4NIt8oMP8Ug +sT/ikHD4woYABon9E/+RuBL+0wwS+ysOje8yF1xgkNh/YcZ1tDoHM0jszyzW +1bwle4lBYv/G85FwDJcXY3+HddHC9cNlBon9n5UbGvZcDWOQ//lDCdotV8IZ +JPaPJJfrtsdcY5DYX7qzdOnPnAgGif2nFwzbvuko3v79z59KEg7eB9EMEvtX +9kY/fZNuMEjsb+VW+5uqxDJI7H9ZtJ20eX+TQWJ/zOV+0mF2HG89//PP6u9L +1gYnMcj/A3bmnaA= + "]]}}]}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVlXk41PsXx+3G92YnxhIi2ZIrEaXz6SrCTVGyZIkWJUUupc0WJXuSPZW4 +KYVQkct3LNFiK2QiZJpsM/MdTGOd+Pn9cZ7zvP44z/t93s95nqPhG+h0QoCP +j69mtf7f7U6Mf6JMOO98/0S8JSoXQ0JWSkHDZBPweZLrx8rA0Jb7WXmV5N1g +2f+PfWc6hugqWlEXtR2gpDnUVOkOhuzb+yrukQ+Bs9FUcO5tDGklqhatqLlB +rnCUJ6RiiGd3LNNH2wv+wxzpS8kYcjk+V5dGPg73N26cKk7EULOV0uumvJMg +/qf+QFoChow0Lct/qZ0GWrZu7414DJFo0QWHtc+ByZ4215txGBrcfNMqiRwC +jAhL308xGEq/r0jZcCQUAl4Ip7GvY8hO8umO+rwLoKmvdVd6lV8RbVvZapdA +IeMb1TsKQ8nPZXQctcPBraujaF04hh7I778RT46BUE5GNX8Yhq60ZzYffhMD +btl+4XsuYuhw7HcBzSOxoFiwuyj+AobWcM9H1ObdgLY4xT7FUAyF9aSHMdVu +QajzhL1VMIYc7/T7O2gng5CR45rWAAxFkSo33iKnw6Z9s9UuvhjSs7kdVxGU +Di8uXfry2AdDn2MDJwZa08GCtyty/uhqfoIGJYYX7kJLT8HpXG8MtfwuNOz5 +nAG/KK+MxzxW9TkZW9USs6FNfJZ3xwVDWYOXrV4u54Nyd/sG430YcnV7WDY1 +XQjfCmQxA3MM/bES5WGzrgzW9Df4bZfH0AZHYrwpoQpuVcbc6Z4RQxc7zCHr +Vw3YDGuYv20XQwpV0kP8/DiU7LwRQC4RQ69oVm2dOxrhe8Js8eZYMdTof0/Z +jdkMlZH3Es97iyGutqXk87OtUCLd7Fi0XQwJLJRIKW/4AG6F0Rel5MSQ6crR +VwdL28Bc3P+DPUFCbTEmHS16naD+YVOc/zsSUktlLDwhukB4C9H0Vz4JMeaG +h3qNPsPZG8uZfaEkVDhZ+0EiuRv6FwfW1u0lIQnVx2w6vQeyW0OuiimTUOLp +lycfaH2B3BasjcQWRbOohH4qog/sf5UvlNSJohDj5qq1TVR4ZHyCTCSJouHS +5oRPGv2Q7VgtuM1VFC359+TqXh6AZUPG2n0aokh/l+jyydpvwEc17mEzRJBN +gYbfC4UhOGTr8jyuTARdVPVeP+IxDEI1TpUxISLIgOn8R9++79DTIXp91kwE +yUpOkZoXv4OPvftH9owwEsJzyzTzRmDa/pm0ZJkwOmNS+1XMngYe2O4GGx9h +VGLr+6B+lgbrH6kUaEkJIy5DSWo04we45diHPcaF0MuDNoLpu+kw8FXq92lf +IRSxN/Z+1SgdbnuYvOYTFkIag3bUR7E/YfiOk19agSCyMItJJxuPwtjbU7Xf +DAWRx7rOBf7uUUi4wH2qXiOAuB+SaEFRYwD3XOY7DARQlpBXiJPWOAhdNT0Q +nMuPZIdeFgg0j4P6mUPpsTw+JHwt6pD5+QmglCbd3WPOh/ieeJXRZCbhtzcR +e71zGVqYfefuy0/C46P2BiOty5Bo5GjooTgJPtudPppTlkGh2up5r+okWCY5 +DQyUL4Nhq07JO51JKNbNzqLdXgaPnzP/lu6chD+L2dJcp2Wo0biZf9l/Et6P +k8X5Pv2G4JyyJJnGVT1O97m1tTx4zcu52dg8CXb0W9u0K3jA87oRFdw6CV8V +C45sfsKDm5qeoZ/bJqHFIC1+UyYP8p5hnml9k7CgL5hKDebB2/oTBjKsSdBS +WtwwosMDxR/KH6UVGVCTkrYlLGUJ6vTjSNLnGJBcJTvhunsRTHsU2tOCGBCx +J/+irsUilF99fFvuHwZsPb/SOr15EQraW5QUwxgwsOQRcVp5EeIChTepRTOA +4MgvcaYXwKky2nFTBgPCE68H+N9bgDGLazm29QzgVV7aHM2ah6M/1nh/pDBg +8+6uAGPaPPQn5Gnua2KAxVfzZuqXeWj7VvvswDsGqD79GSNKmYeK8MV6l88M +oPH4HzBuz8PVxos/Towy4EOt3HHKlnmQtgs2iJZgQshaSzXtwDl40CD5h4U0 +Ex5ujOzw85kDI/PnE9OyTLCMaXHMPTgHDjrj//qQmdDa4B3YZzYH8SJeGru0 +mKCva2L6cGUWhJps1/JZMOFN0rbsY4mzMLtdgy/8BBPuee9M7s/mQmxl/dDW +U0xYSSzsVY/ngpy+Rx3LnwnOpalWzpe5sEUp87Jn0CpX7yfFuXMhaH4Nd8cV +Jnj27D8srcSFiar5iaVUJpwQavqYdvcXfNvU1X3pPyaoSx5OM7/GgfsM6WuC +OBN+LYjQjAI44PvkoHZSAxMqrzkayh3hwIRWX9iDFibkvNmqmr+NA7PKQ6qt +XUyIfpI3/GZmBqQxpp/cTyYc/xr/ZcZ3BqxHRXml4iwQrduZRzaeBrFC26Jt +UizQ6QmysVg3DW0+CQ6NMizgbl3m34VNw8FBiYe9CiyoMXpPWRmZgqM98tY8 +DRYsq3etbE+dgiuNmqm2piwwZkQSnA42lOeDFt2LBc1/Kvn6LzOBc5kj6uPD +AvcUSqzmqi9Tl8eMwWMsoHdHauU8ZEKtpGRl3ykWnFIecaizYkJL5NCuj8Es +cN7PsHwfs3pXvte8K26w4EqioZb3wgSIbKzNiSxlgYo19ZDZ41GwFQwM/13O +gktNsivbAkchcXi97+VKFkSaawsPm46CdFa8bkg1C06XSOjKvf0JKmLu1X6N +q/ttyyWJDNJXfc/3OnxhAVGcHS27SAPPMlNp1WUWZHJITXaUQXjlPi/RxUfA +v2F6ZWydQZAQebPmuiABSmZa0e6p34DiYUmaIBEwyLSXDToyAOux3StVsgRY +OBhNKNKoMHrckfW3LgFdsSLDs8+6YaeULGNFn4AFNyL72cpnyKztGa8wJMDH +K39Hz4HPsFfGla5oQkC7q3uu9+pfeIp7DdB3EpDikOZ8SL8NzikFvL96iIDN +VM/3SqoUaHm7qXWzCwFfd1D4BeXqQO08u5nmRoD1Ww678GcNdLUGU/Z6E1Bh +aW3/LrASjEMvvZbzJyDzoOmV3jNZMNd5o+hZBAEHzJWLUjxe4Qeu7H3kHU3A +3U4/A7rSG7xYG3soE0uAv4lPXDy9Dne/lpQXFk8ANXfQ4Wx7I/6fXvqd3XcJ +cKa2mjW0f8AjYx9GfXtKgKbimbkx8S+4+EVRO5/nBCiIK5yPUu/Dc06dlRkt +I4AlOXKy25SKV9qbP2JXEWDTqVcvEdiPj0p/ahbACRgoY5/ZKj2MBwuaJd1s +ICBCxaf03Prv+MqvPOc1zQR4qcvve1j/HVeknhqVf0+AFEWAdGF5BLfP5yPp +dhOQz8pgB+XTcWrKya7nvQTMjMuPt+z7iR+PassyphKg7rhkbcs3iocfz9Ld +Mbg6Xxri4xU4hpfrGdnvHyOAfrbUwOzyJG6pkiHbM0FA9uG57wdsGPgHcd6A +K5OA/iu7tgiQmfiPqdYA32kCLIUM35h+ZOFrX3snhy4RsOHJMTdmzRT+qPjt +4cXfq34W3kZZmU3jRjn6ahF8bNDWU1nyq57Gba/NlcUJsyHbLSn8aOsM/uWc +Z5g4iQ0Cc9Ei884c3PdoE0rDVjm8//3EBAe/apXyKVeSDfuCro+Za3Fxsa3c +bHUZNviHCBbLt3HxDO0jvkVybAgFRor71Vl8vWKDnp4CG5rLPcn2pnN4mdhG +TimZDTae2F+xS3P4jqXE2i0qbJDQmGjT75jH3zFnrlevY4PLyzkp/RcLuPOQ +69+WGmyg+lKplcWLOK2zXq5Rkw1d1U9z9GuX8MAGrUFrbTZM2gZE7eLwcF5F +fNFHHTakkBO+xp1YxuMKp84e0F/Vs1Ifpa3no8hnHDbt3cQGHdbG/KO/+Cna +tOR/uKv80vrMS929ApT/AR7Gumk= + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVlXk4Vdsfxg2ZhWM4ZwtJpsiUUkn61qWQRle6KEU0uGWKpCgUlSkhM0WG +BvMc5SwzUeaSKY7Z2eccriFjfvv3x37283me/ay91vu+6/3K2TmbOXCwsbGt +Ec//38cdJtvR1LlDtccd7YMejMAmgy0uvyT3wJYPbKvm3jTY/So2sVDSEBRu +LnJKCdNgVFrBz1PpFLTabU4rLR8G068/CpIkzWFJx0NK4/YwKITIpG/IWkLD +ehj95+5hWDt+JcZWyQbepOxOtVwZgvP2vz9HSNpDgfGN1feRQ1BrsKW0JvEq +HPRd3cSwHwItef28edkbQDuzXTFi/xDw0vxTLZScIIQaHHSl+RcMaD4xCJV0 +h0fZyoeSUgch6hWGFK09wP8MfdfaxUE4Lvz+YGXiHRA37gvXkBqEEmaLDkvW +C5YuWH71jB+AsGzRHWeVHsAHGFpxfdcPryVOBwZJPobDpvcTVZd64f7XmFqL +8sdQVCWRE1HXCxYBQxzy1gGwLYvzS3pkLwguuD6sSAwESx9v49xdvXC3K+ou +LvsMfG4YzMS5/4Szkb2Op5TCAHSrhc6TesCPt1D5mWQUfBIJvpQd1g2qRi+e +FrhEgeeJqD/5Dt3QEeA81dcQBWP9MTHrB7tBgVPtg8adl/Dy95UkO7wL6tfT +NLo6osFJvFJ876kuEJyL1pENiYNQt3quWulOiB24Z1D8JxnOmQu+rhtog38s +U3JnZtNgp7STYHJYE7D6zPlTt6TDTwmrV5/MmyDQhtfhb4N0kH2o4Moh1QSF +V5y3lESlgxXH3CT720YQctIPuL8vA/pokqY1NQ1Q5//TitsnExp9e5JY7PWg +nUXiluZ9D+G7rbVMoqtBYMPvgtHWXCiY0l/0ki4DxbPMyZrgImCxSZrf5jwB +nt90IXb+I7g+b6J5cpVRKUWkQXZ2KsTp9ZckddVTS2gGLa0Hq0FlrTzvrHQ7 +tdoxScoSrwUV3bKZ+Ljv1AUlfeHsWw2gHriUZJDVR+VY/iAipfgFfAQL9Y5F +DVH3blwu+TunBbR46AdcFUeoLY/3fKtXbQXH/Rvp5Jwxqmw4ffkdsw0Mcn9n +p3hMUum/fw12a3VAISum3JRCp6ZNV3wRCuuEyx7lpvV/GFQhmUzW6GgXOB7v +fHnefYYacqP46muF7xDa487xoGeWunj4w+j1hz/gqX9UgrrDHNVdu7aIXNMD +hpAo7EWbp/7KqQ1ul+uFXD+uNI3QReqqY1eCyr0+OMp+WNUblqg7j/D8uVrR +D83mlXIrI8tUo1S5a/mUQQjLNUwKqFylespc2j584RdkjEYyRELXqWr4OYEf +J4cgvE/R/cfVDaqY8AxvLXGP7HRjv4lWsaFN1IRc+cRheIJPD/1uYkf/7qn4 +yWdKA3ktx37DZQ70wcTudeUiDcY+Cvd76GxCC/QtIuPRI+BhPft22okLFf9t +xBllOApxyk+25f3kRg+NA14VjY9CJs9/QS8MeZHcwPGeNwFj4K9V7MpVzYcO +7HscJak9Djqm/5lE+wqgC1tbl9k7x8HMUlT7P4PNaOFLKM3FbwIiWimK2D/C +KHaTjbuZwiT82MwpvVougsQGi1M5aifhk+3u1Mg+EuLy8TPXdZ0Cr4qcUAVN +McT2ziaXJjoN5JPqXs/9xZFbfG6oaPU07LqZFlW2IYFK1+KfVNdOA8l2xSmU +i4zWbAL93BqmwVg+yN5WgIyeyF/06GiZhmsJjzy4KWSUmMV/MeLHNJiWa84b +aJBRXaWDmihjGqSHlsX9L5ARNiLVTMLowMXWbpVXSkYXj/LUVW2hg9EgLezM +ZzJKzfyv0lWGDhl2vXuY1WSkdrOpoH07HcZmpKPlvpHRkYU78S/U6fBzl8Lw +1VEycuTpuEEyoMPqUXL0BREK+rzzKS/JiQ77+If3ujlQ0N4uytcIFzp8N1yU +O+pIQXnemS/Eb9Oh/Z5ojZgzBaV+rd+C3aUDJeTF4Ju7FPTUmUtd1p8OpAdr +t0ODKcis0P+sejQdApQqrTzyKGjigE+8SSUd5vJexPAtUtDlEcFLzYgOyiqa +DLUVCuoNTpQ/WUMHjXurdiZ/KKilvyLrTCMduj49P3uLG0MFD1Yqz3cQPD6Z +akPGkHe154jDOB2O5Bv9aN+DoQVHnrcTk3Qon1sbv78fQ05iMTdv0OmgeHIw +TOYghmztSxZuztAhNOAPGBlg6Bj3Ao/7CrF/u/WTu85iiHTcTc1fCAfR++f6 +jf/F0OsqYYEDJBx4tW+fTnXCkJZu9tSsGA4OJZwTLFcMndoxmWEricMgV9XC +1bsYCuK2kTuigIPOzafv0GMMST5Y3VhSwkGwVNOy9AmG3i7EDuap4JCkqyeU +EoShhtHOhG2aOLz1jlg8EY6hTTUmZLYDxP9/F37iSMBQ5IGJ+dKDOCzuaY0K +TcLQ9oLHnc6Aw77iL6b8rzF0OIUa/ssQB4Nk16qeNAz5PNQRoJ7GQWpapbgu +G0OCvzum7pjh8GTTM6e+XAwlOrk0apzDQeDzub7hfAyVX/wQkGyFw7f29P2o +GEOLenJsDxxw8BDgG6n8hKGAwspBnes4mPVwSFpUYkh854XPDEccOj7Od/VT +MbR7S8y9iy44KLnJGpdVY6j6xR5Lids4pORLFgnWYsiMr2PfVw9Cn54I4TN1 +GHJZElw4eJ84j+Lqn9QGDG04v++c98GhhEvAuKARQ2ETRgVZvjh0W/yazm3C +kMylsXD7Rzg4Ru6pTviCoazv/s7SgTisJfW99WjGkN6pbae6nuIgm/bZS78F +Q1/qPquFBOPgTu4TniPYSt9awDAMB60Xp49Ff8XQVNHS1Go4Dq/emC0pfcPQ +XbXoxsJIHIrfHWBPJ5g3bXfmv9E41Nr7HBRpxVCMVHuAfBwOZd0FAdcJVop0 +su9LwGGWFl2WTXAxv6BBZDIOVdsE8n8RbOj/Ts40BYddAZjpH4I7l4+xcaYR +66XM2vG1YcjOdXSwPAMHCtdCFxvBs5N+n93e4XBSK9tnlPje97JsomoWDvF3 +u3SLCBbp+XSPloODt5fFuDPBr05bWcbn45AWe+YyhWCNht/7zIpwKGJJBLwn +9l956CWZvxSHCktNbRWCT5ZoL1R9xKGAy+ZoJHH+fvW2Tq9POLANJCVOEvq8 +opN8OKk4XBnQcd9LsN27v5VCq4g8eGewuRH6Kl172UquxWHuVofS//WfUvhx +93U9DhtHvzXnE/5k0bDtqk1EXpyGuooJ/1xeWzUXNuMgLfRYOZPwd1FqUKah +jcirm9vhs4T/5T9lG850EusPUjv4iHz4xNi69Hbj8FUw41gukZ9NYmPVjF4c +hvLybyEiXw1tSjc9B3A4YpjB3EnkLyjsugT7EA7K1R34IyKfJH78mvgYDuJT +ohtLZRjqalAnJU/g8N5/hCZRiqHYAOdy5WkcrEWvj2wl8r2VfU5Qj4WDyHpX +/3wehmiVe0pqZ3Gwuf0ksyoHQ+nedy6dmseBgxKgfS8LQ2pLy/m2y4RfR6c0 +czIxxCrWs6av4sBtYXBHJZ3oo9s+mzz+4CDxtk0hPBVDuiy28085GcDW6Osg +S9zXY+M8azmbGZBxTFLb4AWG+NJM0veLMOCvvevulDAMtdgGn6oWZYCjfojP +d6IP/h4QSummMEB3djVSnOiPy10Sx9bkGBBkLtcd5oEhhYjzrAAFBgxJG/9V +SvTPxOm4WGFlBhzhCB1rukX0XbM0fbsaA2Qcr4VkO2DofrV8uMleBpjuEEy+ +aY6hQ74Oup37GaDun702ehpDHIcyaRf0GMBbqClhZIqhpx9VdVwOM0Ap8Ype +4xEMReft6o0+zoCwIyXDn9QxlJcMCqM2DPghfzTbnh1Dc/fmeGxtGbBUr9oY +vkrMi/OZ9IErDIg9bF2VsUBBFcLChT+uM+DxcqpK+BQF1fsOHml2I/QQKOw9 +30ZBfXY+lwoCGaDazZ9RGEdBW2GXgeYzBmzVeFbqFUHMB6kxpaxgBsTzsnao +EPNkvPMEMz2cAZ7ZHCRDbwqaMZT2iYtnQEOG2V83LlIQt3JFvG8OA4ZlK8r/ +laIgE07nB+t5DJDNc+qqFaWgkF/b7e4VMmCDV2Gam5+CSLFBKu5lDHBo2Dtz +dImMpPmsyq5VM2B6uCU3p5OMtOlL3ae+M6AkMKtOIZCYt7l7STJ/GFATvHnp +yk8JVGK1JNTGxgT9B3pSrS0SSIi7XPARJxPYObqTZZAEQhf0ead4maCT4HzN +PkMCbec33CgSYwJrWm9rtKsEGrc/yzihwoR9nCYfnTgk0CERMfrGTibUPONZ +YJ8XRzEVXZMFGkx4W+HqcmdMHBmL/jOK7WHCDo78yxyN4ug91aZv9BAT6h6t +6L8OFkdOW242eZsz4eSQ33iFoDiqr1Nv0DzPhHP7PeOOrYkhWVdWLc2SCYZr +jjo5dDHU1uCGjC8RXHMpVvSLGNL28CoVd2QC30SKuEKAGPrdGpie9ZAJNz5k +6y3NiSLfgBS//vdM0LUV2W2YS0KbPXmO22YzwTrcUzIjnoTir98SHc9lwgEx +UZX2ABIqNNV9wypigkXKAPK2JqFxUnstB5UJi2HptSucJOTGuS/0SRUTpPP/ +uuzJEEEb84nnBGuZYA/2sTnfRRDWc31cookJh9SrzQzeiiDTZDZelU4mkJ+3 +9X00EkE9z6+2ZXczgUdaVAppiCB7v5ZY7R4mFD/XE7shIYIe2MeqHBxgwmzv +xG3FGmGUp6plenqCCV7WS633p4SQvnS0WNcUE3avVAi+yxNCXzav9f2DM0Gi +7HBd7R0hNDLTcNNulgkm2ntGAjc2I3LppTCPVSZcN8t7zsO+Gb15W2exsk74 +zyz65vtZEGnF75R9yMaC5c6LPTvvCiITn9+5T7lYcIAZEeMxIYC+O128u5mX +BRwGNsmFCQLI7nLN4Qh+FlD2rW+5dFIAeRs8b08QZoFYiGpeeyY/4tNZiNsm +ygKavpBm32l+FK1kbZcuzoI10oehljk+tB2rUlWlsECouo7CHcmHcvmU53Ik +WeAh+uFqmRofOrgaUrFbmgWejlZ/Oqi8qBH/71HZVhY4v9c0GjrOi84N/nNC +X44FZz9KuzY28yBaa6V4tTwL+ozrz1sCD3KuUhg4psQC4/H+db3X3GitICi9 +eQcLXroOWCiPcqGnaTO3zuxkwVDarSnd2U1IItpib7c6C74K8QwLy3AiJVrY +7QWCL9fteKDYxIH+B1tg5dU= + "]]}, "Charting`Private`Tag#2"]}}, {}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>], + ImageSizeCache->{{4.503599627370496*^15, -4.503599627370496*^15}, { + 4.503599627370496*^15, -4.503599627370496*^15}}]}, + Annotation[{ + GraphicsComplex[CompressedData[" +1:eJx12Hk0VWvcwHHzcDJPZxtKSsosRUn67S6Vchu4qUiJaJCIkiaFUq6QkEyp +hJQM0SDKfswpRaFkCok4+5yTZBbvuevt6Y93rdc/Z1mc7ez9PPu3P18art52 +7gJ8fHzF/Hx8/71ucP/2DvXbr6q5J1kVlMQgg8QKFv6rHAv6G0cKt7syyB0O +t3O/D6ZBW6o8Q8+MQc6aCXJaNycXJFpK95srMsgFtpxv5Zcfwb8FF2IafoiT +/m/NIP7nM1j3WcOs8o04yXwk28HPT0HWqoueylni5JNuy9q6lWXQeXkk0zBE +nCzzuKHqQFdAQeCNcB9ncXJYy0I6+3A1ZMlW2Kabi5MC41kyqgtegUNasL+M +gjhpOrPnyT85tWAm6fHKhiNG1l5Y+rZKpw7mvtIP9XgpRqpHscbvcepBeAmn +/K8UMZI1+rmjyeg9HL44ff2jnxiZNlD8SiqyAVomWpVeWIuRUrPvcnt6GiGh ++tgZcVUxMvzg4323ND9AUhWjVowrSo6QWT0Hzn0Em59541kvRMljxhWPlMqb +4Y6xuzInQpT8nFNx+Z1GCyTYFgou3yFKTno0JmmfaoVpA5bSRg1RUne16PS+ +4jbgazZu5LJEyHWpGvsfMjtg6/rt2aG5IqT/bOd5XU6fQeiZXcGFYyKkHm0/ +6+PGTmh8K3p+ZJkIKS/9XaxiohNcbBxfc38Ik0JUUu785C4YtHkgK50rTB5a +WvxJ3KYbnBhWpetchMms9a63Ska6Yd4dtVRNGWFymKUi0xv3BRwSbU7cpYTI +x/+sE4y16oHWTzK/DroKkeesQ24+6u2Bq05Ln/IJC5Ea7Rua74R8hc8xdvuj +UwXJFcsuxCob90Jf5YHiNgNB0mlO3Th/Qy9cPj58f+4zAXL4VUT3kaA+gBvb +x97qCZDxQruP2Wl+A6Ezplt8k/hJ+Y7HqQIV32Duoa2xIVN8pHBA0FYzn35A +ORHX1pjxkXz3dud2yw3AL2dOyPm6afBNzI2QK+N9P9TgpVQ8BS90Q8VkvVgQ ++Ui+f4fVBMhu8NULlqLhmJKFupb3KLTp1zecfE7DXOlt0WYBQ5CXApo9u9lQ +sVjF1WOahl25prKzp9lwfUisfANqh8CQ20Ft9zkwnzg02if5geL7P1+3FDdf +DFO+AH5DcYX8JxhkfPspy8fTKaDa8GaB8UYG+UzjUsopjwGo+aYsyffuFxBf +VF/LEix4diV6yYkrk9C3IiBxfQkLpgpOGgazx2DEXIPvrDsNN5xXRbYkDMPa +XtGpHEk2iL5YlaxsPAgiC4sTA3PYoLa2eeuyu73gpeJZc2YrBwybd9WozEag +9NQ50m+SAwvu7XWgn32n2g0vWUYoHwPWOQvXdxcYpMRQnIl6eALUSo5MxWxn +kE5ff2TkrBqAxZlc2WG7aagscdeTYw+ApsrEgq5FU2BXEGyrH8eCs+HnPT1u +jINQ+XolvhU0FEUsT9gbPgKyDHq/wlca3D6Fffjh+gNaXQOc8y+y4XS4gabz +eD/0utmy/9bmQH2IyOeRBw1gk8Inpt3AgRR2HPdISg9lG9PisUkrEoSMbCWq +PRnkmTL/L+69LHhVrOCGloxB/6Ox/skoGtyFyl9HX/sJp8vmR603ZYMxK5Az +9JbLex1r2vSBDZzMhGD5iW4YrbuY/uAcB7aYqaZfcXpCddeVKJTN50J94f1E +3eJJarvb6ItoZTe4uXDh98xwBln1K82g8X0c/ERPjPucGKRB9aKsl4sGIFM7 +Ib776jQkP2Dsiv44AOO6glHNvlMQ6i2srx7MAs6Q4uTQ4DiEiezWWK1Jg672 +UtPbMyMwotoxu7qehuB7yZ+LfvyAqsCO1a992WC/mWVRc4EF8xhWM4/kObBi +k1E/0d0MvbLvKgQoDrTmcg+ZyH6mTjTGnqDV/wU/+34bS18GmX92omT7exZ0 +T/HfYl0dgyNjEsMrT/P2aePmbbIqw7CnUXHtlAYbpufWz5hHfQc1ccfC/WVs +GF6eJCbS3gPGfiefKnhw4Po/pqebDsXDGcsr75KkubDxyPk+M81hKjJbbpGt +1llwqH+bPucsg7xP7W7tWcWBK5ui7bfq1kKejpHN5j4O9BzO0Vt2aoB6rhMb +Y3WNA/bN1ctK37z6fX0//76+LMrmzcf8G8pbwd7ou2/SVQapKaiXZXD8GlQ1 +ph5McmaQzELL7KbZA2ARYdfamjcNl+bv8ntfOwBVetFh+tenIPVNlQpxggWt +k07nDqpOwKZF3zJclGmoLnX2/rhsFPo1P564VUVDYpHJ7JTlQ1AsLV3w8QAb +Dqh2bXphSQNyshDrF+NAO20jf2RnKxTYmN3hPuLAujqdEinvFkpi2OdccfJF +qA0lPhJ+DLK2rfjBlpcsmH3/6wVRNAZLVK6f2nWEBvvCzWKhjsPwT7vU7SYm +G54Z1aCZru8gGx+mfayQDQezpLQVKr9CfbUvsnbmQL7FWpuX3gWwPmA0N1SY +CwkOEWf3VP+gnnBqTbjqJ4EZ19bsHMQgreV29BBLOfBmh2OSM++5c9YtXntl +Owdkco657PbuoxwDIpJPhHGgOal90+E3ZZRi3DbTJn0uLGIvTNnzkx+JdQen +btPygqVrandcCmWQRPOBXsUa3vuRgNjx6S4qV3zhUI4yF9btYvwVMjlKffle +7ek6yAELIYMi09fs3+tV93u9WqklN+OTC5StwKLlqE1dLIN8H+Ld31odCyum +VgeO7WGQ4Ua2Bk7EALiY2702Q9MwtftikG/1AHwiUnca3puCvDN3ryocZYGJ +z0z1oOEEGJll9w/K02Bxoco26Z9RcL33j1ZEKQ0FAbYGCjuHwHT7XVb7Xjb0 +NARqJt6mQUqkSOK8IAdUlmkGO0a1QeKBw3K9uRxgS3ftazBtpraFdArM3xkC +RKpVethxBtlyOXn+xnIWrPhkVtH8YQwUdJ1esD1465UTZWl/ahhqXS5vKpPj +7X+Taf7VjEEI/zzP9VQBGwLNtIQ/m/aCug+3otuBA2srh7hpX5+BUaKu+jk+ +LmjpqE3uLxykNkjfX1mSfBzm62pekz3PIK8XN37LN+CAy+6UlY1b3oNbUG28 +cTMH5tpOrl3P10tlajFuy4VwwGOpS2hYzwtqKj8s/fUiLlxRvvwp1H2aMppv +kfdT/SB0J2g3XQxjkDM/k+0lKjiwe67ixtslnVSc1k7XdAUu+AHriuOZEeqV +5FTrDpoDLadXLxFQpqmpDXuvu2jthucM257JSAbpuqecjGZwQeBsS01//xD1 +kv5xvnAOF7Y/HpXRfThOCVmqHPmsvBRc7iXtZ8cxSJ11V0Pzj8TCw5MnP9x1 +4c07+qPXTcUBuLvHRq+rehqeTiVeKqsYgA09/y7Xyp8C00bmm+gjLDi3JsVf +e8UE3CqVnrVClobbCwPf7ncZhZss2QBBioaf4yLdRp5DMHRqSNTFhQ2OV1DI +fN7ce+I4JlXPx4GMEzq53EXtIOkvusElmwNMSaZP0NyP1Ok31yu2FV0Ah4T9 +Z9f4M8g9XyScXyMWGFrVexp3j0FIQUmHyQEaZsLTmuaGDYN42vr05TJsWNR4 +ZN2KOYOwXtD77K88Npwsl59Z7t0LVZX61YbbOfBpJeIXVHgBdzIrt0384j1f +xiuDLJcNUrE3CbRgpx94PhSO5vLWc5WMPGtGlwPjDpyEBzPvofnKvvrsJg78 ++Kb4rWrjV2rLaes7zsEcuFa3X69HpYjyLtVsX6vFhYH1nkGrh6aoCkuVp+XJ ++0BysW5r9GUG6Su4LOJSKQfOqbnkeM3rpMRNhhPmynHB45hgpmLtMGWhFiff +2M+BhG2jnVvWsSjN8NnpM+oOkCQctAuiGOQHr10nJMV46zkaLDJmP0RpdUce +Hebd74/XHnqsbS2AVk6GFy9R44KURn+t7tsxqkdNM8hfaxNkVfiZqsQwyNC0 +74e36PLud8u5vd3z+NA8olRHh8mFirxdyjamo5R9x46/LTS40Oza3FyQOUFh +v1ds8HALO/sFsN+fy1x2zo5sAux3XTUviZTIGsB+z++3GDmpVgjY71w+5a1H +Bf8G7HefKzXd/sKFFPZ7gnnbkxuNVRT2u/ZUUZ6t2jsK+13brPB7YsIHCvtd +/+LYDcsHrRT2e4BEgfna2E4K+91IlLXCZ8EXCvvdY/lMulLOVwr73TJ3NPu2 +3zcK+72Ae73IhsmisN/3+BXZVE2zKex3jw0N17Yf+05hv0c0HxM42zxIYb+H +Bscm6bsPUdjvVpAsfbL7J4X9nhsknGYQMUJhv6/hJ3XOwBiF/f56a4nGxJdx +Cvs9MtfqRkjJJIX9ntETw5aJ+EVhv0e1Ljj2cd8Mhf3uahb/Vq6UD2G/X6IH +Okdr+BH2+3wjjzarcQGE/f71mXSbn4kQwn732zmYOeAljLDfExZempv3SQRh +v98V/RF21UoMYb8HGz32ES4TR9jvJjY/1scFzkLY73YOcsY/LCUR9nt0HXMB +sUMaYb9/lBRUmyySQdjvz12WpMa0yiLs95PFORGahvII+11po/7JK8EKCPt9 +sWdabOGMIsJ+X8boMvV1ZyLsd7nT9m3WhwiE/c7XfiP5Wy2BsN8/zl+T7cZP +IOz38suSY3s/KSLsdzMXmSVWubLo//M7aXM6WWesBbDf7bdK3KpsrwfjB7Ii +amL3IWrJTqP1cWV//C7M984x76kSwn4fyrt6XXyEibDf/WaJfyl5TiDs94y1 +ysaWVwmE/d6lXlx0SJWJsN83dgb1FksoIOz3A3Z5V0T5JRH2+/nshatupHYA +9nuEb5VwhVoDVAZ/chQJuAsvA5tvcPmr/vhdrXNcIdhJCWG/h2iVOPrlMRH2 +u9xowXOBJAJhvyv0y82MFRII+12niZFRkMBE2O/LBNc/8xJQRNjvSlfqW5+t +k0HY72BWJrVdthk8RN8flLVkweQapTgnGSbCnl/9cN3Hd0sJhD1/847dmNZb +AmHP2yySSPHcSiDs+ScXH1RqXlRC2PMHs7LNx4bkEPZ8q3XVdgcQRdjz+dYH +J+/HdAL2vJdCiYLppkaQ8rIIOb0sA1q7lW3Ky6v/eN6myPCnpYESwp6XPTt1 +NOIyE2HPm3iG3kMXCIQ9v8zXl7StJBD2fMasgpbt9UyEPc8dMJ8T56OIsOdH +ItMrJgRlEfZ8wEHL7wnHPsHq4eOJV/VZ8GmxZte+HiWEfd/Y+y11txKBsO9H +FkxOp1YTCPs+bKtGU6QfgbDvB7pqc3MalBD2vXjfbQXNEHmEfS8frpP37i4D +Yd9nQeeEz702CDhnMovaTIPqgPbjymwC6Y2NP3QZp4G5pt8w5y6BvlupBSQk +sqE6w+6vg7uYCPdA5fkJi1uXFRDugZM7x+pO90uhtSLDoscmWMB0/bVxsS2B +XH16OooyeMcTHm7kqydQXN7ilrgNbIhc/aTruT6BcC/87/qN/umFMRM/VYOj +XYB74dro3huudCMU7PVWeRKbDo4CQ9/4M1/+6YX9Sef9RJhKCPcCM/xqx50T +TIR7oUO4dHjfCd5++90LM2vevn5YQyDcCxfGU7Wj+pkI94JJkvd+twxFhHth +2+12dGanLMK94BBwxjp3cQvoedbkv5vHgq/f1eI03ioh3A+Nz6/YHhYhEO4H +LV9168IyAuF+MBucjFHg7SfcD+7Vpt/XjCkh3A9W5c7xcq/kEe6HFZzo6359 +sxDuhzEnhzf+ie1A3qaiPlvRYJniU9qcRqA5/EMS5lwaZH41tv3MI1Bvw9+c +9Cg2+GcLyFqdYSLcG4sEHu4ReKmAcG8MtvQdXVAujVzcngx7fmdBRMg0zxAE +0orxcmtNomGwO64wu45Aoc90TI6QbNBK3mv+cjWBcI+8kRLtkp4t+KdHwqnL +YXtff4bqnoakuYY0ZJ6JHvk7ikBC8l/L2C00dOY9PIwoAuFeWaVfZmeZKYMe +fAj2VrtIw9SN1ky/1wTyeq3GmqfHhtke+8Oz3QmEe8ZPLmtfoZ44KtqVFZLi +SMPbd+nL0WMCmXH5tocKsoHvZaC7+g0C4d5Zb7z0y8UZSXRzs6ND4kMa0uK3 +7GHW4f1X93v/9f3pH03PEUFV6W7A/fO17fr1Xyub4OJuMfd/LNNB/Zymj4Bq +zZ/+sZ4f5uYySwnh/nl3Sq5c3puJcP+4PxHs4/rw7off/fP2TAafL+/8cP/E +kztLM4aZCPcPv0BTymykiHD/rJCX034XIotw/8x9IPgqPaYFUu/+KPGZzYIM +15alnDIlhHvI4NSk6/ppJsI99P7Zz8Y23vXGPeRhER7wIYxAuIdmxDQHRBhM +hHvIasrDJIclj3APjTfsatY9IYFwDylYt0YZqHbAvPwLDd7Am4ePX9kwbhEo +PsS7aOEADTvlDnyZw1uPPapftR5cZkOiGHeRNm+e4n7KLPY5cvyrAsL99PiK +ufxBRRnkJX/d8yCLBQs2dkTOXkkgsbQldw/F0VDhFrBShrdeAqvudjuZs0Gs +wFBxnQ2BcF9d82nftrBHGP3pqy3zFkQv74TM4fiOPG0abpiZS93mnW/AdZcj +LU00vJHIWJvLux9xf7mBW3zOBxkU2bcu/0EgDU3bPg/k8uZD3+aEeOmFbFgt +EPG15jBvfv3usynZrM7aIXGU7HXkpYE9DbNe2Ld2PSRQ/tEAIb9pGhQz6zWj +UgmE+02xkKysOC6FAveoJ+s8oCHxRKPZI9754J67c3tJqgPPeUduOb4ueE2D +mtSFhXd5895O/P2yN368+dUcLb2F97zBvcdc9kvFeeMslH7muPOmnzQIMEOM +Tz0gkFXwPQ0bXkcvDiFspnnHD4s8oMjfScPCsvf0eZ4/XlW+0Au//N//P1ul +h3hewr3ofd9wXecGMVSy6poS4ykNxQ6Gxtq85zHuR5UsvsmtZ7oB96P/37HT +D92bgNu6lZGqkg6fFB1vPt9a86cfZV0mvCKElRDuxw9WIxprPJgI96OY8dHN +qV4Ewv24t93kmCnv8+B+HKvSeRk1yUS4Hy3OmqvW1Soi3I87o/yVMxJlEe7H +R6WKOdGVLbBrjWhlqQoL1nV0R255oYRwTy7UNmTrTTAR7km7ZgHlbSUEwj35 +l+mvY8xIAuGeVM/zaqyQYyLck/bL/RPWTskj3JMWnEdvA19IINyTwVtYi6d2 +dUDMir6fT1fyntdL62IjePOnsVpfNqWPhvvBX7oVn/LmMyy2NPyXDXMM/n16 +MpqJcH+W/ys6zP9TAeH+FFWTU0UGMmjYQzSz7xsLioamek8vJ9AJvbiXBTE0 +PL63gj+dtz6rAt3NGpazQT84e6pnM4Fwn1r3tv0yvyWCcJ+uDJwUYrt1gvLZ +yZkxLRoknho6PL1EoKJP6tVbGnjzqIN6L15BINyvag//2uPPlkEz3vcbfgbw +Ol54lnX+SwJpRm/nhmiyoVPN+q+nvHmG+7bbQsqwdTMDSYy+7z9uR8MloX+9 +WnMJxH1svpM1SYPINsvj2ukEwv27ZKJY4l6eFBr8FvTC9x4NG42yA3p4+xX3 +cPWvSNanJV3woJuYp1PD87NXZ+Nj3t8vu7rUQfEoDbcfKj+S4H3eP71suTul +IGkW6i5Z+qRikIbdRy/dLc0h0GOGhGVMCg2lc2c9/Mw7Pu7pPZWLzi6oEUDV +9Vqe/u00rLbK4Ojy9oP5prmbGkNpUE97cdKCtx9xb/t7OE6/p8SQQfXoMrtH +NDziKobc511/3N91rpJpT4u6QGv/tTqlChqGDr/XSnpFoOuq70LmJ9BQ2JQf +cuC/5+fvPu9MO9xvNiiEZjt/jXI7T4NHzNKy/34f97pUWSVTJEYcyTQ/P9Wd +Q8OZk9t6vXnvbxhfyyeYxpuHtwddxXmecrTYOcsqkgajq5vXxr0hEO5722dq +Pi9fi6KNT4yHS5/RkC+8e00M7+f/A0hfw1I= + "], {{{}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{ + Polygon[CompressedData[" +1:eJwl1WWUVVUABtAZurt76O7u7hy6u2GGbglBBUFRuru7GxQUDExQMAmDdKmE +goKxz5of+333fTfeW+fcc29Un9jomHgRERGRHPZxWk6TLdlPQbYwlmSsZAh1 +eerYN2UvKpODX3VzZCdKk4F4nNFPl60oRHL+0v3GW8zwvTWFScHfut95m7Oc +4x3e5TwXeI/3+YAPuchHfMwnfMpnfM4lLvMFX3KFq3zF13zDt3zH91zjOje4 +yQ/8yE/8zC1e9D/bUISUPNM94FXbnSlDRuJzWz9TRlOUVDzXPWSu7S6UJRMJ +WMoAapKXO46bJdtSjNSsYij1+Mf+BbI3VcjJBkbShEf2z5NdKcc2xpOZtcRE +xs1/Q/YwheMkZBkDOUgtdjKJY+RjM2M4SnP2MZWT3PW7L8l2HKA4WxlHGlYz +jPrsZjL/Omeh7ENVdjCRXGxkFE157LjXZDfKk4V1xNKIRCxnELXJzz3nvCzb +U4K0/KdbJPtSjdz8oXtddqcCWUnMff0rsgMlSRcZt3gW04/q5OFP9XzZg4pk +Iwm/6GfLjpQiPWsYTgMiWUJ/ahDFJkbTjCfOf0P2pBLbmUB21jOCIzRmLy9w +gqSsYDCHqMOuMGeuN4ETYczZR3NOsYJjTOYkSzhId5ZzhNFhnNhJNaawlEP0 +Ylx4RrCHBkxiMQfowLAwnmyiNL0ZH+5l9tKMbowKc80OqtKTsWEs2E192jM0 +zC8bKUVTujIy3P9spwr1aMeQcH+ygZI0oQsjwrpkG5WpS1sGh3XIekrQmM7E +hnXPVipRh2gGhXXPOorTiE7EMIctVKQ2bRgYniuspRgVqEVrBjCDNRSlPDVp +RX+ms5oiFKYQBSlAfvKRlyjykJtc5CQH2clGVrKQmUxkJAPpSUda0pCaVKQk +BclJRlKSkJhEJCQB8SlHDVrSj2msIryDGtKR4cxmM2XpwRjms4vqTGQR+2nB +Mg7Tl+NMZWVE3HvtfwMLxOE= + "]]}]}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + + Line[{1, 155, 126, 185, 99, 181, 152, 77, 177, 148, 121, 60, 172, + 143, 116, 94, 50, 165, 136, 109, 87, 70, 2, 156, 127, 100, 78, + 61, 51, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, + 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, + 35, 36, 37, 38, 39, 40, 41, 157, 128, 101, 79, 62, 52, 42, 158, + 129, 102, 80, 63, 53, 43, 159, 130, 103, 81, 64, 54, 166, 137, + 110, 88, 71, 44, 160, 131, 104, 82, 65, 55, 167, 138, 111, 89, + 72, 45, 161, 132, 105, 83, 66, 56, 168, 139, 112, 90, 73, 46, + 162, 133, 106, 84, 67, 57, 169, 140, 113, 91, 74, 47, 163, 134, + 107, 85, 68, 173, 144, 117, 95, 58, 170, 141, 114, 92, 75, 175, + 146, 119, 97, 48, 164, 135, 108, 86, 178, 149, 122, 69, 174, 145, + 118, 96, 180, 151, 124, 59, 171, 142, 115, 182, 153, 93, 179, + 150, 187, 123, 184, 154, 188, 76, 176, 147, 186, 120, 183}]}, + "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwl0nOwVnkAx+F7s23fatps257d7W52N9u2bde2ebNdk23b5mT7afrjmc85 +33N+877zzhsU0ja4TWBAQMBXttPXzZ+sIQ3/05lIzKQFxfno3fFan7wk4blt +uFYnK3EIxQ57P/2LP4jMJ9sLdtLf/d+kJQqfbS/ZxW72sJd97OcABznEYY5w +lGMc5wQnOcVpznCWc5znAhe5xGWucJVrXOcGN7nFbe5wl3vc5wEDfM9KpCMq +X2yvGOG6BtmIS2ge2gdqMOmJFvj7937NSNc1yU48wjCVJhQmJY+8N0j/IQPR ++ZeWlOCb5xO0AflIyjzaU443no/SWuRgMV2Jz2x+/QE2UJqV9GILYZlGU9ZR +hGX0YDOpWEgnNlGR1fRhG4997mCtzFoysoguxGAWrSjJCnry3ZmJGkJ+ltKd +ZMynA+V5673RWpucJGAObSlDOKbTjKKk5okzQ7QKmYjJD9skbUgBkvPONkbr +kIuEhOepfahWJTOxCGAyjShICt6bx2pdcpOICDyzD9NqZCE2/9GaUgQyhcYU +IogFdKQCH5wfp/XIwxK6kZi5tGMjZVlFb7YSkRk0Zz3FWM5PYemAzw== + "]]}, "Charting`Private`Tag#2"]}}], {}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 0.9743602044100654}, {-13.815510557964274`, + 3.912023005428146}}, "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {0, -13.815510557964243`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), + "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, {Log, Exp}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJw9mXk4Vd/3x83DzTzdYygpKbMUJWmdPpTKp4FPKlIiGiQi0qRQykdIlMxF +ppKhpEQ525xSKZRMIRH33HuTzOJ3f8/z3Z+/7rOe5z7nnL332u+11uut4ept +5y7Ax8dXzM/H9/+/W9x/fECD9uuqt3i4hV/4BkKWKie+Kq8ElVy+6Z3ne2HF +nfjkImUr0PQcE1SV7oU+Nc3gAK1t8N5VMuNZaQ/YvP38OEV5J0yY+KsanOwB +zYj5mXPqDlD3J4r1ZUUPzGw5eNtFaz/cS1uR7jDVDbvdxl/GKLvB401Hpx/E +dkO1pcqzquRDsDZoWojt1g1Giy0Kf6sfhd4di5bErO4Gsd6Q9F1aXhBBXQs/ ++OYrdBpetYxU9oNLeUvXpaR3wc07BFqy1x9CdrCWz+zrgi3SD9aWJ58ChU3t +0QaqXfCU02DCVT8DE04ObwMSOyEqT26ZrdYFyIXuKZ/7HXBXcfuVcOXLQNqc +S9aZaINzb29X7yq9DE8qFPNjatpgV2i3wOK9obDwoeDrzNg2kBj1uViWfAUc +As9vKljeBqebb56m1f+FwKOWPxP8voBtbJvHNq0oALNKqd2yrRAsVrT0X+Wb +8ELmmnNeVAvoWN8Ie3ziJgT8fXP2kXsLfAz1HmyvuwnfO27f/rO2BTQF9XIN +Tt2CW+MHU1zpZqj9k2HQ/DEOvBTKFUy3NYPESJyJekQCRPrWClerNUF851nL +4tlUsN8pcbemsxH2OKQV/BzOAF01L4nUqHrgtu9kpKtkwhdFxzsvdtbDlf1i +7v9YZoL6RU0fAdV6KDrorfL0ZiY4Coz84M95BVJeFqHnVmVBe6+yTVVVHdSE +fHEUCcyGV0GtKVz+WjB+KCuiJvYAolfsNdocVwnz5oKdrBcUwONBi7EzaiWw +xJbzo+raE+DyKe88Kfg3BLwzg/jfz8Hnen1vgHAJxXwi28XPT0GCecfTlOZa +6mmvZcP7tZWgPVNaaKv2gar0SFF1oKtB26zkZ2LCJ2pUy0I673gd6F+ZSLF8 +2E4JTObKqC55DYESReYbb3ZTpnMHnv6T3wBGoqw1Pku+UQ2XV76r1XkPHqvn +MpXyv1Pq0azJ+5xGsCwYz0vz/0Gxxr92tRh9hCLu7VIbJovKGCp7LRXVBAf8 +S21qZ9mU1Pxsbl9fM3hsabq12+8nFXG0+NBdzU8Q2eoncKF1mBojc/uOXPwM +YSE3k/TdRyg/4+onSlWtYAXJ0md6f1Nf86uvfdBog4Jg4QyDyDFq2qM5Sfts +O2zgJ3XOwwSlu1509lBZB7zZWa4x9W2Ssk7XOPyI2QVRBVYpoeXTVMB850U9 +Tl8hqy+WLRP5h9Kj7ed93toN0e1L/D4fmqPkpX+KVfPukatZ/Du5Cj4kRCUV +LE7ugav0UPd4PT86trLsi7hNLyw28uiwmhRAuZtd75aP9cL359Id/iZCaJSl +ItMf9w389w7nDHkJo+J/rAVvWvVBwtKrCwu/iKCLm0LvPOnvg2zRX+E3rMSQ +RueW1nuh3yHEqNhHuFIcrVl1+aaycT+Y2PzaHBc0DzkteD/J39QPdg5yxr8s +JdHo68jeE8EDEPOeuYTYI43ihfb72Wn+gM+SgmrTpTJIvqs4XaD6B7xwWZEe +2y6LhAODd5r5DMKZsvxITUN5xHd/f0Gv3BAobdU/cz1EAfkmFkTKVQ7Bcs+M +myVziujZTOLVyuohkHWZ8ooUVkIz+68E+9YNwabF4W4u85TQ1cX7/D82DMHh +pEv+IkwllPyQsS/m8xDYlBr+tjRQQjXl7npy7CFQ655UCHFSQsQ31TeyBAuE ++T44Fj5TQvs2iNZUqLDAuqs3asdLJZSe/avcZz4LslzbVnIqlZCeZ/3jD4tY +8P2nWpzGOyW0fvRU4g19FnxZrtlzqE8JeYh+PCpryYLpDUpxTjJM9FI3TEzW +iwWrGD2mvu5MZNrMfBtzggWfrMY0NngwUeH57BsKJ1nw4axclbw3E6W/rVUh +TrOAGXGj695pJgrzFtZXD2GB7IWZk5HXmMiuKMRWP44FoVrljv6FTDSwJjBx +czkLRgpv3BYfY6ID3ySc3yAWLNU2ZOtNMVHbteTFW6tYYHB22nXzLBM1dJQ9 +3PGKBc0vrtseFyHQ4wtT5bs/8uL+H+n7lQh0vjLgm3s/C9Y/sv78YSWBRj1E +cwZ+sKB0ZKb/3GoCecnf9jzKYsGSrV1R89cSyMXt6ajnTxZEhs6CtSWBNoqM +ivpN8b7f9c/W5bYEkt3iqxciRYPcOfuOTccIdLdCet4aWRrEjE9uT/cikJFZ +3uCwPA3uTwUHuD4E2rbsR5aLMg1dwhWjh04TKFxkv8Z6TRpMPMPuo8sEUr4w +PTehRYPEM0OHZ1cJlDMa31WoTUOKmblUWjiB6vqakhYa0pBzPmbs72gCCVVt +VuJbw3v/eNELgSQCxa4Z+P1sLQ1jK9/fjEwh0KLHl5u8gYZVxa9tGHcJRKZR +0V+taLBM9alozSBQ4EWTedR2GlSHtItr8ggkMf5x8JQdDVeF/vVqLyBQsteJ +Vwb2NMx7ad/e84hApftyQ1MdaXj3IXM1KibQmLkG3wV3GvzniX8rf0Gg0KLy +LpMjNNi1CijvKieQgq7TS7YHDR+f/27uoAi0QuX22X0naNDyVd9UUkmgyhsr +HRRP0pD2SPmJRDWB7MQ/rnrrz9uf1hjpHTUEOjEhMbr2HG89S6Zn0+sINOf9 +oOl3IA1PhedtevyKQFED1o8fBtHQsuvrUEE9geY7f492u0SDR+zKyqTXBHr4 +KcRb7QoNMyntOf5vCGS+beG25jAa1DNenrFoINDrmpd6Eddo8FNqlx7hxY4W +e+dZRdFgdGP7xri3BBp8MjE4HU3DnXt2E1rvCHRaL+5VUSwNxffX8GfyYrGM +FdnH4miodgtcK/OeQLdVP4QuTqChpOVx6BFerBXr5daeRMNwb1xJHi8uZkhY +xqbSULFw3qOvvNgq5L6GTRoNy0MJm1le3DS5kU8wg/e8tGFX8UYCufr0dZVm +0cAUHm3m48XDP4Jf+t6nYatRXmAf7/9BB9STdR7SkHi62ewJL5ZpfXG2N5+G +82d29Xvz4jvbHR0SH9GQEb/jAJMXG9SNr7J7QsMTrmLoA973l6+7pcR4RkOZ +g6GxNi/e+tR4tOI5DY+F92+I5a2/Q7+x6cwLGvg6U5J/8PbnDks2UJCi4WCn +iZ8pL3a9/49WZAUvH85n8fny9lfr8K33StU0jBz/qPX/+z+o+fn03Voa5ja8 +e/OIdz4Pe4lFOvW8fPHqbi7mnd+Ju45vit7QoCZ1eWk273zHVLvm1zXy8tXX +l7TlnX/pF/W6HU2853dRH8V5+RF42+VEWwsNbyWyNhbw8kdI/nslu42G7sJH +xxEvv+oatTwDOmlYb5XF0eXlX3jUEUX+bhqWVn6kL/HyU5ZBH1b4ToPCoNzc +RAmBmuv0ZVMHaHgQ8q1X8RmB4kO9S5cO0bBX7si3Bbz8XsA/ImHOpUHmT3PH +70IC9ZavfFo9TMP+k1ezK/IJlHn+lPO23zQIMEONzz4kkN7E5COXSd55bRg0 +zM8mELfYfC9rmgaRXZantDN5enQyUMh/lgbFnEbN6HQCmXH5docJsoHvVZC7 +Ou++buwXncmXZEPWRmVjyxsEEs/YnLlahg1/mf7xY0YRqMHl2rZKOTZ4WEQE +fuLpwT+dUmktTDaYDU/HKvD040Cz4sYZDTaE79RoifInkGbMbm6oJhu61Tb9 +9YynPwPbE+Kll7JhvUDk9/rjPL17o8ZapMeG+R6HI/LcCXSucnH0ZlM22CyT +SPXcSaB1Qe5mTavZoB+SN9O3nUAC67J7nczZIFZkqGhtQ6Cw5zomJ0g2aCUf +NH+1nkBxhcvb4rawIWr9054X+gQqTAXNvv1s+Lx4Q54bP4FGzo6IuriwYaJW +51X0NK9e7M5mdR5kQzy5tyJrlInKpKWLPh9hw+XJdO3oQSaqDepa/8aXtx/z +itp2NzJRu2ug8+MrbNBpYWQVJTDRAlhuafgvGxYY/PvsTAyvPqh+13p4jQ2J +Ytxl2rx60t/0Nyczmg0BeQKyVueZ6KeVWmBCIhvqsuz+OrqPiUSWliUG5bOh +R72s9JgqE20W9L7wp5AN6oVezdVyTBTxdZHr2SI2zIlpDokwmEg2Plzbr4QN +7nWmPzdMKCE1cceSw5VsGOppKMhvUkLGrImWbZ/Y8PTKwxrNK7x6W2AqO3+W +DVXXJCcOflFETx0npBr5OGBxwVz1fYMikhIplbgkyAF+gZbU+UgRIScLsUEx +DpgkeR92y1JEixhWc0/kOcAdMl8Q56OI+t1s2X9rc2CV4ObnXgKKaJ2MPGtO +lwNV/4qO8v9WQLfLmn88NuBATpnPiVPfFdAmuT19xEoOLBN4dEDglQJ6QO1v +71vHgZpLUxZ3rykgLxXP+vM7ObC1O7i/TEIB1dbo1xnu5oD96oCEjTPySN2H +W93rwAGrGQ+TfJY8aqzzRZuceXGVc7zca3lk7H/mmYIHB8QH0hQ0Q+XR+Psr +mQ8vcuBobp75xIgcCgpNC+54wAEzF5kVVgWySDJAdItLHgf2RgcoZyXKosQj +x+X6CziwRl5O+0OoLCqyMbvHfcKBXWmd6PxeWdQv+6FagOLAWFRm9ZSgLPIV +XBV5tYIDao/+OhDAlkFzv5PtJao54AZu8fmfZBDReqRfsZ4D6/Qr7SxzZJBN +Kp+YdhMHlK43tj+3lkGt1w815rVwQFRNThUZyCC34IZ441YOFF83lz+qKIMu +uMVrr+3kwHDbwMklVdKoUMfIZvsAB87snXh/blAKWajFyTcPcmDFVJnE/UIp +9Fpypn0PzQHFErKm+pQU+vazztN1mAObjVd+uzIniZSeOUf5T3PgiF3hdVF+ +SXQvp2bX1B/e+XOevAt6KYGMEnXVL/JxYbJpX6vuaQm0OXC8IEyYC2s4Mbf9 +B+ahT177TkuKcUHAcn9qUdI85HqgioxhcIG56o+K89Z56Lzl9Q9J0lyQj9Ap +/JDNQOImowkL5bjQayFl2L6dgeK09rpmKnBhRja3u2FEHC0iKnR0mFyQqqxh +isSKowLxpSP5ylzwl8s9VKInjtZOR5StUONCgIfj7EdKDL2if10qWcAF7weG +1t1bxJB9156/LTS4YPtczefVG1HU+75coXIxF9o31e52AFHkXaHZuVGLC5v6 +O/6Y3xVBM4/DM98s48Itn85dS/uEUVjGz+M7dLnQnXF80GxYCCnG7TJt0efC +WynRHun5gkirN+rkKC8+ULPswpJ6gf/i4o3HirU3Cfz3/2XspakHfvP/9zxr +y4X9vYv4/nvfdeVrX8LcZyn8PUObPYPXj8xQ+HsbSx4k6pZNU3g9ra6trUU5 +UxRe7+7icRndR5MU3g8pjcEG3XcTFN4v632Mv0Knxym8n9WF+5RtTMcpvN/+ +wLrueH6Mwufh4SeYo9gwSuHz2nri0oCZ5iiFz1PgQlv94OAI9d95j4eITNiP +UDgfEhwiLxyo+0XhfNHSUZs+XDJM4XxKnawJtlw1TOF8W3L/oAP9/CeF89FC +yKDU9A2bwvnadm79CgFlmsL5nLBrvHuHNYvC+d53PF9v1dkhCt8HmXw/l/3e +AxS+Lwttpzdu5uun8H369UPxR+3W7xS+b6nsOO6J1D4K30cZJCB2araHwvd1 +/0LFrWnl3RS+zxfVXPK9FnVT+L63F3CPmch+pbAeWL/XKZfybqOwXrClew41 +mbZSWE+Ykkyf4IWfKaw3i4lj4wOSn6gXOjdjrW7x9Ky1blXF29eUY2Bk8ulw +DrQmdW47/raSytFipMmFcsBjpUtYeN9Lase5TfecQzhw6/1hvT6VUgrr2Q4z +1czrTk8prHe3/zE913IsHrAePrbYaPPKuwiwXm6sGeFmfH8OWE+/rEX8ggov +AeutYeu+epX5CLAeX98WY79TtwGwXr/d45jkzJvrsZ677E9d27zjI2C9n3Tg +JDyc+wi4HjSGinwde9gEuF6s2WY0SPS2Aq4nnbSN/Im97YDrjcoqzRDH6A7A +9SjrtE4Bd1kn4Hp1e0SsagvqBFzPODkJIfJTvYDr3ejqJDGRzj7A9fBorpS2 +Qs13wPUyyExL+KtpP+B6eqZKfm61dz/gequ2sXXnqux+wPX8XISBpvPkIOB6 +b7+dZVF/mQW4Hzii2rPtpSUNuF/oawrSTOT1zbifcLyOQhfz+kbcb1QvV3H1 +4PVZuJ8xZgVxRt7xdOV//dHswsY58+ifgPun50b1aK7nJ+D+atRkln89Yxhw +/7Ws+YT1mgXDgPsz0ZfrkpWNhwH3k25fwj/9cv0FuH8NuZ/8tfTXL8D9b2Kp +yfzU1SOA++WiQFsDhb0jgPvp35MivUaeI4D77YXSu2LMAkcAzx/uQlVvYm79 +BjwP7WvevktWZRTwPGVfsl0szHEU8Lxlnx9taX92FPA8NheR0bIwfBTwvJbi +vC6qLWEU8PxYGrk64WDEGOB5VFd7pWna3BjgebWuwtn786pxwPOsxeVa26R/ +xgHPu2lLg94ddhkHPA/7KVmoa3mPA563X5cpuKEVE4Dn8d4Z/rusGxOA5/X5 +D75fFkUTgOf5NV/Mqls/TQCe9w2tGj2NeycA84CZojOGIewJwLzgQsQlT4+U +ScA8gTOiOD0yPAmYN7RPO108qjoFmEeY+MzVDRtOAeYVFzekBmivmQLMM6Ke +yA/usZoCzE+eX49Zcfr6NGC+oqkytaRn2Qxg/jKpKxjd6jsDmM/U6sWE69+e +AcxvvhDpew3vzwDmO1v6/l2t9XgGMP/5M9LkpVQ2A881rqae9RiC+h/Kknwf +/oDT919Z+euGYHkOV3bUbhYM6pblvlo2BDnaCfG9N2aBWWKZ1zJ/CCwi7drb +C2chwsjWwIkYAhdzuzdmaBZq6c9edxSHIPuAjV5P3Sxg/vTHmRN66f0sYD6F +8iNvbTDjIzG/Wnhs583QGT4S8y2h86Y7fJP4Scy/IGX3xDs9ARLzsWunRh8s +fC5AYn42UHOkrMNAkMR87Wus3eGYdEES87cbTiuf8QkLkZjPtX+R+XPUVYjE +/M4h0eZ0NiVEYr636J5auqaMMIn5nxPDqsLaRZjEfHDY5qGsdIEwifmhi43j +G+4vYRLzxeZ3opfGVomQmD8KPbcruuwnQmI+uXPz7rywAhES80u+VuNmLkuE +xHxz1oCltFVDlMT8M8G2RHD1HlES89F7xu7KnEhREvNTm9+Fk7kvRUnMV5Nq +GQ1iXFES89eEOr/z4qpiJOazbVPtSi83iZGY3x6/Mnv7s78Yifmu8ApO1V+p +YiTmvwtf64d5vBIjMR82k/R4bcMRIzE/dsgICZBRECcxX86VrbbNNBcnMX8u +CkqJ8HEWJzGf7r42lmMYKk5ifp277oqncq44ifm29VcNs5q34iTm3/8WXY5t ++iVOYj4u0VZx2FyRQWI+35Euz9AzY5CY36s2vV1ivJVBYr7fIDk2E7ubQWL+ +/xs9NR5wYpDYH6htTj+a5MwgsX+wZmZ90MQBBon9hUdnznzKdmGQ2H/Q3zpW +stuVQWJ/QsjIVqLOk0Fi/8LfftDG0pf3/v/5Gw1hxGfCn0Fi/4NIt8oMP8Ug +sT/ikHD4woYABon9E/+RuBL+0wwS+ysOje8yF1xgkNh/YcZ1tDoHM0jszyzW +1bwle4lBYv/G85FwDJcXY3+HddHC9cNlBon9n5UbGvZcDWOQ//lDCdotV8IZ +JPaPJJfrtsdcY5DYX7qzdOnPnAgGif2nFwzbvuko3v79z59KEg7eB9EMEvtX +9kY/fZNuMEjsb+VW+5uqxDJI7H9ZtJ20eX+TQWJ/zOV+0mF2HG89//PP6u9L +1gYnMcj/A3bmnaA= + "]]}}]}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVlXk41PsXx+3G92YnxhIi2ZIrEaXz6SrCTVGyZIkWJUUupc0WJXuSPZW4 +KYVQkct3LNFiK2QiZJpsM/MdTGOd+Pn9cZ7zvP44z/t93s95nqPhG+h0QoCP +j69mtf7f7U6Mf6JMOO98/0S8JSoXQ0JWSkHDZBPweZLrx8rA0Jb7WXmV5N1g +2f+PfWc6hugqWlEXtR2gpDnUVOkOhuzb+yrukQ+Bs9FUcO5tDGklqhatqLlB +rnCUJ6RiiGd3LNNH2wv+wxzpS8kYcjk+V5dGPg73N26cKk7EULOV0uumvJMg +/qf+QFoChow0Lct/qZ0GWrZu7414DJFo0QWHtc+ByZ4215txGBrcfNMqiRwC +jAhL308xGEq/r0jZcCQUAl4Ip7GvY8hO8umO+rwLoKmvdVd6lV8RbVvZapdA +IeMb1TsKQ8nPZXQctcPBraujaF04hh7I778RT46BUE5GNX8Yhq60ZzYffhMD +btl+4XsuYuhw7HcBzSOxoFiwuyj+AobWcM9H1ObdgLY4xT7FUAyF9aSHMdVu +QajzhL1VMIYc7/T7O2gng5CR45rWAAxFkSo33iKnw6Z9s9UuvhjSs7kdVxGU +Di8uXfry2AdDn2MDJwZa08GCtyty/uhqfoIGJYYX7kJLT8HpXG8MtfwuNOz5 +nAG/KK+MxzxW9TkZW9USs6FNfJZ3xwVDWYOXrV4u54Nyd/sG430YcnV7WDY1 +XQjfCmQxA3MM/bES5WGzrgzW9Df4bZfH0AZHYrwpoQpuVcbc6Z4RQxc7zCHr +Vw3YDGuYv20XQwpV0kP8/DiU7LwRQC4RQ69oVm2dOxrhe8Js8eZYMdTof0/Z +jdkMlZH3Es97iyGutqXk87OtUCLd7Fi0XQwJLJRIKW/4AG6F0Rel5MSQ6crR +VwdL28Bc3P+DPUFCbTEmHS16naD+YVOc/zsSUktlLDwhukB4C9H0Vz4JMeaG +h3qNPsPZG8uZfaEkVDhZ+0EiuRv6FwfW1u0lIQnVx2w6vQeyW0OuiimTUOLp +lycfaH2B3BasjcQWRbOohH4qog/sf5UvlNSJohDj5qq1TVR4ZHyCTCSJouHS +5oRPGv2Q7VgtuM1VFC359+TqXh6AZUPG2n0aokh/l+jyydpvwEc17mEzRJBN +gYbfC4UhOGTr8jyuTARdVPVeP+IxDEI1TpUxISLIgOn8R9++79DTIXp91kwE +yUpOkZoXv4OPvftH9owwEsJzyzTzRmDa/pm0ZJkwOmNS+1XMngYe2O4GGx9h +VGLr+6B+lgbrH6kUaEkJIy5DSWo04we45diHPcaF0MuDNoLpu+kw8FXq92lf +IRSxN/Z+1SgdbnuYvOYTFkIag3bUR7E/YfiOk19agSCyMItJJxuPwtjbU7Xf +DAWRx7rOBf7uUUi4wH2qXiOAuB+SaEFRYwD3XOY7DARQlpBXiJPWOAhdNT0Q +nMuPZIdeFgg0j4P6mUPpsTw+JHwt6pD5+QmglCbd3WPOh/ieeJXRZCbhtzcR +e71zGVqYfefuy0/C46P2BiOty5Bo5GjooTgJPtudPppTlkGh2up5r+okWCY5 +DQyUL4Nhq07JO51JKNbNzqLdXgaPnzP/lu6chD+L2dJcp2Wo0biZf9l/Et6P +k8X5Pv2G4JyyJJnGVT1O97m1tTx4zcu52dg8CXb0W9u0K3jA87oRFdw6CV8V +C45sfsKDm5qeoZ/bJqHFIC1+UyYP8p5hnml9k7CgL5hKDebB2/oTBjKsSdBS +WtwwosMDxR/KH6UVGVCTkrYlLGUJ6vTjSNLnGJBcJTvhunsRTHsU2tOCGBCx +J/+irsUilF99fFvuHwZsPb/SOr15EQraW5QUwxgwsOQRcVp5EeIChTepRTOA +4MgvcaYXwKky2nFTBgPCE68H+N9bgDGLazm29QzgVV7aHM2ah6M/1nh/pDBg +8+6uAGPaPPQn5Gnua2KAxVfzZuqXeWj7VvvswDsGqD79GSNKmYeK8MV6l88M +oPH4HzBuz8PVxos/Towy4EOt3HHKlnmQtgs2iJZgQshaSzXtwDl40CD5h4U0 +Ex5ujOzw85kDI/PnE9OyTLCMaXHMPTgHDjrj//qQmdDa4B3YZzYH8SJeGru0 +mKCva2L6cGUWhJps1/JZMOFN0rbsY4mzMLtdgy/8BBPuee9M7s/mQmxl/dDW +U0xYSSzsVY/ngpy+Rx3LnwnOpalWzpe5sEUp87Jn0CpX7yfFuXMhaH4Nd8cV +Jnj27D8srcSFiar5iaVUJpwQavqYdvcXfNvU1X3pPyaoSx5OM7/GgfsM6WuC +OBN+LYjQjAI44PvkoHZSAxMqrzkayh3hwIRWX9iDFibkvNmqmr+NA7PKQ6qt +XUyIfpI3/GZmBqQxpp/cTyYc/xr/ZcZ3BqxHRXml4iwQrduZRzaeBrFC26Jt +UizQ6QmysVg3DW0+CQ6NMizgbl3m34VNw8FBiYe9CiyoMXpPWRmZgqM98tY8 +DRYsq3etbE+dgiuNmqm2piwwZkQSnA42lOeDFt2LBc1/Kvn6LzOBc5kj6uPD +AvcUSqzmqi9Tl8eMwWMsoHdHauU8ZEKtpGRl3ykWnFIecaizYkJL5NCuj8Es +cN7PsHwfs3pXvte8K26w4EqioZb3wgSIbKzNiSxlgYo19ZDZ41GwFQwM/13O +gktNsivbAkchcXi97+VKFkSaawsPm46CdFa8bkg1C06XSOjKvf0JKmLu1X6N +q/ttyyWJDNJXfc/3OnxhAVGcHS27SAPPMlNp1WUWZHJITXaUQXjlPi/RxUfA +v2F6ZWydQZAQebPmuiABSmZa0e6p34DiYUmaIBEwyLSXDToyAOux3StVsgRY +OBhNKNKoMHrckfW3LgFdsSLDs8+6YaeULGNFn4AFNyL72cpnyKztGa8wJMDH +K39Hz4HPsFfGla5oQkC7q3uu9+pfeIp7DdB3EpDikOZ8SL8NzikFvL96iIDN +VM/3SqoUaHm7qXWzCwFfd1D4BeXqQO08u5nmRoD1Ww678GcNdLUGU/Z6E1Bh +aW3/LrASjEMvvZbzJyDzoOmV3jNZMNd5o+hZBAEHzJWLUjxe4Qeu7H3kHU3A +3U4/A7rSG7xYG3soE0uAv4lPXDy9Dne/lpQXFk8ANXfQ4Wx7I/6fXvqd3XcJ +cKa2mjW0f8AjYx9GfXtKgKbimbkx8S+4+EVRO5/nBCiIK5yPUu/Dc06dlRkt +I4AlOXKy25SKV9qbP2JXEWDTqVcvEdiPj0p/ahbACRgoY5/ZKj2MBwuaJd1s +ICBCxaf03Prv+MqvPOc1zQR4qcvve1j/HVeknhqVf0+AFEWAdGF5BLfP5yPp +dhOQz8pgB+XTcWrKya7nvQTMjMuPt+z7iR+PassyphKg7rhkbcs3iocfz9Ld +Mbg6Xxri4xU4hpfrGdnvHyOAfrbUwOzyJG6pkiHbM0FA9uG57wdsGPgHcd6A +K5OA/iu7tgiQmfiPqdYA32kCLIUM35h+ZOFrX3snhy4RsOHJMTdmzRT+qPjt +4cXfq34W3kZZmU3jRjn6ahF8bNDWU1nyq57Gba/NlcUJsyHbLSn8aOsM/uWc +Z5g4iQ0Cc9Ei884c3PdoE0rDVjm8//3EBAe/apXyKVeSDfuCro+Za3Fxsa3c +bHUZNviHCBbLt3HxDO0jvkVybAgFRor71Vl8vWKDnp4CG5rLPcn2pnN4mdhG +TimZDTae2F+xS3P4jqXE2i0qbJDQmGjT75jH3zFnrlevY4PLyzkp/RcLuPOQ +69+WGmyg+lKplcWLOK2zXq5Rkw1d1U9z9GuX8MAGrUFrbTZM2gZE7eLwcF5F +fNFHHTakkBO+xp1YxuMKp84e0F/Vs1Ifpa3no8hnHDbt3cQGHdbG/KO/+Cna +tOR/uKv80vrMS929ApT/AR7Gumk= + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVlXk4Vdsfxg2ZhWM4ZwtJpsiUUkn61qWQRle6KEU0uGWKpCgUlSkhM0WG +BvMc5SwzUeaSKY7Z2eccriFjfvv3x37283me/ay91vu+6/3K2TmbOXCwsbGt +Ec//38cdJtvR1LlDtccd7YMejMAmgy0uvyT3wJYPbKvm3jTY/So2sVDSEBRu +LnJKCdNgVFrBz1PpFLTabU4rLR8G068/CpIkzWFJx0NK4/YwKITIpG/IWkLD +ehj95+5hWDt+JcZWyQbepOxOtVwZgvP2vz9HSNpDgfGN1feRQ1BrsKW0JvEq +HPRd3cSwHwItef28edkbQDuzXTFi/xDw0vxTLZScIIQaHHSl+RcMaD4xCJV0 +h0fZyoeSUgch6hWGFK09wP8MfdfaxUE4Lvz+YGXiHRA37gvXkBqEEmaLDkvW +C5YuWH71jB+AsGzRHWeVHsAHGFpxfdcPryVOBwZJPobDpvcTVZd64f7XmFqL +8sdQVCWRE1HXCxYBQxzy1gGwLYvzS3pkLwguuD6sSAwESx9v49xdvXC3K+ou +LvsMfG4YzMS5/4Szkb2Op5TCAHSrhc6TesCPt1D5mWQUfBIJvpQd1g2qRi+e +FrhEgeeJqD/5Dt3QEeA81dcQBWP9MTHrB7tBgVPtg8adl/Dy95UkO7wL6tfT +NLo6osFJvFJ876kuEJyL1pENiYNQt3quWulOiB24Z1D8JxnOmQu+rhtog38s +U3JnZtNgp7STYHJYE7D6zPlTt6TDTwmrV5/MmyDQhtfhb4N0kH2o4Moh1QSF +V5y3lESlgxXH3CT720YQctIPuL8vA/pokqY1NQ1Q5//TitsnExp9e5JY7PWg +nUXiluZ9D+G7rbVMoqtBYMPvgtHWXCiY0l/0ki4DxbPMyZrgImCxSZrf5jwB +nt90IXb+I7g+b6J5cpVRKUWkQXZ2KsTp9ZckddVTS2gGLa0Hq0FlrTzvrHQ7 +tdoxScoSrwUV3bKZ+Ljv1AUlfeHsWw2gHriUZJDVR+VY/iAipfgFfAQL9Y5F +DVH3blwu+TunBbR46AdcFUeoLY/3fKtXbQXH/Rvp5Jwxqmw4ffkdsw0Mcn9n +p3hMUum/fw12a3VAISum3JRCp6ZNV3wRCuuEyx7lpvV/GFQhmUzW6GgXOB7v +fHnefYYacqP46muF7xDa487xoGeWunj4w+j1hz/gqX9UgrrDHNVdu7aIXNMD +hpAo7EWbp/7KqQ1ul+uFXD+uNI3QReqqY1eCyr0+OMp+WNUblqg7j/D8uVrR +D83mlXIrI8tUo1S5a/mUQQjLNUwKqFylespc2j584RdkjEYyRELXqWr4OYEf +J4cgvE/R/cfVDaqY8AxvLXGP7HRjv4lWsaFN1IRc+cRheIJPD/1uYkf/7qn4 +yWdKA3ktx37DZQ70wcTudeUiDcY+Cvd76GxCC/QtIuPRI+BhPft22okLFf9t +xBllOApxyk+25f3kRg+NA14VjY9CJs9/QS8MeZHcwPGeNwFj4K9V7MpVzYcO +7HscJak9Djqm/5lE+wqgC1tbl9k7x8HMUlT7P4PNaOFLKM3FbwIiWimK2D/C +KHaTjbuZwiT82MwpvVougsQGi1M5aifhk+3u1Mg+EuLy8TPXdZ0Cr4qcUAVN +McT2ziaXJjoN5JPqXs/9xZFbfG6oaPU07LqZFlW2IYFK1+KfVNdOA8l2xSmU +i4zWbAL93BqmwVg+yN5WgIyeyF/06GiZhmsJjzy4KWSUmMV/MeLHNJiWa84b +aJBRXaWDmihjGqSHlsX9L5ARNiLVTMLowMXWbpVXSkYXj/LUVW2hg9EgLezM +ZzJKzfyv0lWGDhl2vXuY1WSkdrOpoH07HcZmpKPlvpHRkYU78S/U6fBzl8Lw +1VEycuTpuEEyoMPqUXL0BREK+rzzKS/JiQ77+If3ujlQ0N4uytcIFzp8N1yU +O+pIQXnemS/Eb9Oh/Z5ojZgzBaV+rd+C3aUDJeTF4Ju7FPTUmUtd1p8OpAdr +t0ODKcis0P+sejQdApQqrTzyKGjigE+8SSUd5vJexPAtUtDlEcFLzYgOyiqa +DLUVCuoNTpQ/WUMHjXurdiZ/KKilvyLrTCMduj49P3uLG0MFD1Yqz3cQPD6Z +akPGkHe154jDOB2O5Bv9aN+DoQVHnrcTk3Qon1sbv78fQ05iMTdv0OmgeHIw +TOYghmztSxZuztAhNOAPGBlg6Bj3Ao/7CrF/u/WTu85iiHTcTc1fCAfR++f6 +jf/F0OsqYYEDJBx4tW+fTnXCkJZu9tSsGA4OJZwTLFcMndoxmWEricMgV9XC +1bsYCuK2kTuigIPOzafv0GMMST5Y3VhSwkGwVNOy9AmG3i7EDuap4JCkqyeU +EoShhtHOhG2aOLz1jlg8EY6hTTUmZLYDxP9/F37iSMBQ5IGJ+dKDOCzuaY0K +TcLQ9oLHnc6Aw77iL6b8rzF0OIUa/ssQB4Nk16qeNAz5PNQRoJ7GQWpapbgu +G0OCvzum7pjh8GTTM6e+XAwlOrk0apzDQeDzub7hfAyVX/wQkGyFw7f29P2o +GEOLenJsDxxw8BDgG6n8hKGAwspBnes4mPVwSFpUYkh854XPDEccOj7Od/VT +MbR7S8y9iy44KLnJGpdVY6j6xR5Lids4pORLFgnWYsiMr2PfVw9Cn54I4TN1 +GHJZElw4eJ84j+Lqn9QGDG04v++c98GhhEvAuKARQ2ETRgVZvjh0W/yazm3C +kMylsXD7Rzg4Ru6pTviCoazv/s7SgTisJfW99WjGkN6pbae6nuIgm/bZS78F +Q1/qPquFBOPgTu4TniPYSt9awDAMB60Xp49Ff8XQVNHS1Go4Dq/emC0pfcPQ +XbXoxsJIHIrfHWBPJ5g3bXfmv9E41Nr7HBRpxVCMVHuAfBwOZd0FAdcJVop0 +su9LwGGWFl2WTXAxv6BBZDIOVdsE8n8RbOj/Ts40BYddAZjpH4I7l4+xcaYR +66XM2vG1YcjOdXSwPAMHCtdCFxvBs5N+n93e4XBSK9tnlPje97JsomoWDvF3 +u3SLCBbp+XSPloODt5fFuDPBr05bWcbn45AWe+YyhWCNht/7zIpwKGJJBLwn +9l956CWZvxSHCktNbRWCT5ZoL1R9xKGAy+ZoJHH+fvW2Tq9POLANJCVOEvq8 +opN8OKk4XBnQcd9LsN27v5VCq4g8eGewuRH6Kl172UquxWHuVofS//WfUvhx +93U9DhtHvzXnE/5k0bDtqk1EXpyGuooJ/1xeWzUXNuMgLfRYOZPwd1FqUKah +jcirm9vhs4T/5T9lG850EusPUjv4iHz4xNi69Hbj8FUw41gukZ9NYmPVjF4c +hvLybyEiXw1tSjc9B3A4YpjB3EnkLyjsugT7EA7K1R34IyKfJH78mvgYDuJT +ohtLZRjqalAnJU/g8N5/hCZRiqHYAOdy5WkcrEWvj2wl8r2VfU5Qj4WDyHpX +/3wehmiVe0pqZ3Gwuf0ksyoHQ+nedy6dmseBgxKgfS8LQ2pLy/m2y4RfR6c0 +czIxxCrWs6av4sBtYXBHJZ3oo9s+mzz+4CDxtk0hPBVDuiy28085GcDW6Osg +S9zXY+M8azmbGZBxTFLb4AWG+NJM0veLMOCvvevulDAMtdgGn6oWZYCjfojP +d6IP/h4QSummMEB3djVSnOiPy10Sx9bkGBBkLtcd5oEhhYjzrAAFBgxJG/9V +SvTPxOm4WGFlBhzhCB1rukX0XbM0fbsaA2Qcr4VkO2DofrV8uMleBpjuEEy+ +aY6hQ74Oup37GaDun702ehpDHIcyaRf0GMBbqClhZIqhpx9VdVwOM0Ap8Ype +4xEMReft6o0+zoCwIyXDn9QxlJcMCqM2DPghfzTbnh1Dc/fmeGxtGbBUr9oY +vkrMi/OZ9IErDIg9bF2VsUBBFcLChT+uM+DxcqpK+BQF1fsOHml2I/QQKOw9 +30ZBfXY+lwoCGaDazZ9RGEdBW2GXgeYzBmzVeFbqFUHMB6kxpaxgBsTzsnao +EPNkvPMEMz2cAZ7ZHCRDbwqaMZT2iYtnQEOG2V83LlIQt3JFvG8OA4ZlK8r/ +laIgE07nB+t5DJDNc+qqFaWgkF/b7e4VMmCDV2Gam5+CSLFBKu5lDHBo2Dtz +dImMpPmsyq5VM2B6uCU3p5OMtOlL3ae+M6AkMKtOIZCYt7l7STJ/GFATvHnp +yk8JVGK1JNTGxgT9B3pSrS0SSIi7XPARJxPYObqTZZAEQhf0ead4maCT4HzN +PkMCbec33CgSYwJrWm9rtKsEGrc/yzihwoR9nCYfnTgk0CERMfrGTibUPONZ +YJ8XRzEVXZMFGkx4W+HqcmdMHBmL/jOK7WHCDo78yxyN4ug91aZv9BAT6h6t +6L8OFkdOW242eZsz4eSQ33iFoDiqr1Nv0DzPhHP7PeOOrYkhWVdWLc2SCYZr +jjo5dDHU1uCGjC8RXHMpVvSLGNL28CoVd2QC30SKuEKAGPrdGpie9ZAJNz5k +6y3NiSLfgBS//vdM0LUV2W2YS0KbPXmO22YzwTrcUzIjnoTir98SHc9lwgEx +UZX2ABIqNNV9wypigkXKAPK2JqFxUnstB5UJi2HptSucJOTGuS/0SRUTpPP/ +uuzJEEEb84nnBGuZYA/2sTnfRRDWc31cookJh9SrzQzeiiDTZDZelU4mkJ+3 +9X00EkE9z6+2ZXczgUdaVAppiCB7v5ZY7R4mFD/XE7shIYIe2MeqHBxgwmzv +xG3FGmGUp6plenqCCV7WS633p4SQvnS0WNcUE3avVAi+yxNCXzav9f2DM0Gi +7HBd7R0hNDLTcNNulgkm2ntGAjc2I3LppTCPVSZcN8t7zsO+Gb15W2exsk74 +zyz65vtZEGnF75R9yMaC5c6LPTvvCiITn9+5T7lYcIAZEeMxIYC+O128u5mX +BRwGNsmFCQLI7nLN4Qh+FlD2rW+5dFIAeRs8b08QZoFYiGpeeyY/4tNZiNsm +ygKavpBm32l+FK1kbZcuzoI10oehljk+tB2rUlWlsECouo7CHcmHcvmU53Ik +WeAh+uFqmRofOrgaUrFbmgWejlZ/Oqi8qBH/71HZVhY4v9c0GjrOi84N/nNC +X44FZz9KuzY28yBaa6V4tTwL+ozrz1sCD3KuUhg4psQC4/H+db3X3GitICi9 +eQcLXroOWCiPcqGnaTO3zuxkwVDarSnd2U1IItpib7c6C74K8QwLy3AiJVrY +7QWCL9fteKDYxIH+B1tg5dU= + "]]}, "Charting`Private`Tag#2"]}}, {}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>, "DynamicHighlight"]], AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], Axes->{True, True}, AxesLabel->{None, None}, AxesOrigin->{0, -13.815510557964243`}, - CoordinatesToolOptions:>{"DisplayFunction" -> ({ - Part[#, 1], - Exp[ - Part[#, 2]]}& ), "CopiedValueFunction" -> ({ - Part[#, 1], - Exp[ - Part[#, 2]]}& )}, DisplayFunction->Identity, Frame->{{False, False}, {False, False}}, FrameLabel->{{None, None}, {None, None}}, @@ -244314,7 +305085,15 @@ IogFdKQCH5wfp/XIwxK6kZi5tGMjZVlFb7YSkRk0Zz3FWM5PYemAzw== "freeformCursorMode" -> True, "placement" -> {"x" -> "All", "y" -> "None"}}}}, "DefaultMeshStyle" -> AbsolutePointSize[6], "ScalingFunctions" -> None, - "AxesInFront" -> True}, + "CoordinatesToolOptions" -> {"DisplayFunction" -> ({ + (Identity[#]& )[ + Part[#, 1]], + (Exp[#]& )[ + Part[#, 2]]}& ), "CopiedValueFunction" -> ({ + (Identity[#]& )[ + Part[#, 1]], + (Exp[#]& )[ + Part[#, 2]]}& )}, "AxesInFront" -> True}, PlotRange->{{0., 0.9743602044100654}, {-13.815510557964274`, 3.912023005428146}}, PlotRangeClipping->True, @@ -244364,204 +305143,2336 @@ IogFdKQCH5wfp/XIwxK6kZi5tGMjZVlFb7YSkRk0Zz3FWM5PYemAzw== FormBox[ TemplateBox[{0, 0}, "Spacer2"], TraditionalForm], {0.005, 0.}}}}]], ",", - GraphicsBox[{GraphicsComplexBox[CompressedData[" -1:eJx112k0VW3YB/BjyHjM8zxLSCpE07WLJw3KkDIkU0gqFQ1CikKFRkmmMiUy -Vkop+w4hkcxlSOZzjjOQzNPrXU/7+fCu9e4ve91rr7XXte7/ta97/1TcT9l4 -spNIpBw2Eul/77s9KY2IemCrYVPrWdFXZCyU5+XKGzKxUNj4Y/FzGBmzd0gt -GB3LAMENpIZ3h8kY/1Kok7liAdRwMPbybCFjGtZMSkXUK2j0WTh5W4GMXfhq -AvF/3sKIu1R+1Bw/JvVK5CcbGw5dOolfMjv5sdd9pnUNm8uh8VCPwJsSfqzc -J1nOgV4JS7FFi8MP+LEJzS1CeSerYQazq+k5y4+xzzwXltOoBdE9eiN2VvyY -0ZLr6/35dTD9+elo8Gp+rO6awdcq7QYQuogN3uDjx5TujMxkM79B0K6ZiOx+ -Pmxkqudnq34TsNUpKR8r48MyaKW1greaYWeseVVPLB8mqJDFGhhoAYHzipRm -Hz4s+lix1xP1NkiPy7M9sp0Pm8SeD3hfbgfFgKMc4hJ82Nl1la8kK75D2JeV -YtZUXqwnvzKqUaUD5o2DG7VKeLE5n5bEVYGd4HASj5gP58V0tnEvepV2weTr -709sDvBi5mkqR4ukfsKo7gpHR0Ve7IKCi2qvUw8c9/uuUknhwXTpB/jb9/6C -+z2No5QiHkxMaJSncvYX8C82pEX582CceGKBWlIvODr/iPE04sGOG5T+4N3T -B7t1BetjRrmx57vcn5RN9kFvSQZjIosbmxiRFR6K6weB3N1BJq7cWPF+c45Y -swH4kyHMESTAjV3eGf741dAAdEvHK8+948JUund/Tw8fhGNq1PuXPLiwjRuu -xcqsG4LMyBbwWVqBOSk2zLA1D8G9rDkv7NEKbKI2pu906DD0uC8wvJVXYPGc -zmdt1Ckg5+VJt8jgxMR+FqexV1JAWWStRq8CJ7biUqityRkqNLKdSY8J5MBI -2c4FfaI0UBuQ1b9Sz475JRTEiJbTIPHMDZ36P2zYB53rPCK+IwCDg0eOTJMw -kd1+umGCdBBJtjgZ8XoJulZ/a774ng4PKR6pYznzUJgC6gPODOjK38Yp1zsN -hwuMRBQWGZCjjraxPxyHK+GpoV05TGg3NJNd0h+APIpCoqAFC7IkdTgt1fpx -0v+5nkhYRtyUuQY8Idrp0w/IWHx3oGnxYgrsqDTY3HyKjEn3y30RkR6BUSUz -MftgNmx446WEXWUj4F2quDB1moRNblIhhXjSQTAuSMV39yLsGOKezxdgQFzy -H5FHI7PAtbI04Uo+AxxGCyq0L0+Cr+yJz8G2TAgziCs0VmGB5BuXW+fmmDDx -tD7GK+DT33p7/tbbjHeviTSNkTkL5+8kkpRSyRh5PM5QKfoRGFpXh2tdJGOf -yjx1RRk0KBIV1hx4y4bZvAyzXh03ArIqLl/2viZhnBW7JEkb6XCT21F8FdcS -iPDRj4oP0qHGccfPE81z0Ol+yeVFBANudsSkry2bgiEPa4bFKiZIhlle0X0z -BntSSDyrmplw3TrGokekE7yNHo3xXWRB3qMB560PJnHr+x0++zRvwaDODV+R -aDIWXH6h33NoBK55CDwT1SJh1FfT1Lk7dFgodZimhS5AULnanV1GDBjbLrYk -NzYD60amW/e1MeDL+tXbGIoTMNUQkZl7mQnzjKLf55Vo0NdQJl6uxgLeg09f -16lU4v/uT8Pf/anA7TymPtyT8QB/SxW/liwyVrWQodfSFAdHvSWbGJfIWFIu -3+F77TRoFHj+JLudDbt+asVqpbAR8EvQF3jfTsJucjmrbFOnQ/JjcXKByxJM -yv1UqP5Ghw2BGsVS2+ah6srPbV/8GPDakDe432YaVPnMll6JMWEOd+4ay/8N -QyKNlew4E6wthO3dV/TCzduHqqLdWWBYZbuzksLAA1piA+hKN8Be/fcS7Q4Z -exEyW2bXNAL3o/anz1uQsNPT5InNQXQIW2334ufwAri2SOyYV2HAu3HW9I/T -syDP61hytJwB1rc/f73TPAHrzl18I+7DBBWmgDTrLR2CTW83JgqxoHroNZfF -4TsQ5nvwD9/FdphNxSImX9Xht/JEtaw1Q8DTUOK9VSIZy8GdOwe2MqF+bja5 -Pm8UCrX191gOM+GFOv9UjUMzeMq82bMrhgWP2RQP3eLkRO+1Y++bPWBC1+2J -vM27h2GnE9OOsoEFu5ybyyrH2v7mgf/N4yW+p779RbKMLZAePC1YfE7G1Dl0 -n+udfwB8a6vK7K+QsUi1w+ea6miwPsx1s+UQG5ZWXyUrHTACcTrl/FoUErZP -i/LUTYYOaicurvGNWgKqenvAk6rldd0kI/D8PJQKCb1s92bAAd3OqKqEaUBO -W3ioPEzwHMvZ0qo+Di/3mKSzXjHBI1lxd2hGH8i/f1+4144F2c+ypC6vpODk -iTOXS5MioDmt2MDnPhmr6yrNtaoZgU3sm85IuZCw9bIPAw+fpgMMmWbcllmE -/d2Cqa1SDLBQVK1qzp8Fkfibq86WMACSaIf8tCfhW7Uf2unCBFo6t+etcQbs -ujRVcH0FC/TiamgzSiUQr/OuOtq9E5peZqoNln3DXzPrDFlKF0GztOOLegoZ -2ylqPyBtwISmF89SGg3GIMQjftXmbibsa8+48suyHdp4jbl3X2NBubltpH/o -PO54KSYp4CYTbCpNit+9p4BE3EGj1tUsoCnR4i7BN/zf/Kv/5v8e5+kLSzuo -6Qt7h9dutMwgYzPus51fTrJgYVPki0zH33gB78rxfBkWJG+MD31mWYSfKPqt -fON2M1h1GX5SpVfjndfuGtUk9MOBc+yNXRf68EDViItz21gQGl07Lgk//+Zf -8Df/dHz94/iklzJmsL96xCArn4w1hZ+idlbHQoijzY07oWRs3jki1K+aBgus -fvn7DDasMDjrrrj/CPyQbCndM0rC9E3yqGNidDjXndcrmLoE7tn7NWM+0kG7 -qNKt+c48GNlljXQfYcDoEm+WStk0CHK9I1/lYELQsWMHXZzHIcH7pOhQARMe -NS5GLpzoBw8bhka+NQvcEpvVVO4O4gfDf7GrHQoHLdNU+4JYMtYRlaS2t2IE -KkbzqYLeJExcx+kDw4cO115Ef5Fetwh1blH7ykUZICJ01Mqpfhaie1TdA18u -919XoKHogUlQOsOq7HNYnt/F0Q2tUUzQT9BRukxigZnaoF9SG4LdQjmby5LO -w6e7ax2SHpOxh6UtlBd6TKjzjm68fX4MPELr4td9Z0L2zW83pTf8AKUn3sE5 -Ict5Vg/I1hnP4M80+VJFw5lwfuPwwOIpKsy/uJn5RYsF2nErKplra3F9tS2F -f5SOgQvHYW3Op2Rs+4y2ta43CwLnYor23R/F4zQPuWeKs+Di557x168f4W7w -jjK2mQWmgTPvba524PO7jzx003SGVtzd8ks2GWvWiN7EcGLBUX4Fg1w6Db/l -z32PeoMFwx8L34g9JqHCus9ex/1Z4ERS8Lxw4A/OaSp7ukfGAFYvZA8cKSRj -2uZ3r784HQswt1Fg9fL/5Zv5hMjyShq46/U7rR1jw4xapOrvnR4Bl7u1gg5/ -SNiTj0L8G0Xo8FNsT0XD8yV4PCJyiQOng829O2+pyfMwHjjO7ebGgO67Cady -GqbhteO04DcSE0h0/331geMgcIF7t1seE+SqcNbNhn64nhzu+nMfC/aV+t8J -PjCAB9U/rDz47hpkxbT77V8+3137yS5f0AjI5TlsCT9BwsJflv009KZDxoLe -wK6ti8CbsSvTWJgBH6a2SlzrmoVdHKdCFgoZUMrnsmGNzyRUfVpdvcaOCe6P -sAN9bUxIf/bp4OwCEz4iRc95nQqIfSyNNA6dgy5rV22nJ2Rsq7DYyJIOE466 -rmpiezgG3297fctrZcKdVR8t2TQ7wGyE9KYjiAWbLil+ets+hVsF7Ux3CWMC -9jDFbF0NFU59VO/eocmCGEktvkjVarzSVPZNRZIXLB50OEBZztvLrCLKyZMF -za/cpbNCWDiv4cQjZVEWcKnPmyYsnsFb9toqn9zIgjJ5F5PQ4u+4erRC5pKS -A0g+FDDvzCFj06fVzys4skDO7Pea6qtU/EXZ4zqZSBYkuCg9vxK7iLdIuacm -nmbBjlougVKrcXxAXj30guY+WBldcmlLHhmbtf22LTCUBY8iLFjZH2dxH61T -dm+jl+dVcd/VxE52RPhDImvn4RPqQ0D4w9hqKE6ruBMIf+zp6V+nuLMZCH8U -hXNNJ1z/DIQ/gH3+0NPhUiD8oWaU28tudBcIfxianuVx6CzECX98H70SwpFc -gRP+2LOXY+33019xwh/n5ETOJ21twQl/fD6y/lPIyx844Q95nZLJ7y0/ccIf -xsZFgVcnenHCHxr+19eYVAzghD9qnoVVbvIdxgl/LMgecNH1oOGEP2gP/KdW -uzFwwh/6t5TICsqjOOEPlfWPS9a/H8MJf+Sc6Tirs2ccJ/wh8Mng6FqBCZzw -h6IQz/Z9nZM44Q+blhYraso0TvgjRTmltid1Fif8cTD26Pm05Hmc8EfFoP4x -rdxFnPBHQ+7IWcEIEiL8odlWEBZVzIYIf3TynJh4IMWBCH9cj4t7OH2HExH+ -sG098OSKMBci/DFlw0V684MbEf5gDYTNJ73kRYQ/Vha/+FX+jh8R/lAuWpN6 -dZMgIvyR1JaXJBQgjAh/+OqNKx81EkWEP7pr42vSN4gjwh+jq1VbTn+QRIQ/ -Il2qq62eyCLCH6G3+Y2VrigjwgMCrU8KDNPE0f/ngYSsbY9Wn/4FhAfWUqN9 -dI3aYV2uCJc8Tw4I+SUGb/zeAM/O6vmaaA9D7Yniq64WIqhq+Nzz3qZhuJ6R -f6tKSwwdHLsRpX2WAuuYi0ISHhIoOi9xLlmWCuUfAhpuXpRG7GKjbILlVPB5 -5lXqCArorUpkSqAPDZzr9xxPcVdHhA+yvu4c13JQRIQP/q2fExE+2K/q0Nyj -1weED/zM5H+8P/wDPoX9cOS6lAWV3gs3jt9rhLDPiy+TF4cgYWXvmo89wsj5 -B5v5qpxhEOnN5TuaK4qmZscvb7WggMr24OL5GnH07ZOWpRWLAv4rZle23JFC -nDXGz9MfUcH8qyxVsV0OOQ3+fpq/lQaPsrNicHZVRHhhMkNfM0BVHRFeoAbk -POcmCSHCCyHRpfExcj9hzSRVKGFuGK7Mg8GWXDH0aljpoWQSBQKFfX/a8Emi -nQ8En438Q4XuLS3nzgTLIDbnLPsAGhVkpHXFfOiKiPBB+A6T+aOT0ojwwb/7 -8+c/H3zmTmNJuPUD4YOm+UFb97AOEPTdEh604Sl8HlnxadXxJmCND7U1UIbA -8OA/b6yfCyPtpmrx+gfDwOkmeDnztCiqWdIK3GlMgctuUws6l8XRb2tWQPYP -CjxOn+l/oy6Frql2X/YNp4I/l4bfcSM5pFet9bxGiwYflvw0TuiroNnDvOxq -y154VmnwZe9WKUR4wSl1K/5piygivKAao6r41rcHZpkF3VuGhyF5M6flvWNi -KJxue1f5OgUG9D0HioslkI9Ka6OZPhVmC89UOkxJo7CSPatN26hgNuPYnsav -iChgneB6hQa14rSIjT6aiPCCeW6Ah9pJOUR4IRbORFqNL/7nhXdzfUG3t/bC -1ZOxbd/zqNB/xDpb9Jo8usnxIS3Wdvl9HXBNikcNEX6Y8jAR/iqhggg/CIwE -u8lLr0BXODiu5S0u59Vf7X9iSAkRfjipxlnwoEwSEX74N6+B//wQ+aH+m5jh -ABB+0E4oCWqV6YSXR07Jvo7NhOr00CzJH02gyXuIQukegved25qi7gqjO19z -5lKihoHbpkbJbr8o0g8zvbBXjwIvYi6LqxwSR+zxTQJtXymQk7r4+sqoJKq0 -XEPbH0QFvob9PKo0WSRVYprXqkCDH9uqLT60KCPCD723pA0vnhVDhB92uVq8 -iRH5Ba4j9yqFuofh7Xprw987xRDT99QtjRAKiPs729+IlkBBR0u8zmhQoaL8 -8Ja4N9KofDD5oVbd8vf+T3CSbroC+qZ/IunkORqsrKb9vmmmgQg/bCcHM5Mb -5BHhhxPvcmeYAuyI8EN674TL9qJeqEwYwsrSqWC08YbNbx155P+nPSN1Fw0U -Chpdwi+pIsITt3m889hdVRHhCR2Jc37D9vwI6R9g3vtDBd0o46xhTyVEeEKn -z81IqFUKEZ74tz+Y/3nCVWXCfbC0D/5pE8guXU+DZ8G3E67GqiDp795DEp+Z -0GK+QmOQeyUivDHTefyu2rgwWtpnFL8/igbbIdNYfngl+s8bfDfkKmpn8DTR -tCeRLjRY4yWf5pqlhvpHq0+4jzHBzHRv+Q+yMiI8wrk1XS/HhBsR/vi3f1r+ -88fHeGuOhpoBIPzh9F7987xXJ0Q483juN80E9sG3xueFm+GjmMSZc9+HQDbQ -MrHymjAqt5BnRUYMgxZWcbHZTBR5VHybsFxFAVKoBcl6lzji22Rmf+IzBYq3 -n85raZNEHl72j3ecp4JXR8QivVIWRetb6zlJ0yDJ/BxXSrYyIvzBadoyOM4v -jgh/dJictTPFfsH9Y5wl0+3D8KSPm/ursRhSLZwna12kwMY9ifeMAyTQNT7t -Im5lKlwKfLDiULw0cp+vspCrpsKx0LD24tMKyCz7osKfUzTQN1nnHv5VHRH+ -0JI8tz7vlgIi/MEriEkGMXvBo0hosjCFCq8ZuSZqbPKIcmJQQ8tsOY/JmHiv -raqI8EhJ5joZO101RHiEmhI932AjgFzNQ9LDWVQ4FKKpfEBLCREeyXxLa5P1 -kEaER7wqs54n8vXDu31y2tv1aHA48Ti/lZsKWvqTdIBcyYQLRQ5fzVW0EeGV -C8embvUliqCz1aYyHeE0uH/8y4458ZVIMqpARdCRBhFC+/JybNVQrcB8pz2d -CZV9HR+lopQR4ReB/cbKYeX94FTiLDccSAO9/MTF6K8aaDGoVk3Okgakzsnp -20gVPTT3ePdPHxPk7+kl+haqIMI3CwfsDT7k8KB1pQYXlL4y4ey8k22mo8Z/ -3tkTIt6agwmjm21aUvV3aPD53O16aZ1VSC8hVonNkwYdohzhD0jqiPDQF3pJ -2Qb9QSA8dOuD1a6xmE5gddrypclmglRwB/823WYQlPErLm0dgqla1e9HQ4RR -F3+r9/zVYfin3dY7Yfk8WDwiZdioSYHst/tEzmPi6Bb50qU3VRRoFJxtkamV -RK/G6DO4PxU2hdeoRL+QRVX0dt/HEjSYDg5m5cYqI8JD3XL9zNV24ojwkJzZ -ChVh+1+Qq/Vh7cnWYWgvjn5XpS+G3luZWmSfp0Dl/UFDFV8JxNPl3majQIXn -24xKBq9LoxeRh7JiK6mQ+osUN2ingEI8Sc4bTtJAfbhvdiROHREektrVhB/+ -rYAID73M+ikHgn3wanOGlXYSFV5do8vz0OWQ6qoTXse30aB+yZFPTVkVET5y -MGOa86eqIcJHCyajh2z1BVFhk+jiIp0K9zVd5HT5lBDhIy3+YPK3XGlE+KjR -5bJWiUE//OFL8wnXWZ7nJ792JexUQX4cG2IiPzIhalVn67DkKkT46bR9UWkU -QwTlX611ULhKg25FywW/d5rIytzI3dqOBvIf1gyXr1ZDW+TjxFqoy/27tbr1 -5VdlRHiKFWKgyTfTD3FnBw9pB9DAoP5H54brGsjY2NrD2YIGLm73rc6kqCK+ -g4tjqGd5Htf7l+qvUEWEtwIKOzw/avKhzM9f8xO+MOFPcLz2ViVNRPiLd1SS -Zp8ojCrvFdgJ36LBr6Fqp50pWsj7oJyrmTsN8ps1Gwab1BDhs4MmcwI9AQPA -x/jdVehHAxNhnqVRbg005rfO7U0HE8peXWIb+6iKCL8ZrzWo7HIno+ghdsW7 -VUwISGHeXYlpoY38H77HXaeBmPhrdCp4JSJ8F38vEs7s5EJluQmQ8Y0Jvf7H -reGVOrKSTWu7cZ8GEpP4dL6iDnrWE2hA+cCEJ0u3H91M1UFr7m0pT1p+Tqz/ -B/8tLaY= - "], {{{}, {}, {}, {}, {}, {}, {}, - {RGBColor[1, 0, 0], Opacity[0.2], EdgeForm[None], - GraphicsGroupBox[PolygonBox[CompressedData[" -1:eJwl1Xd0T2ccB+DEJvaOHTUSm1ihxN4OScQeFRUikdhKW6PD3qP2DELMVged -dA+zNTvppJMe3XV47skfz/3c8znvub/3nN/3vTciKSM+PVdISEgoz7h8IB+R -fdlOPdaRQQlWMJYu3LN2oRxJW2pwR/eEHExzKlGID/UzZBz1KRma86N/iI+Y -6T6eBpQilD/1pzjNGc5yjvN8zCdc4CKXuMwVrvIpn/E5X/AlX3GN63zNN3zL -d3zPD9zgJj/yEz/zqL0k0JDS5OIv/S885r4fjShDbv7WPymH0ILKhPGr/nGZ -SGPKkod/9E/JobSkCoX5TT9L9qcJ5cjLv/qn5TBiqEoRbulnywFEU558LCKJ -WGryn3Xz5HBaUY2iLCOZjkRx27o5ciBNCWclKXQlP4sZRTs2MJFarGU8vdjG -dP73vPlyBDtozXomEMEa0thND7Yyjb1ks48KrGIcu+jGZqaQRQGW8DA7ac9G -JlE7NGfG0+nNXftZIB/iQapTjOWMoRN1+N26uXIQzajIalLpzhamUpCljCaT -DmxiMnuIDPblWe+zm7eDeeA9NvMKXdjDO8E5YjtvMJBdvMVEVnKExsF/yU5O -khzMAFt5jd7BLLKDE4wIzjfreYnWjArmmE28TGcGMIEVHKYRo4N5ZAuv0ovh -TGcdL9KKpODMsJHjdKI/GSznEA3pyTCmBe8eXiCGjiSSzjIO0oAeDGUqa3me -lnSgH+NZygHq050hTGENR2lBexJIYwn7qUc3BjOZ1TxHc9oRTyqLyaYuzYgl -jnEsYh91iCKS2tSiJjV4gOpEUI2qVKEylahIBcIpTznKUobSlKIkJShOMYpS -hMKEUYiCFCA/+chLHnLTlLb0JYWF7CX4DnRlEJNYxbNEM5KZbOAYbZjLNl6n -D5m8yVjeZQFZITnflvv3irNy - "]]]}, {}, {}}, {{}, {}, {}, - TagBox[ - {RGBColor[1, 0, 0], AbsoluteThickness[1.6], Opacity[1.], - LineBox[{1, 167, 136, 198, 104, 194, 163, 79, 190, 159, 129, 61, - 184, 153, 122, 97, 51, 177, 146, 114, 89, 71, 2, 168, 137, 105, 80, - 62, 52, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, - 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, - 36, 37, 38, 39, 40, 41, 42, 169, 138, 106, 81, 63, 53, 43, 170, - 139, 107, 82, 64, 54, 178, 147, 115, 90, 72, 44, 171, 140, 108, 83, - 65, 55, 179, 148, 116, 91, 73, 45, 172, 141, 109, 84, 66, 56, 180, - 149, 117, 92, 74, 46, 173, 142, 110, 85, 67, 57, 181, 150, 118, - 93, 75, 47, 174, 143, 111, 86, 68, 185, 154, 123, 98, 58, 182, 151, - 119, 94, 76, 188, 157, 126, 101, 48, 175, 144, 112, 87, 69, 186, - 155, 124, 99, 59, 183, 152, 120, 95, 192, 161, 131, 77, 189, 158, - 127, 102, 193, 162, 134, 49, 176, 145, 113, 195, 164, 88, 191, 160, - 130, 197, 166, 70, 187, 156, 199, 125, 196, 165, 200, 100}]}, - Annotation[#, "Charting`Private`Tag$390790#1"]& ], - TagBox[ - {RGBColor[1, 0, 0], AbsoluteThickness[1.6], Opacity[1.], - LineBox[CompressedData[" -1:eJwVztdLV2EAx+GflQaVQppl0FDIIDDTEq2ECoKC6EItB01UCAVH3ZhaWmSD -CqLAKG8cF6H0n7gtK5uaMzUrhXIG9nTx8HnPl5dzTkxeaUZJUCAQaKaVcg9p -NBLHM0pZz2MKOMayu/c1l0Ps4Lftlp4hmS2soc1eoensJvz/B/kj7VQ6ZxBP -BEHM2jvopItuenjFa3p5w1ve0cd7PvCRT3zmC/0M8JVBhhhmhFHG+MY4E0zy -nSmu+ZdT7GEDK5iz/+C682kSiGQl8/YaPUsKW1nLT3uVZpLIRlaxYL+t59jP -Ntbxy16tWexlE8Es2u/oeQ6wnVCm7Tc0m31EEcID8jhMLEvu3dULHCSaMB5x -iaPsYsa9m5pDEpt5QiHHWc1D8jlCHVfYyVOKOUkDV/nrfff0Ik2k8pzLxFBL -ES84QT1ltPCSfx+hVDE= - "]], - LineBox[{425, 282, 402, 362, 420, 328, 412, 372, 423, 264, 396, 356, - 418, 321, 410, 370, 295, 406, 366, 333, 253, 392, 352, 316, 290, - 271, 399, 359, 324, 298, 242, 385, 345, 309, 283, 403, 363, 329, - 413, 373, 265, 397, 357, 419, 322, 411, 371, 422, 296}]}, - Annotation[#, "Charting`Private`Tag$390790#2"]& ]}}], {}}, + GraphicsBox[ + InterpretationBox[{ + TagBox[{GraphicsComplexBox[CompressedData[" +1:eJx12Xk0VV38+PF7zcMl8zxPmZMomj5HVCoZmiRFJFRCpQEZi8oUJYRKUkpE +SSS5O0RmoQhR5jtnTIjf/a7nOc9vre9aX3+w7lrWXWedvc8++/Xequ5+e45z +EAiENPav//m78/j4Z0TZv7lu3bsAsdckLIKveOUN2WQo+/xtqT6ShB10elj4 +ayIHxNcRWsuPkDDB5YjD25UKoZ6TsZtvEwnTdGCOV8e+hpaTf0/fVCRhF1vM +IW36LUy7S7+IXRDEpF+L9hOJZOjSy2h83CuIvRm0bGrdWAWdzgNCpWWCWNXJ +e/JO9BqYT365NHZHEJvR2rSi4HQdLGKOnwYCBDGOP89F5DUbQGCXIc3RXhBb +u3z0zd4XTTBd/+TXZQNBrOmqSUutbivIBGIjNwQEMeVE2p9nzDYI3fEn+tmQ +AEb7PdD/xagdFhuVVU5UCmA51HcNwgkdsDN5e+1AsgAmrJjLGh7uBP4LSuMd +JwWwuBMlnlkaX+FhSsG+Y1sEsFns+bB3WBeoX/LilJAUwAKMa15LVXdDVONK +cQcKPzbwoib2s2oPzJtd/qxdxo8tnOzM0AnqBefT5OjFKH5Mz4J3yfNdH8y/ +6c7as58f256t6vVSuh9+6XMfOqTEj11UdFX7eXgA/M92q9aM82H69P2CXbt/ +QMLA51/jL/kw8RW/+Grmf8CKpdbs2HN8GBc5o1A98yc4uXyLP76WDztl8u4b +/65BsNEXbo7/xYs93+GeVTk7CINlOYyZXF5shiYnMpoyBAL5O4PNj/JiJXu3 +cyZbDcN0jghnsBAvFmYd9eD16DAMyKSpLJTzYKrfd3Y/ihqBE+qU2yEePNj6 +dVeTZY1HIedaJ5xc5sYOK7X+IXaMQmTugid2lxubaYgf9I8YgyH3vwxvFW4s +jcslYI/GOIh5Hqfb5HBh4v0l2Rw143BUdLXmT0UujDskYp/5GQrEE888ig/i +xAjPXAoHxajgMCRnFN7MgZ1NL4wXq6LC4Zgbes3TROy93nU+UV8auI+OHDs2 +R8BEd57VjxSmQ3eWzenoN8vQZ9DWEVhBh/PjHg8n8hah6D5oDLswYPy5BZf8 +zzk4UrhWVHGJAT/VkQVH6hSERz2M6MtjgnbVFrllo2EoGFfMELZhQaOUHped ++hCZ8L9+siTtomNkr4J+qO6juTskLO17kGXJ0n0QqzHZ2OFHwmSG5BtFZWgw +JmklfvAyERtbH5K+o5IGBpVKf3/7E7DZDaqE0ON0kL4VrOq7cwm2jfIuvhBi +wNb706J3afPAs/JdevgLBmizCqt1w2bBV86n/vI+JlhbpxSZqbJAqtQ14fwC +E+aTmuM9L33893oH/r3eDvL3Vdcs42UD4EFiBkH5IQkjTaWYKsfdBV2Huijt +QBL2sfK4vhiDCvskRLSG3xKxPcWRDgYpNBBVcm3c/YaAcVXvkCKsp8M13kMS +OjzLICpA95IYocOA87Z+n44F6HUPcX0VzQChvvhHqyt/w6iHA8NGhwmW1+3C +9UsnYNd9Ap9OBxMed920GRDtBe+1dycEAlnQf3fYZfOdWbLD7Z6TtloJ0KB3 +w1c0joRdrro4dHyUBpeOCz0V0yZglNdzlIVEOhwtd5qjRvyF4Cr1xB1rGZC5 +RXxZfuIPGNPmvth+ZcCdtQYWDKUZ+N0a/Tg/jAkleS8nLyhTYbC1UqJKnQVf +3Z+8aVKtIf9zf1r/vT/VZEeP3+9vyXrAn92qZztzSVjt3xzDzvYUSPeWameE +kLDMfIEjt7qo8HcyL+tZFxG77sdtoBxJg3NpRkIVXQQshsdF1UKDDirZEqRC +12WYle9XrGujQ1WgZom0xSLUhvdbNJ5lQO4a/stDe+ZATcBq+bU4E5hkl76J +F5MwKvq5hoPMBP6xYqYb90+IuelcG+fOgpSGfdY14wzypc7kS3TlG2CjMblM +TSRhr0LnKx3baVAes/fRog0B858jzWwMpkOGtuOr/rG/cLRTctuiKgPcJ1hz +3/znQYH/UJlXFQOuJtS3JHbMgPH5wFKJk0xo/ywkw3pLh8uWNz9nrGCBRXYp +j82RRIj0PTAtENgFRtlY9OzrJnJCgZi2g1YotJhKVthnkLA8skvv8Gb2/Fua +v9dc8AuKdI122Y2xvy9C8Pcnpw44Llu6a0c8C9qISs4JXFyoQjf5ttUdJtyq +minYuHMMrA8zHcfXsUDvSEdlzcTXf8eD/O94FJN3NXe9uie7D3YmPSlcek7C +NDj1nxteuANzRrWVB8NJ2DX1I+fbm6igE310o90oEcturpWTuUQDb50qQe1x +AmarPf7ETZYOn08GrvKNXQaKRtelrFo6NDXMMoIuLMK7FSuKu7wZ0KbfG1ub +Pgfo8CY+Ch/7+n/lbfqiMQXFu8wfsV4zQWa36s6InEFQqKgo2u3Ifv6f5UqH +rRwnk2bOhL3LjIb72SUmJ2+TsKa+d/n2n2jQS9xwRtqVgK2RSw064k+H8hHL +nJuyS7D3u/DDL9IMOKukVtvxYh5E02J0AsoYUHef6nxWdxba6s4ia1cmTOfy +Hk+YYsCOkN+F17lZcFi7nvpHuQzS9Mrr4tx7YbL4sfpIZRv5DbPJlKUcCN/K +exo17pMwa7GDwzImTHAtfnr/s8kEhHqk6Wz8zr7+6pzwH3Zd8JXfjHfnVRYY +btt37VzEIvlQSHzmpRgmbCgzLymvGAfJlANrvxiw4OdqakoItJH/Gf+6f8e/ +gsw3GJl9QMsX1oytXm+XQ8L+uM/3Np5mwd4N1149PjRJLuRfOfVClgXLymkR +T+1ekn1eTqrcuNkBoX2mH9XodeTeq0lrP6UPQfB5js99FwfJQWrRgQsWLFBM +apiSgv5/x7/w3/F/RF7zIC2zWNYK+OpoJrkvSFh7lB+lty4Z3h3acyMxgoQt +ukRHnK2jQrbesMJtBhErupybJHGOBm+lOt/t+kXAjMwLKBPidHj7veCn8MNl +cH+2Vyv+A/vzyxq3jsRFWOuYS/t+jAHRRIFc1co5EOYpJ13hZILgiRMHXF2m +2M/9abHRQibY7yRc/+szBB57GJovHFjgfLdDXTVphHwg6geHunMUHLF8eLAw +mYT1xGaq766mASfrBUXYm4BJ6B1+zzhJh2Mv4xpljJegyS3WtkqMAbEiXvaH +m+chbkDNPaiYAbU9QaZi+2dB+QyrZtCJCWWP4lq/xDLBKF1POYzAArLNyNnM +rwh2rsjbWJl5AbYkrXbKfEDCUt91jr8yZILiqbjPNy9MgEdEU5pxNxPiZlti +ZNZ9A+Us78t5oSwwrhuWazL7Q36qJfBQLIoJPTJjw0t+FFh8FfO4UZsFoTe5 +a5irG8hG6puKppVPwFOOI7pcT0jYlj+6DvreLIifj39pe/sXOUXL2f2xBAts +n/ZPvXlzl+wG5eMTG1kwe/5PxZ4rPeTFncdS3bRcAFW62zU+I2EdmnEbGIdZ +sFlA0SSfTiUnnOO9RbnBgrU1RaXiDwioqKne89Q5FgQSFI9f3D9N5rKU8x+Q +NYHM+WfDx4pImO72pOuv/JPBcGG9kAF7f1m6mH6tqoYKxmpDh1dPELG1ndLN +t/xp0JDYIOw0TcCyPqwQXC9KhwaJXdWtz5fhAU00hJNMB8tbiW8p9xZhKmiK +182NAao30/3yWufgzaE54TYCEwLo52ybg6ZA6CLvTrcCJriXvGfFtA7B9XtR +R/ttWSBWcS7x8v5hcnBzas2B8qvgG991di/7/X50iOTaiGjQUui0KcqHgEUV +V/abetOBOW84vGPzEvDn7HhsJsKAsLnNklf75mEHp1/o3yIGWPO7rlt1chZq +PxrUrXJkQncatn/wKxMePf14YP4vE3LylI4v6lVD8gMZpOl8HsocjuoeziJh +m0XEact6TAg6ptNOTJ2A7puebQVfmBBSWmVH1OoBKxqhtCeYBaUhSh/fdv0m +2wdbP3KNZMK3vfetjD9RwO+DxvdtWiyoXFgpcE2tjlxjKVdanekJhINO+8fZ +4+1pVR17+DgLeIrdZXJDWWR+05m7KmIsSKvltEpfOkPu3L1P5fR6FkRruppH +lHSTNeIUHy8rOwGkCm3vzSNhc/4aFxQPsaDHYnJV3RUK+VXlgybZayzwdFN+ +Hp68RO6Udn+Y4c+CGw08Qu/sp8jDChoRF7VsQTG+LGRTAQmb39dmERTBAo1o +G9azD/Pkk9p+jm/jWBBZMnglo5cD4f7AvjV7+GiMAu6PeZvRFO2SXsD90fZ9 +yFjJugNwf7yM4plLv14PuD+AY9H5ydg7wP2hvvD8J8faJMD98W5DAJ9TbxEZ +90f+bHgo571qMu4PM2vO1d3+LWTcHx/lRC9kbu4k4/5IcFnzMbT4Gxn3xyqV +stnuzn4y7g+BTS+Drsz8JOP+SA+8vsq8epiM+8MvM7Jmg+8YGffHkux+V30P +Khn3x1Daud8Gbgwy7o99icokRZVfZNwfyeselK2pmCDj/kg/2xOgt2uKjPvj +caWJ12qhGTLuj9MCfFtse2fJuD+a6zrtKffnyLg/xDXvNww8nCfj/qAmeF3I +vrdIxv0h3mN0Qjt/iYz7QzGLFiAcTUC4P5YbCiNjS4gI90eIjc/MHWlOhPtj +01hK6lwiF8L9MbO8PytchAfh/tjzlJtQ+o0X4f7QpEUuZhbzI9wfM8Ivf1SV +CyLcH11lhg+vbBBGuD/o5KLMFZdEEO6PQ1y/VbzWiiHcH1/WJX56tE4C4f7w +2ubV4f9eCuH+UFwXXG+fJYdwfxTaPrulHK6CcH/88MpYuXdBBOH+UODubuHd +xYVwf3hlrDPhlyQi3B/JNgILCmLs9e9ff9isfeq+oY+AcH+ccL/LMmXvl3B/ +pI6sXhryEkO4P6rJ9wpNsyXQ/+WP9Q8s7hr4/wDcH9VLcSf113aBcb4ojwJf +HlS4Zlxe390KTwMMfc11x+Akx9srR21EUe3Y+ec/28eANfQgoVZbHB2YuBGr +GzAO8zlVKyQ9JFFcQcbCPTkKOE4GNMUEyiAO8V9E4SoKELYUPjwEiuit6rX7 +QSepoGt7I8fJXQPh3nEX6r7SKMiHcO+E9PuLvbflQLh3NnC6ee/dT0C4dwr3 +9o6LbCYg3DsBHBdMI7g5EO4dJ0rG8EsRPoR75wDH3VltJyWEe+ef+8OFcO9Y +yTh1DBgOAu6dZzsUvlUc+QYfI78d4gnJhY5jf2+cuvUZIuuXiu8tjUJe5eCq +DwMiyOUbcbtO3hg8rXkj4JUvhn7PT4VtthkH2xqvksVPEqjto7adPWscviqY +63QmSiOuT2bPH92lgEwwha7UJY8Oj0w+ebGZCj4/Dq/4wKGGcF9xlV1csvQQ +RLivvm69pyFhyIlwXzV7GtGO5xAQ7itR7yMLI3IEhPuq2lPSUe0wEeG+6pB+ +lsddwI1wX4WJWmLRahoI95VEbd5zXsIKhPtK8+67tHj5flg1S1mRvjAGMsMS +JpvyxdHrMeVUqcxxmDYq7N8jIIWs7wg/pW2lAI/hsXNnLssiokvuwUtUCixH +gfBJuhKScpFP2ZZChfjfebc9UnXRSd72E6KWNJCplRvr6+NGuN9iN4vsf3Of +iHC/PdCxz+DUJCDcb7Nr9I4GhRAQ7jcdg8LHLwc5EO43lcYzG4QYggj3m87c +kUWvWRmE++2f8Z7+z2/u3tksSbchwP0WOTayzz2yB4R9N0UFr3sCOkPcH3VO +tQNravRr6/go5JK2lDo8F0G67XUSzXfGYLFcLOyxvxj6tKwdZG02Dgc+zP7V +C5NAkw6sS8++scffWXyoVEMaXVX7HuYbRYFcXbWzp9bKI8M67eeftKlQyTo5 +fMpIFeFeVKt1aZb6LYRwLypEvVbILeZEuBeDlD7s2d5NQLgXl+ebY6zFCQj3 +4s3pHZWXpYkI9+JEx99U+TouhHvRi4tPNMhUA+Fe7BW2IH/cJIZwL+6/pab0 +1ncA5pmF3zeNjUHu+wHbWyfEURR9X5LK9XGIvyQ6XFIiiU6qfvlsZUSB8560 +T06/ZVBk2S4Dy68UeC/huj9bUAmNg0P60XAqtPAMq63y1kIWMxfSkwxowNF5 +QoS3lwfhHuUZDy2/MEFEuEe7N+Wm+psTEO7RJ0MZzyQOERDu0dQ5B7s6Hw6E +e5R+fCzd6S0/wj06tGW3l/ppeYR7NOeBzzX7qaX/PMoaHAy+ufknXDmd/LW7 +gAL1lJpQsasKKIbzfXbyPrYPoXhCiE8dMdvQQhIHDSK44tcpXuFHCr+Xz2/I +o0G352uz6lIOFBJmKki2o8MJBes1Py8RkP7cn5duf+hQe6dkQlqHgH5ZKYTc +TWeAlhRBT+Y5EeH+5fBa2GSOeBDu31emPZwtkqoI96/QxGU3BRluFM7JebVg +ib0PizI9c2pUGVldHknSz6fC4o2bdQfuSKJkWQPzpIM02OSUn87g4kbbeGZ4 +A+Zp4HvNxSB8PxG5nxnuL39Ch5EL7YJ0aQJKKVrdk7KTAbajzucLnxKQdXPq +lCmVAc9v2NpGneD8z9uLFNtvT5VXINzbncKShXcqpRDu7X+en+H/vF1Z2tIm +bjoMuLevPywL/iLbC8XH/OTeJD+G4LyIXKlv7aDF7zw+/n0UNCW3t8cmiaDE +lryF+7FjIFTSo+y4VwwZRVpe3G04DhWhSRKqzhKII61d6GvLONRwF5eE/5JC +NXarqHuDKbDUQ+JXo8oh6TLLgi+KVMjkXKNW0amCcN+XHMk6s6Z4BcJ9Hxen +VclY5ES47198Jz6JnCEg3PdjCiA3KkpAuO9fL0xdqpgjINz3RwX6lmvduBDu ++3fnlm6Wq0ki3PeC5+VMAwPEEe77WB+b0njRH3CUdqtmxfcxICaA6aS1OGL6 ++iVoho7D+K81B2/ESaJgrzLPM5oUmMv/uymlVAZVjdxL1W6iQBBL7pz+I0XU +ZuSTefo8Fc7GdXfXbNVE+j71rz6rsd+HPPXO4j68CO8H6mUEo1IlDoT3g8a4 +5wH+2wgI7wf5BeKB+rvY74d/+8GDa72Fvus4EN4P7KY0eLK7+BDeDzzfX528 +16qA8H7QwJv3hynEgfB+MDY147rl5U+oSR/FKh9R4NKuRbdJPQV0bror5+EO +Kki/FV4OD1FDPdvFJdp/U2HPdKO3xTEBtG7/h2MmWTR44V3TqjnBgbCH5MQB +Kzr42lgu3I8nICXiFGkDiw7Gfd1ZOqoENNphw3ycyACRguHLWyKJCO8V4uvt +XdSNeBDeK+ZdE705jqohvFc8ng04O3ZQECGj/cxb0xSwEjBrHzuujMISwxw+ +76aBpurWomIfbuTm8WbG5xf7/l1UKfh9iYi0bvt69GbQIdpYzStBkYCuv9U1 +9ccYcFFy82rxWwS06fAnjy0/GEA86zTcoc6J8B7ywas/6a+TEMJ7iGNW1NoV +X6QR3kP+WX+Y//WQbytn3EfeDcLWr0LP3q2hgoThtPGVZFVUZFpmtWeQCpQJ +w4M7EknotKgVaMXRgGv10Os2X05UN9yRobKKDhZDRTct37P3y+IjVYweOhx7 +vslsuxQBtU0UtFVeZgCfC3jeMSOiq6U7Z8OVmJBgfbRIw5QbyXR7j0rWM8FT +KYz3qtBKhPeYjKRTSepTImjZdm3a3lgqcD9ftzdsYSU6EKoR6WBGA6V4xf6r +B3hQqor5Z5c+Gtz0zm38WktE+V8j/RSi6RBvofV9tyEB+TYq0NT02fvTdpXl +zpMEtDJIZ7tzEwPUmhaE6h5zoGS18Bc/ApjgIhlHW6gQQHjveb0qSr664Q85 +Wyw765orFfKHvGJMctUR5yHfIQchGqzudhhgVvCh8iPPo+4fooMJdYSs4klA +5iyC43VOBgzf7HtfakxApNPH24t2MGGk9m/rRVdeNPSrzsd9ggm63dl130gq +CO9JB4kPDfPMeZFzbMNN5VIqbLTL/iT4SAw9sDvklP6SDk8C6FflJQjoUa5Z +2Pt9DNh06N7U0Q/s+dmdHiOSxQTsu75b5lsRhPenf9bDzv/60+oCB87WT8OA +96fJbI36Rc9eiHbhO77X8jFc6X9rdkGkAz6IS5453z0KIG6bUXNVBFXZKLCu +RY/B+/D6wA4rMeRR3TZjpzMOqjc1CA47JJDABquDPvXjQIn4VdD5VQp5eB58 +sO0CBUqrPDgZNXIozsjB8LAMFeR4wyPuPVNBeO+SdjZe9dBVBOG9iyNJMNlJ +nQvhvavU0mHNAhf7ffFv70q6LBrdwl4P8d4VIrA60pRKQHjv6oND/uLGXAjv +XXbN/olPbogjvHeFyrWPTAlKoP96l0GAoyX2A26f4Cqb6xoDQ6e/PC1m4kit +aJGkHTgOmi59t8wuSaKrAroveVUowFl0jcs5TQa5L9bayNdR4L7ofGeJvyKy +ehaoOO1HhftC9JzpZg2UnTtZeUaRBl0/bfdNvuVFeE8rtjVfcFnLgfCeluyv +qfx4NwHhPc2jVfLTcSsCwnua6C8dPmH2+on3tCnHMz16Z/gQ3tOS9743KUhQ +RHhPKzfApIKZP8Hj5YrZovsUKIO/aupEBTTuM6KpbUUFBS+3JffNasj+ykaV +s5Ps56nkibHigACy4sruMcqgQd3t6biVKziR2qurHX5Ahx1rDcNRGgGlRfmV +r6TSYZv7tt529vpzVH5EKz+WAb+1O6e++xIR3u+yw1kx4gxuhPe7pYGadQf1 +1RHe71KwuMXWPULo6PbQR1EsCphv+vhzn7Yymm58euSMNQ3seZlBzx9xI1/x +VJ8TNBpEXiqOjIslIr6cNbmnUuiQoUaMEWavvxybcwcPb2BAhFuOx7VrBFT2 +6Og9614GMIry773h4ER4HzQPHL53I52E8D4Iu92+ynnIILwPBkzmPs8QGIJy +W3ndLYZU+LyVlO3gpoq0zvy0n+yjgmYkLYtngxAKQhpv1K6xfWM9E1+cyP7+ +mbT+Ih06PL/H/SisgYBCUt38e76w79fQX9Vk9vO5y3wb/8eLDAjmfXKwYiUR +dRytm5eTZYJ8w43iuUUutDyduZ9UwwRXIc/PQzQdhPdJZZHZhMEMURRQZynb +E0UFeSMCM1BpJWp6uzthwpj9ft2o8NshlQfltMpaO3fRwKekqruzl4gSxra/ +yg+nw5qsXeOP1hDQmN3dtBUrGWCc+Yvf3J2Asj98CHOrY4BFi7VFy3UONNS4 ++CrIjwlM1pdBl7UCSCq2UFX4EHt+uAQvPt+nji7dSWVN8LLXU9MRN2VFfpTp +6//JcD8d9KZ7Fyz8COjVuRCu80t0sDKoM3ppQEDOUoNfd1qxfX868F2DEC9q +EFrsPUhngsEI8bp0rApq4+wWefKSCisiinLRV3EUflQ5UzefDli6w616Sfb+ +Wb9EP8+OAc1f5o7UvCag0xHSN/PSmVBvITlmKyuC8B57O91MJbJqCMTG15ww +bqcCo1f8jtYnYeSfdaixuJEOUgJqy8fE2M+TIbfJn7dMyD1mq8v/XRodLnOR +HwuiwvAxo4m5Jk20h799XfN5OigMB8e8BgKKUJp998KDCdVy4wJVVvxoKbhB +Xd6OCqLRYufjkBryIFF5jf9SYWddaVMiix89vnzB1Xaavd6b6gjRNAgodbtH ++dZBJij9FYvyLVJFeB/e5H3Q5H0eHyqbnhQrfkIFfeUVedX1Msgq8pnqrod0 +qG8sn4lke1iwUySt/yZ7P6cntLh1lTBaP59g9mqMCusLf2hITQuimARvSeIP +OhjNydm7y7B9+c7konILE+y1ts4rO2v+159FQyW+5GEiKOartnRzIhVWF43e +uSGhgxo+vtePi2Vf76vVtNPs/f+2QM68bUFMkH3JsfO+rSAyTE9WJh6nQqIV +6WoeQQONir0XvltBhd7sh/XXykRR5eY7UgKldAhZJzP5k31/Ww2Voi88ZsKG +aF4IDBRFeO+uevC2cp3RCOC9273GfsdEfC+wevcJZMs9Bu5rPYIW+h0gLHu2 +5N2XUcAIKt1eoSKoT/CL9+KVMbi9YY93Ott7S8ekTT9rjQPNY73oBUwCJZBC +Qkprx2GlQEqnbIMUej1B/0M+R4FZdVPFuFdyqJbe5ftAkgrhjjOB+ckqCO/r +Hkmu1YfyRBDe11v1zEJ513EhvK+vO99+kEUiIryvb8924KSw3y94X1fXJHOt +HCIgvK/LTZCCaXJcCO/r5istHHYzxRDe15s9BpkGjhII7+vnHbhVRQ7+gHzt +96tPfxmDbUlu5bVG4qjC3tLm2YVx4KvPMVX1lUR8fe5f9yhSoEPnx5uR6zLo +1TXn3OQaCqh7h6ePOCqi0OMEl3WnqfCqJW1ffYoGOrKV9+MHORr07WhSPDTI +i/B+v3HsAPXCFg6E93v+O9rE0w4EhPd7z/kbxgYWBIT3ez/rvvgCUQ6E9/t+ +nwJVc4wP4f2e64Ba7ZFJRYT3e9eifnkQHoTXG3PsdTMpcIHbXo2PLo/UdHw8 +T1lQQSjRsltbRQ0JqDpoVbGoMPRyH+2siiBqdBaTKkyjwZ2w97/DlDjR7fVj +06Ub6QCND+9F3iegzjoD0ftjdHi3l7TeToG9n4HVlqtuMKCun8vm1HEiws8L +jBwDUWETN8LPC9Zu3npO+KE6ws8L7ln/ct5nJIyK2sWWlugU6H6pR9cTUEZ9 +D5P3c96lgiRzNvj6Qz1UeOL9sQ9bafDQr+PDPJkbzZzkfTo2ToM0+eIU4TtE +dEk/5VPxbTqI8Nr4z6sR0Obw4+YdZgywTtHTORNJQOfr8t82djOgcGqxJmmS +A+HnE6MXH9WRLUgIP5+w1HcnteXLIPx84pxSmHaZyRBMC2SfjNKjgmJISX6a +tSr6KTx7YEsPFc40vaEVpgghosydiayrNNBN6EoUyOZEsqELy3NadJDfsX7Y +4TMBlX9TrrPvoMOSspTvT3EC4jtyS9P6PANQtl/yDWUiCirh/uslxYSX1SLR +VT+5EH4eEpFQ+C6WIYpeXGlwUrxChQfTK+4eJmuhaEf3ZAsjGvif267UVMaD +9FbGXPnWSYOVrXdISaNEtOyX1zEdQoe/HH/cgtcSkMYtR1aUBgPcx6oJMi4E +5DoilDlcwwCBWgnxgiAOFKOyoaTOhwlnCuW3v5/lR/bb17o7OLLnw+aJ5AID +dbRmTf6MBTcNRkuD0SE7fkT63U65sIcOypSoamIAAbFKNjjTFtjzw0Pp9wE9 +9vv+lMq3VAsm3K0A/qujPGiTQop4J4UJLfT0H8UtKkgAuThQXlAhuVkm2e+e +BJoYj3h/9hkdGnzLLZrY/nDyPXdFZTcDLlF15Z+9ICCJr/uTfqey18+uw6c2 +5KxA+PnPygATLYE/Q9AUZe0X2UqFmHKaImn9CpQ/KKOmW08Hc73ETTLs9Q/b +9OBUQCkTGlsctelWUiglYMRZ9xIVbpjQnddc00RVSSZOkufocFHqbgCnJQFp +nzOpXHBjwlXvtk/2QvzIzMzBw8WGCi+Ok5Qj7qsh5bwloch5KvRrLWee0xZA +g5Umb2om6KDzN2BmkT3/BA4sTaABJgx6RXQbc6sh/DzKr7Ln+ActATRZFLh3 +9hEVsvZnLH/OVkAlAiTL2/fZ7+t8e/l5efb7WtsuXS+eCRXpSSr3PgihmdRH +mzlGqHCpYdeqqxgJ1bVp+Vz8Tof1ZmbvtksT0OP6lhfpjUwwUzV6rKushfDz +rqca0tSDGSKo5laho0gCFQTfZjjfCNVGG2xVbDuv0yFsPVO7lT1ejGaL/NsX +mVBza95zD5cg8j4gf9TKnQrfc+IVl9vVkUqrpV3fWyr8IdMOd2mJIcO63+v2 +vKZDwJl4XX/2fL5w5fD16mwmqE1ZV/RJiCL8fO3X73mhgUvDsOXDmWC1Birk +JtFstsuJIC2vO61SNXRI3Pszppm9fmsd6ug5+YoJpQYHT8VbsH3CmOwrOst+ +3lw9PunwaaKJs8ZupT1M8Pj8opP5QQ3h53UWkiY1fe4klCr/OUr9Lh1qs7gH +QJk93vsfyXp009n373TJUfZ+JW6UQymplj2/c3OmuUAbrRd8351ynQpnN5f9 +Ubu2EqVsd2hzf02F2AbBIJHV4kikuyJo8AUdTpbm/B1i7w9r9b/FHbnHhM2i +AzXaniJojUtmwKYWOjCT9U7Ws+eXk8qpxZAK9vMLEu8Fk+QQfn7YfesanLHm +QaFmPu1+z6gwctlh5FW/FOr4s43AmUMHFZO3E9qyBGRrk1cZM0gHXaW7/Kns +z5X56ZDTxgQd0ilu49cayF4u++uN21Rwf+NntFitg/IPrG3ZT2bfn7ww58P7 +RdHuN8YzH96y56//jh/+7OvR7hm4ovOUCYrm2cvRFFH0Zh3T2egDE7KWb96N +Ya+nX9J3zNtmU//7zJ9d7PQ/6y3++VvJOeu4qv////8Phjty5w== + "], {{{}, {}, {}, {}, {}, {}, {}, + {RGBColor[1, 0, 0], Opacity[0.2], EdgeForm[None], + GraphicsGroupBox[PolygonBox[CompressedData[" +1:eJwl1ne8j2UYB+BzZGeTvUfITih7b9nKyCpSFGVmhrL33kVGhUrZokG7ZEQZ +hRYVSkRCuu78cX2+932/v/P+nvM+z3so0L1vqyeTJCQkJPKc4hOZVb5hMFx2 +kd3ldVmChupTchZpuEe/V46kMdf8fFGz99T9qEoePjXPZr5B3ZWSpOW6+Wdk +d+1NfTdKkY4b5p/zBXv5kn3s5wAH+YpDHOZrvuEIRznGcb7lO05wklN8zw/8 +yE/8zGnO8Au/8htnyWFtb8VzoDTp+df8HDld26h/mDJk4KZ5MfP31U9Rjbyc +N89lvkn9CGXJmHjr4Rc33y2fpjr5+N2l3Oab1T0oRyYSuct8j+xPDfLzh8/n +Md+i7sndZKaC2ZdyFE1IEntp9oEcQE0KxH3MjsiJtOWC++U126p+lPI00n8v +Z5OFivp98lkeU99GU/WD8k+5kiHqTHEt9l1+GJ+XA2OdcfiopW4pf5Pj5BI5 +UKZnJgVjnXI+84hDm5KptHOts/xbjpdr5TMyM7NiHb4in9xmPkL2iv2SN+L8 +xrlV/yDnxH6pb+cOdSW5X47mcXVSmqnby4tyFUnjvOo/koOoTSv9WbmUQtTS +H5WTeICL1pPfbHs8s9if2Bf9j3IuWblXf0CO4X6Sxdkz+1gOpg6t9efkMgrH +d+uPycnx/LnkewqY7Yj1x17RVP+TnEc27tMflGNpTgf9Jbma5HGm429C7GGc +AXUiddVt5Hn5Qvze6gwUiXXJ43E/OUX2lamYRnt9F3lVTpDr5FCZhdn8Zb0F +5dvmI2XveE/kv/HsZCXZTP6ceOtv1fx4X2QappNdX1l+FdfprU5GC3XHuL9c +QwrK6j+Nc0K9OO/63+WL3Eld/bdyajwTuur/keu5bJ2F9DvVfWKvYo/0p+UC +clBFf0g+H+ealJQz+yx+Z+rTTv+HXE7RWIf+Ozkt1ssV31PYbJf6idir2CP9 +GbmQnFTVH453Js4dnfSX5cuk4m7953IYvdRJaBDnUF6QK+I8qTNSLNYlT8jp +9FOnppO6m7wmJ8rX4n7xjjAn3jvrLCLfMR8V76bsIW/GWZSV41zLBfwSz0Qu +kv1lWmaQS19Nfi1Hy/HxbGVyWqsfklfkK6SmvP4LOZyGFKeB2Uk5Iz7PVeu6 +0+zdOIexJ7TQ/yoXM0Cdjtzq6vKbeN/khHjeMkWcYdrEOXSvj1nFHkbwEUvY +QX1W8wFjeJF3eJCV7OYpZvIG5RjHCt6jJ6NYxk6aMZblvEsXhrCALVThYYaz +mO3U4wH6MYPXKUsPRrKUt2lKZwYzn81UpjvDWMQ26tKOvkznNcrQhIcYxDw2 +cR91aMuTTGM9pWlMJwYyl43cS23a8ARTWUcpGtGRAczhLSpRi9b0YQprKUlD +OtCf2bxJRWrSit5M5lVKUIEatORxJvEKd1GcYhSNs0MRClOIghQgP/nISx5y +k4uc5CA72cjKHWQhM5nISAbSk460pOF2UpOKlKQgOclIym3cQ3Va8BgTeTnh +/38+ExrQnqeZxQbK042hLGQr1RjNC+yiOS/xPr34kAmsSbj1f8L/AB/QJ/Q= + + "]]]}, {}, {}}, {{}, {}, {}, + TagBox[ + {RGBColor[1, 0, 0], AbsoluteThickness[2], Opacity[1.], + LineBox[{1, 167, 136, 198, 104, 194, 163, 79, 190, 159, 129, 61, + 184, 153, 122, 97, 51, 177, 146, 114, 89, 71, 2, 168, 137, 105, + 80, 62, 52, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, + 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, + 34, 35, 36, 37, 38, 39, 40, 41, 42, 169, 138, 106, 81, 63, 53, + 43, 170, 139, 107, 82, 64, 54, 178, 147, 115, 90, 72, 44, 171, + 140, 108, 83, 65, 55, 179, 148, 116, 91, 73, 45, 172, 141, 109, + 84, 66, 56, 180, 149, 117, 92, 74, 46, 173, 142, 110, 85, 67, + 57, 181, 150, 118, 93, 75, 47, 174, 143, 111, 86, 68, 185, 154, + 123, 98, 58, 182, 151, 119, 94, 76, 188, 157, 126, 101, 48, 175, + 144, 112, 87, 69, 186, 155, 124, 99, 59, 183, 152, 120, 95, + 192, 161, 131, 77, 189, 158, 127, 102, 193, 162, 134, 49, 176, + 145, 113, 195, 164, 88, 191, 160, 130, 197, 166, 70, 187, 156, + 199, 125, 196, 165, 200, 100}]}, + Annotation[#, "Charting`Private`Tag#1"]& ], + TagBox[ + {RGBColor[1, 0, 0], AbsoluteThickness[2], Opacity[1.], + LineBox[CompressedData[" +1:eJwV0TlMVFEYBtA32KKjA0FQGRgbVFxwrVygw6WBhIQOHVFjgwpOYmHUysRS +LWwsbexcwdaNxAVmXGFURAZFcRDBVkTOLU6+/373vZub91LpE61dsSiKbvKM +ipIouqU4KztkWs7JeprNY/IKpWyzHpTn2Mdf79fpHppPspNqnuuX62+bD7Ke +xczpX1Bp7471ITawhH/6lwwwSJYcr3jNG97yjvcMMUyeD3zkEyN8ZpQvjFFg +nK98Y4Lv/GCSnxSZosrd7obvwEbizOt/scLePevDbGIp//Vr9I/Mp9hFkmn9 +Sv19cycNLAsfnrX6x7Kb3dTw29Yqfa/5CJtJEGOd/onsYQ+1zHi+Wt9nPsoW +ytiuy8rz7Kck/EvdU3maRlLhHF1eXqKNWecldQ/Mx9jKXuuCvEo5O6xz8gLH +zYs4YG6Xf+QNzpgTYS/8d9kfnpeZcE8Z0WRukUV5UV6XGRnnMqvDPeU1FgD8 +sFeC + "]], LineBox[CompressedData[" +1:eJwV00dwTmEYhuE/mYQEC0a3IZJgow5C2CCYQZQghIxuhJBgoQ5Gr0lmiGCh +zigzyl5fqht1oS7UBVES0Ua53sU99/N8//znvOd838mYU15QlpRIJGpRk5xI +lCENFSj0wwz+wdv5LK/mltiLr/7TkS9aX8clPJf/cF+Mkl9xNZbJTdFazuF7 +vBGL5BTky0VcxyeRgu76DV6BoSjQP/BhZGKI/oR3YQrqzNPJ2iV5IfphtP6a +96MNBuj3eRPGIhU9rN3klRiGifpHPoKsuLf+lHdjKurdJ8Pa5Zgf/TFGf8M1 +aIuB+gPejHGYptfzKTRCT/0Wr8ICOQl58iSu5aPx3HJzZMdc/Cyux3u4nNNR +iSJ9Jv/kHXyO13Ar7MM383bmK9bXcynP47/x7jiH8/ktb+EDvJyboQrt9Fx+ +GL+jVE7FeHl6XJ9PozF66bfjnGA4Juuf+Bi6IE9/zhXxTjBL/8Xn0WDOTP2q +vDj2KvZIf8cH0R6D9Ee8FROQht7W7sQzYwQK9c98HF1jDv0FV8a8+O4+Wdau +yUtir2KP9Pd8CB0wWH/M2+LcoVhv4DNIRx/9Lq9FiZyMkXEO+QufiPMkt0C3 +mItfchWWyk1QLM/m37yTL8T14htBdXx35szm69Y3xLfJ8/lfnEXOjXPN/wEg +VnNH + "]], + LineBox[{664, 489, 645, 410, 627, 525, 656, 283, 573, 471, 641, + 391, 620, 518, 339, 605, 503, 425, 266, 563, 461, 380, 328, 297, + 583, 480, 400, 349, 249, 550, 448, 367, 315, 592, 490, 411, + 628, 526, 284, 574, 472, 642, 392, 621, 519, 652, 340}]}, + Annotation[#, "Charting`Private`Tag#2"]& ]}}], {}}, + {"WolframDynamicHighlight", <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>}], + DynamicBox[(Charting`HighlightActionBox["DynamicHighlight", {}, + Slot["HighlightElements"], + Slot["LayoutOptions"], + Slot["Meta"], + Charting`HighlightActionFunction["DynamicHighlight", { + GraphicsComplex[CompressedData[" +1:eJx12Xk0VV38+PF7zcMl8zxPmZMomj5HVCoZmiRFJFRCpQEZi8oUJYRKUkpE +SSS5O0RmoQhR5jtnTIjf/a7nOc9vre9aX3+w7lrWXWedvc8++/Xequ5+e45z +EAiENPav//m78/j4Z0TZv7lu3bsAsdckLIKveOUN2WQo+/xtqT6ShB10elj4 +ayIHxNcRWsuPkDDB5YjD25UKoZ6TsZtvEwnTdGCOV8e+hpaTf0/fVCRhF1vM +IW36LUy7S7+IXRDEpF+L9hOJZOjSy2h83CuIvRm0bGrdWAWdzgNCpWWCWNXJ +e/JO9BqYT365NHZHEJvR2rSi4HQdLGKOnwYCBDGOP89F5DUbQGCXIc3RXhBb +u3z0zd4XTTBd/+TXZQNBrOmqSUutbivIBGIjNwQEMeVE2p9nzDYI3fEn+tmQ +AEb7PdD/xagdFhuVVU5UCmA51HcNwgkdsDN5e+1AsgAmrJjLGh7uBP4LSuMd +JwWwuBMlnlkaX+FhSsG+Y1sEsFns+bB3WBeoX/LilJAUwAKMa15LVXdDVONK +cQcKPzbwoib2s2oPzJtd/qxdxo8tnOzM0AnqBefT5OjFKH5Mz4J3yfNdH8y/ +6c7as58f256t6vVSuh9+6XMfOqTEj11UdFX7eXgA/M92q9aM82H69P2CXbt/ +QMLA51/jL/kw8RW/+Grmf8CKpdbs2HN8GBc5o1A98yc4uXyLP76WDztl8u4b +/65BsNEXbo7/xYs93+GeVTk7CINlOYyZXF5shiYnMpoyBAL5O4PNj/JiJXu3 +cyZbDcN0jghnsBAvFmYd9eD16DAMyKSpLJTzYKrfd3Y/ihqBE+qU2yEePNj6 +dVeTZY1HIedaJ5xc5sYOK7X+IXaMQmTugid2lxubaYgf9I8YgyH3vwxvFW4s +jcslYI/GOIh5Hqfb5HBh4v0l2Rw143BUdLXmT0UujDskYp/5GQrEE888ig/i +xAjPXAoHxajgMCRnFN7MgZ1NL4wXq6LC4Zgbes3TROy93nU+UV8auI+OHDs2 +R8BEd57VjxSmQ3eWzenoN8vQZ9DWEVhBh/PjHg8n8hah6D5oDLswYPy5BZf8 +zzk4UrhWVHGJAT/VkQVH6hSERz2M6MtjgnbVFrllo2EoGFfMELZhQaOUHped ++hCZ8L9+siTtomNkr4J+qO6juTskLO17kGXJ0n0QqzHZ2OFHwmSG5BtFZWgw +JmklfvAyERtbH5K+o5IGBpVKf3/7E7DZDaqE0ON0kL4VrOq7cwm2jfIuvhBi +wNb706J3afPAs/JdevgLBmizCqt1w2bBV86n/vI+JlhbpxSZqbJAqtQ14fwC +E+aTmuM9L33893oH/r3eDvL3Vdcs42UD4EFiBkH5IQkjTaWYKsfdBV2Huijt +QBL2sfK4vhiDCvskRLSG3xKxPcWRDgYpNBBVcm3c/YaAcVXvkCKsp8M13kMS +OjzLICpA95IYocOA87Z+n44F6HUPcX0VzQChvvhHqyt/w6iHA8NGhwmW1+3C +9UsnYNd9Ap9OBxMed920GRDtBe+1dycEAlnQf3fYZfOdWbLD7Z6TtloJ0KB3 +w1c0joRdrro4dHyUBpeOCz0V0yZglNdzlIVEOhwtd5qjRvyF4Cr1xB1rGZC5 +RXxZfuIPGNPmvth+ZcCdtQYWDKUZ+N0a/Tg/jAkleS8nLyhTYbC1UqJKnQVf +3Z+8aVKtIf9zf1r/vT/VZEeP3+9vyXrAn92qZztzSVjt3xzDzvYUSPeWameE +kLDMfIEjt7qo8HcyL+tZFxG77sdtoBxJg3NpRkIVXQQshsdF1UKDDirZEqRC +12WYle9XrGujQ1WgZom0xSLUhvdbNJ5lQO4a/stDe+ZATcBq+bU4E5hkl76J +F5MwKvq5hoPMBP6xYqYb90+IuelcG+fOgpSGfdY14wzypc7kS3TlG2CjMblM +TSRhr0LnKx3baVAes/fRog0B858jzWwMpkOGtuOr/rG/cLRTctuiKgPcJ1hz +3/znQYH/UJlXFQOuJtS3JHbMgPH5wFKJk0xo/ywkw3pLh8uWNz9nrGCBRXYp +j82RRIj0PTAtENgFRtlY9OzrJnJCgZi2g1YotJhKVthnkLA8skvv8Gb2/Fua +v9dc8AuKdI122Y2xvy9C8Pcnpw44Llu6a0c8C9qISs4JXFyoQjf5ttUdJtyq +minYuHMMrA8zHcfXsUDvSEdlzcTXf8eD/O94FJN3NXe9uie7D3YmPSlcek7C +NDj1nxteuANzRrWVB8NJ2DX1I+fbm6igE310o90oEcturpWTuUQDb50qQe1x +AmarPf7ETZYOn08GrvKNXQaKRtelrFo6NDXMMoIuLMK7FSuKu7wZ0KbfG1ub +Pgfo8CY+Ch/7+n/lbfqiMQXFu8wfsV4zQWa36s6InEFQqKgo2u3Ifv6f5UqH +rRwnk2bOhL3LjIb72SUmJ2+TsKa+d/n2n2jQS9xwRtqVgK2RSw064k+H8hHL +nJuyS7D3u/DDL9IMOKukVtvxYh5E02J0AsoYUHef6nxWdxba6s4ia1cmTOfy +Hk+YYsCOkN+F17lZcFi7nvpHuQzS9Mrr4tx7YbL4sfpIZRv5DbPJlKUcCN/K +exo17pMwa7GDwzImTHAtfnr/s8kEhHqk6Wz8zr7+6pzwH3Zd8JXfjHfnVRYY +btt37VzEIvlQSHzmpRgmbCgzLymvGAfJlANrvxiw4OdqakoItJH/Gf+6f8e/ +gsw3GJl9QMsX1oytXm+XQ8L+uM/3Np5mwd4N1149PjRJLuRfOfVClgXLymkR +T+1ekn1eTqrcuNkBoX2mH9XodeTeq0lrP6UPQfB5js99FwfJQWrRgQsWLFBM +apiSgv5/x7/w3/F/RF7zIC2zWNYK+OpoJrkvSFh7lB+lty4Z3h3acyMxgoQt +ukRHnK2jQrbesMJtBhErupybJHGOBm+lOt/t+kXAjMwLKBPidHj7veCn8MNl +cH+2Vyv+A/vzyxq3jsRFWOuYS/t+jAHRRIFc1co5EOYpJ13hZILgiRMHXF2m +2M/9abHRQibY7yRc/+szBB57GJovHFjgfLdDXTVphHwg6geHunMUHLF8eLAw +mYT1xGaq766mASfrBUXYm4BJ6B1+zzhJh2Mv4xpljJegyS3WtkqMAbEiXvaH +m+chbkDNPaiYAbU9QaZi+2dB+QyrZtCJCWWP4lq/xDLBKF1POYzAArLNyNnM +rwh2rsjbWJl5AbYkrXbKfEDCUt91jr8yZILiqbjPNy9MgEdEU5pxNxPiZlti +ZNZ9A+Us78t5oSwwrhuWazL7Q36qJfBQLIoJPTJjw0t+FFh8FfO4UZsFoTe5 +a5irG8hG6puKppVPwFOOI7pcT0jYlj+6DvreLIifj39pe/sXOUXL2f2xBAts +n/ZPvXlzl+wG5eMTG1kwe/5PxZ4rPeTFncdS3bRcAFW62zU+I2EdmnEbGIdZ +sFlA0SSfTiUnnOO9RbnBgrU1RaXiDwioqKne89Q5FgQSFI9f3D9N5rKU8x+Q +NYHM+WfDx4pImO72pOuv/JPBcGG9kAF7f1m6mH6tqoYKxmpDh1dPELG1ndLN +t/xp0JDYIOw0TcCyPqwQXC9KhwaJXdWtz5fhAU00hJNMB8tbiW8p9xZhKmiK +182NAao30/3yWufgzaE54TYCEwLo52ybg6ZA6CLvTrcCJriXvGfFtA7B9XtR +R/ttWSBWcS7x8v5hcnBzas2B8qvgG991di/7/X50iOTaiGjQUui0KcqHgEUV +V/abetOBOW84vGPzEvDn7HhsJsKAsLnNklf75mEHp1/o3yIGWPO7rlt1chZq +PxrUrXJkQncatn/wKxMePf14YP4vE3LylI4v6lVD8gMZpOl8HsocjuoeziJh +m0XEact6TAg6ptNOTJ2A7puebQVfmBBSWmVH1OoBKxqhtCeYBaUhSh/fdv0m +2wdbP3KNZMK3vfetjD9RwO+DxvdtWiyoXFgpcE2tjlxjKVdanekJhINO+8fZ +4+1pVR17+DgLeIrdZXJDWWR+05m7KmIsSKvltEpfOkPu3L1P5fR6FkRruppH +lHSTNeIUHy8rOwGkCm3vzSNhc/4aFxQPsaDHYnJV3RUK+VXlgybZayzwdFN+ +Hp68RO6Udn+Y4c+CGw08Qu/sp8jDChoRF7VsQTG+LGRTAQmb39dmERTBAo1o +G9azD/Pkk9p+jm/jWBBZMnglo5cD4f7AvjV7+GiMAu6PeZvRFO2SXsD90fZ9 +yFjJugNwf7yM4plLv14PuD+AY9H5ydg7wP2hvvD8J8faJMD98W5DAJ9TbxEZ +90f+bHgo571qMu4PM2vO1d3+LWTcHx/lRC9kbu4k4/5IcFnzMbT4Gxn3xyqV +stnuzn4y7g+BTS+Drsz8JOP+SA+8vsq8epiM+8MvM7Jmg+8YGffHkux+V30P +Khn3x1Daud8Gbgwy7o99icokRZVfZNwfyeselK2pmCDj/kg/2xOgt2uKjPvj +caWJ12qhGTLuj9MCfFtse2fJuD+a6zrtKffnyLg/xDXvNww8nCfj/qAmeF3I +vrdIxv0h3mN0Qjt/iYz7QzGLFiAcTUC4P5YbCiNjS4gI90eIjc/MHWlOhPtj +01hK6lwiF8L9MbO8PytchAfh/tjzlJtQ+o0X4f7QpEUuZhbzI9wfM8Ivf1SV +CyLcH11lhg+vbBBGuD/o5KLMFZdEEO6PQ1y/VbzWiiHcH1/WJX56tE4C4f7w +2ubV4f9eCuH+UFwXXG+fJYdwfxTaPrulHK6CcH/88MpYuXdBBOH+UODubuHd +xYVwf3hlrDPhlyQi3B/JNgILCmLs9e9ff9isfeq+oY+AcH+ccL/LMmXvl3B/ +pI6sXhryEkO4P6rJ9wpNsyXQ/+WP9Q8s7hr4/wDcH9VLcSf113aBcb4ojwJf +HlS4Zlxe390KTwMMfc11x+Akx9srR21EUe3Y+ec/28eANfQgoVZbHB2YuBGr +GzAO8zlVKyQ9JFFcQcbCPTkKOE4GNMUEyiAO8V9E4SoKELYUPjwEiuit6rX7 +QSepoGt7I8fJXQPh3nEX6r7SKMiHcO+E9PuLvbflQLh3NnC6ee/dT0C4dwr3 +9o6LbCYg3DsBHBdMI7g5EO4dJ0rG8EsRPoR75wDH3VltJyWEe+ef+8OFcO9Y +yTh1DBgOAu6dZzsUvlUc+QYfI78d4gnJhY5jf2+cuvUZIuuXiu8tjUJe5eCq +DwMiyOUbcbtO3hg8rXkj4JUvhn7PT4VtthkH2xqvksVPEqjto7adPWscviqY +63QmSiOuT2bPH92lgEwwha7UJY8Oj0w+ebGZCj4/Dq/4wKGGcF9xlV1csvQQ +RLivvm69pyFhyIlwXzV7GtGO5xAQ7itR7yMLI3IEhPuq2lPSUe0wEeG+6pB+ +lsddwI1wX4WJWmLRahoI95VEbd5zXsIKhPtK8+67tHj5flg1S1mRvjAGMsMS +JpvyxdHrMeVUqcxxmDYq7N8jIIWs7wg/pW2lAI/hsXNnLssiokvuwUtUCixH +gfBJuhKScpFP2ZZChfjfebc9UnXRSd72E6KWNJCplRvr6+NGuN9iN4vsf3Of +iHC/PdCxz+DUJCDcb7Nr9I4GhRAQ7jcdg8LHLwc5EO43lcYzG4QYggj3m87c +kUWvWRmE++2f8Z7+z2/u3tksSbchwP0WOTayzz2yB4R9N0UFr3sCOkPcH3VO +tQNravRr6/go5JK2lDo8F0G67XUSzXfGYLFcLOyxvxj6tKwdZG02Dgc+zP7V +C5NAkw6sS8++scffWXyoVEMaXVX7HuYbRYFcXbWzp9bKI8M67eeftKlQyTo5 +fMpIFeFeVKt1aZb6LYRwLypEvVbILeZEuBeDlD7s2d5NQLgXl+ebY6zFCQj3 +4s3pHZWXpYkI9+JEx99U+TouhHvRi4tPNMhUA+Fe7BW2IH/cJIZwL+6/pab0 +1ncA5pmF3zeNjUHu+wHbWyfEURR9X5LK9XGIvyQ6XFIiiU6qfvlsZUSB8560 +T06/ZVBk2S4Dy68UeC/huj9bUAmNg0P60XAqtPAMq63y1kIWMxfSkwxowNF5 +QoS3lwfhHuUZDy2/MEFEuEe7N+Wm+psTEO7RJ0MZzyQOERDu0dQ5B7s6Hw6E +e5R+fCzd6S0/wj06tGW3l/ppeYR7NOeBzzX7qaX/PMoaHAy+ufknXDmd/LW7 +gAL1lJpQsasKKIbzfXbyPrYPoXhCiE8dMdvQQhIHDSK44tcpXuFHCr+Xz2/I +o0G352uz6lIOFBJmKki2o8MJBes1Py8RkP7cn5duf+hQe6dkQlqHgH5ZKYTc +TWeAlhRBT+Y5EeH+5fBa2GSOeBDu31emPZwtkqoI96/QxGU3BRluFM7JebVg +ib0PizI9c2pUGVldHknSz6fC4o2bdQfuSKJkWQPzpIM02OSUn87g4kbbeGZ4 +A+Zp4HvNxSB8PxG5nxnuL39Ch5EL7YJ0aQJKKVrdk7KTAbajzucLnxKQdXPq +lCmVAc9v2NpGneD8z9uLFNtvT5VXINzbncKShXcqpRDu7X+en+H/vF1Z2tIm +bjoMuLevPywL/iLbC8XH/OTeJD+G4LyIXKlv7aDF7zw+/n0UNCW3t8cmiaDE +lryF+7FjIFTSo+y4VwwZRVpe3G04DhWhSRKqzhKII61d6GvLONRwF5eE/5JC +NXarqHuDKbDUQ+JXo8oh6TLLgi+KVMjkXKNW0amCcN+XHMk6s6Z4BcJ9Hxen +VclY5ES47198Jz6JnCEg3PdjCiA3KkpAuO9fL0xdqpgjINz3RwX6lmvduBDu ++3fnlm6Wq0ki3PeC5+VMAwPEEe77WB+b0njRH3CUdqtmxfcxICaA6aS1OGL6 ++iVoho7D+K81B2/ESaJgrzLPM5oUmMv/uymlVAZVjdxL1W6iQBBL7pz+I0XU +ZuSTefo8Fc7GdXfXbNVE+j71rz6rsd+HPPXO4j68CO8H6mUEo1IlDoT3g8a4 +5wH+2wgI7wf5BeKB+rvY74d/+8GDa72Fvus4EN4P7KY0eLK7+BDeDzzfX528 +16qA8H7QwJv3hynEgfB+MDY147rl5U+oSR/FKh9R4NKuRbdJPQV0bror5+EO +Kki/FV4OD1FDPdvFJdp/U2HPdKO3xTEBtG7/h2MmWTR44V3TqjnBgbCH5MQB +Kzr42lgu3I8nICXiFGkDiw7Gfd1ZOqoENNphw3ycyACRguHLWyKJCO8V4uvt +XdSNeBDeK+ZdE705jqohvFc8ng04O3ZQECGj/cxb0xSwEjBrHzuujMISwxw+ +76aBpurWomIfbuTm8WbG5xf7/l1UKfh9iYi0bvt69GbQIdpYzStBkYCuv9U1 +9ccYcFFy82rxWwS06fAnjy0/GEA86zTcoc6J8B7ywas/6a+TEMJ7iGNW1NoV +X6QR3kP+WX+Y//WQbytn3EfeDcLWr0LP3q2hgoThtPGVZFVUZFpmtWeQCpQJ +w4M7EknotKgVaMXRgGv10Os2X05UN9yRobKKDhZDRTct37P3y+IjVYweOhx7 +vslsuxQBtU0UtFVeZgCfC3jeMSOiq6U7Z8OVmJBgfbRIw5QbyXR7j0rWM8FT +KYz3qtBKhPeYjKRTSepTImjZdm3a3lgqcD9ftzdsYSU6EKoR6WBGA6V4xf6r +B3hQqor5Z5c+Gtz0zm38WktE+V8j/RSi6RBvofV9tyEB+TYq0NT02fvTdpXl +zpMEtDJIZ7tzEwPUmhaE6h5zoGS18Bc/ApjgIhlHW6gQQHjveb0qSr664Q85 +Wyw765orFfKHvGJMctUR5yHfIQchGqzudhhgVvCh8iPPo+4fooMJdYSs4klA +5iyC43VOBgzf7HtfakxApNPH24t2MGGk9m/rRVdeNPSrzsd9ggm63dl130gq +CO9JB4kPDfPMeZFzbMNN5VIqbLTL/iT4SAw9sDvklP6SDk8C6FflJQjoUa5Z +2Pt9DNh06N7U0Q/s+dmdHiOSxQTsu75b5lsRhPenf9bDzv/60+oCB87WT8OA +96fJbI36Rc9eiHbhO77X8jFc6X9rdkGkAz6IS5453z0KIG6bUXNVBFXZKLCu +RY/B+/D6wA4rMeRR3TZjpzMOqjc1CA47JJDABquDPvXjQIn4VdD5VQp5eB58 +sO0CBUqrPDgZNXIozsjB8LAMFeR4wyPuPVNBeO+SdjZe9dBVBOG9iyNJMNlJ +nQvhvavU0mHNAhf7ffFv70q6LBrdwl4P8d4VIrA60pRKQHjv6oND/uLGXAjv +XXbN/olPbogjvHeFyrWPTAlKoP96l0GAoyX2A26f4Cqb6xoDQ6e/PC1m4kit +aJGkHTgOmi59t8wuSaKrAroveVUowFl0jcs5TQa5L9bayNdR4L7ofGeJvyKy +ehaoOO1HhftC9JzpZg2UnTtZeUaRBl0/bfdNvuVFeE8rtjVfcFnLgfCeluyv +qfx4NwHhPc2jVfLTcSsCwnua6C8dPmH2+on3tCnHMz16Z/gQ3tOS9743KUhQ +RHhPKzfApIKZP8Hj5YrZovsUKIO/aupEBTTuM6KpbUUFBS+3JffNasj+ykaV +s5Ps56nkibHigACy4sruMcqgQd3t6biVKziR2qurHX5Ahx1rDcNRGgGlRfmV +r6TSYZv7tt529vpzVH5EKz+WAb+1O6e++xIR3u+yw1kx4gxuhPe7pYGadQf1 +1RHe71KwuMXWPULo6PbQR1EsCphv+vhzn7Yymm58euSMNQ3seZlBzx9xI1/x +VJ8TNBpEXiqOjIslIr6cNbmnUuiQoUaMEWavvxybcwcPb2BAhFuOx7VrBFT2 +6Og9614GMIry773h4ER4HzQPHL53I52E8D4Iu92+ynnIILwPBkzmPs8QGIJy +W3ndLYZU+LyVlO3gpoq0zvy0n+yjgmYkLYtngxAKQhpv1K6xfWM9E1+cyP7+ +mbT+Ih06PL/H/SisgYBCUt38e76w79fQX9Vk9vO5y3wb/8eLDAjmfXKwYiUR +dRytm5eTZYJ8w43iuUUutDyduZ9UwwRXIc/PQzQdhPdJZZHZhMEMURRQZynb +E0UFeSMCM1BpJWp6uzthwpj9ft2o8NshlQfltMpaO3fRwKekqruzl4gSxra/ +yg+nw5qsXeOP1hDQmN3dtBUrGWCc+Yvf3J2Asj98CHOrY4BFi7VFy3UONNS4 ++CrIjwlM1pdBl7UCSCq2UFX4EHt+uAQvPt+nji7dSWVN8LLXU9MRN2VFfpTp +6//JcD8d9KZ7Fyz8COjVuRCu80t0sDKoM3ppQEDOUoNfd1qxfX868F2DEC9q +EFrsPUhngsEI8bp0rApq4+wWefKSCisiinLRV3EUflQ5UzefDli6w616Sfb+ +Wb9EP8+OAc1f5o7UvCag0xHSN/PSmVBvITlmKyuC8B57O91MJbJqCMTG15ww +bqcCo1f8jtYnYeSfdaixuJEOUgJqy8fE2M+TIbfJn7dMyD1mq8v/XRodLnOR +HwuiwvAxo4m5Jk20h799XfN5OigMB8e8BgKKUJp998KDCdVy4wJVVvxoKbhB +Xd6OCqLRYufjkBryIFF5jf9SYWddaVMiix89vnzB1Xaavd6b6gjRNAgodbtH ++dZBJij9FYvyLVJFeB/e5H3Q5H0eHyqbnhQrfkIFfeUVedX1Msgq8pnqrod0 +qG8sn4lke1iwUySt/yZ7P6cntLh1lTBaP59g9mqMCusLf2hITQuimARvSeIP +OhjNydm7y7B9+c7konILE+y1ts4rO2v+159FQyW+5GEiKOartnRzIhVWF43e +uSGhgxo+vtePi2Vf76vVtNPs/f+2QM68bUFMkH3JsfO+rSAyTE9WJh6nQqIV +6WoeQQONir0XvltBhd7sh/XXykRR5eY7UgKldAhZJzP5k31/Ww2Voi88ZsKG +aF4IDBRFeO+uevC2cp3RCOC9273GfsdEfC+wevcJZMs9Bu5rPYIW+h0gLHu2 +5N2XUcAIKt1eoSKoT/CL9+KVMbi9YY93Ott7S8ekTT9rjQPNY73oBUwCJZBC +Qkprx2GlQEqnbIMUej1B/0M+R4FZdVPFuFdyqJbe5ftAkgrhjjOB+ckqCO/r +Hkmu1YfyRBDe11v1zEJ513EhvK+vO99+kEUiIryvb8924KSw3y94X1fXJHOt +HCIgvK/LTZCCaXJcCO/r5istHHYzxRDe15s9BpkGjhII7+vnHbhVRQ7+gHzt +96tPfxmDbUlu5bVG4qjC3tLm2YVx4KvPMVX1lUR8fe5f9yhSoEPnx5uR6zLo +1TXn3OQaCqh7h6ePOCqi0OMEl3WnqfCqJW1ffYoGOrKV9+MHORr07WhSPDTI +i/B+v3HsAPXCFg6E93v+O9rE0w4EhPd7z/kbxgYWBIT3ez/rvvgCUQ6E9/t+ +nwJVc4wP4f2e64Ba7ZFJRYT3e9eifnkQHoTXG3PsdTMpcIHbXo2PLo/UdHw8 +T1lQQSjRsltbRQ0JqDpoVbGoMPRyH+2siiBqdBaTKkyjwZ2w97/DlDjR7fVj +06Ub6QCND+9F3iegzjoD0ftjdHi3l7TeToG9n4HVlqtuMKCun8vm1HEiws8L +jBwDUWETN8LPC9Zu3npO+KE6ws8L7ln/ct5nJIyK2sWWlugU6H6pR9cTUEZ9 +D5P3c96lgiRzNvj6Qz1UeOL9sQ9bafDQr+PDPJkbzZzkfTo2ToM0+eIU4TtE +dEk/5VPxbTqI8Nr4z6sR0Obw4+YdZgywTtHTORNJQOfr8t82djOgcGqxJmmS +A+HnE6MXH9WRLUgIP5+w1HcnteXLIPx84pxSmHaZyRBMC2SfjNKjgmJISX6a +tSr6KTx7YEsPFc40vaEVpgghosydiayrNNBN6EoUyOZEsqELy3NadJDfsX7Y +4TMBlX9TrrPvoMOSspTvT3EC4jtyS9P6PANQtl/yDWUiCirh/uslxYSX1SLR +VT+5EH4eEpFQ+C6WIYpeXGlwUrxChQfTK+4eJmuhaEf3ZAsjGvif267UVMaD +9FbGXPnWSYOVrXdISaNEtOyX1zEdQoe/HH/cgtcSkMYtR1aUBgPcx6oJMi4E +5DoilDlcwwCBWgnxgiAOFKOyoaTOhwlnCuW3v5/lR/bb17o7OLLnw+aJ5AID +dbRmTf6MBTcNRkuD0SE7fkT63U65sIcOypSoamIAAbFKNjjTFtjzw0Pp9wE9 +9vv+lMq3VAsm3K0A/qujPGiTQop4J4UJLfT0H8UtKkgAuThQXlAhuVkm2e+e +BJoYj3h/9hkdGnzLLZrY/nDyPXdFZTcDLlF15Z+9ICCJr/uTfqey18+uw6c2 +5KxA+PnPygATLYE/Q9AUZe0X2UqFmHKaImn9CpQ/KKOmW08Hc73ETTLs9Q/b +9OBUQCkTGlsctelWUiglYMRZ9xIVbpjQnddc00RVSSZOkufocFHqbgCnJQFp +nzOpXHBjwlXvtk/2QvzIzMzBw8WGCi+Ok5Qj7qsh5bwloch5KvRrLWee0xZA +g5Umb2om6KDzN2BmkT3/BA4sTaABJgx6RXQbc6sh/DzKr7Ln+ActATRZFLh3 +9hEVsvZnLH/OVkAlAiTL2/fZ7+t8e/l5efb7WtsuXS+eCRXpSSr3PgihmdRH +mzlGqHCpYdeqqxgJ1bVp+Vz8Tof1ZmbvtksT0OP6lhfpjUwwUzV6rKushfDz +rqca0tSDGSKo5laho0gCFQTfZjjfCNVGG2xVbDuv0yFsPVO7lT1ejGaL/NsX +mVBza95zD5cg8j4gf9TKnQrfc+IVl9vVkUqrpV3fWyr8IdMOd2mJIcO63+v2 +vKZDwJl4XX/2fL5w5fD16mwmqE1ZV/RJiCL8fO3X73mhgUvDsOXDmWC1Birk +JtFstsuJIC2vO61SNXRI3Pszppm9fmsd6ug5+YoJpQYHT8VbsH3CmOwrOst+ +3lw9PunwaaKJs8ZupT1M8Pj8opP5QQ3h53UWkiY1fe4klCr/OUr9Lh1qs7gH +QJk93vsfyXp009n373TJUfZ+JW6UQymplj2/c3OmuUAbrRd8351ynQpnN5f9 +Ubu2EqVsd2hzf02F2AbBIJHV4kikuyJo8AUdTpbm/B1i7w9r9b/FHbnHhM2i +AzXaniJojUtmwKYWOjCT9U7Ws+eXk8qpxZAK9vMLEu8Fk+QQfn7YfesanLHm +QaFmPu1+z6gwctlh5FW/FOr4s43AmUMHFZO3E9qyBGRrk1cZM0gHXaW7/Kns +z5X56ZDTxgQd0ilu49cayF4u++uN21Rwf+NntFitg/IPrG3ZT2bfn7ww58P7 +RdHuN8YzH96y56//jh/+7OvR7hm4ovOUCYrm2cvRFFH0Zh3T2egDE7KWb96N +Ya+nX9J3zNtmU//7zJ9d7PQ/6y3++VvJOeu4qv////8Phjty5w== + "], {{{}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{ + Polygon[CompressedData[" +1:eJwl1ne8j2UYB+BzZGeTvUfITih7b9nKyCpSFGVmhrL33kVGhUrZokG7ZEQZ +hRYVSkRCuu78cX2+932/v/P+nvM+z3so0L1vqyeTJCQkJPKc4hOZVb5hMFx2 +kd3ldVmChupTchZpuEe/V46kMdf8fFGz99T9qEoePjXPZr5B3ZWSpOW6+Wdk +d+1NfTdKkY4b5p/zBXv5kn3s5wAH+YpDHOZrvuEIRznGcb7lO05wklN8zw/8 +yE/8zGnO8Au/8htnyWFtb8VzoDTp+df8HDld26h/mDJk4KZ5MfP31U9Rjbyc +N89lvkn9CGXJmHjr4Rc33y2fpjr5+N2l3Oab1T0oRyYSuct8j+xPDfLzh8/n +Md+i7sndZKaC2ZdyFE1IEntp9oEcQE0KxH3MjsiJtOWC++U126p+lPI00n8v +Z5OFivp98lkeU99GU/WD8k+5kiHqTHEt9l1+GJ+XA2OdcfiopW4pf5Pj5BI5 +UKZnJgVjnXI+84hDm5KptHOts/xbjpdr5TMyM7NiHb4in9xmPkL2iv2SN+L8 +xrlV/yDnxH6pb+cOdSW5X47mcXVSmqnby4tyFUnjvOo/koOoTSv9WbmUQtTS +H5WTeICL1pPfbHs8s9if2Bf9j3IuWblXf0CO4X6Sxdkz+1gOpg6t9efkMgrH +d+uPycnx/LnkewqY7Yj1x17RVP+TnEc27tMflGNpTgf9Jbma5HGm429C7GGc +AXUiddVt5Hn5Qvze6gwUiXXJ43E/OUX2lamYRnt9F3lVTpDr5FCZhdn8Zb0F +5dvmI2XveE/kv/HsZCXZTP6ceOtv1fx4X2QappNdX1l+FdfprU5GC3XHuL9c +QwrK6j+Nc0K9OO/63+WL3Eld/bdyajwTuur/keu5bJ2F9DvVfWKvYo/0p+UC +clBFf0g+H+ealJQz+yx+Z+rTTv+HXE7RWIf+Ozkt1ssV31PYbJf6idir2CP9 +GbmQnFTVH453Js4dnfSX5cuk4m7953IYvdRJaBDnUF6QK+I8qTNSLNYlT8jp +9FOnppO6m7wmJ8rX4n7xjjAn3jvrLCLfMR8V76bsIW/GWZSV41zLBfwSz0Qu +kv1lWmaQS19Nfi1Hy/HxbGVyWqsfklfkK6SmvP4LOZyGFKeB2Uk5Iz7PVeu6 +0+zdOIexJ7TQ/yoXM0Cdjtzq6vKbeN/khHjeMkWcYdrEOXSvj1nFHkbwEUvY +QX1W8wFjeJF3eJCV7OYpZvIG5RjHCt6jJ6NYxk6aMZblvEsXhrCALVThYYaz +mO3U4wH6MYPXKUsPRrKUt2lKZwYzn81UpjvDWMQ26tKOvkznNcrQhIcYxDw2 +cR91aMuTTGM9pWlMJwYyl43cS23a8ARTWUcpGtGRAczhLSpRi9b0YQprKUlD +OtCf2bxJRWrSit5M5lVKUIEatORxJvEKd1GcYhSNs0MRClOIghQgP/nISx5y +k4uc5CA72cjKHWQhM5nISAbSk460pOF2UpOKlKQgOclIym3cQ3Va8BgTeTnh +/38+ExrQnqeZxQbK042hLGQr1RjNC+yiOS/xPr34kAmsSbj1f8L/AB/QJ/Q= + + "]]}]}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + + Line[{1, 167, 136, 198, 104, 194, 163, 79, 190, 159, 129, 61, + 184, 153, 122, 97, 51, 177, 146, 114, 89, 71, 2, 168, 137, + 105, 80, 62, 52, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, + 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, + 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 169, 138, 106, + 81, 63, 53, 43, 170, 139, 107, 82, 64, 54, 178, 147, 115, 90, + 72, 44, 171, 140, 108, 83, 65, 55, 179, 148, 116, 91, 73, 45, + 172, 141, 109, 84, 66, 56, 180, 149, 117, 92, 74, 46, 173, + 142, 110, 85, 67, 57, 181, 150, 118, 93, 75, 47, 174, 143, + 111, 86, 68, 185, 154, 123, 98, 58, 182, 151, 119, 94, 76, + 188, 157, 126, 101, 48, 175, 144, 112, 87, 69, 186, 155, 124, + 99, 59, 183, 152, 120, 95, 192, 161, 131, 77, 189, 158, 127, + 102, 193, 162, 134, 49, 176, 145, 113, 195, 164, 88, 191, 160, + 130, 197, 166, 70, 187, 156, 199, 125, 196, 165, 200, 100}]}, + "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwV0TlMVFEYBtA32KKjA0FQGRgbVFxwrVygw6WBhIQOHVFjgwpOYmHUysRS +LWwsbexcwdaNxAVmXGFURAZFcRDBVkTOLU6+/373vZub91LpE61dsSiKbvKM +ipIouqU4KztkWs7JeprNY/IKpWyzHpTn2Mdf79fpHppPspNqnuuX62+bD7Ke +xczpX1Bp7471ITawhH/6lwwwSJYcr3jNG97yjvcMMUyeD3zkEyN8ZpQvjFFg +nK98Y4Lv/GCSnxSZosrd7obvwEbizOt/scLePevDbGIp//Vr9I/Mp9hFkmn9 +Sv19cycNLAsfnrX6x7Kb3dTw29Yqfa/5CJtJEGOd/onsYQ+1zHi+Wt9nPsoW +ytiuy8rz7Kck/EvdU3maRlLhHF1eXqKNWecldQ/Mx9jKXuuCvEo5O6xz8gLH +zYs4YG6Xf+QNzpgTYS/8d9kfnpeZcE8Z0WRukUV5UV6XGRnnMqvDPeU1FgD8 +sFeC + "]], + Line[CompressedData[" +1:eJwV00dwTmEYhuE/mYQEC0a3IZJgow5C2CCYQZQghIxuhJBgoQ5Gr0lmiGCh +zigzyl5fqht1oS7UBVES0Ua53sU99/N8//znvOd838mYU15QlpRIJGpRk5xI +lCENFSj0wwz+wdv5LK/mltiLr/7TkS9aX8clPJf/cF+Mkl9xNZbJTdFazuF7 +vBGL5BTky0VcxyeRgu76DV6BoSjQP/BhZGKI/oR3YQrqzNPJ2iV5IfphtP6a +96MNBuj3eRPGIhU9rN3klRiGifpHPoKsuLf+lHdjKurdJ8Pa5Zgf/TFGf8M1 +aIuB+gPejHGYptfzKTRCT/0Wr8ICOQl58iSu5aPx3HJzZMdc/Cyux3u4nNNR +iSJ9Jv/kHXyO13Ar7MM383bmK9bXcynP47/x7jiH8/ktb+EDvJyboQrt9Fx+ +GL+jVE7FeHl6XJ9PozF66bfjnGA4Juuf+Bi6IE9/zhXxTjBL/8Xn0WDOTP2q +vDj2KvZIf8cH0R6D9Ee8FROQht7W7sQzYwQK9c98HF1jDv0FV8a8+O4+Wdau +yUtir2KP9Pd8CB0wWH/M2+LcoVhv4DNIRx/9Lq9FiZyMkXEO+QufiPMkt0C3 +mItfchWWyk1QLM/m37yTL8T14htBdXx35szm69Y3xLfJ8/lfnEXOjXPN/wEg +VnNH + "]], + + Line[{664, 489, 645, 410, 627, 525, 656, 283, 573, 471, 641, + 391, 620, 518, 339, 605, 503, 425, 266, 563, 461, 380, 328, + 297, 583, 480, 400, 349, 249, 550, 448, 367, 315, 592, 490, + 411, 628, 526, 284, 574, 472, 642, 392, 621, 519, 652, 340}]}, + "Charting`Private`Tag#2"]}}], {}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 0.985996864205657}, {-13.815510557964274`, + 3.912023005428146}}, "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {0, -13.815510557964243`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), + "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, {Log, Exp}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxFmnk4VVH3x881D9c8z7PMSZQGrSMqlUQlSREJTag0IGNRmaKE0CSlRJRk +CHcnkblQCVHmOydDQvzu+zzveX//8KzHde4+a6+993d990fDK2DXES4Mwy5z +fvzn97Yj458Q1XkD/q3V+4T2KPDYKAYOKJhD7b2KmtWmI7DyXkZ2iYItrCh0 +4m7/MAzDytpR53Ud4NefOZGBC8OwvfXryzsKe6CmrO2jlMUwaCeoPFpSc4Vl +Qea6Qn+HYGHb4XRPXXe4mWmpHl07BC7ef6pvKHiDl18OW8ZzCOpsFMveZfvA +GdUIvXLzITDVsiqeUjsKQb/znmUJDYHAYHTOXl1/+LZs2mvkzSB8X37FJlEh +CGzlXTsHTAYh9Z480nE7Cx7F/UogOgjbxPLX12Sfg0pjXDaU9RNes1os2GrB +MDY57bHxxU9IKpTUc9INB/bgYOj1DT/hvszO2DiFy7D2nvVt48AfENqaXre3 +8jKcdeLVEN/3A/bG/ODScouBg8ZBLjb4DyBPn4p4kx0L8SfsyxIlfsCFrtQL +DLVr4HxDU7XCfwCcbvYcc9BNAp3bbzISlfohSqBk2TWFVJizH03TK+0Fgy0p +V18GpoJXnePWicRe6IgJoPY2pMLvHO3GBZ9e0OY2emZy7hZcfVAe+lmhF+r/ +5Zp0daRB9NjIHq/oHiBPplmoJdyGp1uVv1Ud/AYZ30NsShfvwrvFhGNGq77C +PtcHRb8mcuHj9yEzVbtOYPfuEcpRfAS8V3qErY06IdZd4Mhum0dwqb/C8px4 +J5QcDlB8nfoIQvOj8mS/dYCov1VM6OrHoD/E+17/eAe8j/62ny8sDzoP/7t2 +/MYnMCuQ4FMWyIcqj6yLa7vbQXgp6sAW1SJ4EcM3m3m1EXScWOPv4l8BcC24 +PR57A+fb1kDGVAVozT/7ybUqBeReSfSTSBR4sy5IwLW3mPJ60KalfX0tFMxE +hnPfeUepPXZHyZVRB5Z23Cu6A9so07pWYoUnG+C9osS57A1dFK6/z8SVdJog +yX3l+/CSb5RVS4de737eAsvVy2e6u/opLZfN2+oN2kHI6kXIpemfFLVk+t+n +rI+QGXx1+Zp3wxT6n4H+z6YdEJAdXbfOf4ySS3vTJJrUCYsKzh5G3jSKqEoe +e3i4C4Yyzvwx9mRSEo6W+tzX/gJ7ktXIKuq/KDP4s2G/iK+Quvpe+cqqCUqQ +Wd0r2XfdkHm6J8hw+yRl4Hld/CeNHnhUY+67QmSaMn+sK0s/pBdOCglsdOid +oRha8y/6vOmD1oYuR+rdWcqWHA3fF3L9IKVzt2ngwRzlvIqH5s8DA0BL8j2X +c2eBYsRwFv664wdI9Zge1StYpEiJ/RKom/sBKvfpQaKxGOKhZBVpZf+Epaai +6PhSEjpu/uab4PZBCLM/MX1Ljhs92+p1v2ZmEKzG0tJnk3nQNF1RfDRtCKaX +nO9HivOh0t1buFNth2HXE16s7Bs/irCLufdqdBh06NEL2SWCSOP7tu6HMSMw +LfriR22lMFq7+nKqgtkofC03eXBpnSg6oNr+l9Q5CgxKcbbYBXEkqnC69M3n +UcAx9W7fcHH0Vkrm1NnuUQAph6y6y+JIV9BtfPz7KOjIbOmITxFH7MnRL+3j +o5BH3ljm9EwcRTcultxZHIX8msHlbwfE0ZMgE/81BmNwjKvi0iF7CTTdlDgY +GDUG+3n+qPuukkR9wp/9Fi6Nwc11u/wyrSRRrb0y+0rsGFRHNgZ32kqi5Lb8 ++bvxYyBS2qPmslsSGXQ0SLfeGoOFSsmIR4GSyP0baYt+/hg8qXst5FsgierH +zj772TEG7KF7SfV6UqhAr3rFyc9jsDnFs7LeVArdPMpTPvt1DExc//G1WUqh +Q/QbdWLfx4CUBBa/7aTQHKvou9XYGORVDzjcOCqFls9QxTLnx0B+WNrcqkAK +ZfC4B+3SHofPq5M/PFwtjRYPy1l80h0HuvdaiXO4NPJ+93F6p/44aFzXxpy2 +SiPTaJvzO0zGoSo8RVrDTRp9WNILsbMch71vZ/4ZRkijP3OTERvsx8Ghzrd0 +4YM02jtxLd4gaBzmcmvFZLxlUJWjjf3Tc+Mg0JhroeEvgzSLF8h6weOg4953 +w/KCDGL5ByTphI/D+K+V+64lyKAYxp4U9avjkHhBYri0VAa9GlNLl80ehynT +ov5dQrJIqr80h6tuHHw3+3YGVsuiJHJYWFn9OCwTSutSaJJFQuts951oHAdq +1K/Cri+yiCujQ+RL2zjU8ZaURv6SRb+d2BeefuOM101qqExbDn18r7fTkT0O +X5TX6Hcly6GEwqz5O4pUcPkd1BIXLI8E+ry+7FKhQqf+j9cjV+XRZSGDF/zq +VOAuvsLjliGPQn3LfU7pUGG24J9VWpk8Oqbx+ZOtKRXO+tA/uP6RR3a3RJ/Q +N1GBz+TwmVMXFRBvWNSeNaeooLI6tNHxviJ6NcH4SzlDhRktC5WEl4rI22ff +vc3nqFBW683NrFNEdTuX03aHUmGxhyyoSVNElzW/R/jHUCHPQPP08VVKiOeD +5bOHt6kgH0plqH5VQq/W5zoaZFPhHK+jpgBDCXm/EJspvkuFcvinqUVSRnWZ +o3jNQypc2L7g+dtQGV06mfqlu5AKjdS6cMnLyohL6hdJtJYK2MaiB/tBBb28 +4paXWkcFLb/IzBEXFeS1UG+v1ECFuxJzXaWBKqh25E66XgsVQtiKZ4weqqDo +8u3GNl+oUC3t4ZwjrIpI7nn7LtCosBQDoscYqqi4Q3JxkUGF7heGDEMhNXRo +S/jDGDYV1li9/7lHTw0hU2fWjSkq2ApZdowdUUOR3NyXCxepEBBjcer4qBrC +nroXDUrSoMjh6Q21SHVUz/jqf0+GBpEu08EFqeoowdTJ5IA8DRT5I6PuPFVH +cuU2hZ9VaJDNvVKzqksdmTToPfugR4Ma9rHh46YaaEoo51iMIQ1UwkoLMuw0 +UKWDksFGExp82kTOcfLUQJu+iDx9s5IG0iZTZpdSNdCBkd+Pn2+gwYkfB8Te +cmkiTf0TPsetaSCSbNOtp66Jxk+M6OjZ0kDZ13PRa4MmOjP1NffBVhrIVYgu +RYZpIktLJ293exo8P0JWi7qriRZDm7SUdtJAIlbybALSRHHc1Tmpe2igDyUT +IgJayHHLKi8nFxoMbZhILTTWQrLxRRqi+znPdw9deLZHC+VI5ty/4kGDgiHf +OPM8LeS3V+mQrRcNvucmqix1aCGTzFQ10hEaJNuSL+dj2qhC48rdkGM0MHC4 +luvqpY3Cj2Duq0/S4GVbxp7GNG1k+zRYZSqABndFGLlTrdpIiPm7r/g0Jz8e +3h/0BXTQR9MT2SfP0uB0Qnd33SYdlBY04mZwgQbXzBluK6/ooAPl7kpjITQY +Pmw6Mduig8bBKfNQJA3a+IY1l/vpoueXmlxVLtHg3pTY7QMUXRTUYKPQE0MD +JVOMFay6DK0Vru5Ou8p5/obyv5pXlqElh1UZu+NpwPts9e6I+WWo7kaRi3gS +DYQrstyuheuhuC96cq3JNFhRPHrrmrQ+clTM+XLtJg28XgeYLrzTR7LuSmmb +02iQ+Cf/pne6Aep7kOrMfZsGMqyZ0KsPDJFgTonrf+L7S9dvx3Hiz5lb5xxy +/j/+XRy8e+YhJ3bOWvqUo4zKp35LljymgZGaWP67RnkUbnmiI+ApDUYuOo28 +7JdFthdHUowKaLBw7XrD3lsySAi5O1Gf0yC1VT414I40+sjdLf74BQ3Eoorz +0BcplLbF6aPXKxrENwmHiK+QQm7xTdfVymiwfmfOB+GHkki93WZnXwUN/lLo +B77qSqJRyWrR21U06M150HilXAIV7F3V5kzhzE9+hNsBZwl0OrMoUbKWBj98 +s5btnhdHZQuZV2rraOCd4vFuf744WnCPjTrdwKlHN7PlDzzE0ca3p0I1m2iQ +l0K336Iojq5oHTzb0UKD0oP3T60sEUMtMXYB0e00iKukq5DXiiHJ8ZVHzTpo +wOyVuqX7QRRlFwgdvPGVBpr17q2yf0TQT9GZvRt7aHCq5TW9KE0E6Z766fi7 +jwY60fT7fOtEULFFue2uQRpQJ0z2bU0mo+n0hxu4RmhwoWn78ss4Ga2dS7J8 +OUaDtUU/tGWnhNH7miNGkkwa8JSfX7TxFkZCGk66tWzOenixh35aXRg5Xlqv +fvo3pz5KH5upDAihni1S0h1/aLBrqtnP+rAQUstfFImeo0G/7lL2GT0h5E2m +8Zv9o8G2hrKWZLYgYn1E8ylcdIjiSVytckkQrVxZMG3NS4fRslC0f6cgunAr +nT3BT4frFiOeaiqCiHu//5CTCB1WdDsNsKoEkPyQUrOEPB28RLovNQsLoIOb ++N+/VaRD39YWlf2D/Cgn73fNKRU6fP3psOd3BT8yOtH48pMmHcL4Gt2kTvAj +6+lzmSnGdODqOirO38uHYl28Uq1N6RB4ZotqSzkfaqnYkTRhxvn8euU/Tul8 +aG+4drSTJR1UE1X6L+/lQ8f4O45K2NBBvl5xrK+PFxUdrT78dhMdHgR0vp2j +8KKp5icHT9nRwZGfFfLsIS+KSI5w+rSDDjoam4pLTvCiVAXjNSn76GDlWpDJ +5OFF1YZXBST86aDM293Gv50HreqSa70RSId2Q8tw/tU8qPhiXor0Gc54U4RT +XbV4UE5rvaL8BTokJOjWMBe40dUAXmO1aM7/x7xSzivhRiT5WxP3L9PBIOlr +slAONwpB2q81r9Dhi910YkkyNzopYQu6CXTgWTH06qM/N9pVEu1knMb5+6Y7 +2tIm3KjZTVK2KIMOtyKq/0SociNbnpwe0yw6NNycSlgmxo1WO789bH6fDs/9 +6tp1JriQ8p+ls+vy6dDt88ryXRkXGlsblrm1hpO//kDJagcudGiI7NGM6LB+ +bC/t3EYu1BOfrbXjHR1KHNbMu6/iQi19bwocP9BBqxwzLVPlQi/D52pcOujA +Nx5eeW6ChAyXxV361kWHZe23yCmjJJTbrmDn9pUOJ0pru7t6SShdfc0n9z5O +vfjlNX+pJ6GLteeHjozSIX6DuPPruyQ0fYz/ydg4HTKUStJEb5GQv1T6iaN0 +OkRfKIlOiCchT+/X0yd+cb7/vHrhnwsktJlvmj9ojg7+V9yNI51JSGLbaaNo +UQb4Zq02F5QhoftvxYTXSjBg9dmOfWwyCZmuKaROSDGgzMZp5TwPCTnojT/2 +VGDA8++kx9HTGIrjc9ew1mZAiOrbXVu6MaQQPr80q8sApa1rh50+YejJdEZ/ +sT4Dnt3hfRjRhKGG4c4s9eUMsB4qvm5TzdH777bKYmsZ0OpjSj+Si6Gba8em +ytYzAJof3Im+iyHNl5c7A4ABW1eZRKIMDOEPKMkDtgzwt7eZv5uIobAIC2HK +TgYcVbZb+fMChsh/OqjndjFAjRrzjhSEoWz/wA8mzgwwnOqdtw7AUOXBZzF3 +9zPAnDZCUffB0Mw6DSz8CAPWcXv67XbGUExJTb+FHwMEb+mRTjphSNrwQDXz +GANSA3XUHu3A0ErF9JCDgQxoTngWFLgZQ7Up5q4yZxhwXvZ2ELcNhnYJdqxu +PcsA5eHQuFeAocBZ8vT6UAZ0W+WlB67B0FJAfudUGAP+cf31DF2FoaSxLS8L +Ihmw8v728YcrMVTwJTpAOZYBida633eYYGidg7pD11UGRKxl6bUbYqjpfbVR +Qjxn/C9X0E/qY4j6apY6n8yAe/qOWdw6GLpglPah5CYDxPntA+c0MSSQuzLv +eBoDsjRJcaIaGEpX+hSjdZsB9fd5B0ANQ7o3/b17sxgQa6bpm6SCoVIhss3N +u5x8FTgqzSlhyDb6qcb2BwxobK6cjlbEUOffzRh3LgPUzSsm9BQw5HVquL/y +MQNGznUIM+QwNDEeVX36KQOa/CutW2QxFHlILduggAF4ptONRhkMiXdXhQw+ +Z8Cxstx/Q9IYurdzv2vmCwY8DmJcVuLEJg1/Vu96xYCgU4kGgVIYqtlwS1ao +jAFhq+V//5TE0I7XZtNvKzj5Dtz6I5AT9xl/7Ayu4syPvdC8Mie+R5cI46Yw +YEuOEzdVgjO+p7t1E98yIOWiRGwbJ9b1vdUuW8eA5N0/41o5MVX764X79QwY +UwbFUU5cMCivadDIgDWGyVbynOcF3t/fXNLMAFkhzaXDnHile3aQVRsDWKmG +xxo58YxSv0rDRwYszbXG2XHGW/lNrcGxkwGLarL+PzlxWLpnYM9nTv0O/dNI +5bwf7vxQwbubAVWZJ0sPcfLBIzVSy+xhwOFnVpZbOPlq+Kh74vx3Bqy1tHyz +hZPPuCQ/GdIPBpjOKjp6yWPIwT6/Jm6QAQaqtwXTOfmXEGL4So8wQMLv4PwI +Z366Gowl7o4x4M1u8tqdyhjKiAmoXEZjwGavzb0dnPlVJU2S17EZYNbXfV+f +Uw+DNeav6yYYoP8vaHqBUy+PLp7zcJji1JeFvghdG0NGs39feP7l1Mut0gk5 +Tr2xS9e50ec569Nb9c9eTj2+PBPGc3aRAbbGDaYvjDG0ho25XOVmwvD1vuoy +MwxtHuVfeC7ChKLdvePiGzAkmLv1kaU4E3zmrpkZW2OoxTPeoVaSCd7tMh+O +2GJo93fRB5/lmFBQKBVstB1Dh7pkNi9oMOHxUNZT6f0Y0r7hwo7RZoLX2DtM +3h1DYztvZ4gtY4JZ9i/BNV4Y8m9WpmsaMcG+Q32p6xiGQmu1kreuYsLMSsND +IWEY2hB5ZE2nJRPs0gz1T0VjiGtD3uCBdUyI8sz1vnIFQ1crDCwCcSacl9mw +QuoGhtKKV/SkbWOCw6jb2aInGHL1P3NJfQcTLtAMlJ4+x5CyUalR/k4mtH6e +PVj3CkMP8ywjqvcwwWr/nclDbzFUfBe0h90541n1xGtdH4YmQyb5PT2ZoKVD +4Vk2hKFVLnn074eZECa0ItqChqE3YmIlX/2Y8Gp+8kLVLIbqI/utm08z4frU +1pqLciQkcPCGjt1ZJqCcgNRraiS0fc1mwffnmRDK/3hf1TIS+jhR+LHmIhME +3MHnliUJ9XqFebyMZcI7HxkXzQMkpAorbJZfY0JDP4/98SMkdEhpRLcgngl/ +9Lomv/uT0GinPetRMhPEC4cvbowmoV+2ymG3M5mgK4sZyj8jIb5lbzIjnzMh +iOucRRQvF9rKHRD+r5gJAXZ9iYUSXChhQNMrpIQJEr/0BUQ5551ERpx+UDkT +7l3pLfJfzTlPBfeX+9YyIX3WaWfDCS7kMSKSPVzHBKF6aanCEC6U8/ZthGcD +E6zb7KzbrnKhZSH6W9xamKDZMi/S8IgLmdFnPzt8YYK+cdGjF4Nc6GxDQUVz +N6e+JhfqUn5zofKHh+7Y9TKBWVxw5zUXN7I68MF74w8mkE67DndqcSO71vRJ +CxoTnl1zcIg5yo0OFq2SUFlkwlGv22wLHh70ev+s6EeMBYoT5FC6Ig8S5ask +X+JmQR/sD5Qy40HogJUAVYAFh4T6luo9eZCmkO3SKykWTHT+S1dq4EEhpbz/ +fGVZ8OKdeGztTx7UeahhTlGBBUpN10pmF3jQ5bJtM5GqLEiyO1SsbcGLRr2d +mPb6LOiUe5rPW8iLNohL0ZcMWWDqEoyKWnhR+puu8ZcmLMiJZMdJMXmRneS+ +YXlzFkitdXTXMuVD+RT33uENLODynbdag/gQ13H1b+nWLLhdBYKXR/mQm+zg +l222LEg/GfymSYQfkU8e6SjeyoKR+n/t5z34kb/iicaLe1jgSs0afiEugOrf +Gzcsd2FB/4lCjTW4AFI7xa4bdGXBpMupHsNTAuhjw2lk58GCnZPafDlfBZDe +GfOaeU8WXPb7+MFRRBBFqc68ee7NgneK40K1toLI7GxwmfQxFjCOjGW6Vgii +OPV1pQ0nWHCqSGlL9YwgGmpeeBkSwAIW+/Og+yohlKoZ+fxHEAvcZRLo81VC +iNlqXXDzPAvqbsz57OIRRpuDufM3h7BA4QXXtrsOwuhPe+yjgggWqDefWifC +5Oj3ULuHHtEsGD3/sIFiTUZPdIUeSMawYE3w8J1rmWS0Pywx+0IcC9769qf8 +cxVBL/V2Zhomsjj7cYr6nbciSLhLPKP/Omd+DUUWNi0XRVUGqTdtb7Fggerw +7YmaGJL+4pzyJ50Fjl8PHF+XK4ZORsldz89kQaO1zJiDgjiqN/qWcPAOCzZI +DNTp+Ygj1e7MOPH7LMC/G3lmV4ijc5cOXH2XwwLNSbuqPmkJ1G6iGnvuEQvW +xfJDcLAE0usZuKT/hAUqa3KWYqkSKDLmQVRfPmf+RlYsDvlKIpHz/Ns8Cznv +s8zaaQdLEmX6nZQcLeLMR2tg8uNrUkh3f2fPsZcsKDPedzzRWhqVbF/zkP2K +BW/OLF6v1JRBuNW940FlLGhuc9Fj2MqiFhNe878VLMg77GAg+F0OuaofXwir +4tQvSFcLpyiiUYlPdVwUFvjyCEiEWGij16tZbqZvWf/rZ7+VnrFLqP3/eGkq +25lcxwIPEZ9PQ3R9lDDKpZpSz5nvvNwpHtBD8t1+ozKNLPBRjeC/LLIMPWps +e57ZzAJLDdNHBmq6yOyN+Xm1Nk5+dTfNqbnpoJqCTMj9yAJ98nFes1faaPtd +TEC/kwUREjZ4rKY26r7u87HwMwtWbdh0RvSBFvKOaskw62bB4kDd6n1GWmji +tJlnWQ8LvD8972K91UTh3hn667+zYM4j2Y/rkCYS2rs4gQZYMOgb1W3Gq4nS +t3hXbhpkgeo/yRj/Yg1UbGC6fecYC15a9HC3yWggK+U0qS4qC9oYmT9K2tRR +k8hC7z4GC4xHSFfl4tXR0K+GE14TLDDozmn4RlZHsmUeSWfnWbCX6/aMnqsq +evjk/d65fyzg2atZf/C3CjLNNFSLwNiQurvavDBJBW0N+1N0lZcNPtWXf99p +V0YXba5/yhJjw9DGHb5aJ5XQYHuNdK0WG/RnDy74zsijgLfa3zfrssHGyIv8 +sUAeLbyMe9SsxwbY4flF0VseyaTtXfXZmA0u92NWiX2WQ3YHWC7jq9nQJSpT +dKtGFhWOq2SJ2rPhHeVOkUWONLp6J+ZQvwMbWr0HWcYu0sh7F1PnuRMbwhU7 +RiaFpZFyVVXxDhc2CJ9VtAgOkkJx193qE7zY0CtqTXlvJYl8bN/FHzjChqik +ojfxTAm08a+Bk5EfG9TEZ5IGsyTQX6+53uaTbMhKOZ6iNSmOuuS8HmQFsuGJ +thxtX5Y4Km5p9Dl+hg0S4dKf83Fx5Lfq9oRQMBuk6/Of8WNiyJaOlfWEsuGO +3S+3PaaiSO2+38X8cDak4QkL7btE0Nyej9YhUWywljGv6/Mioy+ClvzbLrPh +0UzQ6bF9wuhlzb0WhStsCKjpOfJWVwglneG/Qb3GBiu/febV+QLomF6AS0UC +G7pvXIFTdnzoiELZ9q2JbBCZuOipLM/7v/gjSdUtiXNeEJ+PLh28lNXL9b/n +raorLpO6h/3v+3w81Z5Fpi5SiPGYbN5z5UzUAoUYr3asPfspp8Mm3sesYVix +xfIvhXjfsjDV9xVf/1CIfPTfHnbfcGuGQuQrGFM5ct55ikLk81oTn8gbx0kK +ke/d6668fLT/N4WYj8S5xBcON39RiPniK/GSzwtnU4j5TGvaY1c3zqR06iSs +Yx5gwwYhFfMCBo0yG6h9TmU/G3qsfy9vuESlEPXQ/DRPLmLZOIWoF7fbnVoa +KSMUop4kq84kX3QephD11ixryLNTa4gSohkbPG/NBpWUpklZ6Kd4QuX4xHo2 +zJz9W7XrUg+la8ce9ZNr2RCr47EmqrSbQtSv4cHOmrqJLxSivn+uoKWFwUcK +Uf/h13nrWCuaKMT6qJlfJnRFs4FCrJ8vXo9ft2jUUYoEl00+V2DDklpG1JOd +Lyhpum5ej6TZ4PCkf/L169sUQYvp2+qSbMio57bNXDxFIdajdU4Zn/3BZCDW +6wG9RtpftXIg1jPFfuR09hcExHrPzVc9smD4Doj9YC6lNdHnwnsg9peOKOE/ +H1w7gdif5N/lRv7Y+RWI/Sxhpi1OfvU3IPa7sLLanSTdHiD2w0dfr9sPSPQC +sV8LjpWwPHl/ArH/y+/Q2BaVOwjEeeG4Dbv678QQEOeJV2k1O659CIjzRq92 +o+KS6TAQ59+N2unC9dvGgDg/15WvKa2sGgfifO2RHxteDKACcf5+233X1uwD +FYjzuTT/xe9zajQg9EDHJxF5NqePI/TEVB7/kaRJJhB6o/xhQvvneBYQeqQ7 +A3ce/MICQq/Y2aUVW2qwgdA/dotzd1oLfwGhjzxKntz9ZD4BhH5SOZ7w6fq5 +CSD0Vchh/Q5S+gQQ+svm6s5Io7IJIPQci+LeN/H8NxB6r+NXvtVn7Ukg9KDw +0aN7PdwngdCLQYwzDq0hk0DoyZ9ayJorfRIIvXprlbE1U3UaCP17OamxLblz +Ggh93HCX5nbaYAYI/VzfE2Ih6TwDhL62E/RYvfzYDBD6W49d9M4gYgYIfS/S +l/hwRc0fIPqFvJWCF4d2zQLRT3w06o2vz5wFot+IJQnladTMAtGPaFzPDMhv +nwWiXxl/Zs2j9HMWiP4pe6PUktLEXyD6Ma8J9uy3wDkg+rXTqpr1nc/ngOjn +4sV9HQ+0zgHR70XMbpC53DcHRD+46e6UxG36HBD964Db5v4TnfNA9NO1wTql +ctYLQPTnLU0zzJBzC0D08xUv6jw7kxeA6PdtbiRXUO8sAOEHnB33fjCRz/n/ +//ojhypdZ2lR/4DwY7L0XF72j/0Dws+pHLHJva6wCITfc/hFQrO82SIQfhBr +zmR464ZFIPwiuRuhGv7bFoHwr67w75fW51sCwg9Tz5EmF3ksAeGXfToWvNw/ +fgkIP63ie+FP0QdLQPhtTdLb37U/WwLCj+u+b38y9vUSEH7fhSMiTyT1MJzw +Dyvjdj9csMdwwl/sJa07JeeB4YT/yM1+ThX1w3DCn2wrcrWKOYHhhH9pXKP6 +708ghhP+qISqR/OO1xhO+K1nMkxFqr5iOOHH+unXCuuNYzjh11bIdr3Z/gvD +CT+3KblJ1HUKwwm/12t05PDhWQwn/OwxGVupfRdJOOG/75EW1x2uIOGE3//v +d/79p19JOHFfoB97aP3OURJO3C/kGA4r32SScOL+wUxz6MCKCRJO3E8ciLtm +2DpFwon7PKchRdPIVi6cuB9NJJ16mBjCjRP3vYckVuj8VOHBiftrSZ8jDPtc +Hpy4jx/y+sf0U+fFCR4gOm/eB7/NixO8QO6VLji2xIsTPMFRLerNMG8+nOAN +BuQz1Ocr+XCCR5jKFecOFeHHCV5BqGBb6JpD/DjBMwyW5zKn8/hxgnewNxJt +TfzFjxM8hKv7t8QjqwRwgpcQW2zPiT8jgBM8RdLAp1/jLwRwgrcIPN2tUTcu +gBM8xi8j3v37VQVxgteYe919f5ezIE7wHG4nKbELMYI4wXvMWV78pFcuiBM8 +SEzzMiknqiBO8CJaF3y5pWWEcIIneZBWuOfwRiGc4E0Ez6mOdx4TwgkeZVvq +lvqBVCGc4FUWmtXUj9YI4QTPEr71b+zTISGc4F3kg/GRa0LCOMHDTDU+/nXR +WBgneBmh7SZ0F0dhnOBpFnCXDwNBwjjB28ylvlgcuyWMEzxOl9uASFm5ME7w +Ol8Ns5of9QrjBM8z5SX3PH5eGCd4n7Zj/05eVyHjBA/UyM3cIWBFxgkeSWo1 +1l55kIwTvJJknfn6zgAyTvBMBk4NMXrBZJzgnTL9ZDuYYWSc4KFmTetr9kWS +cYKXerN/17XkKDJO8FQm82tFjKPJOMFblX/6ttjIiQkeq8nwmr9EAhkneC17 +7d9LtGTO9/+X57qbU2p+7CYZ/x/vZfNgX1EqGSd4MP/Er6d33yLjBC9mFG7w +cJYTEzxZm4VMlWMWGSd4s2+VPc3ad8k4waNtTFnhmn2PjBO8WrnTIYMD98k4 +wbPdS87C1B6QcYJ3Wzm2Yu3OXDJO8HBPuA4a8Dwm4wQvh+1zdR7nxARP93eH +xumuPDJO8Haoxmtn81NO/v7L40G6yJbefDJO8HrbUh4XLT4j4wTPp5JYHmZV +SMYJ3k+ggW6e95yMEzxg9tzT4cPFnPf5Ly/YsPpNkOQrMv5/f6jccg== + "]]}}]}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVlXk81Pv3x8fOGMpSli4SyVpypb3zFr+yRUrJzhTJvUVaCaUbyl5JQrKV +KEtkCXc+YqIsIYoobkwMM5/PhywxBl+/P87j9Xg+Hudxzut1/jnqdP8j3oIU +CoWxUv+vVt7szrqxY/uattdclH1NQ8JmygGDSsaQzstnnSyhoT+fpKSXKZmD +eBPHOK+Ihlh/aIZf0bIFlbiq0L2FNGTd1lP6WMkBrO4+K156QUOasSpPl9Wc +AB5KHewvoCG+1cmHXlruUMeg27Xk05Djqd//3lM6BfOH1AO782iIaaZc2ZDu +A5QTTsfYz2jIUGNvybTaGXgu6KYrvMLiQzezj2udgz9Ht+6yy6Wh71uizOKU +LsKTxDSKWhYNJT1RrNvocgmq7D11XTNpyGpVwR5G+mXYf3erU/oTGqogWreR +akHwtbqvRTODhuILZbXttcLg47Y1tYfTaChzjV1ktNIt0A/TzZl7QEPX2h4y +j1ffgnNxPYFHV/h4xH+CGi4R4GaWdaI4iYZoM+ev16RHQkZ2ubHffRq62p10 +lat2B2w0fy2PJ9KQ/f0+P1uteGjWu3NOJpaGwsXLNt1RSoKqzq9LH27SkO7B +u7dLA5Jg88IuKYMV/hThP9bflAQ1zkfuJIav3E9I/8Xmyw9gzrCRceIGDTUu +5m7u/pQMqb5rP+GhK/unkrepxT4CXfumCO0gGkr5HmxWvpQBskzjPV3+NHTC +Kat4YjIX5LZT2qvdaEhyOdz1oGoxfBDCD4nvpaGN9gS7IeY1fPRbPJugQkNX +Pu6ElOk3ME1XKIpZkEQKr2UGBAQw6NFLa3naL4kqhsxa2/fUQ7fLoFRllSSq +93u8zonLBF7Sq6XRB5JoRmvvqsKzTcBHju8HL0oiwfkXq9dtbAaq9WaO42FJ +ZLLsWXG0qBWmPzybCDGQRK23jD826raDYhD6eYcqidQSOfP5RAeEWc5H5g9T +Eef34MBnw0/Ab1Fbf4ZBRbnjNc3S8V1glXSwcTCJiqRV8kgWqxskLquyu/yo +KPZMuU+m5hfISi50OLmfimbRC5bv9R7QuHpaSH4NFV00Yr5e29ALES2b5OzH +JNBgETOmU70PeDtCOrWrJNCCX3eaTnA/uJzFIvkREkjPVGzJp+Yb8Cp6M48c +k0AHs9VPv1IYgAl9EWdnVQl0RcVjww/XQQgI7FVnssWRPveYZM+h/yB+sHOC +/Uocya2aEGfy/oNVS+3ZMRfEkTCWVqyR/gOc3L/GeZuIo7+Ma75KWA+Bjb50 +W9yEGHphSc9kzA7BUFUuPpMnhmY4yqtHkoeB+tLq2k5PMVR+9KBQkjkLpnNX +C12TEkPXLSKevB5hwaBiyvqFalGk/t2qNyfiJ5zRGLsfekoU7dp+K0nJaARy +o7rBb1kEuaq2zwt0jcDNvAUf9EgEzTTHDQWEj8IwfRH3XS+CUoTdLx7RZIOs +jzfXJlcYyQ2UZwsy2eAps3XjDxVhJBIa7rDz/BjECZzPiQsWQpR89+Ih2XGw +H1Y2vNEmiAJTi+Nk68fBNfqOXtu0AKrkp0bVM8fBaMOw69ZJAcR3jwwPbBqH +bD3WH/dxARSl4XbpU+s46ER67rEbEUDpL6lu93rGYfFXQWZ+jwB6x/DWl8XH +wUF+tRbrjQBSHF7XIqPIgdE15nInQgTQv3q3xWXOcYA+8vPkyTkKMulWaLsX +wIHmxGZpp2kKKgnJuyt/gQNv1nbXWE9QUHZbo7LiVQ746tRLarMp6La/iIHa +TQ5cSDGUqu2hoCNlN+0Nkjkgo+rRcqiCgkZ3haZaMjhgwFBd/B1AQZ7DNI+W +Og58LHbaG/E3BfXFpGscauCAEFk0Ju1LQa3fal4efs+BfoHd5xU8KKg0jMdw +/MSB6uijOXwbCgqpvzLsPcKBq95Sz2W1KUjGKlD/pjQXejNtzkZWLEPm21WS +u2S40Cxv3dD+YhkMdxaOTcpx4c33wh/SWctgq81+5qXEhU6/oC3nYpYhWtRd +3VSTC+uz5WnFHssg3GC5lrKLC1FizvI6osswu1udEubNBYV719TPWS1BRBlj +YJsvFwjeZpblviWQ13P9F/fjwslXsS2KRkvwp/LDYLcALlT/NMtNUFqCgDna +zJ5rXEjTdiwdGF2EsddzYwuJXPCsdpobD1+EbwYdXUG1XLjEPpU1WcCHJxyZ +UCGMC2b3Et+MPeYDPf+oVtzbFf+vmF5diXwY0+y5mtnIhdbmWTz4Mh9m1w2o +NHVwoT5oY7mCKR9kqNzT8j+5MOhyYODvrgU4MCLGL5LC4f8ypmUecXggkWv5 +dMdqHK7P7Vtz6xsPWr1ibOtlcYhZffqwaxsPjn6XzvqsgEOg6obGriIeeHav +OcBXx4E+Sc59DeDBtXqNREsTHNL3yy2vm5yHkgzQZLnjwH5hKrzuxxxMBU+J +eXnhoJ6Q6l/QPgcmjnmc7ydxiBSg5qkz5qBm1aqyHl8cOvT7YxpT56DxxoBp +SyAOeX9KhAwfmYN+eqhHaSQOUt/icrYyfoPopprUG0U4aJPFDbrXZ8FSyD9s +sQQHCwmP7Vv8ZiF2cAM9uAyHxr7gbbLHZkEmJVrnYhUOTRnjLoG6s/CHhHPV +6XocbsV/+JjYNQNGnLnPtl9weGBiYIqrzoBbsYmMyhIOPzTqTAUfTkGF85x0 +B4WAi9wLtm3BUyAtWk37R4gAyTNnjnu4T0Gd617xMXECPk0U7P2sOQUbqObL +r+UIIDD3b5NFv2DklD1uo0OA2W27G/qVk7BvtRxnWY+A4JM6nwQeTsLDmm52 +6WYCVP6K7Uy4PAkWsidYisYEeJQ9z+g0noQCzL2ftY8AiyXe47bCCTin/PeH +EIcVtkgu2aFOQuM7g6YtjgT0pqBjQ18IUDtPMoecCKjKiW3/HENAR1NgnYUH +AdN5Yt7xUzgYXQqqlPdb8dsppUi+4cLv9sinL68TUF7w6tdltXE4fM0ix+Mm +AV+PZpgbvR+D51rULNkIAvoUR1lL/mPgHBqXfjWagN1VO8ura9lQq5t03/wB +AffqZwr3WI3CjYis8G8FBGjX71deNmSB1BUxK69CAujl/5LR7cMrf/as7Egx +AYetKLcX/x6GMuudOeRrAhQPqVuF5w7BiEwnUxAjQGK0jPAS+QHWGRRxnS4C +nvYk2AzK9ENvgk9H4WcCQivr7QS0+uBUeGuKUS8BsbMfoxW3f4WwUyk6e76v +zGvIvfGfXQ+U6Bpa242u5A2X/P3eqQvWVnrEX1oggHe3Lc7n6jvIef7uOG+R +gNwCVW++XgMYpuqpXaeQgNn8DEz/UgeWob+Lb4uQ4Kr9YXxerQpCzBI601aR +YJpdKWrjlggS22YerZclIaVRyDx16TyWrOVCfypPgu3zgamKikdYscSmqSIl +EpbVUsKf273ChtoZ8vUaJHyhP6toVWdi/m81vx/QIoGxsIkataEJ45dGP23R +JiEsQYRJbG3G1iQfN/lsQMKPrePJodCBWbgSjuztJOi5dTGYk1+w7kMO68/u +IiFyo8fO8PJezAuq2ZN7SJi9NF975J8+LHhDZNCCKQkqd5un1sIAVshWSZO2 +IaFlrZ6wncYwdvtxhOeALQmytRcSQ46xsFNH8I1F9iS4POrSUL/7E/ujtrbk +kONKf36ewvVNbGwuQPOyijMJfaa/tjT9M4Z1bYzdjbuSsI+qYvySO45FJ7g0 +xtJJSG52sGCycczHvCHG1ZsE0TK6Yl4Yie2f17XX9yUhjhf3yvb+BDZP5/W3 +nCXh6O6o0qfOv7BuBXpWWgAJd5pFpWoOT2ElrR98/rpAQhBFxfvKsWnM1+TR +JDWIhIFHLPd9D2Yxcw6lsu8aCZWhqu/e9PzG1DJ9QwrCSDBqYim37pjHeA4d +psHhJGhG2pD5b3nYF4kdYla3SNh8wCHqQjgfK2U8aVWKIsHHS+3FjaQlLP6C +2L2xOySYMEsq5Z5Q6vy0/R3fxJJws3zon7R+wTpvpUpryzgSOgRUXeKFhev+ +B09l4SM= + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVkHk01Psfxq0lO2NnjBlGg9JURHV5V5QWVxRJXEqiJFQS+WUXslxpkmSd +dGULSUjMJybhlMq+ZB9mvl+uLUtJ/Lp/POc5r39e5zkP2dXnxAUBPj6+/N/5 +r49e4H1BmJ3pvt6Pbl5aEyBkpuI7pGwA9VnVdUb0cdiZlZpermwO24ttBD81 +cYCjphV2U9sKZpdXJIYCOHDsY/eLDGVbqKts/Uww5IBWPPHpOskBNvsZaIv+ +GIPVo+cfntN2hvtpxhrh9WNg77Zcm6zsBq4XmTPy58aAbaZS2ZDuDtfVQ2hV +BmNA1zQpXSBdAr/5vMLHomMgMhrOPKXtDb2bF13Ha0ZhYFu0WYKyH5grObQP +6Y8CI0sJUR1vgEvpoCpIjsJRqYI/6tL94fXWfQpB0yPwavqD4QwpELjfFl0O +lI1AYrEszUY7GGZGR4P+Nh2BbPnjd+4qR8KerP2PtvoOQ9DHh+xTryPhho0w +Wfr0MJyKGhbQdIyCv7b62ZvtGwbxxashNel3IM7LsjJBZhgCOhgBU6RYsEum +qFd7D4HN/T5PK+1EoD6qSU1QHYQwkfLNscoMWLGcSKFV9IOuxb2YF74McGVb +H5lL6Ie2KB+s/z0D5plazavu/aAluKVQ3/8BxORUBXUq90Pjr1z9jrYUCOeO +27qG94H4txRDUvwjyD+i1vvmr15IHbhlVrGWCQ1r8Z5bdnXDaYecktm5XPg8 +MLZD/XA7zPTbijJVnoJwdJ/Y/i3tcMdZ5MJJs6cQMVht7C/dDuXnfVReMZ5C +UEFYnkJvG0h6m0QFGf0DOmPC73Qut8G78N4zG27nQfv5X7GXk7/AjiKZDWoi +BfDG5fH/9vR8ArH1MCcL9RIoi9rwPS2mGag207yGuJcAAquO/3Br4Gbrbkhd +qAbNn4UjArvugeJLmUF+fhbU7PUTcegvZb0aNfvw6Y96KFoKDRbMaGDVe2ao +Okyxwfiw4PYe31bWoraJVPGV9/BORcY/3bSDJfCjUFqV2gKJzjvfBZf3snat +n3118vkH2KZRtdTTMcj6EGnQ2qj7CURNym5FLI6wSEmTP/KnP0NaYMy23Q0c +1uTy0GAnvQ180sPZe725rFy8pkUysR3WlO1ctrjhLEli3gyH0wFjqdeXt577 +lxV/qcI9W6sLbJNI4kSNWdbSvkLOxZBuYBhlVe18M8fy28F+qdDQA2nX+vz0 +jn1jDT1nx30h98HTOgOP7RKLrJ+eHY91bvXDFVGRA1b9Syy9/RvX3Gu+wsf3 +HdZY5neWBZPsUaY4CARqZstQzgrrJtGFMuI0BHiihz8zY5W1ZcpOrPvPYSD0 +0S/RitZYBKlZEfbKMBCzJ/0k7/AhIdbjEs30EVhvKQmPq+BHlw1qejcdG4Xb +ll6LDxQFUeER1+y6pVEw4aY8/J4khBYnVaQnUsZgcd0uO1R6A6o4aSHIMOfA +iWfCfJW9G1HI4aislxMcoE6Gr6aXb0LkgaM9T6LGYVGybLj+tRjaYxTJUN4x +Ad1V+jkReyWRk/qnH/ztEzDFKk2XCpBGksrXKmo6J2Afn0aPR7A0ekuQv3qj +ZwKAYPWYHSmNtDc58ngDE0CVt2iLuyeNZr5NdH3iTUCe+IFKm0JpFN68Vp6x +NgEFdaPb3g5Jo2d++t67dbngKVAdcdZSBi22JIz6hnHhjNCyhscuWfRVrPPi +agQX7u89cTHNRBbVW6rNRN/hQm1oc2C7uSxKai34mRnHBYmKPpL9SVmk2/Ze +7uMDLqy+lg156iuLnHv5LXQKuPCM/UrUo0gWNXJvFI60cWFmLCuxkUZARbTa +7Vc6uXDo3rnXjXQCun9JqOp7Nxf0HX5taDUmoLOTyWypAS7wJ4Lh/GECWpku +GTDhciGvdsgq+RIBbVvCpNJ+ckGJI2dgUkRAqULOfie0eNBplNT0xEgOrZ1X +NPyizYNJtz0y/vvkkFvD58XjOjwg/63FZ3NEDtHDzW7+qc+DN8H35MiOcqhp +nXbrsDEPTr1d+qUXIoeWV76FmFrywIrtUbHaJIdOzcXG6frxYCW3XkreTR69 +sTazzPfngUhzriHZWx5RSlfFaYE8oDp/TTYOkEfT3j6J1GAe8GZ3no6Nl0dR +U7b3NGJ4kBAgw6mokEcvuaSHCuk8WKCXDJ4QVUCEwQqmAJsHHoc82n1rFVCi ++O3blY082Cya0qHcooBE95qf9mrmARY2W9zRpYAEUtskulp5wBYurwidVUDz +NjMB+b2/9zoSxiq1FNHnd7Tj1jM86FLbrdORpIjiix//zFDBwH7e78PdQCUk +8tW16wQRg3ad4VfjMUooUlS3bKMGBoKl0UKOqUooyKPK/SoVg+9Fv0xSKpWQ +J7nzizkdgxvuk00Oy0ro8APJZ5MHMdigf/761f8pI+HbYba7r2JANApqts5W +QS/npn6wrmOwpGlIjH+hgtzcT2cd8segst5N8F+2CmIf34afDMJgrU98EwVX +QZGUgRDvKAzydCnXLu9SRUJNxoVPHmGgFIRNqXeropd/5FrrpmPgL2xNEZlS +RW5lUkulmRhUwS+KJr8aYqdN7Kt7gkHAsdVz83pqKOIKo6unGINmjB0sG6mG +BAiz/JL1GPAdKMk5A0T0Itoxj8HGQPNiaNq4PRG5rjZaqr7HIFNmpaPCl4jq +xzMe0j5gcGtG5fqWJ0QUXnVsq1kXBrVyLnZMMXXE75x3OgDHYD0KJD2n1FFp +m+za2hQGPWV6U3qiJHTWIvhJ1AwGu03ejdjSSAjR7aaTFzAwFzVu414goVBB +wcjiNQx8ogyvXp4gIb5855JRWRxKrPKTSaEaqHGq2ztLHodQ+8XAIoYGiqfb +6Dsp4aCyMTQsI18DKVaZFXcScUgX3El506GB9N/TCptoONTNeHIu08loQZTp +GaWHA/F2RVHqYTJ6baWqe0Afhy8HxZk258joYJdEfs1OHOT0F3ZEMMjIaXz+ +n+emOHgNO0m9FaAgio6X++X9OEgkmfXQNCiI5zVOpZnjoOZxbs3VlIKuL3Tn +5hzBQbFacj30NgUZG9u4OVvi8PyCOCksk4LWglo0VY/jIHNH9kY8oqC7grVM +hi0OOlA+JyGiiawtdrna2OMwZjrHKN6qiRTiSsiSZ377nYNWC201EVOWmR3t +gkPRmMddgzxNdPGU6llzVxwGchOI622aSD+NQeK/gEOSuXhkAZ8WqiZHZ97y +xEHXKjbXwVULBV/gcza6gsOL1lTb5hQtZJ4fSFzwwSFTYip34aMWEv13/mvp +td//uLg16YhQ0We6V/qVGzhci+/pYR+kohS/cUfdABxiDaYcd0ZTkVOVsyr3 +Fg6c8/S57x+oiAc2aWdDcWjdwKFsu6iNnke0OBAjcMhakHrkxNJGfu/NlPui +cFCl800Hqm9Ge8Rqe1JifvtNq35QojejdatdqSfjcBAuNDoZ8nMzYieX2Esn +4iBW/dgxNpiG7nbRFD8m4bC9dOJBrJwOslZhdsXex8H1lQ99tUEHKTirphxK +wSFhueC+20Nd9DWHYSf4CAf56aWgmBw9tIlZ7vAfZ6///ejub/4/rh1Crg== + + "]], + Line[CompressedData[" +1:eJw9l3k8Fuv/xudZ7OtjS/YkS2ghZak+OTmhxVKnBRFSWmQpRUqkqJSiSGk5 +olJShNJi+ViOp0KEyolU1jyzOJII5Tu/f35/zGte79cs93Vf1zVzz8zwD1m7 +jUsQBMNu/7d/m+E87pIlgsypc5cTb5jit4KD635ks7z+ytSbLC188v2bUtFt +EZjpKuRWv1THI9ZBzSF3RdB72L23sFMNHQ73ppjliWDy1DnhhjRVlEYf94EH +IkhtUE8NuaaCTbw2xdsPRaBwtCAH3ynjRUf3Jv9iEZx+JROlOF8ZvU6/Oqdb +IoLFrlkvZLKVUK9xuWvHUxH8rCA3vzdUwj6lMvnLpSJoz7rx8sQTAeZtWPh6 +fYUItHNjvDavF+DejPwkpSoRfA68YrRuQhFLJjNOVNWIICBlS7VnriJO+iQc +3SsUwTQvi7k3tijiH5Vhh/RfiSAnhVztqKGIJ2Z672+uF8Ej78wwyyIFrI93 +ColrFEHiM1Jb1lYBlb5a7rRoFgHdrpxm+EIer+ZJe59/LwL9Wp8GtVE5/CL/ +Y8MfH0QQVv+YzL8oh4ZhX9y+dYhgVhyZKW4nhwVWTxzWdolgYGjOJudkWRxJ +z17K7RVB5KtVc48vk0Xb8bPWhf0isM3/bKD2XQb/Kd9mpkSLgP8k4vfyABmU +nuFuWDUogu6Hf5F79WTQ7dhivb3fRCD26LaF9idp/OCorNI8KoK13+t22G+V +Rt3c33Jx4yLoNJy6us9YGgNkRRIWv0SwUlhSnzwohUwTTqRwSTjKT1qkfUwK +LS3zRuzFSOgrOYSerlIYmZY+OCRBwjmrXj9dbSnkeQZ3u8uRML/N/RNTKonq +3Zp1AnUS/OXajtXJSKL3nxL/VGqQ0OFcr+3ZJYFZOd/Kw7RJeP/F5a9vTyXQ +LOhl4Rt9EqLFX3opB0mg/ciBjBRzEritOxUl2sUxYaN/qv08EkL3OerUPxHH ++qdrzg5ZsOcv1hp1TxfHDUcM4tytSdBJ0u48vkEcd0k07xQsJ0G9VqO/o0MM +83eWba38k4QbIS2V4xVi+L3ujneYEwluEkzUvWwxjEmOcX+zhoRZM/4sKAoS +w9Tp5jYpm0hY4pGXQfPFsMz0pKQgmAQtsbbXEqv4uLB1WsP5UBIaTa2PSCzi +Y8HhnBSVfazeFJlUj5l8zGqo1VCPJOHMGcNyepKHJ0PEzHXj2Ovji7VyinjI +UU8byjxOwuyz75Ols3gYhQaP9U+Q8M5pJKkomYd7BA5geIYE/vzu4qZgHq4t +inM3v8ge//OagcocHtZ5KanlXyIhLaZsNEaHhw78rA/zrpAgvPD9jJECDxet +r9y6IJOEBztqGmcNcVFrdGq/XS4JbduLratLuNhvG53hXM761xmqVObCRd9u +2S11SMLi/g2iA39w8cPpqzPXVJNQ5GIz4bOQi/Udz/PcXpAw8wkxr0SHi4VH +xss3NpMg/vXIswNDHDQ1Sjz2bysJRo1psil9HLzZON3J6z0JQY+q2lrbOZiu +Z/PGp4Pty46cune1HDxcFdG9rY+E00sV1z++zsGRXRJ3+r+ScEmz6KJ8GgeD +ldODdpIkxEUWxZ05zUG/gMcjQf+x40fo3R+N5OAK8RGJ8HESgk/4mMeu56Bg +5V6zOHkKAq8sWiClysHMSgUZWwEFi/Y3bxqU5eA8m/sDQ8oUlCx3t5zgc9DF ++Ottv+kUPPjIuR03QmCiuM8MewMKonQq1zq2ETj9yMTUmCEFms62Pe5vCLwz +cqmzwISCe9fEsmNeESjsabmiN5cC++6Cc8vLCORXO6sRthQ0bJ9HbrtJ4AXb +/u8liymAuhvX4q4TqF94vCUEKHBeOCcWLxG47EZF8icHCoJXL5+4nkRgdIyV +TIUrBTu1nCy/RBIoO9o8cGAtBboD8dWccAKvBoe+mLOeAtPv7RP2IQQ+874X +f92TggWi3gq97QT+sJtBHNlGgR3Pb8e69QTGF5V3Wu2gQCrNmLPHnUAV081l +9C4KUkNn6d5aQ6ClRnqUdygFdWfuhYeuILAqZYGH6j4KItQuh/OWE7hWqnlR +w34KtHoOJRYDgaFjsiOLD1HQtiQnPdSGwKmQ3Jbv0RT84v70O7SQwLP9joV5 +sRRYZq76mm1JYN67uBCtBAqS7A0/rplDoJ2LnkvrSQpibBnjRlMCX/1TZnbm +NKu/cD65x4TAgeKxgYlkCv42cbvCm0VgpNnFF0UXKFCUWB06rk+g5E3LnN0X +Kbiiz0mUn0Fguuab+JmXKajNFPsEugQaXggOaL9CQYKFfuBZbQIfScsuv3Cd +9SvPTXNck0CHuLszVt2g4GXds5E4DQJbfq4geDcp0FvwdMh4OoH+YT2dz25T +0HugWYaaRuDQ16Nle+9S8Cr4mX29GoGxvrpXZ+dRsCzD/fxLVQIV20qjuh5Q +sKvk5q9uFQL/dvX0yHhIwe1w6rgmy3OEo4vWFlMQHpY0O1SZwPKlaWrSJRRE +L1L/9kWJwDWPLUYqn7J+hzp/DmW5w7yp5WApm89q6Qktlv8mBdG8Cgocs9x5 +AwJW3911hkmVFKQcFiS8ZtkwMK1RrYaC5HVfEhtYHjB4H5lZS0G/Fmj0sZzX +pa4/+yUFNqbJS9TZ+4VmetYV1VGgJq0/tZVlS5+r4UteU8Ckmu56yfIPzU5t +YRMFU+MNiU6s3mf/6grdWij4rasW/IXl6HS/0A9v2f52/5qRys5v2frs6QFt +FJRm7Hnky/rBV+6toj9QsPXeEmtH1i9hk2FQxEcKbK2tnzuyfiae3aHK+UzB +vDENN391Al1W55YndlEwW+eyVDrrv0CaClTppUCww3uil82nVWguuN5PwfN1 +srauWgReig95ZiSiYIX/ivZmNl8dzrCs3SAFFh1tmSZsH7rKFzyuGaLA5Ff4 +yCTbl1uHD2xx+c72y8pEjjQg0Gzs50O/n2xf0h4NTWP7NvjIzoucYJ/PAJ3R +DWwfC/dF8/f/psDBXDjvoTmBNoPExpM8GnrOdZSVWBC4ok9i8oEcDfnr2r8q +LiVQ6qbzLWtFGraPn7Iwtyew3u+0S5USDQGNqi+2ORC47qP8jbfTaMi7r3zQ +bBWBvq2qKyZn0HC7+8pdFU8CDc5vHIw3oMG/v5pQ9yGw3/XyJQUjGiyu/idl +409gcJ0WqW9Gw+pmvanWXQQeqpqZ7LyQhh+Wpr5R0QQujd1m02JNg9NFU5Ow +OAK5S3O6NtvRcNTvZsCJEwSefDrbKnQZDRGqS+crnyfwYsH8DxdX0uDS57U/ +/w6BHsH7jumtoSFSNFvz7gMCtcwemeW60tDwdsy7ppjA7BzrmLK/aFjieW3Y +t5LAgutg0OPD6ll4x9+ug8DhqGEJPz8aZs6q4Bt1E7hwYw75cSsN0dLz46xE +BD5XUCh6v4OG4onhyNIxAmtjO+3r9tJw7rtz+eFpHJT0Pj/LaT8NmBWSekqX +g6tsVkj9E0HDIYnbm0qNONg0dL+p/DANkj6wPc2ag+3+0VsKE2io3q66UX8z +B3Vg/vK5p2gQdvJX797GQV/NXsO80zSMGrcOfwzmYF/LauZWMg2K93sO/xHH +wf8ctKIvZ9BgqEaYqt/joLjR84zYBzSEcw9YHRXjojMv5MivAhpCnDqS7gu4 +eOaTvn9UEQ2C/0wk5dn1TnAp0ST8CQ1/n2jPD17ErqdSnk8Cq2hIH3N3FQZx +cUuv3NWeGhqka1WU70dxMauyMsZPSIP9ayf71ye5aBRl4uhVT4N+/YSc8BYX +Lcixty7vaDAxz7/1sIuL+4V5T+va2H4NT9akfOPik2zfa07tNNAFedcec3m4 +ZPOLgD8+08DZ69HTMpOHTg3pw1YiGu6dcnGJ38lD7/yFAu3fNOz0vzxoxefj +Y88x+SaCAY0h2UOkBh/lxZ/JHuMx0AGeocoWfMTNSyQHJBnwle6YqvXjo760 +w1SxMgNDLb/SNYV8jHok9itQjYGH1YoJVV/42OIrHNeYzoDmq1NFY5N8PF6y +8kesDgNnnXwLDKzEsC/AnV5twkDLtLu5YvfFcKmiMjllysC8jQcxv14M05+3 +fi2cw0BW7GCiMi2GTkqbetQXMKBs6+Yzc5445lb4tPcsZYAbOLHEBsWRu1vv +33R7Bi6XgtTxPnH0Uut6t9KBgfQ9B5+/kpNA2T3bmgucGeit/dUYsUUCgzWC +Xh7+iwGPgSs9DxUlsfYfc+HcjQx0Bt2fYbNMEnXDBmu6PBgY3hj2wTRMEpuE +e9FpCwOuwwbiWe8l0XjfgvIJPwaO72h64SYnhUd1fjx/EMBAtcZX6SoHKbTY +f7BEZRcD1Lb+DI+nUpioZ/dIGMRAWL6mY9kPKeyumyyMCmGAGXzb5bNQGlP1 +Yx98DmfAR/UMOVEqjXSDfd6FCAZqzo9vX8uXwRUHebkrohiY/pC78rqLDI42 +JtzKi2FAry7MTo5mv98POWVviWOgLyJbWGEvi3cMpW8oxTNgc7Dn2qkMWfSM +TroamchAZWBnyi8POSw0ds0wTWLY93GK3rVKOZRpVbzUeY7N11Ru8s+58lg6 +O/WCQxoDkwMu/97RVUCVd+tTRtMZcHu/ebfdTQXcc3TaudwMBl7aq/a7TFfE +WrN/z3hfY2Cp4FON8XZF1GnLSFTMZGDZRzO/q08V8cCxzSersxjQH3Yq7VAR +YOMcnYQDtxiwS5CAgwcFaPzh0zGTOwxo22RNJQwIMDb+xtGOXDa/3vm/uwOV +UC5CYqXffXY+RvbuaxglzNixR6kvn82jITT59illNPRs+bCrkIES8027k+xV +sGiVTfZgMQPP9/0+90xfFZct+Xt3eAkDda83GlMOalg/R2zBz6cM5Gx1mS31 +cRp66O2ejC5l+wsqZTIpGtgneFPDrWAgkC8piLIywMeLGK95lcz//8/+D3Iv +ReA= + "]], + Line[CompressedData[" +1:eJwBAQP+/CFib1JlAgAAAC8AAAACAAAA2bVzSIzC7j+g/4+ZiqErwP/0nFUM +xO4/Xw1m0ePrKcCM5gIekcbuP3GnpPQEPyjAGNho5hXJ7j9mHn8HhA0nwKXJ +zq6ay+4/OCEwpSofJsAyuzR3H87uP1AmRfofWyXAvqyaP6TQ7j8pDGsFMrQk +wEueAAgp0+4/fxFDQIYiJMDYj2bQrdXuPzY+RXMOoSPAZIHMmDLY7j/+38Q3 +WCwjwPFyMmG32u4/ZNGu1O7BIsB+ZJgpPN3uP/pfkGgCYCLAClb+8cDf7j/i +Z4HYMgUiwJdHZLpF4u4/Hv0ShW6wIcCwKjBLT+fuP7I12gPOFSHAPRyWE9Tp +7j/O7Jrgs84gwMoN/NtY7O4/LeUBiBeLIMDj8MdsYvHuPyrYosfZDCDAFrdf +jnX77j9WApn2KFkewKOoxVb6/e4/BFYixl3yHcAwmisffwDvP5RTvTStjh3A +SX33r4gF7z9mvYTync8cwHxDj9GbD+8/40JNZyNtG8Diz74UwiPvPyn4Xfxn +9hjAb8Ek3UYm7z9DLGIM0KwYwPyyiqXLKO8/P01h1hpkGMAVllY21S3vP1eg +hTYP1RfASFzuV+g37z/UDhWvlb4WwK3oHZsOTO8/w7+drzWiFMCInYVg3k7v +P81k4u4tVxTAZFLtJa5R7z9+GtLl8wsUwBy8vLBNV+8/C3UaNXl0E8CKj1vG +jGLvP9sOQb/FPRLAZkTDi1xl7z+Bjq+7i+0RwEL5KlEsaO8/HxD2juKbEcD5 +Yvrby23vP5uRa5Ej8xDA1BdioZtw7z+oJBfqWJsQwLDMyWZrc+8/EX4U1apA +EMBoNpnxCnnvPxTGqqsHAA/AROsAt9p77z+dSPBbVDAOwB+gaHyqfu8/lkCM +/M9SDcD6VNBBeoHvP0EVNMTcYgzA1gk4B0qE7z+l9nRy51gLwLK+n8wZh+8/ +b77aZcEmCsCOcweS6YnvPz1oWDS9qgjAaihvV7mM7z/Ykoc/cUgGwGUZt0tJ +je8/DfF8YRwYBcDQQHm6 + "]]}, "Charting`Private`Tag#2"]}}, {}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>]]& )[<| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 0.985996864205657}, {-13.815510557964274`, + 3.912023005428146}}, "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {0, -13.815510557964243`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), + "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, {Log, Exp}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxFmnk4VVH3x881D9c8z7PMSZQGrSMqlUQlSREJTag0IGNRmaKE0CSlRJRk +CHcnkblQCVHmOydDQvzu+zzveX//8KzHde4+a6+993d990fDK2DXES4Mwy5z +fvzn97Yj458Q1XkD/q3V+4T2KPDYKAYOKJhD7b2KmtWmI7DyXkZ2iYItrCh0 +4m7/MAzDytpR53Ud4NefOZGBC8OwvfXryzsKe6CmrO2jlMUwaCeoPFpSc4Vl +Qea6Qn+HYGHb4XRPXXe4mWmpHl07BC7ef6pvKHiDl18OW8ZzCOpsFMveZfvA +GdUIvXLzITDVsiqeUjsKQb/znmUJDYHAYHTOXl1/+LZs2mvkzSB8X37FJlEh +CGzlXTsHTAYh9Z480nE7Cx7F/UogOgjbxPLX12Sfg0pjXDaU9RNes1os2GrB +MDY57bHxxU9IKpTUc9INB/bgYOj1DT/hvszO2DiFy7D2nvVt48AfENqaXre3 +8jKcdeLVEN/3A/bG/ODScouBg8ZBLjb4DyBPn4p4kx0L8SfsyxIlfsCFrtQL +DLVr4HxDU7XCfwCcbvYcc9BNAp3bbzISlfohSqBk2TWFVJizH03TK+0Fgy0p +V18GpoJXnePWicRe6IgJoPY2pMLvHO3GBZ9e0OY2emZy7hZcfVAe+lmhF+r/ +5Zp0daRB9NjIHq/oHiBPplmoJdyGp1uVv1Ud/AYZ30NsShfvwrvFhGNGq77C +PtcHRb8mcuHj9yEzVbtOYPfuEcpRfAS8V3qErY06IdZd4Mhum0dwqb/C8px4 +J5QcDlB8nfoIQvOj8mS/dYCov1VM6OrHoD/E+17/eAe8j/62ny8sDzoP/7t2 +/MYnMCuQ4FMWyIcqj6yLa7vbQXgp6sAW1SJ4EcM3m3m1EXScWOPv4l8BcC24 +PR57A+fb1kDGVAVozT/7ybUqBeReSfSTSBR4sy5IwLW3mPJ60KalfX0tFMxE +hnPfeUepPXZHyZVRB5Z23Cu6A9so07pWYoUnG+C9osS57A1dFK6/z8SVdJog +yX3l+/CSb5RVS4de737eAsvVy2e6u/opLZfN2+oN2kHI6kXIpemfFLVk+t+n +rI+QGXx1+Zp3wxT6n4H+z6YdEJAdXbfOf4ySS3vTJJrUCYsKzh5G3jSKqEoe +e3i4C4Yyzvwx9mRSEo6W+tzX/gJ7ktXIKuq/KDP4s2G/iK+Quvpe+cqqCUqQ +Wd0r2XfdkHm6J8hw+yRl4Hld/CeNHnhUY+67QmSaMn+sK0s/pBdOCglsdOid +oRha8y/6vOmD1oYuR+rdWcqWHA3fF3L9IKVzt2ngwRzlvIqH5s8DA0BL8j2X +c2eBYsRwFv664wdI9Zge1StYpEiJ/RKom/sBKvfpQaKxGOKhZBVpZf+Epaai +6PhSEjpu/uab4PZBCLM/MX1Ljhs92+p1v2ZmEKzG0tJnk3nQNF1RfDRtCKaX +nO9HivOh0t1buFNth2HXE16s7Bs/irCLufdqdBh06NEL2SWCSOP7tu6HMSMw +LfriR22lMFq7+nKqgtkofC03eXBpnSg6oNr+l9Q5CgxKcbbYBXEkqnC69M3n +UcAx9W7fcHH0Vkrm1NnuUQAph6y6y+JIV9BtfPz7KOjIbOmITxFH7MnRL+3j +o5BH3ljm9EwcRTcultxZHIX8msHlbwfE0ZMgE/81BmNwjKvi0iF7CTTdlDgY +GDUG+3n+qPuukkR9wp/9Fi6Nwc11u/wyrSRRrb0y+0rsGFRHNgZ32kqi5Lb8 ++bvxYyBS2qPmslsSGXQ0SLfeGoOFSsmIR4GSyP0baYt+/hg8qXst5FsgierH +zj772TEG7KF7SfV6UqhAr3rFyc9jsDnFs7LeVArdPMpTPvt1DExc//G1WUqh +Q/QbdWLfx4CUBBa/7aTQHKvou9XYGORVDzjcOCqFls9QxTLnx0B+WNrcqkAK +ZfC4B+3SHofPq5M/PFwtjRYPy1l80h0HuvdaiXO4NPJ+93F6p/44aFzXxpy2 +SiPTaJvzO0zGoSo8RVrDTRp9WNILsbMch71vZ/4ZRkijP3OTERvsx8Ghzrd0 +4YM02jtxLd4gaBzmcmvFZLxlUJWjjf3Tc+Mg0JhroeEvgzSLF8h6weOg4953 +w/KCDGL5ByTphI/D+K+V+64lyKAYxp4U9avjkHhBYri0VAa9GlNLl80ehynT +ov5dQrJIqr80h6tuHHw3+3YGVsuiJHJYWFn9OCwTSutSaJJFQuts951oHAdq +1K/Cri+yiCujQ+RL2zjU8ZaURv6SRb+d2BeefuOM101qqExbDn18r7fTkT0O +X5TX6Hcly6GEwqz5O4pUcPkd1BIXLI8E+ry+7FKhQqf+j9cjV+XRZSGDF/zq +VOAuvsLjliGPQn3LfU7pUGG24J9VWpk8Oqbx+ZOtKRXO+tA/uP6RR3a3RJ/Q +N1GBz+TwmVMXFRBvWNSeNaeooLI6tNHxviJ6NcH4SzlDhRktC5WEl4rI22ff +vc3nqFBW683NrFNEdTuX03aHUmGxhyyoSVNElzW/R/jHUCHPQPP08VVKiOeD +5bOHt6kgH0plqH5VQq/W5zoaZFPhHK+jpgBDCXm/EJspvkuFcvinqUVSRnWZ +o3jNQypc2L7g+dtQGV06mfqlu5AKjdS6cMnLyohL6hdJtJYK2MaiB/tBBb28 +4paXWkcFLb/IzBEXFeS1UG+v1ECFuxJzXaWBKqh25E66XgsVQtiKZ4weqqDo +8u3GNl+oUC3t4ZwjrIpI7nn7LtCosBQDoscYqqi4Q3JxkUGF7heGDEMhNXRo +S/jDGDYV1li9/7lHTw0hU2fWjSkq2ApZdowdUUOR3NyXCxepEBBjcer4qBrC +nroXDUrSoMjh6Q21SHVUz/jqf0+GBpEu08EFqeoowdTJ5IA8DRT5I6PuPFVH +cuU2hZ9VaJDNvVKzqksdmTToPfugR4Ma9rHh46YaaEoo51iMIQ1UwkoLMuw0 +UKWDksFGExp82kTOcfLUQJu+iDx9s5IG0iZTZpdSNdCBkd+Pn2+gwYkfB8Te +cmkiTf0TPsetaSCSbNOtp66Jxk+M6OjZ0kDZ13PRa4MmOjP1NffBVhrIVYgu +RYZpIktLJ293exo8P0JWi7qriRZDm7SUdtJAIlbybALSRHHc1Tmpe2igDyUT +IgJayHHLKi8nFxoMbZhILTTWQrLxRRqi+znPdw9deLZHC+VI5ty/4kGDgiHf +OPM8LeS3V+mQrRcNvucmqix1aCGTzFQ10hEaJNuSL+dj2qhC48rdkGM0MHC4 +luvqpY3Cj2Duq0/S4GVbxp7GNG1k+zRYZSqABndFGLlTrdpIiPm7r/g0Jz8e +3h/0BXTQR9MT2SfP0uB0Qnd33SYdlBY04mZwgQbXzBluK6/ooAPl7kpjITQY +Pmw6Mduig8bBKfNQJA3a+IY1l/vpoueXmlxVLtHg3pTY7QMUXRTUYKPQE0MD +JVOMFay6DK0Vru5Ou8p5/obyv5pXlqElh1UZu+NpwPts9e6I+WWo7kaRi3gS +DYQrstyuheuhuC96cq3JNFhRPHrrmrQ+clTM+XLtJg28XgeYLrzTR7LuSmmb +02iQ+Cf/pne6Aep7kOrMfZsGMqyZ0KsPDJFgTonrf+L7S9dvx3Hiz5lb5xxy +/j/+XRy8e+YhJ3bOWvqUo4zKp35LljymgZGaWP67RnkUbnmiI+ApDUYuOo28 +7JdFthdHUowKaLBw7XrD3lsySAi5O1Gf0yC1VT414I40+sjdLf74BQ3Eoorz +0BcplLbF6aPXKxrENwmHiK+QQm7xTdfVymiwfmfOB+GHkki93WZnXwUN/lLo +B77qSqJRyWrR21U06M150HilXAIV7F3V5kzhzE9+hNsBZwl0OrMoUbKWBj98 +s5btnhdHZQuZV2rraOCd4vFuf744WnCPjTrdwKlHN7PlDzzE0ca3p0I1m2iQ +l0K336Iojq5oHTzb0UKD0oP3T60sEUMtMXYB0e00iKukq5DXiiHJ8ZVHzTpo +wOyVuqX7QRRlFwgdvPGVBpr17q2yf0TQT9GZvRt7aHCq5TW9KE0E6Z766fi7 +jwY60fT7fOtEULFFue2uQRpQJ0z2bU0mo+n0hxu4RmhwoWn78ss4Ga2dS7J8 +OUaDtUU/tGWnhNH7miNGkkwa8JSfX7TxFkZCGk66tWzOenixh35aXRg5Xlqv +fvo3pz5KH5upDAihni1S0h1/aLBrqtnP+rAQUstfFImeo0G/7lL2GT0h5E2m +8Zv9o8G2hrKWZLYgYn1E8ylcdIjiSVytckkQrVxZMG3NS4fRslC0f6cgunAr +nT3BT4frFiOeaiqCiHu//5CTCB1WdDsNsKoEkPyQUrOEPB28RLovNQsLoIOb ++N+/VaRD39YWlf2D/Cgn73fNKRU6fP3psOd3BT8yOtH48pMmHcL4Gt2kTvAj +6+lzmSnGdODqOirO38uHYl28Uq1N6RB4ZotqSzkfaqnYkTRhxvn8euU/Tul8 +aG+4drSTJR1UE1X6L+/lQ8f4O45K2NBBvl5xrK+PFxUdrT78dhMdHgR0vp2j +8KKp5icHT9nRwZGfFfLsIS+KSI5w+rSDDjoam4pLTvCiVAXjNSn76GDlWpDJ +5OFF1YZXBST86aDM293Gv50HreqSa70RSId2Q8tw/tU8qPhiXor0Gc54U4RT +XbV4UE5rvaL8BTokJOjWMBe40dUAXmO1aM7/x7xSzivhRiT5WxP3L9PBIOlr +slAONwpB2q81r9Dhi910YkkyNzopYQu6CXTgWTH06qM/N9pVEu1knMb5+6Y7 +2tIm3KjZTVK2KIMOtyKq/0SociNbnpwe0yw6NNycSlgmxo1WO789bH6fDs/9 +6tp1JriQ8p+ls+vy6dDt88ryXRkXGlsblrm1hpO//kDJagcudGiI7NGM6LB+ +bC/t3EYu1BOfrbXjHR1KHNbMu6/iQi19bwocP9BBqxwzLVPlQi/D52pcOujA +Nx5eeW6ChAyXxV361kWHZe23yCmjJJTbrmDn9pUOJ0pru7t6SShdfc0n9z5O +vfjlNX+pJ6GLteeHjozSIX6DuPPruyQ0fYz/ydg4HTKUStJEb5GQv1T6iaN0 +OkRfKIlOiCchT+/X0yd+cb7/vHrhnwsktJlvmj9ojg7+V9yNI51JSGLbaaNo +UQb4Zq02F5QhoftvxYTXSjBg9dmOfWwyCZmuKaROSDGgzMZp5TwPCTnojT/2 +VGDA8++kx9HTGIrjc9ew1mZAiOrbXVu6MaQQPr80q8sApa1rh50+YejJdEZ/ +sT4Dnt3hfRjRhKGG4c4s9eUMsB4qvm5TzdH777bKYmsZ0OpjSj+Si6Gba8em +ytYzAJof3Im+iyHNl5c7A4ABW1eZRKIMDOEPKMkDtgzwt7eZv5uIobAIC2HK +TgYcVbZb+fMChsh/OqjndjFAjRrzjhSEoWz/wA8mzgwwnOqdtw7AUOXBZzF3 +9zPAnDZCUffB0Mw6DSz8CAPWcXv67XbGUExJTb+FHwMEb+mRTjphSNrwQDXz +GANSA3XUHu3A0ErF9JCDgQxoTngWFLgZQ7Up5q4yZxhwXvZ2ELcNhnYJdqxu +PcsA5eHQuFeAocBZ8vT6UAZ0W+WlB67B0FJAfudUGAP+cf31DF2FoaSxLS8L +Ihmw8v728YcrMVTwJTpAOZYBida633eYYGidg7pD11UGRKxl6bUbYqjpfbVR +Qjxn/C9X0E/qY4j6apY6n8yAe/qOWdw6GLpglPah5CYDxPntA+c0MSSQuzLv +eBoDsjRJcaIaGEpX+hSjdZsB9fd5B0ANQ7o3/b17sxgQa6bpm6SCoVIhss3N +u5x8FTgqzSlhyDb6qcb2BwxobK6cjlbEUOffzRh3LgPUzSsm9BQw5HVquL/y +MQNGznUIM+QwNDEeVX36KQOa/CutW2QxFHlILduggAF4ptONRhkMiXdXhQw+ +Z8Cxstx/Q9IYurdzv2vmCwY8DmJcVuLEJg1/Vu96xYCgU4kGgVIYqtlwS1ao +jAFhq+V//5TE0I7XZtNvKzj5Dtz6I5AT9xl/7Ayu4syPvdC8Mie+R5cI46Yw +YEuOEzdVgjO+p7t1E98yIOWiRGwbJ9b1vdUuW8eA5N0/41o5MVX764X79QwY +UwbFUU5cMCivadDIgDWGyVbynOcF3t/fXNLMAFkhzaXDnHile3aQVRsDWKmG +xxo58YxSv0rDRwYszbXG2XHGW/lNrcGxkwGLarL+PzlxWLpnYM9nTv0O/dNI +5bwf7vxQwbubAVWZJ0sPcfLBIzVSy+xhwOFnVpZbOPlq+Kh74vx3Bqy1tHyz +hZPPuCQ/GdIPBpjOKjp6yWPIwT6/Jm6QAQaqtwXTOfmXEGL4So8wQMLv4PwI +Z366Gowl7o4x4M1u8tqdyhjKiAmoXEZjwGavzb0dnPlVJU2S17EZYNbXfV+f +Uw+DNeav6yYYoP8vaHqBUy+PLp7zcJji1JeFvghdG0NGs39feP7l1Mut0gk5 +Tr2xS9e50ec569Nb9c9eTj2+PBPGc3aRAbbGDaYvjDG0ho25XOVmwvD1vuoy +MwxtHuVfeC7ChKLdvePiGzAkmLv1kaU4E3zmrpkZW2OoxTPeoVaSCd7tMh+O +2GJo93fRB5/lmFBQKBVstB1Dh7pkNi9oMOHxUNZT6f0Y0r7hwo7RZoLX2DtM +3h1DYztvZ4gtY4JZ9i/BNV4Y8m9WpmsaMcG+Q32p6xiGQmu1kreuYsLMSsND +IWEY2hB5ZE2nJRPs0gz1T0VjiGtD3uCBdUyI8sz1vnIFQ1crDCwCcSacl9mw +QuoGhtKKV/SkbWOCw6jb2aInGHL1P3NJfQcTLtAMlJ4+x5CyUalR/k4mtH6e +PVj3CkMP8ywjqvcwwWr/nclDbzFUfBe0h90541n1xGtdH4YmQyb5PT2ZoKVD +4Vk2hKFVLnn074eZECa0ItqChqE3YmIlX/2Y8Gp+8kLVLIbqI/utm08z4frU +1pqLciQkcPCGjt1ZJqCcgNRraiS0fc1mwffnmRDK/3hf1TIS+jhR+LHmIhME +3MHnliUJ9XqFebyMZcI7HxkXzQMkpAorbJZfY0JDP4/98SMkdEhpRLcgngl/ +9Lomv/uT0GinPetRMhPEC4cvbowmoV+2ymG3M5mgK4sZyj8jIb5lbzIjnzMh +iOucRRQvF9rKHRD+r5gJAXZ9iYUSXChhQNMrpIQJEr/0BUQ5551ERpx+UDkT +7l3pLfJfzTlPBfeX+9YyIX3WaWfDCS7kMSKSPVzHBKF6aanCEC6U8/ZthGcD +E6zb7KzbrnKhZSH6W9xamKDZMi/S8IgLmdFnPzt8YYK+cdGjF4Nc6GxDQUVz +N6e+JhfqUn5zofKHh+7Y9TKBWVxw5zUXN7I68MF74w8mkE67DndqcSO71vRJ +CxoTnl1zcIg5yo0OFq2SUFlkwlGv22wLHh70ev+s6EeMBYoT5FC6Ig8S5ask +X+JmQR/sD5Qy40HogJUAVYAFh4T6luo9eZCmkO3SKykWTHT+S1dq4EEhpbz/ +fGVZ8OKdeGztTx7UeahhTlGBBUpN10pmF3jQ5bJtM5GqLEiyO1SsbcGLRr2d +mPb6LOiUe5rPW8iLNohL0ZcMWWDqEoyKWnhR+puu8ZcmLMiJZMdJMXmRneS+ +YXlzFkitdXTXMuVD+RT33uENLODynbdag/gQ13H1b+nWLLhdBYKXR/mQm+zg +l222LEg/GfymSYQfkU8e6SjeyoKR+n/t5z34kb/iicaLe1jgSs0afiEugOrf +Gzcsd2FB/4lCjTW4AFI7xa4bdGXBpMupHsNTAuhjw2lk58GCnZPafDlfBZDe +GfOaeU8WXPb7+MFRRBBFqc68ee7NgneK40K1toLI7GxwmfQxFjCOjGW6Vgii +OPV1pQ0nWHCqSGlL9YwgGmpeeBkSwAIW+/Og+yohlKoZ+fxHEAvcZRLo81VC +iNlqXXDzPAvqbsz57OIRRpuDufM3h7BA4QXXtrsOwuhPe+yjgggWqDefWifC +5Oj3ULuHHtEsGD3/sIFiTUZPdIUeSMawYE3w8J1rmWS0Pywx+0IcC9769qf8 +cxVBL/V2Zhomsjj7cYr6nbciSLhLPKP/Omd+DUUWNi0XRVUGqTdtb7Fggerw +7YmaGJL+4pzyJ50Fjl8PHF+XK4ZORsldz89kQaO1zJiDgjiqN/qWcPAOCzZI +DNTp+Ygj1e7MOPH7LMC/G3lmV4ijc5cOXH2XwwLNSbuqPmkJ1G6iGnvuEQvW +xfJDcLAE0usZuKT/hAUqa3KWYqkSKDLmQVRfPmf+RlYsDvlKIpHz/Ns8Cznv +s8zaaQdLEmX6nZQcLeLMR2tg8uNrUkh3f2fPsZcsKDPedzzRWhqVbF/zkP2K +BW/OLF6v1JRBuNW940FlLGhuc9Fj2MqiFhNe878VLMg77GAg+F0OuaofXwir +4tQvSFcLpyiiUYlPdVwUFvjyCEiEWGij16tZbqZvWf/rZ7+VnrFLqP3/eGkq +25lcxwIPEZ9PQ3R9lDDKpZpSz5nvvNwpHtBD8t1+ozKNLPBRjeC/LLIMPWps +e57ZzAJLDdNHBmq6yOyN+Xm1Nk5+dTfNqbnpoJqCTMj9yAJ98nFes1faaPtd +TEC/kwUREjZ4rKY26r7u87HwMwtWbdh0RvSBFvKOaskw62bB4kDd6n1GWmji +tJlnWQ8LvD8972K91UTh3hn667+zYM4j2Y/rkCYS2rs4gQZYMOgb1W3Gq4nS +t3hXbhpkgeo/yRj/Yg1UbGC6fecYC15a9HC3yWggK+U0qS4qC9oYmT9K2tRR +k8hC7z4GC4xHSFfl4tXR0K+GE14TLDDozmn4RlZHsmUeSWfnWbCX6/aMnqsq +evjk/d65fyzg2atZf/C3CjLNNFSLwNiQurvavDBJBW0N+1N0lZcNPtWXf99p +V0YXba5/yhJjw9DGHb5aJ5XQYHuNdK0WG/RnDy74zsijgLfa3zfrssHGyIv8 +sUAeLbyMe9SsxwbY4flF0VseyaTtXfXZmA0u92NWiX2WQ3YHWC7jq9nQJSpT +dKtGFhWOq2SJ2rPhHeVOkUWONLp6J+ZQvwMbWr0HWcYu0sh7F1PnuRMbwhU7 +RiaFpZFyVVXxDhc2CJ9VtAgOkkJx193qE7zY0CtqTXlvJYl8bN/FHzjChqik +ojfxTAm08a+Bk5EfG9TEZ5IGsyTQX6+53uaTbMhKOZ6iNSmOuuS8HmQFsuGJ +thxtX5Y4Km5p9Dl+hg0S4dKf83Fx5Lfq9oRQMBuk6/Of8WNiyJaOlfWEsuGO +3S+3PaaiSO2+38X8cDak4QkL7btE0Nyej9YhUWywljGv6/Mioy+ClvzbLrPh +0UzQ6bF9wuhlzb0WhStsCKjpOfJWVwglneG/Qb3GBiu/febV+QLomF6AS0UC +G7pvXIFTdnzoiELZ9q2JbBCZuOipLM/7v/gjSdUtiXNeEJ+PLh28lNXL9b/n +raorLpO6h/3v+3w81Z5Fpi5SiPGYbN5z5UzUAoUYr3asPfspp8Mm3sesYVix +xfIvhXjfsjDV9xVf/1CIfPTfHnbfcGuGQuQrGFM5ct55ikLk81oTn8gbx0kK +ke/d6668fLT/N4WYj8S5xBcON39RiPniK/GSzwtnU4j5TGvaY1c3zqR06iSs +Yx5gwwYhFfMCBo0yG6h9TmU/G3qsfy9vuESlEPXQ/DRPLmLZOIWoF7fbnVoa +KSMUop4kq84kX3QephD11ixryLNTa4gSohkbPG/NBpWUpklZ6Kd4QuX4xHo2 +zJz9W7XrUg+la8ce9ZNr2RCr47EmqrSbQtSv4cHOmrqJLxSivn+uoKWFwUcK +Uf/h13nrWCuaKMT6qJlfJnRFs4FCrJ8vXo9ft2jUUYoEl00+V2DDklpG1JOd +Lyhpum5ej6TZ4PCkf/L169sUQYvp2+qSbMio57bNXDxFIdajdU4Zn/3BZCDW +6wG9RtpftXIg1jPFfuR09hcExHrPzVc9smD4Doj9YC6lNdHnwnsg9peOKOE/ +H1w7gdif5N/lRv7Y+RWI/Sxhpi1OfvU3IPa7sLLanSTdHiD2w0dfr9sPSPQC +sV8LjpWwPHl/ArH/y+/Q2BaVOwjEeeG4Dbv678QQEOeJV2k1O659CIjzRq92 +o+KS6TAQ59+N2unC9dvGgDg/15WvKa2sGgfifO2RHxteDKACcf5+233X1uwD +FYjzuTT/xe9zajQg9EDHJxF5NqePI/TEVB7/kaRJJhB6o/xhQvvneBYQeqQ7 +A3ce/MICQq/Y2aUVW2qwgdA/dotzd1oLfwGhjzxKntz9ZD4BhH5SOZ7w6fq5 +CSD0Vchh/Q5S+gQQ+svm6s5Io7IJIPQci+LeN/H8NxB6r+NXvtVn7Ukg9KDw +0aN7PdwngdCLQYwzDq0hk0DoyZ9ayJorfRIIvXprlbE1U3UaCP17OamxLblz +Ggh93HCX5nbaYAYI/VzfE2Ih6TwDhL62E/RYvfzYDBD6W49d9M4gYgYIfS/S +l/hwRc0fIPqFvJWCF4d2zQLRT3w06o2vz5wFot+IJQnladTMAtGPaFzPDMhv +nwWiXxl/Zs2j9HMWiP4pe6PUktLEXyD6Ma8J9uy3wDkg+rXTqpr1nc/ngOjn +4sV9HQ+0zgHR70XMbpC53DcHRD+46e6UxG36HBD964Db5v4TnfNA9NO1wTql +ctYLQPTnLU0zzJBzC0D08xUv6jw7kxeA6PdtbiRXUO8sAOEHnB33fjCRz/n/ +//ojhypdZ2lR/4DwY7L0XF72j/0Dws+pHLHJva6wCITfc/hFQrO82SIQfhBr +zmR464ZFIPwiuRuhGv7bFoHwr67w75fW51sCwg9Tz5EmF3ksAeGXfToWvNw/ +fgkIP63ie+FP0QdLQPhtTdLb37U/WwLCj+u+b38y9vUSEH7fhSMiTyT1MJzw +Dyvjdj9csMdwwl/sJa07JeeB4YT/yM1+ThX1w3DCn2wrcrWKOYHhhH9pXKP6 +708ghhP+qISqR/OO1xhO+K1nMkxFqr5iOOHH+unXCuuNYzjh11bIdr3Z/gvD +CT+3KblJ1HUKwwm/12t05PDhWQwn/OwxGVupfRdJOOG/75EW1x2uIOGE3//v +d/79p19JOHFfoB97aP3OURJO3C/kGA4r32SScOL+wUxz6MCKCRJO3E8ciLtm +2DpFwon7PKchRdPIVi6cuB9NJJ16mBjCjRP3vYckVuj8VOHBiftrSZ8jDPtc +Hpy4jx/y+sf0U+fFCR4gOm/eB7/NixO8QO6VLji2xIsTPMFRLerNMG8+nOAN +BuQz1Ocr+XCCR5jKFecOFeHHCV5BqGBb6JpD/DjBMwyW5zKn8/hxgnewNxJt +TfzFjxM8hKv7t8QjqwRwgpcQW2zPiT8jgBM8RdLAp1/jLwRwgrcIPN2tUTcu +gBM8xi8j3v37VQVxgteYe919f5ezIE7wHG4nKbELMYI4wXvMWV78pFcuiBM8 +SEzzMiknqiBO8CJaF3y5pWWEcIIneZBWuOfwRiGc4E0Ez6mOdx4TwgkeZVvq +lvqBVCGc4FUWmtXUj9YI4QTPEr71b+zTISGc4F3kg/GRa0LCOMHDTDU+/nXR +WBgneBmh7SZ0F0dhnOBpFnCXDwNBwjjB28ylvlgcuyWMEzxOl9uASFm5ME7w +Ol8Ns5of9QrjBM8z5SX3PH5eGCd4n7Zj/05eVyHjBA/UyM3cIWBFxgkeSWo1 +1l55kIwTvJJknfn6zgAyTvBMBk4NMXrBZJzgnTL9ZDuYYWSc4KFmTetr9kWS +cYKXerN/17XkKDJO8FQm82tFjKPJOMFblX/6ttjIiQkeq8nwmr9EAhkneC17 +7d9LtGTO9/+X57qbU2p+7CYZ/x/vZfNgX1EqGSd4MP/Er6d33yLjBC9mFG7w +cJYTEzxZm4VMlWMWGSd4s2+VPc3ad8k4waNtTFnhmn2PjBO8WrnTIYMD98k4 +wbPdS87C1B6QcYJ3Wzm2Yu3OXDJO8HBPuA4a8Dwm4wQvh+1zdR7nxARP93eH +xumuPDJO8Haoxmtn81NO/v7L40G6yJbefDJO8HrbUh4XLT4j4wTPp5JYHmZV +SMYJ3k+ggW6e95yMEzxg9tzT4cPFnPf5Ly/YsPpNkOQrMv5/f6jccg== + "]]}}]}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVlXk81Pv3x8fOGMpSli4SyVpypb3zFr+yRUrJzhTJvUVaCaUbyl5JQrKV +KEtkCXc+YqIsIYoobkwMM5/PhywxBl+/P87j9Xg+Hudxzut1/jnqdP8j3oIU +CoWxUv+vVt7szrqxY/uattdclH1NQ8JmygGDSsaQzstnnSyhoT+fpKSXKZmD +eBPHOK+Ihlh/aIZf0bIFlbiq0L2FNGTd1lP6WMkBrO4+K156QUOasSpPl9Wc +AB5KHewvoCG+1cmHXlruUMeg27Xk05Djqd//3lM6BfOH1AO782iIaaZc2ZDu +A5QTTsfYz2jIUGNvybTaGXgu6KYrvMLiQzezj2udgz9Ht+6yy6Wh71uizOKU +LsKTxDSKWhYNJT1RrNvocgmq7D11XTNpyGpVwR5G+mXYf3erU/oTGqogWreR +akHwtbqvRTODhuILZbXttcLg47Y1tYfTaChzjV1ktNIt0A/TzZl7QEPX2h4y +j1ffgnNxPYFHV/h4xH+CGi4R4GaWdaI4iYZoM+ev16RHQkZ2ubHffRq62p10 +lat2B2w0fy2PJ9KQ/f0+P1uteGjWu3NOJpaGwsXLNt1RSoKqzq9LH27SkO7B +u7dLA5Jg88IuKYMV/hThP9bflAQ1zkfuJIav3E9I/8Xmyw9gzrCRceIGDTUu +5m7u/pQMqb5rP+GhK/unkrepxT4CXfumCO0gGkr5HmxWvpQBskzjPV3+NHTC +Kat4YjIX5LZT2qvdaEhyOdz1oGoxfBDCD4nvpaGN9gS7IeY1fPRbPJugQkNX +Pu6ElOk3ME1XKIpZkEQKr2UGBAQw6NFLa3naL4kqhsxa2/fUQ7fLoFRllSSq +93u8zonLBF7Sq6XRB5JoRmvvqsKzTcBHju8HL0oiwfkXq9dtbAaq9WaO42FJ +ZLLsWXG0qBWmPzybCDGQRK23jD826raDYhD6eYcqidQSOfP5RAeEWc5H5g9T +Eef34MBnw0/Ab1Fbf4ZBRbnjNc3S8V1glXSwcTCJiqRV8kgWqxskLquyu/yo +KPZMuU+m5hfISi50OLmfimbRC5bv9R7QuHpaSH4NFV00Yr5e29ALES2b5OzH +JNBgETOmU70PeDtCOrWrJNCCX3eaTnA/uJzFIvkREkjPVGzJp+Yb8Cp6M48c +k0AHs9VPv1IYgAl9EWdnVQl0RcVjww/XQQgI7FVnssWRPveYZM+h/yB+sHOC +/Uocya2aEGfy/oNVS+3ZMRfEkTCWVqyR/gOc3L/GeZuIo7+Ma75KWA+Bjb50 +W9yEGHphSc9kzA7BUFUuPpMnhmY4yqtHkoeB+tLq2k5PMVR+9KBQkjkLpnNX +C12TEkPXLSKevB5hwaBiyvqFalGk/t2qNyfiJ5zRGLsfekoU7dp+K0nJaARy +o7rBb1kEuaq2zwt0jcDNvAUf9EgEzTTHDQWEj8IwfRH3XS+CUoTdLx7RZIOs +jzfXJlcYyQ2UZwsy2eAps3XjDxVhJBIa7rDz/BjECZzPiQsWQpR89+Ih2XGw +H1Y2vNEmiAJTi+Nk68fBNfqOXtu0AKrkp0bVM8fBaMOw69ZJAcR3jwwPbBqH +bD3WH/dxARSl4XbpU+s46ER67rEbEUDpL6lu93rGYfFXQWZ+jwB6x/DWl8XH +wUF+tRbrjQBSHF7XIqPIgdE15nInQgTQv3q3xWXOcYA+8vPkyTkKMulWaLsX +wIHmxGZpp2kKKgnJuyt/gQNv1nbXWE9QUHZbo7LiVQ746tRLarMp6La/iIHa +TQ5cSDGUqu2hoCNlN+0Nkjkgo+rRcqiCgkZ3haZaMjhgwFBd/B1AQZ7DNI+W +Og58LHbaG/E3BfXFpGscauCAEFk0Ju1LQa3fal4efs+BfoHd5xU8KKg0jMdw +/MSB6uijOXwbCgqpvzLsPcKBq95Sz2W1KUjGKlD/pjQXejNtzkZWLEPm21WS +u2S40Cxv3dD+YhkMdxaOTcpx4c33wh/SWctgq81+5qXEhU6/oC3nYpYhWtRd +3VSTC+uz5WnFHssg3GC5lrKLC1FizvI6osswu1udEubNBYV719TPWS1BRBlj +YJsvFwjeZpblviWQ13P9F/fjwslXsS2KRkvwp/LDYLcALlT/NMtNUFqCgDna +zJ5rXEjTdiwdGF2EsddzYwuJXPCsdpobD1+EbwYdXUG1XLjEPpU1WcCHJxyZ +UCGMC2b3Et+MPeYDPf+oVtzbFf+vmF5diXwY0+y5mtnIhdbmWTz4Mh9m1w2o +NHVwoT5oY7mCKR9kqNzT8j+5MOhyYODvrgU4MCLGL5LC4f8ypmUecXggkWv5 +dMdqHK7P7Vtz6xsPWr1ibOtlcYhZffqwaxsPjn6XzvqsgEOg6obGriIeeHav +OcBXx4E+Sc59DeDBtXqNREsTHNL3yy2vm5yHkgzQZLnjwH5hKrzuxxxMBU+J +eXnhoJ6Q6l/QPgcmjnmc7ydxiBSg5qkz5qBm1aqyHl8cOvT7YxpT56DxxoBp +SyAOeX9KhAwfmYN+eqhHaSQOUt/icrYyfoPopprUG0U4aJPFDbrXZ8FSyD9s +sQQHCwmP7Vv8ZiF2cAM9uAyHxr7gbbLHZkEmJVrnYhUOTRnjLoG6s/CHhHPV +6XocbsV/+JjYNQNGnLnPtl9weGBiYIqrzoBbsYmMyhIOPzTqTAUfTkGF85x0 +B4WAi9wLtm3BUyAtWk37R4gAyTNnjnu4T0Gd617xMXECPk0U7P2sOQUbqObL +r+UIIDD3b5NFv2DklD1uo0OA2W27G/qVk7BvtRxnWY+A4JM6nwQeTsLDmm52 +6WYCVP6K7Uy4PAkWsidYisYEeJQ9z+g0noQCzL2ftY8AiyXe47bCCTin/PeH +EIcVtkgu2aFOQuM7g6YtjgT0pqBjQ18IUDtPMoecCKjKiW3/HENAR1NgnYUH +AdN5Yt7xUzgYXQqqlPdb8dsppUi+4cLv9sinL68TUF7w6tdltXE4fM0ix+Mm +AV+PZpgbvR+D51rULNkIAvoUR1lL/mPgHBqXfjWagN1VO8ura9lQq5t03/wB +AffqZwr3WI3CjYis8G8FBGjX71deNmSB1BUxK69CAujl/5LR7cMrf/as7Egx +AYetKLcX/x6GMuudOeRrAhQPqVuF5w7BiEwnUxAjQGK0jPAS+QHWGRRxnS4C +nvYk2AzK9ENvgk9H4WcCQivr7QS0+uBUeGuKUS8BsbMfoxW3f4WwUyk6e76v +zGvIvfGfXQ+U6Bpa242u5A2X/P3eqQvWVnrEX1oggHe3Lc7n6jvIef7uOG+R +gNwCVW++XgMYpuqpXaeQgNn8DEz/UgeWob+Lb4uQ4Kr9YXxerQpCzBI601aR +YJpdKWrjlggS22YerZclIaVRyDx16TyWrOVCfypPgu3zgamKikdYscSmqSIl +EpbVUsKf273ChtoZ8vUaJHyhP6toVWdi/m81vx/QIoGxsIkataEJ45dGP23R +JiEsQYRJbG3G1iQfN/lsQMKPrePJodCBWbgSjuztJOi5dTGYk1+w7kMO68/u +IiFyo8fO8PJezAuq2ZN7SJi9NF975J8+LHhDZNCCKQkqd5un1sIAVshWSZO2 +IaFlrZ6wncYwdvtxhOeALQmytRcSQ46xsFNH8I1F9iS4POrSUL/7E/ujtrbk +kONKf36ewvVNbGwuQPOyijMJfaa/tjT9M4Z1bYzdjbuSsI+qYvySO45FJ7g0 +xtJJSG52sGCycczHvCHG1ZsE0TK6Yl4Yie2f17XX9yUhjhf3yvb+BDZP5/W3 +nCXh6O6o0qfOv7BuBXpWWgAJd5pFpWoOT2ElrR98/rpAQhBFxfvKsWnM1+TR +JDWIhIFHLPd9D2Yxcw6lsu8aCZWhqu/e9PzG1DJ9QwrCSDBqYim37pjHeA4d +psHhJGhG2pD5b3nYF4kdYla3SNh8wCHqQjgfK2U8aVWKIsHHS+3FjaQlLP6C +2L2xOySYMEsq5Z5Q6vy0/R3fxJJws3zon7R+wTpvpUpryzgSOgRUXeKFhev+ +B09l4SM= + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVkHk01Psfxq0lO2NnjBlGg9JURHV5V5QWVxRJXEqiJFQS+WUXslxpkmSd +dGULSUjMJybhlMq+ZB9mvl+uLUtJ/Lp/POc5r39e5zkP2dXnxAUBPj6+/N/5 +r49e4H1BmJ3pvt6Pbl5aEyBkpuI7pGwA9VnVdUb0cdiZlZpermwO24ttBD81 +cYCjphV2U9sKZpdXJIYCOHDsY/eLDGVbqKts/Uww5IBWPPHpOskBNvsZaIv+ +GIPVo+cfntN2hvtpxhrh9WNg77Zcm6zsBq4XmTPy58aAbaZS2ZDuDtfVQ2hV +BmNA1zQpXSBdAr/5vMLHomMgMhrOPKXtDb2bF13Ha0ZhYFu0WYKyH5grObQP +6Y8CI0sJUR1vgEvpoCpIjsJRqYI/6tL94fXWfQpB0yPwavqD4QwpELjfFl0O +lI1AYrEszUY7GGZGR4P+Nh2BbPnjd+4qR8KerP2PtvoOQ9DHh+xTryPhho0w +Wfr0MJyKGhbQdIyCv7b62ZvtGwbxxashNel3IM7LsjJBZhgCOhgBU6RYsEum +qFd7D4HN/T5PK+1EoD6qSU1QHYQwkfLNscoMWLGcSKFV9IOuxb2YF74McGVb +H5lL6Ie2KB+s/z0D5plazavu/aAluKVQ3/8BxORUBXUq90Pjr1z9jrYUCOeO +27qG94H4txRDUvwjyD+i1vvmr15IHbhlVrGWCQ1r8Z5bdnXDaYecktm5XPg8 +MLZD/XA7zPTbijJVnoJwdJ/Y/i3tcMdZ5MJJs6cQMVht7C/dDuXnfVReMZ5C +UEFYnkJvG0h6m0QFGf0DOmPC73Qut8G78N4zG27nQfv5X7GXk7/AjiKZDWoi +BfDG5fH/9vR8ArH1MCcL9RIoi9rwPS2mGag207yGuJcAAquO/3Br4Gbrbkhd +qAbNn4UjArvugeJLmUF+fhbU7PUTcegvZb0aNfvw6Y96KFoKDRbMaGDVe2ao +Okyxwfiw4PYe31bWoraJVPGV9/BORcY/3bSDJfCjUFqV2gKJzjvfBZf3snat +n3118vkH2KZRtdTTMcj6EGnQ2qj7CURNym5FLI6wSEmTP/KnP0NaYMy23Q0c +1uTy0GAnvQ180sPZe725rFy8pkUysR3WlO1ctrjhLEli3gyH0wFjqdeXt577 +lxV/qcI9W6sLbJNI4kSNWdbSvkLOxZBuYBhlVe18M8fy28F+qdDQA2nX+vz0 +jn1jDT1nx30h98HTOgOP7RKLrJ+eHY91bvXDFVGRA1b9Syy9/RvX3Gu+wsf3 +HdZY5neWBZPsUaY4CARqZstQzgrrJtGFMuI0BHiihz8zY5W1ZcpOrPvPYSD0 +0S/RitZYBKlZEfbKMBCzJ/0k7/AhIdbjEs30EVhvKQmPq+BHlw1qejcdG4Xb +ll6LDxQFUeER1+y6pVEw4aY8/J4khBYnVaQnUsZgcd0uO1R6A6o4aSHIMOfA +iWfCfJW9G1HI4aislxMcoE6Gr6aXb0LkgaM9T6LGYVGybLj+tRjaYxTJUN4x +Ad1V+jkReyWRk/qnH/ztEzDFKk2XCpBGksrXKmo6J2Afn0aPR7A0ekuQv3qj +ZwKAYPWYHSmNtDc58ngDE0CVt2iLuyeNZr5NdH3iTUCe+IFKm0JpFN68Vp6x +NgEFdaPb3g5Jo2d++t67dbngKVAdcdZSBi22JIz6hnHhjNCyhscuWfRVrPPi +agQX7u89cTHNRBbVW6rNRN/hQm1oc2C7uSxKai34mRnHBYmKPpL9SVmk2/Ze +7uMDLqy+lg156iuLnHv5LXQKuPCM/UrUo0gWNXJvFI60cWFmLCuxkUZARbTa +7Vc6uXDo3rnXjXQCun9JqOp7Nxf0HX5taDUmoLOTyWypAS7wJ4Lh/GECWpku +GTDhciGvdsgq+RIBbVvCpNJ+ckGJI2dgUkRAqULOfie0eNBplNT0xEgOrZ1X +NPyizYNJtz0y/vvkkFvD58XjOjwg/63FZ3NEDtHDzW7+qc+DN8H35MiOcqhp +nXbrsDEPTr1d+qUXIoeWV76FmFrywIrtUbHaJIdOzcXG6frxYCW3XkreTR69 +sTazzPfngUhzriHZWx5RSlfFaYE8oDp/TTYOkEfT3j6J1GAe8GZ3no6Nl0dR +U7b3NGJ4kBAgw6mokEcvuaSHCuk8WKCXDJ4QVUCEwQqmAJsHHoc82n1rFVCi ++O3blY082Cya0qHcooBE95qf9mrmARY2W9zRpYAEUtskulp5wBYurwidVUDz +NjMB+b2/9zoSxiq1FNHnd7Tj1jM86FLbrdORpIjiix//zFDBwH7e78PdQCUk +8tW16wQRg3ad4VfjMUooUlS3bKMGBoKl0UKOqUooyKPK/SoVg+9Fv0xSKpWQ +J7nzizkdgxvuk00Oy0ro8APJZ5MHMdigf/761f8pI+HbYba7r2JANApqts5W +QS/npn6wrmOwpGlIjH+hgtzcT2cd8segst5N8F+2CmIf34afDMJgrU98EwVX +QZGUgRDvKAzydCnXLu9SRUJNxoVPHmGgFIRNqXeropd/5FrrpmPgL2xNEZlS +RW5lUkulmRhUwS+KJr8aYqdN7Kt7gkHAsdVz83pqKOIKo6unGINmjB0sG6mG +BAiz/JL1GPAdKMk5A0T0Itoxj8HGQPNiaNq4PRG5rjZaqr7HIFNmpaPCl4jq +xzMe0j5gcGtG5fqWJ0QUXnVsq1kXBrVyLnZMMXXE75x3OgDHYD0KJD2n1FFp +m+za2hQGPWV6U3qiJHTWIvhJ1AwGu03ejdjSSAjR7aaTFzAwFzVu414goVBB +wcjiNQx8ogyvXp4gIb5855JRWRxKrPKTSaEaqHGq2ztLHodQ+8XAIoYGiqfb +6Dsp4aCyMTQsI18DKVaZFXcScUgX3El506GB9N/TCptoONTNeHIu08loQZTp +GaWHA/F2RVHqYTJ6baWqe0Afhy8HxZk258joYJdEfs1OHOT0F3ZEMMjIaXz+ +n+emOHgNO0m9FaAgio6X++X9OEgkmfXQNCiI5zVOpZnjoOZxbs3VlIKuL3Tn +5hzBQbFacj30NgUZG9u4OVvi8PyCOCksk4LWglo0VY/jIHNH9kY8oqC7grVM +hi0OOlA+JyGiiawtdrna2OMwZjrHKN6qiRTiSsiSZ377nYNWC201EVOWmR3t +gkPRmMddgzxNdPGU6llzVxwGchOI622aSD+NQeK/gEOSuXhkAZ8WqiZHZ97y +xEHXKjbXwVULBV/gcza6gsOL1lTb5hQtZJ4fSFzwwSFTYip34aMWEv13/mvp +td//uLg16YhQ0We6V/qVGzhci+/pYR+kohS/cUfdABxiDaYcd0ZTkVOVsyr3 +Fg6c8/S57x+oiAc2aWdDcWjdwKFsu6iNnke0OBAjcMhakHrkxNJGfu/NlPui +cFCl800Hqm9Ge8Rqe1JifvtNq35QojejdatdqSfjcBAuNDoZ8nMzYieX2Esn +4iBW/dgxNpiG7nbRFD8m4bC9dOJBrJwOslZhdsXex8H1lQ99tUEHKTirphxK +wSFhueC+20Nd9DWHYSf4CAf56aWgmBw9tIlZ7vAfZ6///ejub/4/rh1Crg== + + "]], + Line[CompressedData[" +1:eJw9l3k8Fuv/xudZ7OtjS/YkS2ghZak+OTmhxVKnBRFSWmQpRUqkqJSiSGk5 +olJShNJi+ViOp0KEyolU1jyzOJII5Tu/f35/zGte79cs93Vf1zVzz8zwD1m7 +jUsQBMNu/7d/m+E87pIlgsypc5cTb5jit4KD635ks7z+ytSbLC188v2bUtFt +EZjpKuRWv1THI9ZBzSF3RdB72L23sFMNHQ73ppjliWDy1DnhhjRVlEYf94EH +IkhtUE8NuaaCTbw2xdsPRaBwtCAH3ynjRUf3Jv9iEZx+JROlOF8ZvU6/Oqdb +IoLFrlkvZLKVUK9xuWvHUxH8rCA3vzdUwj6lMvnLpSJoz7rx8sQTAeZtWPh6 +fYUItHNjvDavF+DejPwkpSoRfA68YrRuQhFLJjNOVNWIICBlS7VnriJO+iQc +3SsUwTQvi7k3tijiH5Vhh/RfiSAnhVztqKGIJ2Z672+uF8Ej78wwyyIFrI93 +ColrFEHiM1Jb1lYBlb5a7rRoFgHdrpxm+EIer+ZJe59/LwL9Wp8GtVE5/CL/ +Y8MfH0QQVv+YzL8oh4ZhX9y+dYhgVhyZKW4nhwVWTxzWdolgYGjOJudkWRxJ +z17K7RVB5KtVc48vk0Xb8bPWhf0isM3/bKD2XQb/Kd9mpkSLgP8k4vfyABmU +nuFuWDUogu6Hf5F79WTQ7dhivb3fRCD26LaF9idp/OCorNI8KoK13+t22G+V +Rt3c33Jx4yLoNJy6us9YGgNkRRIWv0SwUlhSnzwohUwTTqRwSTjKT1qkfUwK +LS3zRuzFSOgrOYSerlIYmZY+OCRBwjmrXj9dbSnkeQZ3u8uRML/N/RNTKonq +3Zp1AnUS/OXajtXJSKL3nxL/VGqQ0OFcr+3ZJYFZOd/Kw7RJeP/F5a9vTyXQ +LOhl4Rt9EqLFX3opB0mg/ciBjBRzEritOxUl2sUxYaN/qv08EkL3OerUPxHH ++qdrzg5ZsOcv1hp1TxfHDUcM4tytSdBJ0u48vkEcd0k07xQsJ0G9VqO/o0MM +83eWba38k4QbIS2V4xVi+L3ujneYEwluEkzUvWwxjEmOcX+zhoRZM/4sKAoS +w9Tp5jYpm0hY4pGXQfPFsMz0pKQgmAQtsbbXEqv4uLB1WsP5UBIaTa2PSCzi +Y8HhnBSVfazeFJlUj5l8zGqo1VCPJOHMGcNyepKHJ0PEzHXj2Ovji7VyinjI +UU8byjxOwuyz75Ols3gYhQaP9U+Q8M5pJKkomYd7BA5geIYE/vzu4qZgHq4t +inM3v8ge//OagcocHtZ5KanlXyIhLaZsNEaHhw78rA/zrpAgvPD9jJECDxet +r9y6IJOEBztqGmcNcVFrdGq/XS4JbduLratLuNhvG53hXM761xmqVObCRd9u +2S11SMLi/g2iA39w8cPpqzPXVJNQ5GIz4bOQi/Udz/PcXpAw8wkxr0SHi4VH +xss3NpMg/vXIswNDHDQ1Sjz2bysJRo1psil9HLzZON3J6z0JQY+q2lrbOZiu +Z/PGp4Pty46cune1HDxcFdG9rY+E00sV1z++zsGRXRJ3+r+ScEmz6KJ8GgeD +ldODdpIkxEUWxZ05zUG/gMcjQf+x40fo3R+N5OAK8RGJ8HESgk/4mMeu56Bg +5V6zOHkKAq8sWiClysHMSgUZWwEFi/Y3bxqU5eA8m/sDQ8oUlCx3t5zgc9DF ++Ottv+kUPPjIuR03QmCiuM8MewMKonQq1zq2ETj9yMTUmCEFms62Pe5vCLwz +cqmzwISCe9fEsmNeESjsabmiN5cC++6Cc8vLCORXO6sRthQ0bJ9HbrtJ4AXb +/u8liymAuhvX4q4TqF94vCUEKHBeOCcWLxG47EZF8icHCoJXL5+4nkRgdIyV +TIUrBTu1nCy/RBIoO9o8cGAtBboD8dWccAKvBoe+mLOeAtPv7RP2IQQ+874X +f92TggWi3gq97QT+sJtBHNlGgR3Pb8e69QTGF5V3Wu2gQCrNmLPHnUAV081l +9C4KUkNn6d5aQ6ClRnqUdygFdWfuhYeuILAqZYGH6j4KItQuh/OWE7hWqnlR +w34KtHoOJRYDgaFjsiOLD1HQtiQnPdSGwKmQ3Jbv0RT84v70O7SQwLP9joV5 +sRRYZq76mm1JYN67uBCtBAqS7A0/rplDoJ2LnkvrSQpibBnjRlMCX/1TZnbm +NKu/cD65x4TAgeKxgYlkCv42cbvCm0VgpNnFF0UXKFCUWB06rk+g5E3LnN0X +Kbiiz0mUn0Fguuab+JmXKajNFPsEugQaXggOaL9CQYKFfuBZbQIfScsuv3Cd +9SvPTXNck0CHuLszVt2g4GXds5E4DQJbfq4geDcp0FvwdMh4OoH+YT2dz25T +0HugWYaaRuDQ16Nle+9S8Cr4mX29GoGxvrpXZ+dRsCzD/fxLVQIV20qjuh5Q +sKvk5q9uFQL/dvX0yHhIwe1w6rgmy3OEo4vWFlMQHpY0O1SZwPKlaWrSJRRE +L1L/9kWJwDWPLUYqn7J+hzp/DmW5w7yp5WApm89q6Qktlv8mBdG8Cgocs9x5 +AwJW3911hkmVFKQcFiS8ZtkwMK1RrYaC5HVfEhtYHjB4H5lZS0G/Fmj0sZzX +pa4/+yUFNqbJS9TZ+4VmetYV1VGgJq0/tZVlS5+r4UteU8Ckmu56yfIPzU5t +YRMFU+MNiU6s3mf/6grdWij4rasW/IXl6HS/0A9v2f52/5qRys5v2frs6QFt +FJRm7Hnky/rBV+6toj9QsPXeEmtH1i9hk2FQxEcKbK2tnzuyfiae3aHK+UzB +vDENN391Al1W55YndlEwW+eyVDrrv0CaClTppUCww3uil82nVWguuN5PwfN1 +srauWgReig95ZiSiYIX/ivZmNl8dzrCs3SAFFh1tmSZsH7rKFzyuGaLA5Ff4 +yCTbl1uHD2xx+c72y8pEjjQg0Gzs50O/n2xf0h4NTWP7NvjIzoucYJ/PAJ3R +DWwfC/dF8/f/psDBXDjvoTmBNoPExpM8GnrOdZSVWBC4ok9i8oEcDfnr2r8q +LiVQ6qbzLWtFGraPn7Iwtyew3u+0S5USDQGNqi+2ORC47qP8jbfTaMi7r3zQ +bBWBvq2qKyZn0HC7+8pdFU8CDc5vHIw3oMG/v5pQ9yGw3/XyJQUjGiyu/idl +409gcJ0WqW9Gw+pmvanWXQQeqpqZ7LyQhh+Wpr5R0QQujd1m02JNg9NFU5Ow +OAK5S3O6NtvRcNTvZsCJEwSefDrbKnQZDRGqS+crnyfwYsH8DxdX0uDS57U/ +/w6BHsH7jumtoSFSNFvz7gMCtcwemeW60tDwdsy7ppjA7BzrmLK/aFjieW3Y +t5LAgutg0OPD6ll4x9+ug8DhqGEJPz8aZs6q4Bt1E7hwYw75cSsN0dLz46xE +BD5XUCh6v4OG4onhyNIxAmtjO+3r9tJw7rtz+eFpHJT0Pj/LaT8NmBWSekqX +g6tsVkj9E0HDIYnbm0qNONg0dL+p/DANkj6wPc2ag+3+0VsKE2io3q66UX8z +B3Vg/vK5p2gQdvJX797GQV/NXsO80zSMGrcOfwzmYF/LauZWMg2K93sO/xHH +wf8ctKIvZ9BgqEaYqt/joLjR84zYBzSEcw9YHRXjojMv5MivAhpCnDqS7gu4 +eOaTvn9UEQ2C/0wk5dn1TnAp0ST8CQ1/n2jPD17ErqdSnk8Cq2hIH3N3FQZx +cUuv3NWeGhqka1WU70dxMauyMsZPSIP9ayf71ye5aBRl4uhVT4N+/YSc8BYX +Lcixty7vaDAxz7/1sIuL+4V5T+va2H4NT9akfOPik2zfa07tNNAFedcec3m4 +ZPOLgD8+08DZ69HTMpOHTg3pw1YiGu6dcnGJ38lD7/yFAu3fNOz0vzxoxefj +Y88x+SaCAY0h2UOkBh/lxZ/JHuMx0AGeocoWfMTNSyQHJBnwle6YqvXjo760 +w1SxMgNDLb/SNYV8jHok9itQjYGH1YoJVV/42OIrHNeYzoDmq1NFY5N8PF6y +8kesDgNnnXwLDKzEsC/AnV5twkDLtLu5YvfFcKmiMjllysC8jQcxv14M05+3 +fi2cw0BW7GCiMi2GTkqbetQXMKBs6+Yzc5445lb4tPcsZYAbOLHEBsWRu1vv +33R7Bi6XgtTxPnH0Uut6t9KBgfQ9B5+/kpNA2T3bmgucGeit/dUYsUUCgzWC +Xh7+iwGPgSs9DxUlsfYfc+HcjQx0Bt2fYbNMEnXDBmu6PBgY3hj2wTRMEpuE +e9FpCwOuwwbiWe8l0XjfgvIJPwaO72h64SYnhUd1fjx/EMBAtcZX6SoHKbTY +f7BEZRcD1Lb+DI+nUpioZ/dIGMRAWL6mY9kPKeyumyyMCmGAGXzb5bNQGlP1 +Yx98DmfAR/UMOVEqjXSDfd6FCAZqzo9vX8uXwRUHebkrohiY/pC78rqLDI42 +JtzKi2FAry7MTo5mv98POWVviWOgLyJbWGEvi3cMpW8oxTNgc7Dn2qkMWfSM +TroamchAZWBnyi8POSw0ds0wTWLY93GK3rVKOZRpVbzUeY7N11Ru8s+58lg6 +O/WCQxoDkwMu/97RVUCVd+tTRtMZcHu/ebfdTQXcc3TaudwMBl7aq/a7TFfE +WrN/z3hfY2Cp4FON8XZF1GnLSFTMZGDZRzO/q08V8cCxzSersxjQH3Yq7VAR +YOMcnYQDtxiwS5CAgwcFaPzh0zGTOwxo22RNJQwIMDb+xtGOXDa/3vm/uwOV +UC5CYqXffXY+RvbuaxglzNixR6kvn82jITT59illNPRs+bCrkIES8027k+xV +sGiVTfZgMQPP9/0+90xfFZct+Xt3eAkDda83GlMOalg/R2zBz6cM5Gx1mS31 +cRp66O2ejC5l+wsqZTIpGtgneFPDrWAgkC8piLIywMeLGK95lcz//8/+D3Iv +ReA= + "]], + Line[CompressedData[" +1:eJwBAQP+/CFib1JlAgAAAC8AAAACAAAA2bVzSIzC7j+g/4+ZiqErwP/0nFUM +xO4/Xw1m0ePrKcCM5gIekcbuP3GnpPQEPyjAGNho5hXJ7j9mHn8HhA0nwKXJ +zq6ay+4/OCEwpSofJsAyuzR3H87uP1AmRfofWyXAvqyaP6TQ7j8pDGsFMrQk +wEueAAgp0+4/fxFDQIYiJMDYj2bQrdXuPzY+RXMOoSPAZIHMmDLY7j/+38Q3 +WCwjwPFyMmG32u4/ZNGu1O7BIsB+ZJgpPN3uP/pfkGgCYCLAClb+8cDf7j/i +Z4HYMgUiwJdHZLpF4u4/Hv0ShW6wIcCwKjBLT+fuP7I12gPOFSHAPRyWE9Tp +7j/O7Jrgs84gwMoN/NtY7O4/LeUBiBeLIMDj8MdsYvHuPyrYosfZDCDAFrdf +jnX77j9WApn2KFkewKOoxVb6/e4/BFYixl3yHcAwmisffwDvP5RTvTStjh3A +SX33r4gF7z9mvYTync8cwHxDj9GbD+8/40JNZyNtG8Diz74UwiPvPyn4Xfxn +9hjAb8Ek3UYm7z9DLGIM0KwYwPyyiqXLKO8/P01h1hpkGMAVllY21S3vP1eg +hTYP1RfASFzuV+g37z/UDhWvlb4WwK3oHZsOTO8/w7+drzWiFMCInYVg3k7v +P81k4u4tVxTAZFLtJa5R7z9+GtLl8wsUwBy8vLBNV+8/C3UaNXl0E8CKj1vG +jGLvP9sOQb/FPRLAZkTDi1xl7z+Bjq+7i+0RwEL5KlEsaO8/HxD2juKbEcD5 +Yvrby23vP5uRa5Ej8xDA1BdioZtw7z+oJBfqWJsQwLDMyWZrc+8/EX4U1apA +EMBoNpnxCnnvPxTGqqsHAA/AROsAt9p77z+dSPBbVDAOwB+gaHyqfu8/lkCM +/M9SDcD6VNBBeoHvP0EVNMTcYgzA1gk4B0qE7z+l9nRy51gLwLK+n8wZh+8/ +b77aZcEmCsCOcweS6YnvPz1oWDS9qgjAaihvV7mM7z/Ykoc/cUgGwGUZt0tJ +je8/DfF8YRwYBcDQQHm6 + "]]}, "Charting`Private`Tag#2"]}}, {}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>], + ImageSizeCache->{{4.503599627370496*^15, -4.503599627370496*^15}, { + 4.503599627370496*^15, -4.503599627370496*^15}}]}, + Annotation[{ + GraphicsComplex[CompressedData[" +1:eJx12Xk0VV38+PF7zcMl8zxPmZMomj5HVCoZmiRFJFRCpQEZi8oUJYRKUkpE +SSS5O0RmoQhR5jtnTIjf/a7nOc9vre9aX3+w7lrWXWedvc8++/Xequ5+e45z +EAiENPav//m78/j4Z0TZv7lu3bsAsdckLIKveOUN2WQo+/xtqT6ShB10elj4 +ayIHxNcRWsuPkDDB5YjD25UKoZ6TsZtvEwnTdGCOV8e+hpaTf0/fVCRhF1vM +IW36LUy7S7+IXRDEpF+L9hOJZOjSy2h83CuIvRm0bGrdWAWdzgNCpWWCWNXJ +e/JO9BqYT365NHZHEJvR2rSi4HQdLGKOnwYCBDGOP89F5DUbQGCXIc3RXhBb +u3z0zd4XTTBd/+TXZQNBrOmqSUutbivIBGIjNwQEMeVE2p9nzDYI3fEn+tmQ +AEb7PdD/xagdFhuVVU5UCmA51HcNwgkdsDN5e+1AsgAmrJjLGh7uBP4LSuMd +JwWwuBMlnlkaX+FhSsG+Y1sEsFns+bB3WBeoX/LilJAUwAKMa15LVXdDVONK +cQcKPzbwoib2s2oPzJtd/qxdxo8tnOzM0AnqBefT5OjFKH5Mz4J3yfNdH8y/ +6c7as58f256t6vVSuh9+6XMfOqTEj11UdFX7eXgA/M92q9aM82H69P2CXbt/ +QMLA51/jL/kw8RW/+Grmf8CKpdbs2HN8GBc5o1A98yc4uXyLP76WDztl8u4b +/65BsNEXbo7/xYs93+GeVTk7CINlOYyZXF5shiYnMpoyBAL5O4PNj/JiJXu3 +cyZbDcN0jghnsBAvFmYd9eD16DAMyKSpLJTzYKrfd3Y/ihqBE+qU2yEePNj6 +dVeTZY1HIedaJ5xc5sYOK7X+IXaMQmTugid2lxubaYgf9I8YgyH3vwxvFW4s +jcslYI/GOIh5Hqfb5HBh4v0l2Rw143BUdLXmT0UujDskYp/5GQrEE888ig/i +xAjPXAoHxajgMCRnFN7MgZ1NL4wXq6LC4Zgbes3TROy93nU+UV8auI+OHDs2 +R8BEd57VjxSmQ3eWzenoN8vQZ9DWEVhBh/PjHg8n8hah6D5oDLswYPy5BZf8 +zzk4UrhWVHGJAT/VkQVH6hSERz2M6MtjgnbVFrllo2EoGFfMELZhQaOUHped ++hCZ8L9+siTtomNkr4J+qO6juTskLO17kGXJ0n0QqzHZ2OFHwmSG5BtFZWgw +JmklfvAyERtbH5K+o5IGBpVKf3/7E7DZDaqE0ON0kL4VrOq7cwm2jfIuvhBi +wNb706J3afPAs/JdevgLBmizCqt1w2bBV86n/vI+JlhbpxSZqbJAqtQ14fwC +E+aTmuM9L33893oH/r3eDvL3Vdcs42UD4EFiBkH5IQkjTaWYKsfdBV2Huijt +QBL2sfK4vhiDCvskRLSG3xKxPcWRDgYpNBBVcm3c/YaAcVXvkCKsp8M13kMS +OjzLICpA95IYocOA87Z+n44F6HUPcX0VzQChvvhHqyt/w6iHA8NGhwmW1+3C +9UsnYNd9Ap9OBxMed920GRDtBe+1dycEAlnQf3fYZfOdWbLD7Z6TtloJ0KB3 +w1c0joRdrro4dHyUBpeOCz0V0yZglNdzlIVEOhwtd5qjRvyF4Cr1xB1rGZC5 +RXxZfuIPGNPmvth+ZcCdtQYWDKUZ+N0a/Tg/jAkleS8nLyhTYbC1UqJKnQVf +3Z+8aVKtIf9zf1r/vT/VZEeP3+9vyXrAn92qZztzSVjt3xzDzvYUSPeWameE +kLDMfIEjt7qo8HcyL+tZFxG77sdtoBxJg3NpRkIVXQQshsdF1UKDDirZEqRC +12WYle9XrGujQ1WgZom0xSLUhvdbNJ5lQO4a/stDe+ZATcBq+bU4E5hkl76J +F5MwKvq5hoPMBP6xYqYb90+IuelcG+fOgpSGfdY14wzypc7kS3TlG2CjMblM +TSRhr0LnKx3baVAes/fRog0B858jzWwMpkOGtuOr/rG/cLRTctuiKgPcJ1hz +3/znQYH/UJlXFQOuJtS3JHbMgPH5wFKJk0xo/ywkw3pLh8uWNz9nrGCBRXYp +j82RRIj0PTAtENgFRtlY9OzrJnJCgZi2g1YotJhKVthnkLA8skvv8Gb2/Fua +v9dc8AuKdI122Y2xvy9C8Pcnpw44Llu6a0c8C9qISs4JXFyoQjf5ttUdJtyq +minYuHMMrA8zHcfXsUDvSEdlzcTXf8eD/O94FJN3NXe9uie7D3YmPSlcek7C +NDj1nxteuANzRrWVB8NJ2DX1I+fbm6igE310o90oEcturpWTuUQDb50qQe1x +AmarPf7ETZYOn08GrvKNXQaKRtelrFo6NDXMMoIuLMK7FSuKu7wZ0KbfG1ub +Pgfo8CY+Ch/7+n/lbfqiMQXFu8wfsV4zQWa36s6InEFQqKgo2u3Ifv6f5UqH +rRwnk2bOhL3LjIb72SUmJ2+TsKa+d/n2n2jQS9xwRtqVgK2RSw064k+H8hHL +nJuyS7D3u/DDL9IMOKukVtvxYh5E02J0AsoYUHef6nxWdxba6s4ia1cmTOfy +Hk+YYsCOkN+F17lZcFi7nvpHuQzS9Mrr4tx7YbL4sfpIZRv5DbPJlKUcCN/K +exo17pMwa7GDwzImTHAtfnr/s8kEhHqk6Wz8zr7+6pzwH3Zd8JXfjHfnVRYY +btt37VzEIvlQSHzmpRgmbCgzLymvGAfJlANrvxiw4OdqakoItJH/Gf+6f8e/ +gsw3GJl9QMsX1oytXm+XQ8L+uM/3Np5mwd4N1149PjRJLuRfOfVClgXLymkR +T+1ekn1eTqrcuNkBoX2mH9XodeTeq0lrP6UPQfB5js99FwfJQWrRgQsWLFBM +apiSgv5/x7/w3/F/RF7zIC2zWNYK+OpoJrkvSFh7lB+lty4Z3h3acyMxgoQt +ukRHnK2jQrbesMJtBhErupybJHGOBm+lOt/t+kXAjMwLKBPidHj7veCn8MNl +cH+2Vyv+A/vzyxq3jsRFWOuYS/t+jAHRRIFc1co5EOYpJ13hZILgiRMHXF2m +2M/9abHRQibY7yRc/+szBB57GJovHFjgfLdDXTVphHwg6geHunMUHLF8eLAw +mYT1xGaq766mASfrBUXYm4BJ6B1+zzhJh2Mv4xpljJegyS3WtkqMAbEiXvaH +m+chbkDNPaiYAbU9QaZi+2dB+QyrZtCJCWWP4lq/xDLBKF1POYzAArLNyNnM +rwh2rsjbWJl5AbYkrXbKfEDCUt91jr8yZILiqbjPNy9MgEdEU5pxNxPiZlti +ZNZ9A+Us78t5oSwwrhuWazL7Q36qJfBQLIoJPTJjw0t+FFh8FfO4UZsFoTe5 +a5irG8hG6puKppVPwFOOI7pcT0jYlj+6DvreLIifj39pe/sXOUXL2f2xBAts +n/ZPvXlzl+wG5eMTG1kwe/5PxZ4rPeTFncdS3bRcAFW62zU+I2EdmnEbGIdZ +sFlA0SSfTiUnnOO9RbnBgrU1RaXiDwioqKne89Q5FgQSFI9f3D9N5rKU8x+Q +NYHM+WfDx4pImO72pOuv/JPBcGG9kAF7f1m6mH6tqoYKxmpDh1dPELG1ndLN +t/xp0JDYIOw0TcCyPqwQXC9KhwaJXdWtz5fhAU00hJNMB8tbiW8p9xZhKmiK +182NAao30/3yWufgzaE54TYCEwLo52ybg6ZA6CLvTrcCJriXvGfFtA7B9XtR +R/ttWSBWcS7x8v5hcnBzas2B8qvgG991di/7/X50iOTaiGjQUui0KcqHgEUV +V/abetOBOW84vGPzEvDn7HhsJsKAsLnNklf75mEHp1/o3yIGWPO7rlt1chZq +PxrUrXJkQncatn/wKxMePf14YP4vE3LylI4v6lVD8gMZpOl8HsocjuoeziJh +m0XEact6TAg6ptNOTJ2A7puebQVfmBBSWmVH1OoBKxqhtCeYBaUhSh/fdv0m +2wdbP3KNZMK3vfetjD9RwO+DxvdtWiyoXFgpcE2tjlxjKVdanekJhINO+8fZ +4+1pVR17+DgLeIrdZXJDWWR+05m7KmIsSKvltEpfOkPu3L1P5fR6FkRruppH +lHSTNeIUHy8rOwGkCm3vzSNhc/4aFxQPsaDHYnJV3RUK+VXlgybZayzwdFN+ +Hp68RO6Udn+Y4c+CGw08Qu/sp8jDChoRF7VsQTG+LGRTAQmb39dmERTBAo1o +G9azD/Pkk9p+jm/jWBBZMnglo5cD4f7AvjV7+GiMAu6PeZvRFO2SXsD90fZ9 +yFjJugNwf7yM4plLv14PuD+AY9H5ydg7wP2hvvD8J8faJMD98W5DAJ9TbxEZ +90f+bHgo571qMu4PM2vO1d3+LWTcHx/lRC9kbu4k4/5IcFnzMbT4Gxn3xyqV +stnuzn4y7g+BTS+Drsz8JOP+SA+8vsq8epiM+8MvM7Jmg+8YGffHkux+V30P +Khn3x1Daud8Gbgwy7o99icokRZVfZNwfyeselK2pmCDj/kg/2xOgt2uKjPvj +caWJ12qhGTLuj9MCfFtse2fJuD+a6zrtKffnyLg/xDXvNww8nCfj/qAmeF3I +vrdIxv0h3mN0Qjt/iYz7QzGLFiAcTUC4P5YbCiNjS4gI90eIjc/MHWlOhPtj +01hK6lwiF8L9MbO8PytchAfh/tjzlJtQ+o0X4f7QpEUuZhbzI9wfM8Ivf1SV +CyLcH11lhg+vbBBGuD/o5KLMFZdEEO6PQ1y/VbzWiiHcH1/WJX56tE4C4f7w +2ubV4f9eCuH+UFwXXG+fJYdwfxTaPrulHK6CcH/88MpYuXdBBOH+UODubuHd +xYVwf3hlrDPhlyQi3B/JNgILCmLs9e9ff9isfeq+oY+AcH+ccL/LMmXvl3B/ +pI6sXhryEkO4P6rJ9wpNsyXQ/+WP9Q8s7hr4/wDcH9VLcSf113aBcb4ojwJf +HlS4Zlxe390KTwMMfc11x+Akx9srR21EUe3Y+ec/28eANfQgoVZbHB2YuBGr +GzAO8zlVKyQ9JFFcQcbCPTkKOE4GNMUEyiAO8V9E4SoKELYUPjwEiuit6rX7 +QSepoGt7I8fJXQPh3nEX6r7SKMiHcO+E9PuLvbflQLh3NnC6ee/dT0C4dwr3 +9o6LbCYg3DsBHBdMI7g5EO4dJ0rG8EsRPoR75wDH3VltJyWEe+ef+8OFcO9Y +yTh1DBgOAu6dZzsUvlUc+QYfI78d4gnJhY5jf2+cuvUZIuuXiu8tjUJe5eCq +DwMiyOUbcbtO3hg8rXkj4JUvhn7PT4VtthkH2xqvksVPEqjto7adPWscviqY +63QmSiOuT2bPH92lgEwwha7UJY8Oj0w+ebGZCj4/Dq/4wKGGcF9xlV1csvQQ +RLivvm69pyFhyIlwXzV7GtGO5xAQ7itR7yMLI3IEhPuq2lPSUe0wEeG+6pB+ +lsddwI1wX4WJWmLRahoI95VEbd5zXsIKhPtK8+67tHj5flg1S1mRvjAGMsMS +JpvyxdHrMeVUqcxxmDYq7N8jIIWs7wg/pW2lAI/hsXNnLssiokvuwUtUCixH +gfBJuhKScpFP2ZZChfjfebc9UnXRSd72E6KWNJCplRvr6+NGuN9iN4vsf3Of +iHC/PdCxz+DUJCDcb7Nr9I4GhRAQ7jcdg8LHLwc5EO43lcYzG4QYggj3m87c +kUWvWRmE++2f8Z7+z2/u3tksSbchwP0WOTayzz2yB4R9N0UFr3sCOkPcH3VO +tQNravRr6/go5JK2lDo8F0G67XUSzXfGYLFcLOyxvxj6tKwdZG02Dgc+zP7V +C5NAkw6sS8++scffWXyoVEMaXVX7HuYbRYFcXbWzp9bKI8M67eeftKlQyTo5 +fMpIFeFeVKt1aZb6LYRwLypEvVbILeZEuBeDlD7s2d5NQLgXl+ebY6zFCQj3 +4s3pHZWXpYkI9+JEx99U+TouhHvRi4tPNMhUA+Fe7BW2IH/cJIZwL+6/pab0 +1ncA5pmF3zeNjUHu+wHbWyfEURR9X5LK9XGIvyQ6XFIiiU6qfvlsZUSB8560 +T06/ZVBk2S4Dy68UeC/huj9bUAmNg0P60XAqtPAMq63y1kIWMxfSkwxowNF5 +QoS3lwfhHuUZDy2/MEFEuEe7N+Wm+psTEO7RJ0MZzyQOERDu0dQ5B7s6Hw6E +e5R+fCzd6S0/wj06tGW3l/ppeYR7NOeBzzX7qaX/PMoaHAy+ufknXDmd/LW7 +gAL1lJpQsasKKIbzfXbyPrYPoXhCiE8dMdvQQhIHDSK44tcpXuFHCr+Xz2/I +o0G352uz6lIOFBJmKki2o8MJBes1Py8RkP7cn5duf+hQe6dkQlqHgH5ZKYTc +TWeAlhRBT+Y5EeH+5fBa2GSOeBDu31emPZwtkqoI96/QxGU3BRluFM7JebVg +ib0PizI9c2pUGVldHknSz6fC4o2bdQfuSKJkWQPzpIM02OSUn87g4kbbeGZ4 +A+Zp4HvNxSB8PxG5nxnuL39Ch5EL7YJ0aQJKKVrdk7KTAbajzucLnxKQdXPq +lCmVAc9v2NpGneD8z9uLFNtvT5VXINzbncKShXcqpRDu7X+en+H/vF1Z2tIm +bjoMuLevPywL/iLbC8XH/OTeJD+G4LyIXKlv7aDF7zw+/n0UNCW3t8cmiaDE +lryF+7FjIFTSo+y4VwwZRVpe3G04DhWhSRKqzhKII61d6GvLONRwF5eE/5JC +NXarqHuDKbDUQ+JXo8oh6TLLgi+KVMjkXKNW0amCcN+XHMk6s6Z4BcJ9Hxen +VclY5ES47198Jz6JnCEg3PdjCiA3KkpAuO9fL0xdqpgjINz3RwX6lmvduBDu ++3fnlm6Wq0ki3PeC5+VMAwPEEe77WB+b0njRH3CUdqtmxfcxICaA6aS1OGL6 ++iVoho7D+K81B2/ESaJgrzLPM5oUmMv/uymlVAZVjdxL1W6iQBBL7pz+I0XU +ZuSTefo8Fc7GdXfXbNVE+j71rz6rsd+HPPXO4j68CO8H6mUEo1IlDoT3g8a4 +5wH+2wgI7wf5BeKB+rvY74d/+8GDa72Fvus4EN4P7KY0eLK7+BDeDzzfX528 +16qA8H7QwJv3hynEgfB+MDY147rl5U+oSR/FKh9R4NKuRbdJPQV0bror5+EO +Kki/FV4OD1FDPdvFJdp/U2HPdKO3xTEBtG7/h2MmWTR44V3TqjnBgbCH5MQB +Kzr42lgu3I8nICXiFGkDiw7Gfd1ZOqoENNphw3ycyACRguHLWyKJCO8V4uvt +XdSNeBDeK+ZdE705jqohvFc8ng04O3ZQECGj/cxb0xSwEjBrHzuujMISwxw+ +76aBpurWomIfbuTm8WbG5xf7/l1UKfh9iYi0bvt69GbQIdpYzStBkYCuv9U1 +9ccYcFFy82rxWwS06fAnjy0/GEA86zTcoc6J8B7ywas/6a+TEMJ7iGNW1NoV +X6QR3kP+WX+Y//WQbytn3EfeDcLWr0LP3q2hgoThtPGVZFVUZFpmtWeQCpQJ +w4M7EknotKgVaMXRgGv10Os2X05UN9yRobKKDhZDRTct37P3y+IjVYweOhx7 +vslsuxQBtU0UtFVeZgCfC3jeMSOiq6U7Z8OVmJBgfbRIw5QbyXR7j0rWM8FT +KYz3qtBKhPeYjKRTSepTImjZdm3a3lgqcD9ftzdsYSU6EKoR6WBGA6V4xf6r +B3hQqor5Z5c+Gtz0zm38WktE+V8j/RSi6RBvofV9tyEB+TYq0NT02fvTdpXl +zpMEtDJIZ7tzEwPUmhaE6h5zoGS18Bc/ApjgIhlHW6gQQHjveb0qSr664Q85 +Wyw765orFfKHvGJMctUR5yHfIQchGqzudhhgVvCh8iPPo+4fooMJdYSs4klA +5iyC43VOBgzf7HtfakxApNPH24t2MGGk9m/rRVdeNPSrzsd9ggm63dl130gq +CO9JB4kPDfPMeZFzbMNN5VIqbLTL/iT4SAw9sDvklP6SDk8C6FflJQjoUa5Z +2Pt9DNh06N7U0Q/s+dmdHiOSxQTsu75b5lsRhPenf9bDzv/60+oCB87WT8OA +96fJbI36Rc9eiHbhO77X8jFc6X9rdkGkAz6IS5453z0KIG6bUXNVBFXZKLCu +RY/B+/D6wA4rMeRR3TZjpzMOqjc1CA47JJDABquDPvXjQIn4VdD5VQp5eB58 +sO0CBUqrPDgZNXIozsjB8LAMFeR4wyPuPVNBeO+SdjZe9dBVBOG9iyNJMNlJ +nQvhvavU0mHNAhf7ffFv70q6LBrdwl4P8d4VIrA60pRKQHjv6oND/uLGXAjv +XXbN/olPbogjvHeFyrWPTAlKoP96l0GAoyX2A26f4Cqb6xoDQ6e/PC1m4kit +aJGkHTgOmi59t8wuSaKrAroveVUowFl0jcs5TQa5L9bayNdR4L7ofGeJvyKy +ehaoOO1HhftC9JzpZg2UnTtZeUaRBl0/bfdNvuVFeE8rtjVfcFnLgfCeluyv +qfx4NwHhPc2jVfLTcSsCwnua6C8dPmH2+on3tCnHMz16Z/gQ3tOS9743KUhQ +RHhPKzfApIKZP8Hj5YrZovsUKIO/aupEBTTuM6KpbUUFBS+3JffNasj+ykaV +s5Ps56nkibHigACy4sruMcqgQd3t6biVKziR2qurHX5Ahx1rDcNRGgGlRfmV +r6TSYZv7tt529vpzVH5EKz+WAb+1O6e++xIR3u+yw1kx4gxuhPe7pYGadQf1 +1RHe71KwuMXWPULo6PbQR1EsCphv+vhzn7Yymm58euSMNQ3seZlBzx9xI1/x +VJ8TNBpEXiqOjIslIr6cNbmnUuiQoUaMEWavvxybcwcPb2BAhFuOx7VrBFT2 +6Og9614GMIry773h4ER4HzQPHL53I52E8D4Iu92+ynnIILwPBkzmPs8QGIJy +W3ndLYZU+LyVlO3gpoq0zvy0n+yjgmYkLYtngxAKQhpv1K6xfWM9E1+cyP7+ +mbT+Ih06PL/H/SisgYBCUt38e76w79fQX9Vk9vO5y3wb/8eLDAjmfXKwYiUR +dRytm5eTZYJ8w43iuUUutDyduZ9UwwRXIc/PQzQdhPdJZZHZhMEMURRQZynb +E0UFeSMCM1BpJWp6uzthwpj9ft2o8NshlQfltMpaO3fRwKekqruzl4gSxra/ +yg+nw5qsXeOP1hDQmN3dtBUrGWCc+Yvf3J2Asj98CHOrY4BFi7VFy3UONNS4 ++CrIjwlM1pdBl7UCSCq2UFX4EHt+uAQvPt+nji7dSWVN8LLXU9MRN2VFfpTp +6//JcD8d9KZ7Fyz8COjVuRCu80t0sDKoM3ppQEDOUoNfd1qxfX868F2DEC9q +EFrsPUhngsEI8bp0rApq4+wWefKSCisiinLRV3EUflQ5UzefDli6w616Sfb+ +Wb9EP8+OAc1f5o7UvCag0xHSN/PSmVBvITlmKyuC8B57O91MJbJqCMTG15ww +bqcCo1f8jtYnYeSfdaixuJEOUgJqy8fE2M+TIbfJn7dMyD1mq8v/XRodLnOR +HwuiwvAxo4m5Jk20h799XfN5OigMB8e8BgKKUJp998KDCdVy4wJVVvxoKbhB +Xd6OCqLRYufjkBryIFF5jf9SYWddaVMiix89vnzB1Xaavd6b6gjRNAgodbtH ++dZBJij9FYvyLVJFeB/e5H3Q5H0eHyqbnhQrfkIFfeUVedX1Msgq8pnqrod0 +qG8sn4lke1iwUySt/yZ7P6cntLh1lTBaP59g9mqMCusLf2hITQuimARvSeIP +OhjNydm7y7B9+c7konILE+y1ts4rO2v+159FQyW+5GEiKOartnRzIhVWF43e +uSGhgxo+vtePi2Vf76vVtNPs/f+2QM68bUFMkH3JsfO+rSAyTE9WJh6nQqIV +6WoeQQONir0XvltBhd7sh/XXykRR5eY7UgKldAhZJzP5k31/Ww2Voi88ZsKG +aF4IDBRFeO+uevC2cp3RCOC9273GfsdEfC+wevcJZMs9Bu5rPYIW+h0gLHu2 +5N2XUcAIKt1eoSKoT/CL9+KVMbi9YY93Ott7S8ekTT9rjQPNY73oBUwCJZBC +Qkprx2GlQEqnbIMUej1B/0M+R4FZdVPFuFdyqJbe5ftAkgrhjjOB+ckqCO/r +Hkmu1YfyRBDe11v1zEJ513EhvK+vO99+kEUiIryvb8924KSw3y94X1fXJHOt +HCIgvK/LTZCCaXJcCO/r5istHHYzxRDe15s9BpkGjhII7+vnHbhVRQ7+gHzt +96tPfxmDbUlu5bVG4qjC3tLm2YVx4KvPMVX1lUR8fe5f9yhSoEPnx5uR6zLo +1TXn3OQaCqh7h6ePOCqi0OMEl3WnqfCqJW1ffYoGOrKV9+MHORr07WhSPDTI +i/B+v3HsAPXCFg6E93v+O9rE0w4EhPd7z/kbxgYWBIT3ez/rvvgCUQ6E9/t+ +nwJVc4wP4f2e64Ba7ZFJRYT3e9eifnkQHoTXG3PsdTMpcIHbXo2PLo/UdHw8 +T1lQQSjRsltbRQ0JqDpoVbGoMPRyH+2siiBqdBaTKkyjwZ2w97/DlDjR7fVj +06Ub6QCND+9F3iegzjoD0ftjdHi3l7TeToG9n4HVlqtuMKCun8vm1HEiws8L +jBwDUWETN8LPC9Zu3npO+KE6ws8L7ln/ct5nJIyK2sWWlugU6H6pR9cTUEZ9 +D5P3c96lgiRzNvj6Qz1UeOL9sQ9bafDQr+PDPJkbzZzkfTo2ToM0+eIU4TtE +dEk/5VPxbTqI8Nr4z6sR0Obw4+YdZgywTtHTORNJQOfr8t82djOgcGqxJmmS +A+HnE6MXH9WRLUgIP5+w1HcnteXLIPx84pxSmHaZyRBMC2SfjNKjgmJISX6a +tSr6KTx7YEsPFc40vaEVpgghosydiayrNNBN6EoUyOZEsqELy3NadJDfsX7Y +4TMBlX9TrrPvoMOSspTvT3EC4jtyS9P6PANQtl/yDWUiCirh/uslxYSX1SLR +VT+5EH4eEpFQ+C6WIYpeXGlwUrxChQfTK+4eJmuhaEf3ZAsjGvif267UVMaD +9FbGXPnWSYOVrXdISaNEtOyX1zEdQoe/HH/cgtcSkMYtR1aUBgPcx6oJMi4E +5DoilDlcwwCBWgnxgiAOFKOyoaTOhwlnCuW3v5/lR/bb17o7OLLnw+aJ5AID +dbRmTf6MBTcNRkuD0SE7fkT63U65sIcOypSoamIAAbFKNjjTFtjzw0Pp9wE9 +9vv+lMq3VAsm3K0A/qujPGiTQop4J4UJLfT0H8UtKkgAuThQXlAhuVkm2e+e +BJoYj3h/9hkdGnzLLZrY/nDyPXdFZTcDLlF15Z+9ICCJr/uTfqey18+uw6c2 +5KxA+PnPygATLYE/Q9AUZe0X2UqFmHKaImn9CpQ/KKOmW08Hc73ETTLs9Q/b +9OBUQCkTGlsctelWUiglYMRZ9xIVbpjQnddc00RVSSZOkufocFHqbgCnJQFp +nzOpXHBjwlXvtk/2QvzIzMzBw8WGCi+Ok5Qj7qsh5bwloch5KvRrLWee0xZA +g5Umb2om6KDzN2BmkT3/BA4sTaABJgx6RXQbc6sh/DzKr7Ln+ActATRZFLh3 +9hEVsvZnLH/OVkAlAiTL2/fZ7+t8e/l5efb7WtsuXS+eCRXpSSr3PgihmdRH +mzlGqHCpYdeqqxgJ1bVp+Vz8Tof1ZmbvtksT0OP6lhfpjUwwUzV6rKushfDz +rqca0tSDGSKo5laho0gCFQTfZjjfCNVGG2xVbDuv0yFsPVO7lT1ejGaL/NsX +mVBza95zD5cg8j4gf9TKnQrfc+IVl9vVkUqrpV3fWyr8IdMOd2mJIcO63+v2 +vKZDwJl4XX/2fL5w5fD16mwmqE1ZV/RJiCL8fO3X73mhgUvDsOXDmWC1Birk +JtFstsuJIC2vO61SNXRI3Pszppm9fmsd6ug5+YoJpQYHT8VbsH3CmOwrOst+ +3lw9PunwaaKJs8ZupT1M8Pj8opP5QQ3h53UWkiY1fe4klCr/OUr9Lh1qs7gH +QJk93vsfyXp009n373TJUfZ+JW6UQymplj2/c3OmuUAbrRd8351ynQpnN5f9 +Ubu2EqVsd2hzf02F2AbBIJHV4kikuyJo8AUdTpbm/B1i7w9r9b/FHbnHhM2i +AzXaniJojUtmwKYWOjCT9U7Ws+eXk8qpxZAK9vMLEu8Fk+QQfn7YfesanLHm +QaFmPu1+z6gwctlh5FW/FOr4s43AmUMHFZO3E9qyBGRrk1cZM0gHXaW7/Kns +z5X56ZDTxgQd0ilu49cayF4u++uN21Rwf+NntFitg/IPrG3ZT2bfn7ww58P7 +RdHuN8YzH96y56//jh/+7OvR7hm4ovOUCYrm2cvRFFH0Zh3T2egDE7KWb96N +Ya+nX9J3zNtmU//7zJ9d7PQ/6y3++VvJOeu4qv////8Phjty5w== + "], {{{}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{ + Polygon[CompressedData[" +1:eJwl1ne8j2UYB+BzZGeTvUfITih7b9nKyCpSFGVmhrL33kVGhUrZokG7ZEQZ +hRYVSkRCuu78cX2+932/v/P+nvM+z3so0L1vqyeTJCQkJPKc4hOZVb5hMFx2 +kd3ldVmChupTchZpuEe/V46kMdf8fFGz99T9qEoePjXPZr5B3ZWSpOW6+Wdk +d+1NfTdKkY4b5p/zBXv5kn3s5wAH+YpDHOZrvuEIRznGcb7lO05wklN8zw/8 +yE/8zGnO8Au/8htnyWFtb8VzoDTp+df8HDld26h/mDJk4KZ5MfP31U9Rjbyc +N89lvkn9CGXJmHjr4Rc33y2fpjr5+N2l3Oab1T0oRyYSuct8j+xPDfLzh8/n +Md+i7sndZKaC2ZdyFE1IEntp9oEcQE0KxH3MjsiJtOWC++U126p+lPI00n8v +Z5OFivp98lkeU99GU/WD8k+5kiHqTHEt9l1+GJ+XA2OdcfiopW4pf5Pj5BI5 +UKZnJgVjnXI+84hDm5KptHOts/xbjpdr5TMyM7NiHb4in9xmPkL2iv2SN+L8 +xrlV/yDnxH6pb+cOdSW5X47mcXVSmqnby4tyFUnjvOo/koOoTSv9WbmUQtTS +H5WTeICL1pPfbHs8s9if2Bf9j3IuWblXf0CO4X6Sxdkz+1gOpg6t9efkMgrH +d+uPycnx/LnkewqY7Yj1x17RVP+TnEc27tMflGNpTgf9Jbma5HGm429C7GGc +AXUiddVt5Hn5Qvze6gwUiXXJ43E/OUX2lamYRnt9F3lVTpDr5FCZhdn8Zb0F +5dvmI2XveE/kv/HsZCXZTP6ceOtv1fx4X2QappNdX1l+FdfprU5GC3XHuL9c +QwrK6j+Nc0K9OO/63+WL3Eld/bdyajwTuur/keu5bJ2F9DvVfWKvYo/0p+UC +clBFf0g+H+ealJQz+yx+Z+rTTv+HXE7RWIf+Ozkt1ssV31PYbJf6idir2CP9 +GbmQnFTVH453Js4dnfSX5cuk4m7953IYvdRJaBDnUF6QK+I8qTNSLNYlT8jp +9FOnppO6m7wmJ8rX4n7xjjAn3jvrLCLfMR8V76bsIW/GWZSV41zLBfwSz0Qu +kv1lWmaQS19Nfi1Hy/HxbGVyWqsfklfkK6SmvP4LOZyGFKeB2Uk5Iz7PVeu6 +0+zdOIexJ7TQ/yoXM0Cdjtzq6vKbeN/khHjeMkWcYdrEOXSvj1nFHkbwEUvY +QX1W8wFjeJF3eJCV7OYpZvIG5RjHCt6jJ6NYxk6aMZblvEsXhrCALVThYYaz +mO3U4wH6MYPXKUsPRrKUt2lKZwYzn81UpjvDWMQ26tKOvkznNcrQhIcYxDw2 +cR91aMuTTGM9pWlMJwYyl43cS23a8ARTWUcpGtGRAczhLSpRi9b0YQprKUlD +OtCf2bxJRWrSit5M5lVKUIEatORxJvEKd1GcYhSNs0MRClOIghQgP/nISx5y +k4uc5CA72cjKHWQhM5nISAbSk460pOF2UpOKlKQgOclIym3cQ3Va8BgTeTnh +/38+ExrQnqeZxQbK042hLGQr1RjNC+yiOS/xPr34kAmsSbj1f8L/AB/QJ/Q= + + "]]}]}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + + Line[{1, 167, 136, 198, 104, 194, 163, 79, 190, 159, 129, 61, 184, + 153, 122, 97, 51, 177, 146, 114, 89, 71, 2, 168, 137, 105, 80, + 62, 52, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, + 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, + 35, 36, 37, 38, 39, 40, 41, 42, 169, 138, 106, 81, 63, 53, 43, + 170, 139, 107, 82, 64, 54, 178, 147, 115, 90, 72, 44, 171, 140, + 108, 83, 65, 55, 179, 148, 116, 91, 73, 45, 172, 141, 109, 84, + 66, 56, 180, 149, 117, 92, 74, 46, 173, 142, 110, 85, 67, 57, + 181, 150, 118, 93, 75, 47, 174, 143, 111, 86, 68, 185, 154, 123, + 98, 58, 182, 151, 119, 94, 76, 188, 157, 126, 101, 48, 175, 144, + 112, 87, 69, 186, 155, 124, 99, 59, 183, 152, 120, 95, 192, 161, + 131, 77, 189, 158, 127, 102, 193, 162, 134, 49, 176, 145, 113, + 195, 164, 88, 191, 160, 130, 197, 166, 70, 187, 156, 199, 125, + 196, 165, 200, 100}]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwV0TlMVFEYBtA32KKjA0FQGRgbVFxwrVygw6WBhIQOHVFjgwpOYmHUysRS +LWwsbexcwdaNxAVmXGFURAZFcRDBVkTOLU6+/373vZub91LpE61dsSiKbvKM +ipIouqU4KztkWs7JeprNY/IKpWyzHpTn2Mdf79fpHppPspNqnuuX62+bD7Ke +xczpX1Bp7471ITawhH/6lwwwSJYcr3jNG97yjvcMMUyeD3zkEyN8ZpQvjFFg +nK98Y4Lv/GCSnxSZosrd7obvwEbizOt/scLePevDbGIp//Vr9I/Mp9hFkmn9 +Sv19cycNLAsfnrX6x7Kb3dTw29Yqfa/5CJtJEGOd/onsYQ+1zHi+Wt9nPsoW +ytiuy8rz7Kck/EvdU3maRlLhHF1eXqKNWecldQ/Mx9jKXuuCvEo5O6xz8gLH +zYs4YG6Xf+QNzpgTYS/8d9kfnpeZcE8Z0WRukUV5UV6XGRnnMqvDPeU1FgD8 +sFeC + "]], + Line[CompressedData[" +1:eJwV00dwTmEYhuE/mYQEC0a3IZJgow5C2CCYQZQghIxuhJBgoQ5Gr0lmiGCh +zigzyl5fqht1oS7UBVES0Ua53sU99/N8//znvOd838mYU15QlpRIJGpRk5xI +lCENFSj0wwz+wdv5LK/mltiLr/7TkS9aX8clPJf/cF+Mkl9xNZbJTdFazuF7 +vBGL5BTky0VcxyeRgu76DV6BoSjQP/BhZGKI/oR3YQrqzNPJ2iV5IfphtP6a +96MNBuj3eRPGIhU9rN3klRiGifpHPoKsuLf+lHdjKurdJ8Pa5Zgf/TFGf8M1 +aIuB+gPejHGYptfzKTRCT/0Wr8ICOQl58iSu5aPx3HJzZMdc/Cyux3u4nNNR +iSJ9Jv/kHXyO13Ar7MM383bmK9bXcynP47/x7jiH8/ktb+EDvJyboQrt9Fx+ +GL+jVE7FeHl6XJ9PozF66bfjnGA4Juuf+Bi6IE9/zhXxTjBL/8Xn0WDOTP2q +vDj2KvZIf8cH0R6D9Ee8FROQht7W7sQzYwQK9c98HF1jDv0FV8a8+O4+Wdau +yUtir2KP9Pd8CB0wWH/M2+LcoVhv4DNIRx/9Lq9FiZyMkXEO+QufiPMkt0C3 +mItfchWWyk1QLM/m37yTL8T14htBdXx35szm69Y3xLfJ8/lfnEXOjXPN/wEg +VnNH + "]], + + Line[{664, 489, 645, 410, 627, 525, 656, 283, 573, 471, 641, 391, + 620, 518, 339, 605, 503, 425, 266, 563, 461, 380, 328, 297, 583, + 480, 400, 349, 249, 550, 448, 367, 315, 592, 490, 411, 628, 526, + 284, 574, 472, 642, 392, 621, 519, 652, 340}]}, + "Charting`Private`Tag#2"]}}], {}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 0.985996864205657}, {-13.815510557964274`, + 3.912023005428146}}, "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {0, -13.815510557964243`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), + "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, {Log, Exp}}|>, + "Primitives" -> {{{{}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxFmnk4VVH3x881D9c8z7PMSZQGrSMqlUQlSREJTag0IGNRmaKE0CSlRJRk +CHcnkblQCVHmOydDQvzu+zzveX//8KzHde4+a6+993d990fDK2DXES4Mwy5z +fvzn97Yj458Q1XkD/q3V+4T2KPDYKAYOKJhD7b2KmtWmI7DyXkZ2iYItrCh0 +4m7/MAzDytpR53Ud4NefOZGBC8OwvfXryzsKe6CmrO2jlMUwaCeoPFpSc4Vl +Qea6Qn+HYGHb4XRPXXe4mWmpHl07BC7ef6pvKHiDl18OW8ZzCOpsFMveZfvA +GdUIvXLzITDVsiqeUjsKQb/znmUJDYHAYHTOXl1/+LZs2mvkzSB8X37FJlEh +CGzlXTsHTAYh9Z480nE7Cx7F/UogOgjbxPLX12Sfg0pjXDaU9RNes1os2GrB +MDY57bHxxU9IKpTUc9INB/bgYOj1DT/hvszO2DiFy7D2nvVt48AfENqaXre3 +8jKcdeLVEN/3A/bG/ODScouBg8ZBLjb4DyBPn4p4kx0L8SfsyxIlfsCFrtQL +DLVr4HxDU7XCfwCcbvYcc9BNAp3bbzISlfohSqBk2TWFVJizH03TK+0Fgy0p +V18GpoJXnePWicRe6IgJoPY2pMLvHO3GBZ9e0OY2emZy7hZcfVAe+lmhF+r/ +5Zp0daRB9NjIHq/oHiBPplmoJdyGp1uVv1Ud/AYZ30NsShfvwrvFhGNGq77C +PtcHRb8mcuHj9yEzVbtOYPfuEcpRfAS8V3qErY06IdZd4Mhum0dwqb/C8px4 +J5QcDlB8nfoIQvOj8mS/dYCov1VM6OrHoD/E+17/eAe8j/62ny8sDzoP/7t2 +/MYnMCuQ4FMWyIcqj6yLa7vbQXgp6sAW1SJ4EcM3m3m1EXScWOPv4l8BcC24 +PR57A+fb1kDGVAVozT/7ybUqBeReSfSTSBR4sy5IwLW3mPJ60KalfX0tFMxE +hnPfeUepPXZHyZVRB5Z23Cu6A9so07pWYoUnG+C9osS57A1dFK6/z8SVdJog +yX3l+/CSb5RVS4de737eAsvVy2e6u/opLZfN2+oN2kHI6kXIpemfFLVk+t+n +rI+QGXx1+Zp3wxT6n4H+z6YdEJAdXbfOf4ySS3vTJJrUCYsKzh5G3jSKqEoe +e3i4C4Yyzvwx9mRSEo6W+tzX/gJ7ktXIKuq/KDP4s2G/iK+Quvpe+cqqCUqQ +Wd0r2XfdkHm6J8hw+yRl4Hld/CeNHnhUY+67QmSaMn+sK0s/pBdOCglsdOid +oRha8y/6vOmD1oYuR+rdWcqWHA3fF3L9IKVzt2ngwRzlvIqH5s8DA0BL8j2X +c2eBYsRwFv664wdI9Zge1StYpEiJ/RKom/sBKvfpQaKxGOKhZBVpZf+Epaai +6PhSEjpu/uab4PZBCLM/MX1Ljhs92+p1v2ZmEKzG0tJnk3nQNF1RfDRtCKaX +nO9HivOh0t1buFNth2HXE16s7Bs/irCLufdqdBh06NEL2SWCSOP7tu6HMSMw +LfriR22lMFq7+nKqgtkofC03eXBpnSg6oNr+l9Q5CgxKcbbYBXEkqnC69M3n +UcAx9W7fcHH0Vkrm1NnuUQAph6y6y+JIV9BtfPz7KOjIbOmITxFH7MnRL+3j +o5BH3ljm9EwcRTcultxZHIX8msHlbwfE0ZMgE/81BmNwjKvi0iF7CTTdlDgY +GDUG+3n+qPuukkR9wp/9Fi6Nwc11u/wyrSRRrb0y+0rsGFRHNgZ32kqi5Lb8 ++bvxYyBS2qPmslsSGXQ0SLfeGoOFSsmIR4GSyP0baYt+/hg8qXst5FsgierH +zj772TEG7KF7SfV6UqhAr3rFyc9jsDnFs7LeVArdPMpTPvt1DExc//G1WUqh +Q/QbdWLfx4CUBBa/7aTQHKvou9XYGORVDzjcOCqFls9QxTLnx0B+WNrcqkAK +ZfC4B+3SHofPq5M/PFwtjRYPy1l80h0HuvdaiXO4NPJ+93F6p/44aFzXxpy2 +SiPTaJvzO0zGoSo8RVrDTRp9WNILsbMch71vZ/4ZRkijP3OTERvsx8Ghzrd0 +4YM02jtxLd4gaBzmcmvFZLxlUJWjjf3Tc+Mg0JhroeEvgzSLF8h6weOg4953 +w/KCDGL5ByTphI/D+K+V+64lyKAYxp4U9avjkHhBYri0VAa9GlNLl80ehynT +ov5dQrJIqr80h6tuHHw3+3YGVsuiJHJYWFn9OCwTSutSaJJFQuts951oHAdq +1K/Cri+yiCujQ+RL2zjU8ZaURv6SRb+d2BeefuOM101qqExbDn18r7fTkT0O +X5TX6Hcly6GEwqz5O4pUcPkd1BIXLI8E+ry+7FKhQqf+j9cjV+XRZSGDF/zq +VOAuvsLjliGPQn3LfU7pUGG24J9VWpk8Oqbx+ZOtKRXO+tA/uP6RR3a3RJ/Q +N1GBz+TwmVMXFRBvWNSeNaeooLI6tNHxviJ6NcH4SzlDhRktC5WEl4rI22ff +vc3nqFBW683NrFNEdTuX03aHUmGxhyyoSVNElzW/R/jHUCHPQPP08VVKiOeD +5bOHt6kgH0plqH5VQq/W5zoaZFPhHK+jpgBDCXm/EJspvkuFcvinqUVSRnWZ +o3jNQypc2L7g+dtQGV06mfqlu5AKjdS6cMnLyohL6hdJtJYK2MaiB/tBBb28 +4paXWkcFLb/IzBEXFeS1UG+v1ECFuxJzXaWBKqh25E66XgsVQtiKZ4weqqDo +8u3GNl+oUC3t4ZwjrIpI7nn7LtCosBQDoscYqqi4Q3JxkUGF7heGDEMhNXRo +S/jDGDYV1li9/7lHTw0hU2fWjSkq2ApZdowdUUOR3NyXCxepEBBjcer4qBrC +nroXDUrSoMjh6Q21SHVUz/jqf0+GBpEu08EFqeoowdTJ5IA8DRT5I6PuPFVH +cuU2hZ9VaJDNvVKzqksdmTToPfugR4Ma9rHh46YaaEoo51iMIQ1UwkoLMuw0 +UKWDksFGExp82kTOcfLUQJu+iDx9s5IG0iZTZpdSNdCBkd+Pn2+gwYkfB8Te +cmkiTf0TPsetaSCSbNOtp66Jxk+M6OjZ0kDZ13PRa4MmOjP1NffBVhrIVYgu +RYZpIktLJ293exo8P0JWi7qriRZDm7SUdtJAIlbybALSRHHc1Tmpe2igDyUT +IgJayHHLKi8nFxoMbZhILTTWQrLxRRqi+znPdw9deLZHC+VI5ty/4kGDgiHf +OPM8LeS3V+mQrRcNvucmqix1aCGTzFQ10hEaJNuSL+dj2qhC48rdkGM0MHC4 +luvqpY3Cj2Duq0/S4GVbxp7GNG1k+zRYZSqABndFGLlTrdpIiPm7r/g0Jz8e +3h/0BXTQR9MT2SfP0uB0Qnd33SYdlBY04mZwgQbXzBluK6/ooAPl7kpjITQY +Pmw6Mduig8bBKfNQJA3a+IY1l/vpoueXmlxVLtHg3pTY7QMUXRTUYKPQE0MD +JVOMFay6DK0Vru5Ou8p5/obyv5pXlqElh1UZu+NpwPts9e6I+WWo7kaRi3gS +DYQrstyuheuhuC96cq3JNFhRPHrrmrQ+clTM+XLtJg28XgeYLrzTR7LuSmmb +02iQ+Cf/pne6Aep7kOrMfZsGMqyZ0KsPDJFgTonrf+L7S9dvx3Hiz5lb5xxy +/j/+XRy8e+YhJ3bOWvqUo4zKp35LljymgZGaWP67RnkUbnmiI+ApDUYuOo28 +7JdFthdHUowKaLBw7XrD3lsySAi5O1Gf0yC1VT414I40+sjdLf74BQ3Eoorz +0BcplLbF6aPXKxrENwmHiK+QQm7xTdfVymiwfmfOB+GHkki93WZnXwUN/lLo +B77qSqJRyWrR21U06M150HilXAIV7F3V5kzhzE9+hNsBZwl0OrMoUbKWBj98 +s5btnhdHZQuZV2rraOCd4vFuf744WnCPjTrdwKlHN7PlDzzE0ca3p0I1m2iQ +l0K336Iojq5oHTzb0UKD0oP3T60sEUMtMXYB0e00iKukq5DXiiHJ8ZVHzTpo +wOyVuqX7QRRlFwgdvPGVBpr17q2yf0TQT9GZvRt7aHCq5TW9KE0E6Z766fi7 +jwY60fT7fOtEULFFue2uQRpQJ0z2bU0mo+n0hxu4RmhwoWn78ss4Ga2dS7J8 +OUaDtUU/tGWnhNH7miNGkkwa8JSfX7TxFkZCGk66tWzOenixh35aXRg5Xlqv +fvo3pz5KH5upDAihni1S0h1/aLBrqtnP+rAQUstfFImeo0G/7lL2GT0h5E2m +8Zv9o8G2hrKWZLYgYn1E8ylcdIjiSVytckkQrVxZMG3NS4fRslC0f6cgunAr +nT3BT4frFiOeaiqCiHu//5CTCB1WdDsNsKoEkPyQUrOEPB28RLovNQsLoIOb ++N+/VaRD39YWlf2D/Cgn73fNKRU6fP3psOd3BT8yOtH48pMmHcL4Gt2kTvAj +6+lzmSnGdODqOirO38uHYl28Uq1N6RB4ZotqSzkfaqnYkTRhxvn8euU/Tul8 +aG+4drSTJR1UE1X6L+/lQ8f4O45K2NBBvl5xrK+PFxUdrT78dhMdHgR0vp2j +8KKp5icHT9nRwZGfFfLsIS+KSI5w+rSDDjoam4pLTvCiVAXjNSn76GDlWpDJ +5OFF1YZXBST86aDM293Gv50HreqSa70RSId2Q8tw/tU8qPhiXor0Gc54U4RT +XbV4UE5rvaL8BTokJOjWMBe40dUAXmO1aM7/x7xSzivhRiT5WxP3L9PBIOlr +slAONwpB2q81r9Dhi910YkkyNzopYQu6CXTgWTH06qM/N9pVEu1knMb5+6Y7 +2tIm3KjZTVK2KIMOtyKq/0SociNbnpwe0yw6NNycSlgmxo1WO789bH6fDs/9 +6tp1JriQ8p+ls+vy6dDt88ryXRkXGlsblrm1hpO//kDJagcudGiI7NGM6LB+ +bC/t3EYu1BOfrbXjHR1KHNbMu6/iQi19bwocP9BBqxwzLVPlQi/D52pcOujA +Nx5eeW6ChAyXxV361kWHZe23yCmjJJTbrmDn9pUOJ0pru7t6SShdfc0n9z5O +vfjlNX+pJ6GLteeHjozSIX6DuPPruyQ0fYz/ydg4HTKUStJEb5GQv1T6iaN0 +OkRfKIlOiCchT+/X0yd+cb7/vHrhnwsktJlvmj9ojg7+V9yNI51JSGLbaaNo +UQb4Zq02F5QhoftvxYTXSjBg9dmOfWwyCZmuKaROSDGgzMZp5TwPCTnojT/2 +VGDA8++kx9HTGIrjc9ew1mZAiOrbXVu6MaQQPr80q8sApa1rh50+YejJdEZ/ +sT4Dnt3hfRjRhKGG4c4s9eUMsB4qvm5TzdH777bKYmsZ0OpjSj+Si6Gba8em +ytYzAJof3Im+iyHNl5c7A4ABW1eZRKIMDOEPKMkDtgzwt7eZv5uIobAIC2HK +TgYcVbZb+fMChsh/OqjndjFAjRrzjhSEoWz/wA8mzgwwnOqdtw7AUOXBZzF3 +9zPAnDZCUffB0Mw6DSz8CAPWcXv67XbGUExJTb+FHwMEb+mRTjphSNrwQDXz +GANSA3XUHu3A0ErF9JCDgQxoTngWFLgZQ7Up5q4yZxhwXvZ2ELcNhnYJdqxu +PcsA5eHQuFeAocBZ8vT6UAZ0W+WlB67B0FJAfudUGAP+cf31DF2FoaSxLS8L +Ihmw8v728YcrMVTwJTpAOZYBida633eYYGidg7pD11UGRKxl6bUbYqjpfbVR +Qjxn/C9X0E/qY4j6apY6n8yAe/qOWdw6GLpglPah5CYDxPntA+c0MSSQuzLv +eBoDsjRJcaIaGEpX+hSjdZsB9fd5B0ANQ7o3/b17sxgQa6bpm6SCoVIhss3N +u5x8FTgqzSlhyDb6qcb2BwxobK6cjlbEUOffzRh3LgPUzSsm9BQw5HVquL/y +MQNGznUIM+QwNDEeVX36KQOa/CutW2QxFHlILduggAF4ptONRhkMiXdXhQw+ +Z8Cxstx/Q9IYurdzv2vmCwY8DmJcVuLEJg1/Vu96xYCgU4kGgVIYqtlwS1ao +jAFhq+V//5TE0I7XZtNvKzj5Dtz6I5AT9xl/7Ayu4syPvdC8Mie+R5cI46Yw +YEuOEzdVgjO+p7t1E98yIOWiRGwbJ9b1vdUuW8eA5N0/41o5MVX764X79QwY +UwbFUU5cMCivadDIgDWGyVbynOcF3t/fXNLMAFkhzaXDnHile3aQVRsDWKmG +xxo58YxSv0rDRwYszbXG2XHGW/lNrcGxkwGLarL+PzlxWLpnYM9nTv0O/dNI +5bwf7vxQwbubAVWZJ0sPcfLBIzVSy+xhwOFnVpZbOPlq+Kh74vx3Bqy1tHyz +hZPPuCQ/GdIPBpjOKjp6yWPIwT6/Jm6QAQaqtwXTOfmXEGL4So8wQMLv4PwI +Z366Gowl7o4x4M1u8tqdyhjKiAmoXEZjwGavzb0dnPlVJU2S17EZYNbXfV+f +Uw+DNeav6yYYoP8vaHqBUy+PLp7zcJji1JeFvghdG0NGs39feP7l1Mut0gk5 +Tr2xS9e50ec569Nb9c9eTj2+PBPGc3aRAbbGDaYvjDG0ho25XOVmwvD1vuoy +MwxtHuVfeC7ChKLdvePiGzAkmLv1kaU4E3zmrpkZW2OoxTPeoVaSCd7tMh+O +2GJo93fRB5/lmFBQKBVstB1Dh7pkNi9oMOHxUNZT6f0Y0r7hwo7RZoLX2DtM +3h1DYztvZ4gtY4JZ9i/BNV4Y8m9WpmsaMcG+Q32p6xiGQmu1kreuYsLMSsND +IWEY2hB5ZE2nJRPs0gz1T0VjiGtD3uCBdUyI8sz1vnIFQ1crDCwCcSacl9mw +QuoGhtKKV/SkbWOCw6jb2aInGHL1P3NJfQcTLtAMlJ4+x5CyUalR/k4mtH6e +PVj3CkMP8ywjqvcwwWr/nclDbzFUfBe0h90541n1xGtdH4YmQyb5PT2ZoKVD +4Vk2hKFVLnn074eZECa0ItqChqE3YmIlX/2Y8Gp+8kLVLIbqI/utm08z4frU +1pqLciQkcPCGjt1ZJqCcgNRraiS0fc1mwffnmRDK/3hf1TIS+jhR+LHmIhME +3MHnliUJ9XqFebyMZcI7HxkXzQMkpAorbJZfY0JDP4/98SMkdEhpRLcgngl/ +9Lomv/uT0GinPetRMhPEC4cvbowmoV+2ymG3M5mgK4sZyj8jIb5lbzIjnzMh +iOucRRQvF9rKHRD+r5gJAXZ9iYUSXChhQNMrpIQJEr/0BUQ5551ERpx+UDkT +7l3pLfJfzTlPBfeX+9YyIX3WaWfDCS7kMSKSPVzHBKF6aanCEC6U8/ZthGcD +E6zb7KzbrnKhZSH6W9xamKDZMi/S8IgLmdFnPzt8YYK+cdGjF4Nc6GxDQUVz +N6e+JhfqUn5zofKHh+7Y9TKBWVxw5zUXN7I68MF74w8mkE67DndqcSO71vRJ +CxoTnl1zcIg5yo0OFq2SUFlkwlGv22wLHh70ev+s6EeMBYoT5FC6Ig8S5ask +X+JmQR/sD5Qy40HogJUAVYAFh4T6luo9eZCmkO3SKykWTHT+S1dq4EEhpbz/ +fGVZ8OKdeGztTx7UeahhTlGBBUpN10pmF3jQ5bJtM5GqLEiyO1SsbcGLRr2d +mPb6LOiUe5rPW8iLNohL0ZcMWWDqEoyKWnhR+puu8ZcmLMiJZMdJMXmRneS+ +YXlzFkitdXTXMuVD+RT33uENLODynbdag/gQ13H1b+nWLLhdBYKXR/mQm+zg +l222LEg/GfymSYQfkU8e6SjeyoKR+n/t5z34kb/iicaLe1jgSs0afiEugOrf +Gzcsd2FB/4lCjTW4AFI7xa4bdGXBpMupHsNTAuhjw2lk58GCnZPafDlfBZDe +GfOaeU8WXPb7+MFRRBBFqc68ee7NgneK40K1toLI7GxwmfQxFjCOjGW6Vgii +OPV1pQ0nWHCqSGlL9YwgGmpeeBkSwAIW+/Og+yohlKoZ+fxHEAvcZRLo81VC +iNlqXXDzPAvqbsz57OIRRpuDufM3h7BA4QXXtrsOwuhPe+yjgggWqDefWifC +5Oj3ULuHHtEsGD3/sIFiTUZPdIUeSMawYE3w8J1rmWS0Pywx+0IcC9769qf8 +cxVBL/V2Zhomsjj7cYr6nbciSLhLPKP/Omd+DUUWNi0XRVUGqTdtb7Fggerw +7YmaGJL+4pzyJ50Fjl8PHF+XK4ZORsldz89kQaO1zJiDgjiqN/qWcPAOCzZI +DNTp+Ygj1e7MOPH7LMC/G3lmV4ijc5cOXH2XwwLNSbuqPmkJ1G6iGnvuEQvW +xfJDcLAE0usZuKT/hAUqa3KWYqkSKDLmQVRfPmf+RlYsDvlKIpHz/Ns8Cznv +s8zaaQdLEmX6nZQcLeLMR2tg8uNrUkh3f2fPsZcsKDPedzzRWhqVbF/zkP2K +BW/OLF6v1JRBuNW940FlLGhuc9Fj2MqiFhNe878VLMg77GAg+F0OuaofXwir +4tQvSFcLpyiiUYlPdVwUFvjyCEiEWGij16tZbqZvWf/rZ7+VnrFLqP3/eGkq +25lcxwIPEZ9PQ3R9lDDKpZpSz5nvvNwpHtBD8t1+ozKNLPBRjeC/LLIMPWps +e57ZzAJLDdNHBmq6yOyN+Xm1Nk5+dTfNqbnpoJqCTMj9yAJ98nFes1faaPtd +TEC/kwUREjZ4rKY26r7u87HwMwtWbdh0RvSBFvKOaskw62bB4kDd6n1GWmji +tJlnWQ8LvD8972K91UTh3hn667+zYM4j2Y/rkCYS2rs4gQZYMOgb1W3Gq4nS +t3hXbhpkgeo/yRj/Yg1UbGC6fecYC15a9HC3yWggK+U0qS4qC9oYmT9K2tRR +k8hC7z4GC4xHSFfl4tXR0K+GE14TLDDozmn4RlZHsmUeSWfnWbCX6/aMnqsq +evjk/d65fyzg2atZf/C3CjLNNFSLwNiQurvavDBJBW0N+1N0lZcNPtWXf99p +V0YXba5/yhJjw9DGHb5aJ5XQYHuNdK0WG/RnDy74zsijgLfa3zfrssHGyIv8 +sUAeLbyMe9SsxwbY4flF0VseyaTtXfXZmA0u92NWiX2WQ3YHWC7jq9nQJSpT +dKtGFhWOq2SJ2rPhHeVOkUWONLp6J+ZQvwMbWr0HWcYu0sh7F1PnuRMbwhU7 +RiaFpZFyVVXxDhc2CJ9VtAgOkkJx193qE7zY0CtqTXlvJYl8bN/FHzjChqik +ojfxTAm08a+Bk5EfG9TEZ5IGsyTQX6+53uaTbMhKOZ6iNSmOuuS8HmQFsuGJ +thxtX5Y4Km5p9Dl+hg0S4dKf83Fx5Lfq9oRQMBuk6/Of8WNiyJaOlfWEsuGO +3S+3PaaiSO2+38X8cDak4QkL7btE0Nyej9YhUWywljGv6/Mioy+ClvzbLrPh +0UzQ6bF9wuhlzb0WhStsCKjpOfJWVwglneG/Qb3GBiu/febV+QLomF6AS0UC +G7pvXIFTdnzoiELZ9q2JbBCZuOipLM/7v/gjSdUtiXNeEJ+PLh28lNXL9b/n +raorLpO6h/3v+3w81Z5Fpi5SiPGYbN5z5UzUAoUYr3asPfspp8Mm3sesYVix +xfIvhXjfsjDV9xVf/1CIfPTfHnbfcGuGQuQrGFM5ct55ikLk81oTn8gbx0kK +ke/d6668fLT/N4WYj8S5xBcON39RiPniK/GSzwtnU4j5TGvaY1c3zqR06iSs +Yx5gwwYhFfMCBo0yG6h9TmU/G3qsfy9vuESlEPXQ/DRPLmLZOIWoF7fbnVoa +KSMUop4kq84kX3QephD11ixryLNTa4gSohkbPG/NBpWUpklZ6Kd4QuX4xHo2 +zJz9W7XrUg+la8ce9ZNr2RCr47EmqrSbQtSv4cHOmrqJLxSivn+uoKWFwUcK +Uf/h13nrWCuaKMT6qJlfJnRFs4FCrJ8vXo9ft2jUUYoEl00+V2DDklpG1JOd +Lyhpum5ej6TZ4PCkf/L169sUQYvp2+qSbMio57bNXDxFIdajdU4Zn/3BZCDW +6wG9RtpftXIg1jPFfuR09hcExHrPzVc9smD4Doj9YC6lNdHnwnsg9peOKOE/ +H1w7gdif5N/lRv7Y+RWI/Sxhpi1OfvU3IPa7sLLanSTdHiD2w0dfr9sPSPQC +sV8LjpWwPHl/ArH/y+/Q2BaVOwjEeeG4Dbv678QQEOeJV2k1O659CIjzRq92 +o+KS6TAQ59+N2unC9dvGgDg/15WvKa2sGgfifO2RHxteDKACcf5+233X1uwD +FYjzuTT/xe9zajQg9EDHJxF5NqePI/TEVB7/kaRJJhB6o/xhQvvneBYQeqQ7 +A3ce/MICQq/Y2aUVW2qwgdA/dotzd1oLfwGhjzxKntz9ZD4BhH5SOZ7w6fq5 +CSD0Vchh/Q5S+gQQ+svm6s5Io7IJIPQci+LeN/H8NxB6r+NXvtVn7Ukg9KDw +0aN7PdwngdCLQYwzDq0hk0DoyZ9ayJorfRIIvXprlbE1U3UaCP17OamxLblz +Ggh93HCX5nbaYAYI/VzfE2Ih6TwDhL62E/RYvfzYDBD6W49d9M4gYgYIfS/S +l/hwRc0fIPqFvJWCF4d2zQLRT3w06o2vz5wFot+IJQnladTMAtGPaFzPDMhv +nwWiXxl/Zs2j9HMWiP4pe6PUktLEXyD6Ma8J9uy3wDkg+rXTqpr1nc/ngOjn +4sV9HQ+0zgHR70XMbpC53DcHRD+46e6UxG36HBD964Db5v4TnfNA9NO1wTql +ctYLQPTnLU0zzJBzC0D08xUv6jw7kxeA6PdtbiRXUO8sAOEHnB33fjCRz/n/ +//ojhypdZ2lR/4DwY7L0XF72j/0Dws+pHLHJva6wCITfc/hFQrO82SIQfhBr +zmR464ZFIPwiuRuhGv7bFoHwr67w75fW51sCwg9Tz5EmF3ksAeGXfToWvNw/ +fgkIP63ie+FP0QdLQPhtTdLb37U/WwLCj+u+b38y9vUSEH7fhSMiTyT1MJzw +Dyvjdj9csMdwwl/sJa07JeeB4YT/yM1+ThX1w3DCn2wrcrWKOYHhhH9pXKP6 +708ghhP+qISqR/OO1xhO+K1nMkxFqr5iOOHH+unXCuuNYzjh11bIdr3Z/gvD +CT+3KblJ1HUKwwm/12t05PDhWQwn/OwxGVupfRdJOOG/75EW1x2uIOGE3//v +d/79p19JOHFfoB97aP3OURJO3C/kGA4r32SScOL+wUxz6MCKCRJO3E8ciLtm +2DpFwon7PKchRdPIVi6cuB9NJJ16mBjCjRP3vYckVuj8VOHBiftrSZ8jDPtc +Hpy4jx/y+sf0U+fFCR4gOm/eB7/NixO8QO6VLji2xIsTPMFRLerNMG8+nOAN +BuQz1Ocr+XCCR5jKFecOFeHHCV5BqGBb6JpD/DjBMwyW5zKn8/hxgnewNxJt +TfzFjxM8hKv7t8QjqwRwgpcQW2zPiT8jgBM8RdLAp1/jLwRwgrcIPN2tUTcu +gBM8xi8j3v37VQVxgteYe919f5ezIE7wHG4nKbELMYI4wXvMWV78pFcuiBM8 +SEzzMiknqiBO8CJaF3y5pWWEcIIneZBWuOfwRiGc4E0Ez6mOdx4TwgkeZVvq +lvqBVCGc4FUWmtXUj9YI4QTPEr71b+zTISGc4F3kg/GRa0LCOMHDTDU+/nXR +WBgneBmh7SZ0F0dhnOBpFnCXDwNBwjjB28ylvlgcuyWMEzxOl9uASFm5ME7w +Ol8Ns5of9QrjBM8z5SX3PH5eGCd4n7Zj/05eVyHjBA/UyM3cIWBFxgkeSWo1 +1l55kIwTvJJknfn6zgAyTvBMBk4NMXrBZJzgnTL9ZDuYYWSc4KFmTetr9kWS +cYKXerN/17XkKDJO8FQm82tFjKPJOMFblX/6ttjIiQkeq8nwmr9EAhkneC17 +7d9LtGTO9/+X57qbU2p+7CYZ/x/vZfNgX1EqGSd4MP/Er6d33yLjBC9mFG7w +cJYTEzxZm4VMlWMWGSd4s2+VPc3ad8k4waNtTFnhmn2PjBO8WrnTIYMD98k4 +wbPdS87C1B6QcYJ3Wzm2Yu3OXDJO8HBPuA4a8Dwm4wQvh+1zdR7nxARP93eH +xumuPDJO8Haoxmtn81NO/v7L40G6yJbefDJO8HrbUh4XLT4j4wTPp5JYHmZV +SMYJ3k+ggW6e95yMEzxg9tzT4cPFnPf5Ly/YsPpNkOQrMv5/f6jccg== + "]]}}]}, {}, {}}, {{}, {}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVlXk81Pv3x8fOGMpSli4SyVpypb3zFr+yRUrJzhTJvUVaCaUbyl5JQrKV +KEtkCXc+YqIsIYoobkwMM5/PhywxBl+/P87j9Xg+Hudxzut1/jnqdP8j3oIU +CoWxUv+vVt7szrqxY/uattdclH1NQ8JmygGDSsaQzstnnSyhoT+fpKSXKZmD +eBPHOK+Ihlh/aIZf0bIFlbiq0L2FNGTd1lP6WMkBrO4+K156QUOasSpPl9Wc +AB5KHewvoCG+1cmHXlruUMeg27Xk05Djqd//3lM6BfOH1AO782iIaaZc2ZDu +A5QTTsfYz2jIUGNvybTaGXgu6KYrvMLiQzezj2udgz9Ht+6yy6Wh71uizOKU +LsKTxDSKWhYNJT1RrNvocgmq7D11XTNpyGpVwR5G+mXYf3erU/oTGqogWreR +akHwtbqvRTODhuILZbXttcLg47Y1tYfTaChzjV1ktNIt0A/TzZl7QEPX2h4y +j1ffgnNxPYFHV/h4xH+CGi4R4GaWdaI4iYZoM+ev16RHQkZ2ubHffRq62p10 +lat2B2w0fy2PJ9KQ/f0+P1uteGjWu3NOJpaGwsXLNt1RSoKqzq9LH27SkO7B +u7dLA5Jg88IuKYMV/hThP9bflAQ1zkfuJIav3E9I/8Xmyw9gzrCRceIGDTUu +5m7u/pQMqb5rP+GhK/unkrepxT4CXfumCO0gGkr5HmxWvpQBskzjPV3+NHTC +Kat4YjIX5LZT2qvdaEhyOdz1oGoxfBDCD4nvpaGN9gS7IeY1fPRbPJugQkNX +Pu6ElOk3ME1XKIpZkEQKr2UGBAQw6NFLa3naL4kqhsxa2/fUQ7fLoFRllSSq +93u8zonLBF7Sq6XRB5JoRmvvqsKzTcBHju8HL0oiwfkXq9dtbAaq9WaO42FJ +ZLLsWXG0qBWmPzybCDGQRK23jD826raDYhD6eYcqidQSOfP5RAeEWc5H5g9T +Eef34MBnw0/Ab1Fbf4ZBRbnjNc3S8V1glXSwcTCJiqRV8kgWqxskLquyu/yo +KPZMuU+m5hfISi50OLmfimbRC5bv9R7QuHpaSH4NFV00Yr5e29ALES2b5OzH +JNBgETOmU70PeDtCOrWrJNCCX3eaTnA/uJzFIvkREkjPVGzJp+Yb8Cp6M48c +k0AHs9VPv1IYgAl9EWdnVQl0RcVjww/XQQgI7FVnssWRPveYZM+h/yB+sHOC +/Uocya2aEGfy/oNVS+3ZMRfEkTCWVqyR/gOc3L/GeZuIo7+Ma75KWA+Bjb50 +W9yEGHphSc9kzA7BUFUuPpMnhmY4yqtHkoeB+tLq2k5PMVR+9KBQkjkLpnNX +C12TEkPXLSKevB5hwaBiyvqFalGk/t2qNyfiJ5zRGLsfekoU7dp+K0nJaARy +o7rBb1kEuaq2zwt0jcDNvAUf9EgEzTTHDQWEj8IwfRH3XS+CUoTdLx7RZIOs +jzfXJlcYyQ2UZwsy2eAps3XjDxVhJBIa7rDz/BjECZzPiQsWQpR89+Ih2XGw +H1Y2vNEmiAJTi+Nk68fBNfqOXtu0AKrkp0bVM8fBaMOw69ZJAcR3jwwPbBqH +bD3WH/dxARSl4XbpU+s46ER67rEbEUDpL6lu93rGYfFXQWZ+jwB6x/DWl8XH +wUF+tRbrjQBSHF7XIqPIgdE15nInQgTQv3q3xWXOcYA+8vPkyTkKMulWaLsX +wIHmxGZpp2kKKgnJuyt/gQNv1nbXWE9QUHZbo7LiVQ746tRLarMp6La/iIHa +TQ5cSDGUqu2hoCNlN+0Nkjkgo+rRcqiCgkZ3haZaMjhgwFBd/B1AQZ7DNI+W +Og58LHbaG/E3BfXFpGscauCAEFk0Ju1LQa3fal4efs+BfoHd5xU8KKg0jMdw +/MSB6uijOXwbCgqpvzLsPcKBq95Sz2W1KUjGKlD/pjQXejNtzkZWLEPm21WS +u2S40Cxv3dD+YhkMdxaOTcpx4c33wh/SWctgq81+5qXEhU6/oC3nYpYhWtRd +3VSTC+uz5WnFHssg3GC5lrKLC1FizvI6osswu1udEubNBYV719TPWS1BRBlj +YJsvFwjeZpblviWQ13P9F/fjwslXsS2KRkvwp/LDYLcALlT/NMtNUFqCgDna +zJ5rXEjTdiwdGF2EsddzYwuJXPCsdpobD1+EbwYdXUG1XLjEPpU1WcCHJxyZ +UCGMC2b3Et+MPeYDPf+oVtzbFf+vmF5diXwY0+y5mtnIhdbmWTz4Mh9m1w2o +NHVwoT5oY7mCKR9kqNzT8j+5MOhyYODvrgU4MCLGL5LC4f8ypmUecXggkWv5 +dMdqHK7P7Vtz6xsPWr1ibOtlcYhZffqwaxsPjn6XzvqsgEOg6obGriIeeHav +OcBXx4E+Sc59DeDBtXqNREsTHNL3yy2vm5yHkgzQZLnjwH5hKrzuxxxMBU+J +eXnhoJ6Q6l/QPgcmjnmc7ydxiBSg5qkz5qBm1aqyHl8cOvT7YxpT56DxxoBp +SyAOeX9KhAwfmYN+eqhHaSQOUt/icrYyfoPopprUG0U4aJPFDbrXZ8FSyD9s +sQQHCwmP7Vv8ZiF2cAM9uAyHxr7gbbLHZkEmJVrnYhUOTRnjLoG6s/CHhHPV +6XocbsV/+JjYNQNGnLnPtl9weGBiYIqrzoBbsYmMyhIOPzTqTAUfTkGF85x0 +B4WAi9wLtm3BUyAtWk37R4gAyTNnjnu4T0Gd617xMXECPk0U7P2sOQUbqObL +r+UIIDD3b5NFv2DklD1uo0OA2W27G/qVk7BvtRxnWY+A4JM6nwQeTsLDmm52 +6WYCVP6K7Uy4PAkWsidYisYEeJQ9z+g0noQCzL2ftY8AiyXe47bCCTin/PeH +EIcVtkgu2aFOQuM7g6YtjgT0pqBjQ18IUDtPMoecCKjKiW3/HENAR1NgnYUH +AdN5Yt7xUzgYXQqqlPdb8dsppUi+4cLv9sinL68TUF7w6tdltXE4fM0ix+Mm +AV+PZpgbvR+D51rULNkIAvoUR1lL/mPgHBqXfjWagN1VO8ura9lQq5t03/wB +AffqZwr3WI3CjYis8G8FBGjX71deNmSB1BUxK69CAujl/5LR7cMrf/as7Egx +AYetKLcX/x6GMuudOeRrAhQPqVuF5w7BiEwnUxAjQGK0jPAS+QHWGRRxnS4C +nvYk2AzK9ENvgk9H4WcCQivr7QS0+uBUeGuKUS8BsbMfoxW3f4WwUyk6e76v +zGvIvfGfXQ+U6Bpa242u5A2X/P3eqQvWVnrEX1oggHe3Lc7n6jvIef7uOG+R +gNwCVW++XgMYpuqpXaeQgNn8DEz/UgeWob+Lb4uQ4Kr9YXxerQpCzBI601aR +YJpdKWrjlggS22YerZclIaVRyDx16TyWrOVCfypPgu3zgamKikdYscSmqSIl +EpbVUsKf273ChtoZ8vUaJHyhP6toVWdi/m81vx/QIoGxsIkataEJ45dGP23R +JiEsQYRJbG3G1iQfN/lsQMKPrePJodCBWbgSjuztJOi5dTGYk1+w7kMO68/u +IiFyo8fO8PJezAuq2ZN7SJi9NF975J8+LHhDZNCCKQkqd5un1sIAVshWSZO2 +IaFlrZ6wncYwdvtxhOeALQmytRcSQ46xsFNH8I1F9iS4POrSUL/7E/ujtrbk +kONKf36ewvVNbGwuQPOyijMJfaa/tjT9M4Z1bYzdjbuSsI+qYvySO45FJ7g0 +xtJJSG52sGCycczHvCHG1ZsE0TK6Yl4Yie2f17XX9yUhjhf3yvb+BDZP5/W3 +nCXh6O6o0qfOv7BuBXpWWgAJd5pFpWoOT2ElrR98/rpAQhBFxfvKsWnM1+TR +JDWIhIFHLPd9D2Yxcw6lsu8aCZWhqu/e9PzG1DJ9QwrCSDBqYim37pjHeA4d +psHhJGhG2pD5b3nYF4kdYla3SNh8wCHqQjgfK2U8aVWKIsHHS+3FjaQlLP6C +2L2xOySYMEsq5Z5Q6vy0/R3fxJJws3zon7R+wTpvpUpryzgSOgRUXeKFhev+ +B09l4SM= + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwVkHk01Psfxq0lO2NnjBlGg9JURHV5V5QWVxRJXEqiJFQS+WUXslxpkmSd +dGULSUjMJybhlMq+ZB9mvl+uLUtJ/Lp/POc5r39e5zkP2dXnxAUBPj6+/N/5 +r49e4H1BmJ3pvt6Pbl5aEyBkpuI7pGwA9VnVdUb0cdiZlZpermwO24ttBD81 +cYCjphV2U9sKZpdXJIYCOHDsY/eLDGVbqKts/Uww5IBWPPHpOskBNvsZaIv+ +GIPVo+cfntN2hvtpxhrh9WNg77Zcm6zsBq4XmTPy58aAbaZS2ZDuDtfVQ2hV +BmNA1zQpXSBdAr/5vMLHomMgMhrOPKXtDb2bF13Ha0ZhYFu0WYKyH5grObQP +6Y8CI0sJUR1vgEvpoCpIjsJRqYI/6tL94fXWfQpB0yPwavqD4QwpELjfFl0O +lI1AYrEszUY7GGZGR4P+Nh2BbPnjd+4qR8KerP2PtvoOQ9DHh+xTryPhho0w +Wfr0MJyKGhbQdIyCv7b62ZvtGwbxxashNel3IM7LsjJBZhgCOhgBU6RYsEum +qFd7D4HN/T5PK+1EoD6qSU1QHYQwkfLNscoMWLGcSKFV9IOuxb2YF74McGVb +H5lL6Ie2KB+s/z0D5plazavu/aAluKVQ3/8BxORUBXUq90Pjr1z9jrYUCOeO +27qG94H4txRDUvwjyD+i1vvmr15IHbhlVrGWCQ1r8Z5bdnXDaYecktm5XPg8 +MLZD/XA7zPTbijJVnoJwdJ/Y/i3tcMdZ5MJJs6cQMVht7C/dDuXnfVReMZ5C +UEFYnkJvG0h6m0QFGf0DOmPC73Qut8G78N4zG27nQfv5X7GXk7/AjiKZDWoi +BfDG5fH/9vR8ArH1MCcL9RIoi9rwPS2mGag207yGuJcAAquO/3Br4Gbrbkhd +qAbNn4UjArvugeJLmUF+fhbU7PUTcegvZb0aNfvw6Y96KFoKDRbMaGDVe2ao +Okyxwfiw4PYe31bWoraJVPGV9/BORcY/3bSDJfCjUFqV2gKJzjvfBZf3snat +n3118vkH2KZRtdTTMcj6EGnQ2qj7CURNym5FLI6wSEmTP/KnP0NaYMy23Q0c +1uTy0GAnvQ180sPZe725rFy8pkUysR3WlO1ctrjhLEli3gyH0wFjqdeXt577 +lxV/qcI9W6sLbJNI4kSNWdbSvkLOxZBuYBhlVe18M8fy28F+qdDQA2nX+vz0 +jn1jDT1nx30h98HTOgOP7RKLrJ+eHY91bvXDFVGRA1b9Syy9/RvX3Gu+wsf3 +HdZY5neWBZPsUaY4CARqZstQzgrrJtGFMuI0BHiihz8zY5W1ZcpOrPvPYSD0 +0S/RitZYBKlZEfbKMBCzJ/0k7/AhIdbjEs30EVhvKQmPq+BHlw1qejcdG4Xb +ll6LDxQFUeER1+y6pVEw4aY8/J4khBYnVaQnUsZgcd0uO1R6A6o4aSHIMOfA +iWfCfJW9G1HI4aislxMcoE6Gr6aXb0LkgaM9T6LGYVGybLj+tRjaYxTJUN4x +Ad1V+jkReyWRk/qnH/ztEzDFKk2XCpBGksrXKmo6J2Afn0aPR7A0ekuQv3qj +ZwKAYPWYHSmNtDc58ngDE0CVt2iLuyeNZr5NdH3iTUCe+IFKm0JpFN68Vp6x +NgEFdaPb3g5Jo2d++t67dbngKVAdcdZSBi22JIz6hnHhjNCyhscuWfRVrPPi +agQX7u89cTHNRBbVW6rNRN/hQm1oc2C7uSxKai34mRnHBYmKPpL9SVmk2/Ze +7uMDLqy+lg156iuLnHv5LXQKuPCM/UrUo0gWNXJvFI60cWFmLCuxkUZARbTa +7Vc6uXDo3rnXjXQCun9JqOp7Nxf0HX5taDUmoLOTyWypAS7wJ4Lh/GECWpku +GTDhciGvdsgq+RIBbVvCpNJ+ckGJI2dgUkRAqULOfie0eNBplNT0xEgOrZ1X +NPyizYNJtz0y/vvkkFvD58XjOjwg/63FZ3NEDtHDzW7+qc+DN8H35MiOcqhp +nXbrsDEPTr1d+qUXIoeWV76FmFrywIrtUbHaJIdOzcXG6frxYCW3XkreTR69 +sTazzPfngUhzriHZWx5RSlfFaYE8oDp/TTYOkEfT3j6J1GAe8GZ3no6Nl0dR +U7b3NGJ4kBAgw6mokEcvuaSHCuk8WKCXDJ4QVUCEwQqmAJsHHoc82n1rFVCi ++O3blY082Cya0qHcooBE95qf9mrmARY2W9zRpYAEUtskulp5wBYurwidVUDz +NjMB+b2/9zoSxiq1FNHnd7Tj1jM86FLbrdORpIjiix//zFDBwH7e78PdQCUk +8tW16wQRg3ad4VfjMUooUlS3bKMGBoKl0UKOqUooyKPK/SoVg+9Fv0xSKpWQ +J7nzizkdgxvuk00Oy0ro8APJZ5MHMdigf/761f8pI+HbYba7r2JANApqts5W +QS/npn6wrmOwpGlIjH+hgtzcT2cd8segst5N8F+2CmIf34afDMJgrU98EwVX +QZGUgRDvKAzydCnXLu9SRUJNxoVPHmGgFIRNqXeropd/5FrrpmPgL2xNEZlS +RW5lUkulmRhUwS+KJr8aYqdN7Kt7gkHAsdVz83pqKOIKo6unGINmjB0sG6mG +BAiz/JL1GPAdKMk5A0T0Itoxj8HGQPNiaNq4PRG5rjZaqr7HIFNmpaPCl4jq +xzMe0j5gcGtG5fqWJ0QUXnVsq1kXBrVyLnZMMXXE75x3OgDHYD0KJD2n1FFp +m+za2hQGPWV6U3qiJHTWIvhJ1AwGu03ejdjSSAjR7aaTFzAwFzVu414goVBB +wcjiNQx8ogyvXp4gIb5855JRWRxKrPKTSaEaqHGq2ztLHodQ+8XAIoYGiqfb +6Dsp4aCyMTQsI18DKVaZFXcScUgX3El506GB9N/TCptoONTNeHIu08loQZTp +GaWHA/F2RVHqYTJ6baWqe0Afhy8HxZk258joYJdEfs1OHOT0F3ZEMMjIaXz+ +n+emOHgNO0m9FaAgio6X++X9OEgkmfXQNCiI5zVOpZnjoOZxbs3VlIKuL3Tn +5hzBQbFacj30NgUZG9u4OVvi8PyCOCksk4LWglo0VY/jIHNH9kY8oqC7grVM +hi0OOlA+JyGiiawtdrna2OMwZjrHKN6qiRTiSsiSZ377nYNWC201EVOWmR3t +gkPRmMddgzxNdPGU6llzVxwGchOI622aSD+NQeK/gEOSuXhkAZ8WqiZHZ97y +xEHXKjbXwVULBV/gcza6gsOL1lTb5hQtZJ4fSFzwwSFTYip34aMWEv13/mvp +td//uLg16YhQ0We6V/qVGzhci+/pYR+kohS/cUfdABxiDaYcd0ZTkVOVsyr3 +Fg6c8/S57x+oiAc2aWdDcWjdwKFsu6iNnke0OBAjcMhakHrkxNJGfu/NlPui +cFCl800Hqm9Ge8Rqe1JifvtNq35QojejdatdqSfjcBAuNDoZ8nMzYieX2Esn +4iBW/dgxNpiG7nbRFD8m4bC9dOJBrJwOslZhdsXex8H1lQ99tUEHKTirphxK +wSFhueC+20Nd9DWHYSf4CAf56aWgmBw9tIlZ7vAfZ6///ejub/4/rh1Crg== + + "]], + Line[CompressedData[" +1:eJw9l3k8Fuv/xudZ7OtjS/YkS2ghZak+OTmhxVKnBRFSWmQpRUqkqJSiSGk5 +olJShNJi+ViOp0KEyolU1jyzOJII5Tu/f35/zGte79cs93Vf1zVzz8zwD1m7 +jUsQBMNu/7d/m+E87pIlgsypc5cTb5jit4KD635ks7z+ytSbLC188v2bUtFt +EZjpKuRWv1THI9ZBzSF3RdB72L23sFMNHQ73ppjliWDy1DnhhjRVlEYf94EH +IkhtUE8NuaaCTbw2xdsPRaBwtCAH3ynjRUf3Jv9iEZx+JROlOF8ZvU6/Oqdb +IoLFrlkvZLKVUK9xuWvHUxH8rCA3vzdUwj6lMvnLpSJoz7rx8sQTAeZtWPh6 +fYUItHNjvDavF+DejPwkpSoRfA68YrRuQhFLJjNOVNWIICBlS7VnriJO+iQc +3SsUwTQvi7k3tijiH5Vhh/RfiSAnhVztqKGIJ2Z672+uF8Ej78wwyyIFrI93 +ColrFEHiM1Jb1lYBlb5a7rRoFgHdrpxm+EIer+ZJe59/LwL9Wp8GtVE5/CL/ +Y8MfH0QQVv+YzL8oh4ZhX9y+dYhgVhyZKW4nhwVWTxzWdolgYGjOJudkWRxJ +z17K7RVB5KtVc48vk0Xb8bPWhf0isM3/bKD2XQb/Kd9mpkSLgP8k4vfyABmU +nuFuWDUogu6Hf5F79WTQ7dhivb3fRCD26LaF9idp/OCorNI8KoK13+t22G+V +Rt3c33Jx4yLoNJy6us9YGgNkRRIWv0SwUlhSnzwohUwTTqRwSTjKT1qkfUwK +LS3zRuzFSOgrOYSerlIYmZY+OCRBwjmrXj9dbSnkeQZ3u8uRML/N/RNTKonq +3Zp1AnUS/OXajtXJSKL3nxL/VGqQ0OFcr+3ZJYFZOd/Kw7RJeP/F5a9vTyXQ +LOhl4Rt9EqLFX3opB0mg/ciBjBRzEritOxUl2sUxYaN/qv08EkL3OerUPxHH ++qdrzg5ZsOcv1hp1TxfHDUcM4tytSdBJ0u48vkEcd0k07xQsJ0G9VqO/o0MM +83eWba38k4QbIS2V4xVi+L3ujneYEwluEkzUvWwxjEmOcX+zhoRZM/4sKAoS +w9Tp5jYpm0hY4pGXQfPFsMz0pKQgmAQtsbbXEqv4uLB1WsP5UBIaTa2PSCzi +Y8HhnBSVfazeFJlUj5l8zGqo1VCPJOHMGcNyepKHJ0PEzHXj2Ovji7VyinjI +UU8byjxOwuyz75Ols3gYhQaP9U+Q8M5pJKkomYd7BA5geIYE/vzu4qZgHq4t +inM3v8ge//OagcocHtZ5KanlXyIhLaZsNEaHhw78rA/zrpAgvPD9jJECDxet +r9y6IJOEBztqGmcNcVFrdGq/XS4JbduLratLuNhvG53hXM761xmqVObCRd9u +2S11SMLi/g2iA39w8cPpqzPXVJNQ5GIz4bOQi/Udz/PcXpAw8wkxr0SHi4VH +xss3NpMg/vXIswNDHDQ1Sjz2bysJRo1psil9HLzZON3J6z0JQY+q2lrbOZiu +Z/PGp4Pty46cune1HDxcFdG9rY+E00sV1z++zsGRXRJ3+r+ScEmz6KJ8GgeD +ldODdpIkxEUWxZ05zUG/gMcjQf+x40fo3R+N5OAK8RGJ8HESgk/4mMeu56Bg +5V6zOHkKAq8sWiClysHMSgUZWwEFi/Y3bxqU5eA8m/sDQ8oUlCx3t5zgc9DF ++Ottv+kUPPjIuR03QmCiuM8MewMKonQq1zq2ETj9yMTUmCEFms62Pe5vCLwz +cqmzwISCe9fEsmNeESjsabmiN5cC++6Cc8vLCORXO6sRthQ0bJ9HbrtJ4AXb +/u8liymAuhvX4q4TqF94vCUEKHBeOCcWLxG47EZF8icHCoJXL5+4nkRgdIyV +TIUrBTu1nCy/RBIoO9o8cGAtBboD8dWccAKvBoe+mLOeAtPv7RP2IQQ+874X +f92TggWi3gq97QT+sJtBHNlGgR3Pb8e69QTGF5V3Wu2gQCrNmLPHnUAV081l +9C4KUkNn6d5aQ6ClRnqUdygFdWfuhYeuILAqZYGH6j4KItQuh/OWE7hWqnlR +w34KtHoOJRYDgaFjsiOLD1HQtiQnPdSGwKmQ3Jbv0RT84v70O7SQwLP9joV5 +sRRYZq76mm1JYN67uBCtBAqS7A0/rplDoJ2LnkvrSQpibBnjRlMCX/1TZnbm +NKu/cD65x4TAgeKxgYlkCv42cbvCm0VgpNnFF0UXKFCUWB06rk+g5E3LnN0X +Kbiiz0mUn0Fguuab+JmXKajNFPsEugQaXggOaL9CQYKFfuBZbQIfScsuv3Cd +9SvPTXNck0CHuLszVt2g4GXds5E4DQJbfq4geDcp0FvwdMh4OoH+YT2dz25T +0HugWYaaRuDQ16Nle+9S8Cr4mX29GoGxvrpXZ+dRsCzD/fxLVQIV20qjuh5Q +sKvk5q9uFQL/dvX0yHhIwe1w6rgmy3OEo4vWFlMQHpY0O1SZwPKlaWrSJRRE +L1L/9kWJwDWPLUYqn7J+hzp/DmW5w7yp5WApm89q6Qktlv8mBdG8Cgocs9x5 +AwJW3911hkmVFKQcFiS8ZtkwMK1RrYaC5HVfEhtYHjB4H5lZS0G/Fmj0sZzX +pa4/+yUFNqbJS9TZ+4VmetYV1VGgJq0/tZVlS5+r4UteU8Ckmu56yfIPzU5t +YRMFU+MNiU6s3mf/6grdWij4rasW/IXl6HS/0A9v2f52/5qRys5v2frs6QFt +FJRm7Hnky/rBV+6toj9QsPXeEmtH1i9hk2FQxEcKbK2tnzuyfiae3aHK+UzB +vDENN391Al1W55YndlEwW+eyVDrrv0CaClTppUCww3uil82nVWguuN5PwfN1 +srauWgReig95ZiSiYIX/ivZmNl8dzrCs3SAFFh1tmSZsH7rKFzyuGaLA5Ff4 +yCTbl1uHD2xx+c72y8pEjjQg0Gzs50O/n2xf0h4NTWP7NvjIzoucYJ/PAJ3R +DWwfC/dF8/f/psDBXDjvoTmBNoPExpM8GnrOdZSVWBC4ok9i8oEcDfnr2r8q +LiVQ6qbzLWtFGraPn7Iwtyew3u+0S5USDQGNqi+2ORC47qP8jbfTaMi7r3zQ +bBWBvq2qKyZn0HC7+8pdFU8CDc5vHIw3oMG/v5pQ9yGw3/XyJQUjGiyu/idl +409gcJ0WqW9Gw+pmvanWXQQeqpqZ7LyQhh+Wpr5R0QQujd1m02JNg9NFU5Ow +OAK5S3O6NtvRcNTvZsCJEwSefDrbKnQZDRGqS+crnyfwYsH8DxdX0uDS57U/ +/w6BHsH7jumtoSFSNFvz7gMCtcwemeW60tDwdsy7ppjA7BzrmLK/aFjieW3Y +t5LAgutg0OPD6ll4x9+ug8DhqGEJPz8aZs6q4Bt1E7hwYw75cSsN0dLz46xE +BD5XUCh6v4OG4onhyNIxAmtjO+3r9tJw7rtz+eFpHJT0Pj/LaT8NmBWSekqX +g6tsVkj9E0HDIYnbm0qNONg0dL+p/DANkj6wPc2ag+3+0VsKE2io3q66UX8z +B3Vg/vK5p2gQdvJX797GQV/NXsO80zSMGrcOfwzmYF/LauZWMg2K93sO/xHH +wf8ctKIvZ9BgqEaYqt/joLjR84zYBzSEcw9YHRXjojMv5MivAhpCnDqS7gu4 +eOaTvn9UEQ2C/0wk5dn1TnAp0ST8CQ1/n2jPD17ErqdSnk8Cq2hIH3N3FQZx +cUuv3NWeGhqka1WU70dxMauyMsZPSIP9ayf71ye5aBRl4uhVT4N+/YSc8BYX +Lcixty7vaDAxz7/1sIuL+4V5T+va2H4NT9akfOPik2zfa07tNNAFedcec3m4 +ZPOLgD8+08DZ69HTMpOHTg3pw1YiGu6dcnGJ38lD7/yFAu3fNOz0vzxoxefj +Y88x+SaCAY0h2UOkBh/lxZ/JHuMx0AGeocoWfMTNSyQHJBnwle6YqvXjo760 +w1SxMgNDLb/SNYV8jHok9itQjYGH1YoJVV/42OIrHNeYzoDmq1NFY5N8PF6y +8kesDgNnnXwLDKzEsC/AnV5twkDLtLu5YvfFcKmiMjllysC8jQcxv14M05+3 +fi2cw0BW7GCiMi2GTkqbetQXMKBs6+Yzc5445lb4tPcsZYAbOLHEBsWRu1vv +33R7Bi6XgtTxPnH0Uut6t9KBgfQ9B5+/kpNA2T3bmgucGeit/dUYsUUCgzWC +Xh7+iwGPgSs9DxUlsfYfc+HcjQx0Bt2fYbNMEnXDBmu6PBgY3hj2wTRMEpuE +e9FpCwOuwwbiWe8l0XjfgvIJPwaO72h64SYnhUd1fjx/EMBAtcZX6SoHKbTY +f7BEZRcD1Lb+DI+nUpioZ/dIGMRAWL6mY9kPKeyumyyMCmGAGXzb5bNQGlP1 +Yx98DmfAR/UMOVEqjXSDfd6FCAZqzo9vX8uXwRUHebkrohiY/pC78rqLDI42 +JtzKi2FAry7MTo5mv98POWVviWOgLyJbWGEvi3cMpW8oxTNgc7Dn2qkMWfSM +TroamchAZWBnyi8POSw0ds0wTWLY93GK3rVKOZRpVbzUeY7N11Ru8s+58lg6 +O/WCQxoDkwMu/97RVUCVd+tTRtMZcHu/ebfdTQXcc3TaudwMBl7aq/a7TFfE +WrN/z3hfY2Cp4FON8XZF1GnLSFTMZGDZRzO/q08V8cCxzSersxjQH3Yq7VAR +YOMcnYQDtxiwS5CAgwcFaPzh0zGTOwxo22RNJQwIMDb+xtGOXDa/3vm/uwOV +UC5CYqXffXY+RvbuaxglzNixR6kvn82jITT59illNPRs+bCrkIES8027k+xV +sGiVTfZgMQPP9/0+90xfFZct+Xt3eAkDda83GlMOalg/R2zBz6cM5Gx1mS31 +cRp66O2ejC5l+wsqZTIpGtgneFPDrWAgkC8piLIywMeLGK95lcz//8/+D3Iv +ReA= + "]], + Line[CompressedData[" +1:eJwBAQP+/CFib1JlAgAAAC8AAAACAAAA2bVzSIzC7j+g/4+ZiqErwP/0nFUM +xO4/Xw1m0ePrKcCM5gIekcbuP3GnpPQEPyjAGNho5hXJ7j9mHn8HhA0nwKXJ +zq6ay+4/OCEwpSofJsAyuzR3H87uP1AmRfofWyXAvqyaP6TQ7j8pDGsFMrQk +wEueAAgp0+4/fxFDQIYiJMDYj2bQrdXuPzY+RXMOoSPAZIHMmDLY7j/+38Q3 +WCwjwPFyMmG32u4/ZNGu1O7BIsB+ZJgpPN3uP/pfkGgCYCLAClb+8cDf7j/i +Z4HYMgUiwJdHZLpF4u4/Hv0ShW6wIcCwKjBLT+fuP7I12gPOFSHAPRyWE9Tp +7j/O7Jrgs84gwMoN/NtY7O4/LeUBiBeLIMDj8MdsYvHuPyrYosfZDCDAFrdf +jnX77j9WApn2KFkewKOoxVb6/e4/BFYixl3yHcAwmisffwDvP5RTvTStjh3A +SX33r4gF7z9mvYTync8cwHxDj9GbD+8/40JNZyNtG8Diz74UwiPvPyn4Xfxn +9hjAb8Ek3UYm7z9DLGIM0KwYwPyyiqXLKO8/P01h1hpkGMAVllY21S3vP1eg +hTYP1RfASFzuV+g37z/UDhWvlb4WwK3oHZsOTO8/w7+drzWiFMCInYVg3k7v +P81k4u4tVxTAZFLtJa5R7z9+GtLl8wsUwBy8vLBNV+8/C3UaNXl0E8CKj1vG +jGLvP9sOQb/FPRLAZkTDi1xl7z+Bjq+7i+0RwEL5KlEsaO8/HxD2juKbEcD5 +Yvrby23vP5uRa5Ej8xDA1BdioZtw7z+oJBfqWJsQwLDMyWZrc+8/EX4U1apA +EMBoNpnxCnnvPxTGqqsHAA/AROsAt9p77z+dSPBbVDAOwB+gaHyqfu8/lkCM +/M9SDcD6VNBBeoHvP0EVNMTcYgzA1gk4B0qE7z+l9nRy51gLwLK+n8wZh+8/ +b77aZcEmCsCOcweS6YnvPz1oWDS9qgjAaihvV7mM7z/Ykoc/cUgGwGUZt0tJ +je8/DfF8YRwYBcDQQHm6 + "]]}, "Charting`Private`Tag#2"]}}, {}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>, "DynamicHighlight"]], AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], Axes->{True, True}, AxesLabel->{None, None}, AxesOrigin->{0, -13.815510557964243`}, - CoordinatesToolOptions:>{"DisplayFunction" -> ({ - Part[#, 1], - Exp[ - Part[#, 2]]}& ), "CopiedValueFunction" -> ({ - Part[#, 1], - Exp[ - Part[#, 2]]}& )}, DisplayFunction->Identity, Frame->{{False, False}, {False, False}}, FrameLabel->{{None, None}, {None, None}}, @@ -244583,7 +307494,15 @@ ES84QT1ltPCSfx+hVDE= "freeformCursorMode" -> True, "placement" -> {"x" -> "All", "y" -> "None"}}}}, "DefaultMeshStyle" -> AbsolutePointSize[6], "ScalingFunctions" -> None, - "AxesInFront" -> True}, + "CoordinatesToolOptions" -> {"DisplayFunction" -> ({ + (Identity[#]& )[ + Part[#, 1]], + (Exp[#]& )[ + Part[#, 2]]}& ), "CopiedValueFunction" -> ({ + (Identity[#]& )[ + Part[#, 1]], + (Exp[#]& )[ + Part[#, 2]]}& )}, "AxesInFront" -> True}, PlotRange->{{0., 0.985996864205657}, {-13.815510557964274`, 3.912023005428146}}, PlotRangeClipping->True, @@ -244636,9 +307555,8 @@ ES84QT1ltPCSfx+hVDE= CellChangeTimes->{ 3.895629416978826*^9, {3.895635087624283*^9, 3.895635095254306*^9}, 3.895635187542884*^9, 3.895635764521991*^9, {3.895638801388118*^9, - 3.895638815526882*^9}}, - CellLabel-> - "Out[402]=",ExpressionUUID->"1506d170-0da5-4bd5-a285-1593c4065317"] + 3.895638815526882*^9}, 3.9017872709598722`*^9}, + CellLabel->"Out[91]=",ExpressionUUID->"5b519422-babb-4aec-8e87-a6359559d9dd"] }, Open ]], Cell[CellGroupData[{ @@ -244697,61 +307615,23 @@ Cell[BoxData[ RowBox[{"WorkingPrecision", "->", "25"}]}], "]"}]}], "}"}]}]], "Input", CellChangeTimes->{{3.895635431534779*^9, 3.895635468130616*^9}, { 3.895635561110519*^9, 3.895635643653161*^9}}, - CellLabel-> - "In[330]:=",ExpressionUUID->"613bfe69-1fce-43d9-a284-da099258f4f8"], - -Cell[BoxData[ - TemplateBox[{ - "Divide", "infy", - "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \\\"0\\\"]\\) \ -encountered.\"", 2, 330, 104, 31992412955784148511, "Local"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{{3.895635626368313*^9, 3.895635643945786*^9}}, - CellLabel-> - "During evaluation of \ -In[330]:=",ExpressionUUID->"2095a662-8f4d-4081-9335-67be4437e6e3"], - -Cell[BoxData[ - TemplateBox[{ - "Infinity", "indet", - "\"Indeterminate expression \\!\\(\\*RowBox[{\\\"0\\\", \\\" \\\", \ -\\\"ComplexInfinity\\\"}]\\) encountered.\"", 2, 330, 105, - 31992412955784148511, "Local"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{{3.895635626368313*^9, 3.895635643951743*^9}}, - CellLabel-> - "During evaluation of \ -In[330]:=",ExpressionUUID->"4cbb7443-1af8-4983-9f90-bf220fe05845"], - -Cell[BoxData[ - TemplateBox[{ - "FindRoot", "jsing", - "\"Encountered a singular Jacobian at the point \ -\\!\\(\\*RowBox[{\\\"{\\\", \\\"\[Lambda]\\\", \\\"}\\\"}]\\) = \ -\\!\\(\\*RowBox[{\\\"{\\\", \\\"0.9608441474798443925214945`25.\\\", \ -\\\"}\\\"}]\\). Try perturbing the initial point(s).\"", 2, 330, 106, - 31992412955784148511, "Local"}, - "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{{3.895635626368313*^9, 3.895635643957247*^9}}, - CellLabel-> - "During evaluation of \ -In[330]:=",ExpressionUUID->"bfba6974-34e0-4235-99fd-6eb8904d6b09"], + CellLabel->"In[92]:=",ExpressionUUID->"613bfe69-1fce-43d9-a284-da099258f4f8"], Cell[BoxData[ RowBox[{"{", RowBox[{ RowBox[{"{", RowBox[{ - "\[Lambda]", "\[Rule]", "0.83224576731236147415993586879368717613`25."}], + "\[Lambda]", "\[Rule]", "0.83230888150718698729469471130481322132`25."}], "}"}], ",", RowBox[{"{", RowBox[{ - "\[Lambda]", "\[Rule]", "0.96084414747984439252149448768764022485`25."}], + "\[Lambda]", "\[Rule]", "0.96082671038548316127729639048202106633`25."}], "}"}]}], "}"}]], "Output", CellChangeTimes->{ - 3.895635462476812*^9, {3.8956355618351307`*^9, 3.895635643963121*^9}}, - CellLabel-> - "Out[330]=",ExpressionUUID->"3584147e-e9d6-48fc-aefa-1e69f2998769"] + 3.895635462476812*^9, {3.8956355618351307`*^9, 3.895635643963121*^9}, + 3.901787271066964*^9}, + CellLabel->"Out[92]=",ExpressionUUID->"e6f54548-28b9-4a5a-bc84-c9dd91918326"] }, Open ]], Cell[CellGroupData[{ @@ -244803,114 +307683,119 @@ Cell[BoxData[ CellChangeTimes->{{3.895636046264426*^9, 3.8956361543569517`*^9}, { 3.895636309601492*^9, 3.8956363313812323`*^9}}, CellLabel-> - "In[395]:=",ExpressionUUID->"1abcb7b6-f5c1-469e-91dc-f6442ddebd47"], + "In[300]:=",ExpressionUUID->"1abcb7b6-f5c1-469e-91dc-f6442ddebd47"], Cell[BoxData[ TemplateBox[{ "Power", "infy", - "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \ -SuperscriptBox[\\\"0\\\", \\\"4\\\"]]\\) encountered.\"", 2, 395, 297, - 31992412955784148511, "Local"}, + "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \\\"0\\\"]\\) \ +encountered.\"", 2, 300, 161, 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.8956361228411293`*^9, 3.895636154550515*^9}, { - 3.89563632209673*^9, 3.895636331767866*^9}, 3.895638731161297*^9}, + 3.89563632209673*^9, 3.895636331767866*^9}, 3.895638731161297*^9, + 3.901787271089892*^9, 3.901852416942987*^9}, CellLabel-> "During evaluation of \ -In[395]:=",ExpressionUUID->"6c1c3ecf-9812-41b4-aacf-4fb883530fa9"], +In[300]:=",ExpressionUUID->"be3f81e3-0ca2-444c-8fbb-aadab9e95120"], Cell[BoxData[ TemplateBox[{ - "Infinity", "indet", - "\"Indeterminate expression \\!\\(\\*RowBox[{\\\"0\\\", \\\" \\\", \ -\\\"ComplexInfinity\\\"}]\\) encountered.\"", 2, 395, 298, - 31992412955784148511, "Local"}, + "Power", "infy", + "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \ +SuperscriptBox[\\\"0\\\", \\\"2\\\"]]\\) encountered.\"", 2, 300, 162, + 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.8956361228411293`*^9, 3.895636154550515*^9}, { - 3.89563632209673*^9, 3.895636331767866*^9}, 3.895638731167583*^9}, + 3.89563632209673*^9, 3.895636331767866*^9}, 3.895638731161297*^9, + 3.901787271089892*^9, 3.9018524169677763`*^9}, CellLabel-> "During evaluation of \ -In[395]:=",ExpressionUUID->"a9c8e4f0-16ea-40d3-9344-38e756876a61"], +In[300]:=",ExpressionUUID->"b6ed90d2-431c-422d-9ac7-c1b27f195e23"], Cell[BoxData[ TemplateBox[{ - "Power", "infy", - "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \ -SuperscriptBox[\\\"0\\\", \\\"2\\\"]]\\) encountered.\"", 2, 395, 299, - 31992412955784148511, "Local"}, + "Infinity", "indet", + "\"Indeterminate expression \\!\\(\\*RowBox[{\\\"0\\\", \\\" \\\", \ +\\\"ComplexInfinity\\\", \\\" \\\", RowBox[{\\\"Log\\\", \\\"[\\\", \ +\\\"13\\\", \\\"]\\\"}]}]\\) encountered.\"", 2, 300, 163, + 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.8956361228411293`*^9, 3.895636154550515*^9}, { - 3.89563632209673*^9, 3.895636331767866*^9}, 3.8956387311735573`*^9}, + 3.89563632209673*^9, 3.895636331767866*^9}, 3.895638731161297*^9, + 3.901787271089892*^9, 3.901852416984273*^9}, CellLabel-> "During evaluation of \ -In[395]:=",ExpressionUUID->"33859d59-2ed6-4cb3-9846-1d0cc56b99b9"], +In[300]:=",ExpressionUUID->"99e3e4f5-cc26-4c91-9900-952718ca0fb0"], Cell[BoxData[ TemplateBox[{ "Power", "infy", "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \\\"0\\\"]\\) \ -encountered.\"", 2, 395, 300, 31992412955784148511, "Local"}, +encountered.\"", 2, 300, 164, 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.8956361228411293`*^9, 3.895636154550515*^9}, { - 3.89563632209673*^9, 3.895636331767866*^9}, 3.895638731179406*^9}, + 3.89563632209673*^9, 3.895636331767866*^9}, 3.895638731161297*^9, + 3.901787271089892*^9, 3.901852416992223*^9}, CellLabel-> "During evaluation of \ -In[395]:=",ExpressionUUID->"02187926-1da9-467c-a69e-a367c1514365"], +In[300]:=",ExpressionUUID->"8b080f72-baa0-432b-8904-674d9d43d7e0"], Cell[BoxData[ TemplateBox[{ "General", "stop", "\"Further output of \\!\\(\\*StyleBox[RowBox[{\\\"Power\\\", \\\"::\\\", \ \\\"infy\\\"}], \\\"MessageName\\\"]\\) will be suppressed during this \ -calculation.\"", 2, 395, 301, 31992412955784148511, "Local"}, +calculation.\"", 2, 300, 165, 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.8956361228411293`*^9, 3.895636154550515*^9}, { - 3.89563632209673*^9, 3.895636331767866*^9}, 3.8956387311853456`*^9}, + 3.89563632209673*^9, 3.895636331767866*^9}, 3.895638731161297*^9, + 3.901787271089892*^9, 3.901852417008213*^9}, CellLabel-> "During evaluation of \ -In[395]:=",ExpressionUUID->"dbe055c0-e10a-4003-b908-f32976a18840"], +In[300]:=",ExpressionUUID->"2f98ebfe-8a1f-4f2f-b5bc-12f6bce7dbd1"], Cell[BoxData[ TemplateBox[{ "Infinity", "indet", "\"Indeterminate expression \\!\\(\\*RowBox[{\\\"0\\\", \\\" \\\", \ -\\\"ComplexInfinity\\\", \\\" \\\", \\\"ComplexInfinity\\\"}]\\) encountered.\ -\"", 2, 395, 302, 31992412955784148511, "Local"}, +\\\"ComplexInfinity\\\"}]\\) encountered.\"", 2, 300, 166, + 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.8956361228411293`*^9, 3.895636154550515*^9}, { - 3.89563632209673*^9, 3.895636331767866*^9}, 3.8956387311911907`*^9}, + 3.89563632209673*^9, 3.895636331767866*^9}, 3.895638731161297*^9, + 3.901787271089892*^9, 3.901852417029049*^9}, CellLabel-> "During evaluation of \ -In[395]:=",ExpressionUUID->"aa1cfa94-b0a9-4b23-9e8f-ce94d61443a0"], +In[300]:=",ExpressionUUID->"20334ce8-efe8-4829-9ca4-ce759350ee6b"], Cell[BoxData[ TemplateBox[{ "Infinity", "indet", "\"Indeterminate expression \\!\\(\\*RowBox[{\\\"0\\\", \\\" \\\", \ -\\\"ComplexInfinity\\\", \\\" \\\", RowBox[{\\\"(\\\", \ -RowBox[{RowBox[{\\\"-\\\", \\\"756\\\"}], \\\"-\\\", RowBox[{\\\"441\\\", \ -\\\" \\\", RowBox[{\\\"(\\\", RowBox[{RowBox[{\\\"Log\\\", \\\"[\\\", \\\"7\\\ -\", \\\"]\\\"}], \\\"-\\\", RowBox[{\\\"Log\\\", \\\"[\\\", \\\"91\\\", \\\"]\ -\\\"}]}], \\\")\\\"}]}]}], \\\")\\\"}]}]\\) encountered.\"", 2, 395, 303, - 31992412955784148511, "Local"}, +\\\"ComplexInfinity\\\", \\\" \\\", RowBox[{\\\"Log\\\", \\\"[\\\", \ +\\\"13\\\", \\\"]\\\"}]}]\\) encountered.\"", 2, 300, 167, + 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.8956361228411293`*^9, 3.895636154550515*^9}, { - 3.89563632209673*^9, 3.895636331767866*^9}, 3.8956387311968327`*^9}, + 3.89563632209673*^9, 3.895636331767866*^9}, 3.895638731161297*^9, + 3.901787271089892*^9, 3.9018524170443373`*^9}, CellLabel-> "During evaluation of \ -In[395]:=",ExpressionUUID->"100649bb-a942-4c59-9243-6e1f044c5a95"], +In[300]:=",ExpressionUUID->"32f6ca92-039d-4431-930b-ad351bf1c65d"], Cell[BoxData[ TemplateBox[{ "General", "stop", "\"Further output of \\!\\(\\*StyleBox[RowBox[{\\\"Infinity\\\", \ \\\"::\\\", \\\"indet\\\"}], \\\"MessageName\\\"]\\) will be suppressed \ -during this calculation.\"", 2, 395, 304, 31992412955784148511, "Local"}, +during this calculation.\"", 2, 300, 168, 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.8956361228411293`*^9, 3.895636154550515*^9}, { - 3.89563632209673*^9, 3.895636331767866*^9}, 3.895638731202695*^9}, + 3.89563632209673*^9, 3.895636331767866*^9}, 3.895638731161297*^9, + 3.901787271089892*^9, 3.901852417060864*^9}, CellLabel-> "During evaluation of \ -In[395]:=",ExpressionUUID->"ae36eaea-74c7-4b74-b855-6dc7671d9fdb"] +In[300]:=",ExpressionUUID->"044ee47f-254c-4a6c-b28c-4d44db551e1f"] }, Open ]], Cell[CellGroupData[{ @@ -244949,116 +307834,119 @@ Cell[BoxData[ 3.8956361859844093`*^9, 3.8956362019869633`*^9}, 3.895636697607029*^9, { 3.895638267835485*^9, 3.8956382681166697`*^9}}, CellLabel-> - "In[396]:=",ExpressionUUID->"8d550551-6998-48dd-ab80-bd7826862f42"], + "In[301]:=",ExpressionUUID->"8d550551-6998-48dd-ab80-bd7826862f42"], Cell[BoxData[ TemplateBox[{ "Power", "infy", "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \\\"0\\\"]\\) \ -encountered.\"", 2, 396, 305, 31992412955784148511, "Local"}, +encountered.\"", 2, 301, 169, 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.8956361228411293`*^9, 3.895636154550515*^9}, { - 3.8956361945584707`*^9, 3.895636203164048*^9}, 3.895638735877007*^9}, + 3.8956361945584707`*^9, 3.895636203164048*^9}, 3.895638735877007*^9, + 3.90178727344814*^9, 3.901852433451927*^9}, CellLabel-> "During evaluation of \ -In[396]:=",ExpressionUUID->"579fd571-de97-4a60-ac11-cd32354f0f34"], +In[301]:=",ExpressionUUID->"918c7ff1-f962-4a87-b678-511bf543a8e6"], Cell[BoxData[ TemplateBox[{ "Power", "infy", "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \ -SuperscriptBox[\\\"0\\\", \\\"2\\\"]]\\) encountered.\"", 2, 396, 306, - 31992412955784148511, "Local"}, +SuperscriptBox[\\\"0\\\", \\\"2\\\"]]\\) encountered.\"", 2, 301, 170, + 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.8956361228411293`*^9, 3.895636154550515*^9}, { - 3.8956361945584707`*^9, 3.895636203164048*^9}, 3.8956387358827353`*^9}, + 3.8956361945584707`*^9, 3.895636203164048*^9}, 3.895638735877007*^9, + 3.90178727344814*^9, 3.901852433470358*^9}, CellLabel-> "During evaluation of \ -In[396]:=",ExpressionUUID->"b181f7ac-7b60-46a5-a440-f74b9c0ec5c2"], +In[301]:=",ExpressionUUID->"6a0cec39-00c0-48db-af7c-e5040b941cca"], Cell[BoxData[ TemplateBox[{ "Infinity", "indet", "\"Indeterminate expression \\!\\(\\*RowBox[{\\\"0\\\", \\\" \\\", \ -\\\"ComplexInfinity\\\", \\\" \\\", RowBox[{\\\"(\\\", \ -RowBox[{RowBox[{\\\"-\\\", \\\"756\\\"}], \\\"-\\\", RowBox[{\\\"441\\\", \ -\\\" \\\", RowBox[{\\\"(\\\", RowBox[{RowBox[{\\\"Log\\\", \\\"[\\\", \\\"7\\\ -\", \\\"]\\\"}], \\\"-\\\", RowBox[{\\\"Log\\\", \\\"[\\\", \\\"91\\\", \\\"]\ -\\\"}]}], \\\")\\\"}]}]}], \\\")\\\"}], \\\" \\\", RowBox[{\\\"(\\\", \ -RowBox[{RowBox[{\\\"Log\\\", \\\"[\\\", \\\"7\\\", \\\"]\\\"}], \\\"-\\\", \ -RowBox[{\\\"Log\\\", \\\"[\\\", \\\"91\\\", \\\"]\\\"}]}], \\\")\\\"}]}]\\) \ -encountered.\"", 2, 396, 307, 31992412955784148511, "Local"}, +\\\"ComplexInfinity\\\", \\\" \\\", RowBox[{\\\"Log\\\", \\\"[\\\", \ +\\\"13\\\", \\\"]\\\"}]}]\\) encountered.\"", 2, 301, 171, + 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.8956361228411293`*^9, 3.895636154550515*^9}, { - 3.8956361945584707`*^9, 3.895636203164048*^9}, 3.895638735888875*^9}, + 3.8956361945584707`*^9, 3.895636203164048*^9}, 3.895638735877007*^9, + 3.90178727344814*^9, 3.901852433494629*^9}, CellLabel-> "During evaluation of \ -In[396]:=",ExpressionUUID->"79c13aeb-360d-43c8-938c-372003786299"], +In[301]:=",ExpressionUUID->"cc81a874-e2e7-4e62-bb78-4fe9610bf39a"], Cell[BoxData[ TemplateBox[{ "Power", "infy", - "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \ -SuperscriptBox[\\\"0\\\", \\\"4\\\"]]\\) encountered.\"", 2, 396, 308, - 31992412955784148511, "Local"}, + "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \\\"0\\\"]\\) \ +encountered.\"", 2, 301, 172, 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.8956361228411293`*^9, 3.895636154550515*^9}, { - 3.8956361945584707`*^9, 3.895636203164048*^9}, 3.895638735895054*^9}, + 3.8956361945584707`*^9, 3.895636203164048*^9}, 3.895638735877007*^9, + 3.90178727344814*^9, 3.9018524335191298`*^9}, CellLabel-> "During evaluation of \ -In[396]:=",ExpressionUUID->"ed039b6c-2906-4b20-8cca-8c1cdd2b44e6"], +In[301]:=",ExpressionUUID->"0867e989-3a59-4a44-a90f-e96b5ffaf17f"], Cell[BoxData[ TemplateBox[{ "General", "stop", "\"Further output of \\!\\(\\*StyleBox[RowBox[{\\\"Power\\\", \\\"::\\\", \ \\\"infy\\\"}], \\\"MessageName\\\"]\\) will be suppressed during this \ -calculation.\"", 2, 396, 309, 31992412955784148511, "Local"}, +calculation.\"", 2, 301, 173, 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.8956361228411293`*^9, 3.895636154550515*^9}, { - 3.8956361945584707`*^9, 3.895636203164048*^9}, 3.8956387359012213`*^9}, + 3.8956361945584707`*^9, 3.895636203164048*^9}, 3.895638735877007*^9, + 3.90178727344814*^9, 3.901852433530559*^9}, CellLabel-> "During evaluation of \ -In[396]:=",ExpressionUUID->"7637dd1b-6947-408a-b87d-d4a475eee2b6"], +In[301]:=",ExpressionUUID->"c7dee14f-105f-4ae0-b72a-25bf55f7ce8a"], Cell[BoxData[ TemplateBox[{ "Infinity", "indet", "\"Indeterminate expression \\!\\(\\*RowBox[{\\\"0\\\", \\\" \\\", \ -\\\"ComplexInfinity\\\"}]\\) encountered.\"", 2, 396, 310, - 31992412955784148511, "Local"}, +\\\"ComplexInfinity\\\"}]\\) encountered.\"", 2, 301, 174, + 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.8956361228411293`*^9, 3.895636154550515*^9}, { - 3.8956361945584707`*^9, 3.895636203164048*^9}, 3.8956387359071283`*^9}, + 3.8956361945584707`*^9, 3.895636203164048*^9}, 3.895638735877007*^9, + 3.90178727344814*^9, 3.901852433548706*^9}, CellLabel-> "During evaluation of \ -In[396]:=",ExpressionUUID->"53c197b3-a71d-4a69-9dc9-34a7e3901a24"], +In[301]:=",ExpressionUUID->"50ca2252-46e4-4622-ad65-5d8d9a18c996"], Cell[BoxData[ TemplateBox[{ "Infinity", "indet", "\"Indeterminate expression \\!\\(\\*RowBox[{\\\"0\\\", \\\" \\\", \ -\\\"ComplexInfinity\\\", \\\" \\\", \\\"ComplexInfinity\\\"}]\\) encountered.\ -\"", 2, 396, 311, 31992412955784148511, "Local"}, +\\\"ComplexInfinity\\\", \\\" \\\", RowBox[{\\\"Log\\\", \\\"[\\\", \ +\\\"13\\\", \\\"]\\\"}]}]\\) encountered.\"", 2, 301, 175, + 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.8956361228411293`*^9, 3.895636154550515*^9}, { - 3.8956361945584707`*^9, 3.895636203164048*^9}, 3.895638735912816*^9}, + 3.8956361945584707`*^9, 3.895636203164048*^9}, 3.895638735877007*^9, + 3.90178727344814*^9, 3.901852433925622*^9}, CellLabel-> "During evaluation of \ -In[396]:=",ExpressionUUID->"f15ea30e-54f1-4ce9-a892-aa97e755653b"], +In[301]:=",ExpressionUUID->"17b4a262-e736-4a5a-9369-2cf9fab1f444"], Cell[BoxData[ TemplateBox[{ "General", "stop", "\"Further output of \\!\\(\\*StyleBox[RowBox[{\\\"Infinity\\\", \ \\\"::\\\", \\\"indet\\\"}], \\\"MessageName\\\"]\\) will be suppressed \ -during this calculation.\"", 2, 396, 312, 31992412955784148511, "Local"}, +during this calculation.\"", 2, 301, 176, 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.8956361228411293`*^9, 3.895636154550515*^9}, { - 3.8956361945584707`*^9, 3.895636203164048*^9}, 3.895638735918806*^9}, + 3.8956361945584707`*^9, 3.895636203164048*^9}, 3.895638735877007*^9, + 3.90178727344814*^9, 3.901852433936655*^9}, CellLabel-> "During evaluation of \ -In[396]:=",ExpressionUUID->"54be0dab-9a11-4e02-8141-05abeab2289e"] +In[301]:=",ExpressionUUID->"2ba71cb2-696c-4925-b318-5ece7e9768aa"] }, Open ]], Cell[BoxData[ @@ -245088,7 +307976,7 @@ Cell[BoxData[ CellChangeTimes->{{3.89563657616089*^9, 3.895636591773966*^9}, { 3.8956366861255713`*^9, 3.8956366996590347`*^9}}, CellLabel-> - "In[397]:=",ExpressionUUID->"a4f3fc62-c8a2-4646-8555-5dbbf75ad2a3"], + "In[302]:=",ExpressionUUID->"a4f3fc62-c8a2-4646-8555-5dbbf75ad2a3"], Cell[BoxData[ RowBox[{ @@ -245148,9 +308036,10 @@ Cell[BoxData[ CellChangeTimes->{{3.8956364027025833`*^9, 3.895636526752948*^9}, { 3.895636557734255*^9, 3.895636557899272*^9}, {3.89563660919066*^9, 3.8956366634739437`*^9}, {3.895636733135191*^9, 3.895636791878071*^9}, { - 3.8956369243514137`*^9, 3.8956369248342323`*^9}}, + 3.8956369243514137`*^9, 3.8956369248342323`*^9}, {3.9018525882218933`*^9, + 3.9018526148073606`*^9}}, CellLabel-> - "In[398]:=",ExpressionUUID->"3619e623-9386-4831-b407-2667e8e89fde"], + "In[311]:=",ExpressionUUID->"3619e623-9386-4831-b407-2667e8e89fde"], Cell[CellGroupData[{ @@ -245198,122 +308087,119 @@ Cell[BoxData[ 3.895636309601492*^9, 3.8956363313812323`*^9}, {3.895639315541512*^9, 3.895639339338491*^9}}, CellLabel-> - "In[416]:=",ExpressionUUID->"b4681ca3-2a7d-4b42-9d70-69d624c400ca"], + "In[304]:=",ExpressionUUID->"b4681ca3-2a7d-4b42-9d70-69d624c400ca"], Cell[BoxData[ TemplateBox[{ "Power", "infy", - "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \ -SuperscriptBox[\\\"0\\\", \\\"4\\\"]]\\) encountered.\"", 2, 416, 321, - 31992412955784148511, "Local"}, + "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \\\"0\\\"]\\) \ +encountered.\"", 2, 304, 177, 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.8956361228411293`*^9, 3.895636154550515*^9}, { 3.89563632209673*^9, 3.895636331767866*^9}, 3.895638731161297*^9, - 3.895639345549423*^9}, + 3.895639345549423*^9, 3.901787281365767*^9, 3.901852480238015*^9}, CellLabel-> "During evaluation of \ -In[416]:=",ExpressionUUID->"e726d7e1-fa50-4ecc-8dd7-a38e5aa711e9"], +In[304]:=",ExpressionUUID->"581ac931-2b24-4532-8c40-3f1b0caad8e3"], Cell[BoxData[ TemplateBox[{ - "Infinity", "indet", - "\"Indeterminate expression \\!\\(\\*RowBox[{\\\"0\\\", \\\" \\\", \ -\\\"ComplexInfinity\\\"}]\\) encountered.\"", 2, 416, 322, - 31992412955784148511, "Local"}, + "Power", "infy", + "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \ +SuperscriptBox[\\\"0\\\", \\\"2\\\"]]\\) encountered.\"", 2, 304, 178, + 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.8956361228411293`*^9, 3.895636154550515*^9}, { 3.89563632209673*^9, 3.895636331767866*^9}, 3.895638731161297*^9, - 3.895639345557138*^9}, + 3.895639345549423*^9, 3.901787281365767*^9, 3.901852480246709*^9}, CellLabel-> "During evaluation of \ -In[416]:=",ExpressionUUID->"c7bbdc8b-2fa1-4f1d-b331-568c1e38c019"], +In[304]:=",ExpressionUUID->"1efa63b6-4d90-4787-a332-063b77525647"], Cell[BoxData[ TemplateBox[{ - "Power", "infy", - "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \ -SuperscriptBox[\\\"0\\\", \\\"2\\\"]]\\) encountered.\"", 2, 416, 323, - 31992412955784148511, "Local"}, + "Infinity", "indet", + "\"Indeterminate expression \\!\\(\\*RowBox[{\\\"0\\\", \\\" \\\", \ +\\\"ComplexInfinity\\\", \\\" \\\", RowBox[{\\\"Log\\\", \\\"[\\\", \ +\\\"13\\\", \\\"]\\\"}]}]\\) encountered.\"", 2, 304, 179, + 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.8956361228411293`*^9, 3.895636154550515*^9}, { 3.89563632209673*^9, 3.895636331767866*^9}, 3.895638731161297*^9, - 3.895639345563115*^9}, + 3.895639345549423*^9, 3.901787281365767*^9, 3.9018524802534018`*^9}, CellLabel-> "During evaluation of \ -In[416]:=",ExpressionUUID->"2c0e08b0-4261-4147-a821-2fb8d211b405"], +In[304]:=",ExpressionUUID->"1c0031cc-d8e2-4721-b175-0a8e72dd5528"], Cell[BoxData[ TemplateBox[{ "Power", "infy", "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \\\"0\\\"]\\) \ -encountered.\"", 2, 416, 324, 31992412955784148511, "Local"}, +encountered.\"", 2, 304, 180, 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.8956361228411293`*^9, 3.895636154550515*^9}, { 3.89563632209673*^9, 3.895636331767866*^9}, 3.895638731161297*^9, - 3.8956393455690947`*^9}, + 3.895639345549423*^9, 3.901787281365767*^9, 3.901852480261086*^9}, CellLabel-> "During evaluation of \ -In[416]:=",ExpressionUUID->"3d1a75cd-b465-407a-affd-c16f1c6d5e03"], +In[304]:=",ExpressionUUID->"02060b8b-a6a3-4e0e-b501-e7cd839aefb5"], Cell[BoxData[ TemplateBox[{ "General", "stop", "\"Further output of \\!\\(\\*StyleBox[RowBox[{\\\"Power\\\", \\\"::\\\", \ \\\"infy\\\"}], \\\"MessageName\\\"]\\) will be suppressed during this \ -calculation.\"", 2, 416, 325, 31992412955784148511, "Local"}, +calculation.\"", 2, 304, 181, 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.8956361228411293`*^9, 3.895636154550515*^9}, { 3.89563632209673*^9, 3.895636331767866*^9}, 3.895638731161297*^9, - 3.895639345574939*^9}, + 3.895639345549423*^9, 3.901787281365767*^9, 3.901852480269273*^9}, CellLabel-> "During evaluation of \ -In[416]:=",ExpressionUUID->"11da16c0-4f0c-466e-9bcc-bc45e475cd55"], +In[304]:=",ExpressionUUID->"dc3f13d7-fdd5-4a28-9497-46a43353f265"], Cell[BoxData[ TemplateBox[{ "Infinity", "indet", "\"Indeterminate expression \\!\\(\\*RowBox[{\\\"0\\\", \\\" \\\", \ -\\\"ComplexInfinity\\\", \\\" \\\", \\\"ComplexInfinity\\\"}]\\) encountered.\ -\"", 2, 416, 326, 31992412955784148511, "Local"}, +\\\"ComplexInfinity\\\"}]\\) encountered.\"", 2, 304, 182, + 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.8956361228411293`*^9, 3.895636154550515*^9}, { 3.89563632209673*^9, 3.895636331767866*^9}, 3.895638731161297*^9, - 3.895639345581119*^9}, + 3.895639345549423*^9, 3.901787281365767*^9, 3.901852480278064*^9}, CellLabel-> "During evaluation of \ -In[416]:=",ExpressionUUID->"6c75a42f-6872-4128-a881-cc0c967ddf5c"], +In[304]:=",ExpressionUUID->"5cc7520b-02db-4377-ba0a-c63a1921df4a"], Cell[BoxData[ TemplateBox[{ "Infinity", "indet", "\"Indeterminate expression \\!\\(\\*RowBox[{\\\"0\\\", \\\" \\\", \ -\\\"ComplexInfinity\\\", \\\" \\\", RowBox[{\\\"(\\\", \ -RowBox[{RowBox[{\\\"-\\\", \\\"756\\\"}], \\\"-\\\", RowBox[{\\\"441\\\", \ -\\\" \\\", RowBox[{\\\"(\\\", RowBox[{RowBox[{\\\"Log\\\", \\\"[\\\", \\\"7\\\ -\", \\\"]\\\"}], \\\"-\\\", RowBox[{\\\"Log\\\", \\\"[\\\", \\\"91\\\", \\\"]\ -\\\"}]}], \\\")\\\"}]}]}], \\\")\\\"}]}]\\) encountered.\"", 2, 416, 327, - 31992412955784148511, "Local"}, +\\\"ComplexInfinity\\\", \\\" \\\", RowBox[{\\\"Log\\\", \\\"[\\\", \ +\\\"13\\\", \\\"]\\\"}]}]\\) encountered.\"", 2, 304, 183, + 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.8956361228411293`*^9, 3.895636154550515*^9}, { 3.89563632209673*^9, 3.895636331767866*^9}, 3.895638731161297*^9, - 3.8956393455870953`*^9}, + 3.895639345549423*^9, 3.901787281365767*^9, 3.901852480285037*^9}, CellLabel-> "During evaluation of \ -In[416]:=",ExpressionUUID->"43d89026-5f96-4585-92d7-3b79df8c799d"], +In[304]:=",ExpressionUUID->"224e5792-e00c-4b6f-b77f-3744ee216ed1"], Cell[BoxData[ TemplateBox[{ "General", "stop", "\"Further output of \\!\\(\\*StyleBox[RowBox[{\\\"Infinity\\\", \ \\\"::\\\", \\\"indet\\\"}], \\\"MessageName\\\"]\\) will be suppressed \ -during this calculation.\"", 2, 416, 328, 31992412955784148511, "Local"}, +during this calculation.\"", 2, 304, 184, 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.8956361228411293`*^9, 3.895636154550515*^9}, { 3.89563632209673*^9, 3.895636331767866*^9}, 3.895638731161297*^9, - 3.895639345593388*^9}, + 3.895639345549423*^9, 3.901787281365767*^9, 3.901852480290766*^9}, CellLabel-> "During evaluation of \ -In[416]:=",ExpressionUUID->"9eb37eb6-e260-455f-b273-87e3194b5473"] +In[304]:=",ExpressionUUID->"0c190a1c-4ce0-4614-9d5a-6e077cdfb55c"] }, Open ]], Cell[CellGroupData[{ @@ -245351,124 +308237,127 @@ Cell[BoxData[ 3.895638267835485*^9, 3.8956382681166697`*^9}, {3.895639348526946*^9, 3.895639355877014*^9}}, CellLabel-> - "In[418]:=",ExpressionUUID->"309fe796-238c-4120-b5c0-62e4b5c12416"], + "In[305]:=",ExpressionUUID->"309fe796-238c-4120-b5c0-62e4b5c12416"], Cell[BoxData[ TemplateBox[{ "Power", "infy", "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \\\"0\\\"]\\) \ -encountered.\"", 2, 418, 337, 31992412955784148511, "Local"}, +encountered.\"", 2, 305, 185, 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.8956361228411293`*^9, 3.895636154550515*^9}, { 3.8956361945584707`*^9, 3.895636203164048*^9}, 3.895638735877007*^9, { - 3.895639352136681*^9, 3.895639357133531*^9}}, + 3.895639352136681*^9, 3.895639357133531*^9}, 3.901787283402313*^9, + 3.901852491509527*^9}, CellLabel-> "During evaluation of \ -In[418]:=",ExpressionUUID->"b6656b83-b41f-40b8-8cc9-cd2ead95828e"], +In[305]:=",ExpressionUUID->"b174433f-7bc9-47ad-b5f8-f025f0ccfa79"], Cell[BoxData[ TemplateBox[{ "Power", "infy", "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \ -SuperscriptBox[\\\"0\\\", \\\"2\\\"]]\\) encountered.\"", 2, 418, 338, - 31992412955784148511, "Local"}, +SuperscriptBox[\\\"0\\\", \\\"2\\\"]]\\) encountered.\"", 2, 305, 186, + 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.8956361228411293`*^9, 3.895636154550515*^9}, { 3.8956361945584707`*^9, 3.895636203164048*^9}, 3.895638735877007*^9, { - 3.895639352136681*^9, 3.895639357139488*^9}}, + 3.895639352136681*^9, 3.895639357133531*^9}, 3.901787283402313*^9, + 3.9018524915156612`*^9}, CellLabel-> "During evaluation of \ -In[418]:=",ExpressionUUID->"4c562ef3-a1c3-40e3-923c-72b869ca3017"], +In[305]:=",ExpressionUUID->"e3827e25-ab3e-43b4-8be4-31778d4c382c"], Cell[BoxData[ TemplateBox[{ "Infinity", "indet", "\"Indeterminate expression \\!\\(\\*RowBox[{\\\"0\\\", \\\" \\\", \ -\\\"ComplexInfinity\\\", \\\" \\\", RowBox[{\\\"(\\\", \ -RowBox[{RowBox[{\\\"-\\\", \\\"756\\\"}], \\\"-\\\", RowBox[{\\\"441\\\", \ -\\\" \\\", RowBox[{\\\"(\\\", RowBox[{RowBox[{\\\"Log\\\", \\\"[\\\", \\\"7\\\ -\", \\\"]\\\"}], \\\"-\\\", RowBox[{\\\"Log\\\", \\\"[\\\", \\\"91\\\", \\\"]\ -\\\"}]}], \\\")\\\"}]}]}], \\\")\\\"}], \\\" \\\", RowBox[{\\\"(\\\", \ -RowBox[{RowBox[{\\\"Log\\\", \\\"[\\\", \\\"7\\\", \\\"]\\\"}], \\\"-\\\", \ -RowBox[{\\\"Log\\\", \\\"[\\\", \\\"91\\\", \\\"]\\\"}]}], \\\")\\\"}]}]\\) \ -encountered.\"", 2, 418, 339, 31992412955784148511, "Local"}, +\\\"ComplexInfinity\\\", \\\" \\\", RowBox[{\\\"Log\\\", \\\"[\\\", \ +\\\"13\\\", \\\"]\\\"}]}]\\) encountered.\"", 2, 305, 187, + 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.8956361228411293`*^9, 3.895636154550515*^9}, { 3.8956361945584707`*^9, 3.895636203164048*^9}, 3.895638735877007*^9, { - 3.895639352136681*^9, 3.895639357145381*^9}}, + 3.895639352136681*^9, 3.895639357133531*^9}, 3.901787283402313*^9, + 3.9018524915353518`*^9}, CellLabel-> "During evaluation of \ -In[418]:=",ExpressionUUID->"4a454972-323f-4cb6-8880-bb82123f618d"], +In[305]:=",ExpressionUUID->"8828aaef-8244-4be1-87d6-956a520ccb0c"], Cell[BoxData[ TemplateBox[{ "Power", "infy", - "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \ -SuperscriptBox[\\\"0\\\", \\\"4\\\"]]\\) encountered.\"", 2, 418, 340, - 31992412955784148511, "Local"}, + "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \\\"0\\\"]\\) \ +encountered.\"", 2, 305, 188, 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.8956361228411293`*^9, 3.895636154550515*^9}, { 3.8956361945584707`*^9, 3.895636203164048*^9}, 3.895638735877007*^9, { - 3.895639352136681*^9, 3.895639357151347*^9}}, + 3.895639352136681*^9, 3.895639357133531*^9}, 3.901787283402313*^9, + 3.9018524915423613`*^9}, CellLabel-> "During evaluation of \ -In[418]:=",ExpressionUUID->"72d1cda1-2d1f-455a-817f-686bd50983d3"], +In[305]:=",ExpressionUUID->"10f17a17-36ed-4c26-afe8-90186e95d319"], Cell[BoxData[ TemplateBox[{ "General", "stop", "\"Further output of \\!\\(\\*StyleBox[RowBox[{\\\"Power\\\", \\\"::\\\", \ \\\"infy\\\"}], \\\"MessageName\\\"]\\) will be suppressed during this \ -calculation.\"", 2, 418, 341, 31992412955784148511, "Local"}, +calculation.\"", 2, 305, 189, 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.8956361228411293`*^9, 3.895636154550515*^9}, { 3.8956361945584707`*^9, 3.895636203164048*^9}, 3.895638735877007*^9, { - 3.895639352136681*^9, 3.895639357157202*^9}}, + 3.895639352136681*^9, 3.895639357133531*^9}, 3.901787283402313*^9, + 3.901852491555575*^9}, CellLabel-> "During evaluation of \ -In[418]:=",ExpressionUUID->"513bacb6-5529-423b-b511-1208ce59c19d"], +In[305]:=",ExpressionUUID->"4a0b217d-22bd-4ba4-9f96-f6121d64dce3"], Cell[BoxData[ TemplateBox[{ "Infinity", "indet", "\"Indeterminate expression \\!\\(\\*RowBox[{\\\"0\\\", \\\" \\\", \ -\\\"ComplexInfinity\\\"}]\\) encountered.\"", 2, 418, 342, - 31992412955784148511, "Local"}, +\\\"ComplexInfinity\\\"}]\\) encountered.\"", 2, 305, 190, + 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.8956361228411293`*^9, 3.895636154550515*^9}, { 3.8956361945584707`*^9, 3.895636203164048*^9}, 3.895638735877007*^9, { - 3.895639352136681*^9, 3.895639357163124*^9}}, + 3.895639352136681*^9, 3.895639357133531*^9}, 3.901787283402313*^9, + 3.901852491560501*^9}, CellLabel-> "During evaluation of \ -In[418]:=",ExpressionUUID->"e66bd4f5-2c94-425a-ad9e-76a0688adbef"], +In[305]:=",ExpressionUUID->"509ebed7-d0a9-4247-9576-ea9b30415688"], Cell[BoxData[ TemplateBox[{ "Infinity", "indet", "\"Indeterminate expression \\!\\(\\*RowBox[{\\\"0\\\", \\\" \\\", \ -\\\"ComplexInfinity\\\", \\\" \\\", \\\"ComplexInfinity\\\"}]\\) encountered.\ -\"", 2, 418, 343, 31992412955784148511, "Local"}, +\\\"ComplexInfinity\\\", \\\" \\\", RowBox[{\\\"Log\\\", \\\"[\\\", \ +\\\"13\\\", \\\"]\\\"}]}]\\) encountered.\"", 2, 305, 191, + 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.8956361228411293`*^9, 3.895636154550515*^9}, { 3.8956361945584707`*^9, 3.895636203164048*^9}, 3.895638735877007*^9, { - 3.895639352136681*^9, 3.895639357169121*^9}}, + 3.895639352136681*^9, 3.895639357133531*^9}, 3.901787283402313*^9, + 3.9018524915672083`*^9}, CellLabel-> "During evaluation of \ -In[418]:=",ExpressionUUID->"4872f609-0de5-41fe-8ae4-f7eb32b401ae"], +In[305]:=",ExpressionUUID->"021e7f31-a1bc-4f3b-9a09-d0b6b02d52da"], Cell[BoxData[ TemplateBox[{ "General", "stop", "\"Further output of \\!\\(\\*StyleBox[RowBox[{\\\"Infinity\\\", \ \\\"::\\\", \\\"indet\\\"}], \\\"MessageName\\\"]\\) will be suppressed \ -during this calculation.\"", 2, 418, 344, 31992412955784148511, "Local"}, +during this calculation.\"", 2, 305, 192, 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", CellChangeTimes->{{3.8956361228411293`*^9, 3.895636154550515*^9}, { 3.8956361945584707`*^9, 3.895636203164048*^9}, 3.895638735877007*^9, { - 3.895639352136681*^9, 3.895639357175061*^9}}, + 3.895639352136681*^9, 3.895639357133531*^9}, 3.901787283402313*^9, + 3.901852491577577*^9}, CellLabel-> "During evaluation of \ -In[418]:=",ExpressionUUID->"bc278159-315c-4ffc-aca6-216c7ba8f4c9"] +In[305]:=",ExpressionUUID->"8ae73e41-3b36-47c0-9fc5-758746c6c31c"] }, Open ]], Cell[CellGroupData[{ @@ -245566,11 +308455,3196 @@ Cell[BoxData[ 3.895641579510147*^9}, {3.895644627626418*^9, 3.895644627742262*^9}, { 3.895644746235331*^9, 3.895644746350225*^9}, {3.89564537776126*^9, 3.895645383117128*^9}}, - CellLabel-> - "In[505]:=",ExpressionUUID->"c76cb12e-f63f-44ed-8908-9d4043727adb"], + CellLabel->"In[99]:=",ExpressionUUID->"c76cb12e-f63f-44ed-8908-9d4043727adb"], Cell[BoxData[ - GraphicsBox[{{{}, GraphicsComplexBox[CompressedData[" + GraphicsBox[{{{}, + InterpretationBox[{ + TagBox[GraphicsComplexBox[CompressedData[" +1:eJxE23dczf0bx3EzZCXZmxBZkVV4C0VWUrKVZI8oiaxsFSkrs500lUhaR3vv +vddpb2ch8kv35zo//9yPHjid8f1er8vd5zlF33D74V49evQ4NrRHj3///e3R +nrlFfxvWZkvOsm7jcf77Wg/JV2PD9MfxOb+unQg9/+QYrNdq6Doo89nvn4X9 +wztaejp8zmUZ3/UVZufxaNOFbY6n6c9fxNQFUQsO3eRzLtXPUOjvfQWjbi3Q +WPuM/r45jA0kV7i943OUyzQe2p25iXNBM9JTA+nxbuPrEdvq7zF8TrD6oF7y +9+4C+ovKlmbT49/HnlqpRyEVfM7yT/EXwh0soVjzwetZC32/BxBp+p0p+MXn +BE2806gZZA3thsYPzyUE7PvbwOZOmHfhMAHnTfRkP6Njtkh61WTnN17Ans9j +7Iz63nvqTAGn6Gf3N4SV8e+FSxUE7Pk9RX99eW2RkoAzbn6JrMSNZ/gtUgw4 +tk7Anu9zJFjWlNhsEXD2GHQ/Itrrh0ae1xGw5/8CnF1OMaN1BZxXL7t/YfXS +rYXmRwXs9bxCk6vvQz9DAacgVaRV5fYaT7XejPQyFbDX9wbpibv1jK8LOGP6 +6AyZ+/EtTu35PnzQPQF7vfawMJJzNLUWcHb994DY7b54ddAzev2OmOZq2zP9 +jYCzeamFil61I/o/boj/5kLvhxOaZc/pPPAUcJo0/z2iE/r1eqqu6E/vjzPe +jFSRDf4i4Fidqu3waHdG0lHOlknh9H654I77ghGHowUc+Xsju16CC4pWxwU8 +SKT3zxVzjEO22qULOElOqoG8P64w3d//551cej/d4HL+x6fdxQLOv3drdJ93 +yA26ZzK8kt7fd4Ck06RPtQKOZJ7L2ZWS7jBYpGy1opneb3foP9992+e7gOP5 +7+VKvcefYl5Svx/0/r+Hx9O40Zv/CDgb/z3cSA8MUx45+nIvIfs8PBDUoHnm +WT8hp/vtHu+JQOvgL68HCdnn44kKteb71sOEnH/vXvpUL0SePWp4ZqSQfV5e +mPems115nJAza9+jSIGcN1ZabbUVTRKyz88bR5UnxjvJCjkJXY82dr4PduaH +DlSeJWSfpw8O6O5Mjpor5Byzbb6Cxb7Y5breZMVCIft8fXF4x/7TbkuEnK4n +1/WSPyDaYsqov0pC9nl/gOHuERnqEHK0uy6ero8UAc2Ngjtrhezz98Mnw2/F +AeuFHNeudy/PxQ9H528oyNokZNeDH9y0e66o0RBy+H+yL9bP8EfNoQPbG7WE +7Prwx9iCliNVO4Wcdd0XgD/iZO5EpO4VsuvFHxUZu919dIWcrg/v3wtC4Y65 +e80PCdn18xHR945MWH9UyPnv6X3EjVEL5vQ6KWTX00fMKnwS5X9GyFnU/SsA +UVefTtAxErLrKwArAlSNWk2EnFvfEruuoABMbqwUXb0kZNdbAEZzuJU9rwo5 +WV2fftd7gpZJI45cNhey6+8TZA84f2y4JeRM637ATxg7ReGHxj0hux4/QU+3 +2cDLUsgx7v4APmPu8VfT/zwUsuvzMxJknA+r2go5UQK5rk/4M7THTdO4/VTI +rtdA7A/olAy2E3Kk/3uC8DOp/lbzSsiu30DE2x2yk7QXcrqfXXwgLO42BUx3 +ErLr+QvGNFYrLXMVcj6+bXWP3fQFPaZ91FjjLmTX9xf0UwyWWecp5PTqfsFf +ELjW1Gulj5Bd70HIfnlZcb6fkLP9vwsGPoqX00YHCNn1HwRLgdbrX5+FHOd/ +Lzc7CKonRwRmBwnZ/fAV5qv7r3QPEXK+/3u4XV/xcfvnzUbhdH98RdaFph5L +IoScNf8u/6KvUGlLPP89iu6XYPx9GxHpHivkdF++B4Kxu/JVH50Eun+C8WqD +udafJCGnwuzfBxKMLU1/496m0v0UAg23YWZLM4Qche4LPATJHeNuJGbR/RWC +lpofPJ1cIefGs7Vdl0wIRu/+VVecT/dbKGTetJjsKxJyMqZ2f8AIuC7rl1NC +918oRuw39N5QLuRM+Tf9m0MR1Db7+udKuh/DsH0wVoyvFnLO/bs9zoZh/6xp +oiu1dH+GYURCRWRevZAT8e/T5YXhRey4j3Oa6H4NR+KlgXmXW4Qcqf9uSJyI +uLc2po3u33DktUgMGMATcrrfvp/hSH/mtGy9gO5nDi6qjKi6LhJyup/eFQ6y +h8aNDvhJ9zcH5Yqx9eUdQk6P7l/fcPBI6uEBnXS/f4OuwsY3c3qIOO9jN3Xd +0d+go7TjzcZeInb/f0Pf4+HnD/URcbZ13Y1d1yj8ekgqmkqI2Dz4hnA347o7 +/UWcH//qE/0N+pptDo8kRWw+fMM8xQXHng4ScRzH/rshIiB/33Hr0yEiNi8i +sFzrtN4jKRFnQ/cHHIENY2953pEWsfkRgcl3bZabyog4be7/nmAEFH/1G2sw +UsTmSQSmT5yya/NoEefFv2eXGIHjewr/zh8rYvMlAl4bfo0fOl7E+XdxqQ+K +xLbRw0LqJ4jYvImEa+2las4kEeffNMncEomh0vvcbaeI2PyJRI6x90DdaSLO +v6ul65KBl8u8CXLTRWweRcJx6oWaphkiTvfLTe/6+mbtOR85EZtPkfh162vC +8dkiTvfDSUdh2WyhaMocEZtXUbCLvyyRO1fE6R5HWlG4ItHZeWe+iM2vKEwq +lmhUUBBx/lsfohB2T5RVsFDE5lkUXl4eEHVVUcTpHgd5UZBQ1YiYsETE5lsU +vp36nPd1qYhzvXvgRCNqW++h25eL2LyLhkhUZFijJOLM7L6BohFZFt7LdIWI +zb9oZEb1T++9SsRJ614vorFVj1/WtTWxeRiNh/NeK0mriDim/26Hkmi8HS+s +fbJGxOZjNHxR2jpsnYgz6d/lPDEGDjcG73mgKmLzMgYZ6pPm9V4v4sT9u/z0 +YrBviq3xhQ0iNj9jwN0epVCjLuIYdg/IGEy6+9Nw+yYRm6cxUN65d3HIZhFn +VPcN3/V1A//+5K0iNl9jccXsy7mbGiJO99OTjcWFmkvC8m0iNm9jkbX054QV +20WcI92/YjFUrqDliZaIzd9YODu5GtZpizj/lqeuCQvuytR3y3VEbB7H4ivH +79W9nSLOv+l4sT4WM6weaWbuErH5HIfqMXYZY/aIOAe6HzAOkqdLJh/YK2Lz +Og6Fl/VVHfaJOBLdAyoOZQ5SqiX7RWx+x2FPZ+j00boizr9PQ8Y3DqNbZzZr +6InYPI9Dmt4fx9sHRZzu7a41Dv2Uc9QC9UVsvsfDI2wRl3tIxOn8d7spxEO9 +1OKa1GERm/fxmOuyeNzyIyLOu3+Xs1E8lly5yTlwVMTmfzy8X3qcvXFMxNn6 +3wtG5+rMRU7HRawH8XgwSXpI+AkRR/jv4xDE4/br033yT4pYHxLAnZo/rvWU +iGP/7+UuTYDDRcXdvc+IWC8SMKzHjggZQxFHrfsNTIC3xO9d086KWD8S0HtQ +sez8cyJOS3ceEjCv/4tZy4xErCcJcPOLOrnKWMR5/m+8dyTgfkhVq8p5EetL +Ik5NORO8xkTE6R7PKxPxeX9i2uoLItabRNz10VRcYSridI/Xa4nYukWjSfGi +iPUnEb0/9/g9+5KI89/4S4RE1rOjE81ErEeJSFFVWDT0sojTPV56JWHj0zr9 +P11f/9enJIw7HP2r7oqI0337rk3C00/fOjOuilivkuA0IMUo6JqI03173EnC +fY/kPW+ui1i/kmCf+Dz4qrmI89/ll4SyGOGzfTdErGdJeLTeu23ZTREn59/H +2z8ZSddOpkrfErG+JUNuc8nChq6vr3YPwGTsMnSYwrktYr1LRm3AOnvbOyLO +9O4LMBn9JuzwPnhXxPqXjOtSWzXm3xNxUpK7fyHc3NnyV9fX//UwGVIjQw5G +3RdxTLoHagp67RTmWViIWB9T0DP9fdsWSxFnQvcFnYKpShM+DLUSsV6mQMHp +6ci0rq9juv95lYLO+2qzHzwQsX6moHXYwWa1hyLO6e4BnYL88QMO/e36+r+e +pkD+zB7rz9YiTve4kknF2fSjRscfiVhfUzFqibL0OBsRp3sc6KTCszDnbGLX +1//1NhXbDy2wNbUVcf7751cq4juVLkx9LGL9TcW72yVTk7q+HvTfDQfZp6KX +556IWI9TseKjXumIpyJO9+UyNg3qR+u+f+n6+r8+p2HgPp3Cnc9EnO6PY18a +5l44YSfo+vq/XqdhJb94ju1zEee/f56lYbrFgbez7USs32mYbPqiMaLr6+6H +K0uDouecsTtfiFjP0zEv87N8wwu639MhdyVu6uWXItb3dLyQb+kp+Yru/3Q0 +GX1Iev6Kep+OwIGWN6e8pnmQjhMtAjnP19T/dPgeN4hY8IbmQzrWLF+99fMb +2gfScfNNc8bStzQv0nH9VOLWoLe0H6QjRGFe3BJ7mh/puLr8xMoAe9oX0pHi +mRAw14HmSTqGvLad886B9od0rJ7a03O8I82XdNSc0Zxn60j7RAZeFXwO6e1E +8yYD6j/2ap93ov0iAyP8T/6qdKL5k4H2zf38NJxp38hAjcUm02BnmkcZ6O+j +sX2aC+0fGZj8dPpaSxeaTxkYHFewqdWF9pEM5N43PrPdleZVBszq+N4BrrSf +ZOBsxp4Bw91ofmWgadzbO2fdaF/p+vtvfKclu9E8y8CdxVerp7+j/SUDS6ra +k6++o/mWAaS2FWW9o30mE0N275aRc6d5l4mCeRIXzdxpv8mE7PaU/knuNP8y +0TnbPH7Me9p3MtH/WWPQkfc0DzOh1pxX5P+e9p9MBEwasKjjPc3HTDh+WvVt +jQftQ5koL1l0574HzctMBN5yuJXsQftRJuw1FcOGeNL8zERGpOMiDU/alzLh +Nu9R3UNPmqeZ+JLtVpLoSftTJqrr7spIeNF8zcIIh3RreNE+lYWcJRO2mnrR +vM1CrERvbR8v2q+yUHVPxrnCi+ZvFh7plS+X8aZ9Kwv3DNvHq3rTPM7C29zU +zee9af/Kgmbf34lO3jSfs6AS9eNFijftY1k4pqQSJPKmeZ2FNT23zp7sQ/tZ +FqJln7ap+dD8zsLMhXcGnfKhfa3r+61+dP2RD83zLGT8Gr/J34f2tyxovH92 +NsOH5ns2Ipfsb2/1oX0uG8PWZqcO8qV5n439U3dJyvnSfpeNnIY1biq+NP+z +ceFKo+NuX9r3svHKwq3T0Jd6kI2LDhGc2760/2Xj0ryH1Xa+1IdsnH28/ZyH +L+2D2Qgeu9vgqy/1IhtK0tWcOF/aD7OxNG7pnWxf6kc2ZJ88+lzmS/tiNlQr +Z26v96WeZGO58vJ97b60P2bDUO1ntsiX+pKDCzmvwn770j6Z07XvbRnV4wP1 +Jgdy4ajq+YH2yxzMTXg1tdcH6k8OfOLv5v778//tmznYHivXo9OXepSDpIku +jj99af/Mwdn7I77yfKlPOcgY83Zjky/to13fb5u2TpUv9SoHYSO0SvJ9aT/N +wex43/JkX+pXDmxXmR3k+NK+moPAtBg9P1/qWQ767XIoc/Cl/TUXNpAue+hL +fctF1vWZema+tM/m4qVH9aHDvtS7XDg0bGvc6kv7bS6Uh58ULfGl/uWi7vUi +iwm+tO/mItLT3amXL/UwF/WjI1VqfGj/zUWx6eUT8T7Ux1wEjkwc7+FD+3Au +dPs5777vQ73Mhe80wZQjPrQf58JIOuDCGh/qZy7ObE7YNcGH9uVcqE2ZliD0 +pp7mYleoR3yqN+3Pebg9a90uN2/qax5qd+ddNPOmfToPN+XU5Ld6U2/zkHvg +3NnJ3rRf5+Hk16Xq7V7U3zxoNJkEfvOifTsPl90lvlp7UY/zoPg6UnOvF+3f +edh9xfzGDC/qcx6c+o9Qb/OkfTwPSZJaHkGe1Os8RB8Y6HLdk/bzPAQLBi1V +9aR+52FGoeyRAZ60r+chctFEhX/z8r+e5+PypsgXDz2o5/nY+ij/7RYP6nk+ +JjyfoTLIg3qej7PTzt5KeE89z8cyedPDd95Tz/NxLqejFu+p5/lIuxE68Kc7 +9Twf6kkmGX7u1PN8eFhVLj/qTj3PR7+yENXx7tTzfCjN/CpIe0c9z4fKUJuN +N99Rz/PhYM1ft+gd9Twf7k0vqivdqOf5iI2aO9fWjXqej7A++uNXuVHPC5Dy +szKg3pV6XoAq9X38J67U8wJ4d5iWrnClnhfA92KpEdeFel4AVZslH//1+r+e +F8DLbPrb+eKeF6CiaKtiljP1vAAOsdoXTZyp5wWYz8k7PtKZel6A9eEXBgQ6 +Uc8LkLsobae2E/W8AJEDru5od6Sed32/d2N7P3SknhfgedvqgzMdqecFsNO4 +d/abA/W8ACZ+txR2OVDPC6F8L9G1xZ56XojEjJbEW/bU80Loj73pOsqeel6I +MWYyCz3fUs8LofNa8bzyW+p5IRBqeDLpDfW8EKP9NUfveUM9L4RHjcHl2tfU +80I8MFj4zPg19bwQ5mkqRzpfUc8L4XtyTOu9V9TzQnAnrlog9Yp6Xoh0o0Wz +7V5SzwuhYHe5ZPxL6nkhfh6y2+j0gnpehPN7JYxkX1DPi6Drrab9zo56XoRf +i3LaZ9hRz4sw2nzupnfPqedFmJiRoCf7nHpeBInx0xY5PaOeF0F7kH/k+GfU +8yL0lWgYaveUel6EqzPXjpN6Sj0vwpFNC6rvPaGeF+GRpOyZzsfU8yJEhj0K +NH5MPS+C3fWq8Fpb6nkRlsyzvrfHlnpeBNnTf6SSbajnXa/n3du9K2yo58WY +OLTwqNcj6nkxTFzGLxnziHpejCHzUmPvWFPPixGvpjO2/SH1vBit2uMU9j6k +nhejyObmwOgH1PNiXO3b5iH/gHpeDJ5t7KDHVtTzYkg1HlUUWVLPi1H8RnHK +XkvqeTFkBj3ICrOgnhfD1TR50yQL6nkxRv7abnn9PvW8GD2tLj8qFf/7sBjn +ezjtXXGPel4MLakJrS/uUs9L8FVzw0b+Hep5CTRXmZ3eeod6XgL3RQN2u9+m +npfA5PzGwX9vUc9L0D7rseWOW9TzEgw9vCjD8yb1vARhk8wrOm9Qz0swbVVC +iOYN6nkJch4d0HMxp56XQCLDOo13nXpeAj8v9wFrr1PPSxARJBhie416XoJl +N6LLSq5Sz0ugdv3AlVlXqeclODZpcLXxFep5KUwjRo8Nu0w9L0X41/hxfS9T +z0vRY/uhhk1m1PNS3E6ce9f2EvW8FMP3nmrNuUg9L0XHIv0ZYy5Sz0vR67qm +/F5T6nkpDPQud76+QD0vRYhokmORCfW8FDy9a1JjTajnpZj/OVtj53nqeSm8 +B+odeGJMPS+Fq9m+FalG1PNSzB7dt6GfEfW8FL9/PTm6+hz1vBT7ldd8Nj1L +PS9DFW99lo8h9bwMK9cUR1SeoZ6XodeGxTdGnqGel+GM/P1h6qep52WYNnqA +sdkp6nkZatDo5nmSel4G7SI9z4IT1PMyPJv92rzfCep5GQZeSJRTPE49L0OP +oRNddI9Rz8uwaVt0q8VR6nkZ2u0bhgQcoZ6XIeVEWI+iw9TzMkyZfyym52Hq +eRkWG4/YP9OAel6OxsSWuE2H6H4vx5a88RKG4v8/V47R6+NG2R6k+78c6nky +f/z1qPflcNKSD8zQpXlQjql289e2HaD+l+PpA1XXwQdoPpTjxzybgln7aR8o +R09Lhap1+2helONe8MaIf///8b/9oBzClg5j0z00P8rx5ahBh/Vu2hfK8dLU +eafbLpon5Vh8O+t+8E7aH8qxWULmUaoOzZdyyFleP1Gxg/aJcrR9WDCOp03z +phw95qg49dam/aIcSnEJv6W1aP6UQ0e3cu6U7bRvdD1erZPiPE2aR+VoOjJx +mNI22j/Kcfv3qah1GjSfyvGtyGnT1q20j5Tj0dZ0D50tNK/KsfazZOn+zbSf +lCP0rn7doU00v8rhuK0u/thG2lfKYfnF6/opdZpn5ZhjGjLIcAPtL+Uw159+ +9ux6mm/lqDok9DirRvtMBZSvKgUbqtK8q8CQ+N+Op9fRflMBB5P1B06spflX +gb+p074fXkP7TgWmLX61T0+F5mEFmiZ/fLt7Ne0/FVg6zeizJmg+VqCCW+ay +YRXtQxVInNHj+KqVNC8rkBuR22fRCtqPKlD/7ojpDGWanxUoLvX/NlqJ9qUK +XLT8Wj5gOc3TChysvJr3cyntTxUYsLTTtW4JzdeKrn1nmXruYtqnur6/tXx0 +pCLN2wrUvc8c4buI9qsKPGyeterFQpq/FXg6dc7KGwq0b3X9edks6eMLaB5X +YGfdKI7GfNq/KnDc9LvK4nk0nysgx9v9esxc2se6Xt/jdUm/5WleV2C4q3tG +6WzazypQaWHqy5lF87vr/Q5zP+ggR/ta1/udNLvx6kya5xXYvfv7xr0zaH+r +wPIJreZLp9N8rwSnSuqhtCztc5WY81DNsGkqzftKXBp8bWbMFNrvKvHjpOvn +N5Np/lfie6TbGONJtO9VQh2ntTZMpB5Uov/kav3xE2j/q8Tvz8L1reOoD5VY +e8iyV8RY2gcrkfvq3mPbMdSLSowozxbpjab9sBKqsTsXzh9F/ej6/Ta+yu8R +tC9WYtxQmxnxMtSTrj9fNbD88XDaHyuhpbTxzD5p6kvX77fKZ8gOo32yEjH1 +Nv2bhlJvKmEzYZfMxyG0X1ai0EKXf2Ew9acS75fdfq80iPbNSiiscl7wR5J6 +VImFQU8fhA+g/bMSK1IWh17rT32qhKT3Ac7KfrSPViLCsvZZR1/qVSVWvnNH +UB/aTyuxRcUk1Lg39asSLS7TB83rRftqJe6PfTivtgf1rOv9EFyb5vBXyPbX +KhjdTWnY0Uk/j6/Chmkbbw/8I2T7bBWMp8S0cjqErHdV+Js7eK7xL/r5dRW2 +vvyuPP2nkPWvCvKhq8fniug8QxXKbaJT7gjp571VUDmsvk1RIGT7bxUCb750 +qeDRz/+rsHLutbiH34VsH67CMWdOyLJ2IetlFXaPnm5e2Uo/L+/6+6HnZaxa +hKyfVbALML64sJnOT1Th7Mgar/xG+vlyFUTfrX2vNgjZ/lwFiY0LzKfU03mD +rj8/xGpydK2Q7dNVKJmm++hwjZD1tgqP959M7ltNP5+vwtqrp/Ncq4Ssv1XI +Upjqt6aSzmtUQWakqk5ZOf08uwo3P9gkmJUJ2f5dBeGp8AEjSul8QxW03O6O +9C0Wsn28CoueO7aqFglZr6twWz/yaXEBnQeogtwwp75G+ULW7ypYWrWpSOTR ++ZAqKLw5pP4yh35+zsXGZq9x8tlC1nMuZvUzCwrJpPMUXCRvvTppU4aQ9ZyL +7X0MthWk0c/buTihUrTxSCqdP+Dim8h6yPdkIes5F/qts15fSaLzKFxMjNnR +1jdRyHrORX5d8GDreCHrORelr3vwZOLo/AYXc1qTHV7FCFnPufgSET18UjSd +5+HCJdxqq3MknXfg4vLeaA3ZCCHrORf7c4eOcuPQ+RcupikOcZUNF7Kec3Fz +1QGhc6iQ9ZyLSTffDp4cQudFuHDbfKz+9Vch6zkXSZ67LUYG0fkhLnSODGx+ +FEjnK7gY1mekTP/PQtZzLqIjpDqvBdB5Gy5GP7X15PsLWc+5uCY7d8xxPyHr +ORcSaRfUi33pfAoXCx17KG/1EbKecxG+fW9zuBedV+Li8JaRevM86TwHF08c +OY/fvBeynnMxrg/39gB3Ot/DRVH2kCUmbkLW82rsk050K3MRsp5X4/A++6wN +znQephqWbdIcP0ch63k1vN19jo5yoPNR1bjA+5585S2dH6lGctHhlvLXQtbz +aiz8fS9p7Ss6T1SNmTcKDrm9ELKeV+PK9ILAvnZC1vNqvJ7fJ9rgGZ2/qUbC +mVzLyCdC1vNq7FiWKDnpMZ3Hqkaiwa61ZjZ0XqUag7b8WJRtLWQ9r8aZuEnF +c8Tnl6oRKrtW+baVkPW8Gqvm5mwrtBCynlfDY8L68fPv03mfagx27f/25l0h +63k1/IvvZWbfpvNf1ajZqhA6/Radj6lGWr3hbpMbQtbzakzs6eMRdZ3OS1Xj +m/o2b6lrQtbzatzK5ejtuyJkPa/GBxm92HdmdL6oGhbxT4pbLwpZz6tRNCDU +eakpnTfr+vxWLxt9zYTO41Rj/OQjK6KMhazn1ch2cxrSz4jOZ9VAcbiqtfpZ +Iet5DSY0vg+2PCNkPa9BavO0J4mn6DxTDfqNGDhqwEkh63kNxtr4q6odp/Nt +NWjiHJt48yid/6lB80Jzx9DDQtbzGvxxVE0SHKLzYDVYV9vHfq6+kPW8Bj96 +9x9toCdkPa/BaGm7ZS8P0PmpGkir/uhM3idkPa+BLtfwxN89dJ6uBgPWrzZb +sJvOG9VgRprHXL2dQtbzGqysbrhlvYPOn9XAgYcrIVpC1vMaeB+sGlGrKWQ9 +73q8R4O3DdtG57VqwEnlz1LaKmQ9r8E2/RTng5vp/F4NPp9P+HpvI51vqsGG +EWPOeG8Qsp7XwGlhQ3iaGp13q8HuZ8e82tcJWc9rEFkYtlB6rZD1vAa3DIdp +K6jQ+bAazLS8P0QDQtbzGthMVzt4ciWdF6yBfseJTXeV6TxVDbZcG5HssJx6 +Xot12QcrvyylntfCI/34w9TF1PNaaLWsS65aRD2vhbV6f3uRAvW8Fj9exvaU +XEA9r8XmOTbCsfOo57UYV2x0efYc6nktDg82e7RsNvW8FhPe+SqoylHPa/G6 +36iD22ZQz2tR1+/L+D2y1PNa9G58dEh/KvW8Fr/3Oioen0w9r8Wfw1zrMxOp +57VQPr3jnNF46nktEmc2FJ4fSz2vxbbnzxNNRlPPa+H5exNMRlLPa3Gs8MdS +YxnqeS0WV90PMJSmntdikojrd0KKel6LyLG8eQZDqOe12Gn4fM6+QdTzWryb +/eH9dknqeS0yrcc6r+9PPa+FVOXHUcoS1POu539Eo//cPtTzWqzdFm00oRf1 +vBY3OZU7BvWgntei5dNFv59/6HxxLeQkNW9UdwhYz+tw6tiiuLSfAtbzOuwF +1zxIROeB6+AcIuvjIBCwntfhZtjHjXd4AtbzOixI27DreLuA9bwOQ8bZZ29q +FbCe1yHq4JHwOc0C1vM6zG3TlBnUKGA9r8OMZ4Nz6usErOddv2+35m9MjYD1 +vA5FHs9tHbkC1vM6LKvysrxUSeez61DpN7l+W7mA9bwOQ1Meec0oFbCe10F3 +kU36ryI6T10HyxGfdVIKBKzndShIe7jCPk/Ael6HSyVu5qdz6Pxz1+tPvj1F +OUvAet719xf6TuiXIWA9r8M8ByfjjFQ6b16HJpvCKa+SBazndag/mj7jYKKA +9bwO6ruF5jPiBazndViiHzKvIUbAel4HfyXLed5RAtbzrt/fkHDtVISA9bwO +02wKJ8hz6Dx6HQQe4yTrQgWs53VY51+t4hIsYD2vw5OlD6P2BdH58TpULNZ/ +KBMoYD2vR9/OD28TAwSs5/XIkA/7fs2fznvXo+NZkqXCBwHreT0SV402qPQW +sJ7X4+66oiu2ngLW83p8zFifteq9gPW8Hj0HPzjc4CZgPa/H4AEZCk9dBKzn +9cgOUFqywknAel6P9pjas5X2Atbzetj6t5fdfSNgPa+HvO2pG7Ne0fn7enwf +cVQr0U7Ael6PTpMGrePPBKzn9Vi155e5xBM6L1+PIclP851sBKzn9Xg19eMu +ZWsB63k9mlrX/c20Im9Qj8/NyxOPWQhYz+uRUm/65fddAet5PfQ+lkVb3yZf +UI+CnpvbJt4UsJ7Xw+SE7VKf6wLW83rYqFx7sfyqgPW8Hq8tC6WizQSs5/WI +rjhqv/migPW8HluPVKzKMhGwnnf9fdeO1p3G5A3q8f66wYfCswLW83qkjY0y +23tGwHre9fwiv2gUniQf0IBr2UXyO48LWM8bcNIjYlDmEQHreQOuX+a3bjQQ +sJ43IGrrj8yIgwLW8wYsy1cPWKIrYD1vQJDBAWuPfQLW867H57gcHLtHwHre +AM3R5rMtdgpYzxuwvl6zRqAtYD1vgEKx4WO97QLW8wa8+SA3J0FDwHreANNx +UX7ztwhYzxuw7fPVCU83kq9owJxsa0PhegHreQN+G8u66qgKWM8b4DPaIOjT +GvIQDUj0O+EhtVrAet6AcNPN50+sFLCeN+B9nszISCXyJQ2I3RhrNWqZgPW8 +AXUrd2WeWCxgPW/AmSKXmpCF5EkaoJR/KkZygYD1vAHT5M6c3DlXwHreAJlh +e3KcZgtYzxsgHFr4t36mgPW8Aeph1rXzpwtYzxtwyH+ohfFUAet5A/Ycayz/ +NIk8SQNeTHdv5I0XsJ43YGxeuNuCsQLW80Zo/nAdeHIU+Y9GBJyPHOMiI2A9 +b4TQ4kJy/jAB63kj6j1GTh40VMB63oi/e1ukVg4SsJ434uZEvD45QMB63ohT +61eH2EkIWM8b0cCdeDqit4D1vBF33/7xrushYD1vRF8b/oXBnXzW80aULxwW +N7+Dz3reiEuLdF5q/OCznjeiWTuk/pSAz3reCMetcz/d+85nPW/ESc+HfIdW +Put51/dz/vjucxOf9bwRI/4eiY2v57OeN8KUd1yjoIbPet6IpR1nVtZW8VnP +GxEZOOHB93I+63kjUuOGLe0o4bOeN+KyT+HynkV81vNGLHDseNQnn8963ogV +pxsV++bwWc8bsXC7jGzvTD7reSOk87N2dabyWc8bscTXLFWYxGc9b8SAO5vN +m+L5rOeNeFF3/XhZDJ/1vOv9GLvqTlokn/W8EVtanFNDOXzW8yZsdAhd7R7K +Zz1vQrSvVa71Vz7reRNSQ3pZGQfyWc+bcHW/xO4dAXzW8yaE/j6lrOjHZz1v +wiDfzjlSPnzW8ybsGGgiV+/BZz1vQvDgy3Kcd3zW8yZY1XrPfOzCZz1vwuZS +u8n6jnzW8ybUyAYPnv+Wz3rehP6BN+t/vCTv1oTIeZq+nOd81vMmHPEw0bn1 +hM963oSwFwuK19qQf2vCY5ePy3s+5LOeN8HXfPzxEAs+63kT1mddMzC6Sx6u +CT/6FU6fcYvPet4EtYUT3XOv81nPm5DSMLvy1hXycU2YOC8tfe4lPut5E/RW +JJzKNuGznjeBcyn2g6kRn/W8CYkah56MMuSznne9n0Plh306yWc9b0J+jt3U +Lcf4rOdNyDktHVFpwGc9b8KdZd+rzx/ks543wV/6qmXvA3zW8yZorqx1t97D +Zz1vwsz1WktH7uSznjcjW5Sh8EqLz3reDLMV+jZjt/FZz5shGtG+yW4zn/W8 +GVn3d++XUueznjej3Nog7I4qn/W8GZ6SCQYiFT7reTM+hspvPryKz3reDAul +SafSlPis582IqJ0Wtngpn/W8GWFt7ktfLOKznjdDa32/bOF8Put5M5Y4WVlq +zuGznjdD5uIprfdyfNbzZqwbmDajQ5bPet6MMv3Y3+pT+KznzZDS1kp5OoHP +et6MDXcNbIrG8FnPmzH8Uu7KiSP5rOfNkLQ0TtknzWc9b8bxX7wldkP4rOfN +cOX9OZciyWc9b8YCy+kX/krwWc+bYRfWpDS3N5/1vBnB56O+6PzlsZ43o/F8 +P+7lDh7reTO2be/z5Y2Ix3rejM87eTO/8nis5814fqhjbkYrj/W8GbFfFnzj +NvJYz5sREvQ0h1fLYz3v+v0XIw53VvFYz1swo/Hh4T7lPNbzFpSPiU7pW8xj +PW+Bd9Dxp73yeaznLRjmO9bvVxaP9bwFobP3jWpJ47Get8Dv8OtvxUk81vMW +bJWe4xwbx2M9b8HGW2ofvaJ4rOctMDjZt9aKw2M9b8Gg2YeWHA3hsZ634Jf1 +kucrv/BYz1sw+Pe830MCeKznLVCLT9tf5MtjPW/B64gTfs6ePNbzFnx3HVNv +8I7Het6CzfsCf09x5rGet2BCYkZZwVse63kLFEvf333wksd63oJAl1HVy5/x +WM9bsKrOoqXChsd63oKzA+qf3X7AYz3vej9076ZMuc9jPW+BjlPPe19v8VjP +WzCgQS5g03Ue63kL5q9OQ74Zj/W8BQ6lj4frXuCxnreg5VDF0PJzPNbzFsx9 +uV9q72ke63kLZm7Sqk87xmM9b0FsR+DhVQY81vMWqBdZrHuny2M9b0XT+ROL +++3lsZ63YlxYr2w9HR7reSt+bCp3+qjJYz1vxbzxgzo7NvNYz1uhvEd3zIoN +PNbzVoyp6V1zbi2P9bwVhsMd/r5exWM9b8XNHr3vBy3nsZ63wlVqU3u0Io/1 +vBVrjN++D5vPYz1vxTq9bRZvZ/NYz1uxeP3c2n3Teaznrfg6MDuydRKP9bwV ++aIvY9eM5bGet0IYYaAxX4bHet4K7SLZJ8cH81jPW7F/RfGIEX15rOet2NHT ++uHU79855LMd/XqlusnwQD67U8p6yQHud5DPHj04Kc8n/DvIZ5snPzp50f47 +yGdv5NxRjrpBf/4ilutHnLI8+h3ks4NOKbou0qC/b44TDzdo7ln2HeSzh14r +eRU0lR7vNqZEv3k3bsh3kM/+9n77sP2/2kE++9KvD/11a9tBPvutc1XOgJx2 +kM9u29ELG6PaQT67JbHmpNTHdpDPfmLYMFHDqR3ksytLf8p22LSDfPZhXY1G +yRvtIJ8tO9to/oVz7SCfvbw3P2KxfjvIZ+9KyNRZq9UO8tkiZb7uy3XtIJ+t +dFq5deESev4vIB1/wn6gXDvIZ489euPypLH0el7h67mXP04Magf5bAl1q9KG +zjaQz561LlblTXsbyGd/DWu7eIXbBvLZZ0N+yljktYF8tnLDrkJOYhvIZ/fw +Wh8yMbwN5LM/eMVnuvi3gXz2hMK9elvc2kA+e/OfNdFjXraBfPaFA6uv9HvY +BvLZg3+GTh5xow3ks19Uq+mrmLSBfPbMLXv7WR1vA/nsH1vONbTtbwP5bF7r +ih/ntreBfPZM36nzBq9vA/ns1So5LyKU20A+23rjaC3rBW0gn22m7nLbaHob +yGePaZl88PTYNpDPLj22fua1oW0gn30wVWKya582kM9eM+K5chivFeSztY7Z +i3aXtoJ89ia/HsoTElpBPrtVvrio76dWkM+eOedRuLRDK8hn75wdl73GshXk +s/vFu45/ZtIK8tkLQtsd+h9sBfnsRc1HjN9sbgX57AuzegZqLGsF+exZEw7Z +TJFtBflso41rFYdJtYJ89uxGbtGE3y0gn70x4lWCWl0LyGcvF2xfb5XdAvLZ +O5bJv2vomsvksy0vDFpl4NMC8tn+xltOi162gHz2h4i9L1zutoB89mrLE7OO +GbeAfPaWTzNs1um1gHx2qMhQZVlX18hnV10Z+WWNUgvIZ4f7vDE8NLMF5LMn +1f5uftnVXfLZ+zlGX6t7toB8tpn9+v1qrc0gn+163PlYSHEzyGdvG7DnzLqu +PYJ8dtKzxD4VX7r2NOazHX55x9i4NYN89m9u2xatJ80gn12R/WKlXNdeRD67 +U/7F0qGGzSCfrTI6oKLf/maQz76vpMcdtqkZ5LOtm7wa5ixvBvns5QMnOO+a +2Qzy2dfmvA5+OqIZ5LN/z9KpKe/dDPLZvRp9C1Z8bwL5bJ09Uza9L28C+eyz +JvN5U9OaQD57lcGWB95hTSCf/dQiNXNd195NPjvgatbtxldNIJ89u3qZvqNF +E8hn6466N+fQxSaQz+ber3y36GgTyGebtvx+NkynCeSzjwzp9+33uiaQz3ZY +4tLEX9QE8tnHtuZzf05tAvns9Zf7Gg+UbgL57MWaufqzejaBfLbj3aU3tNoa +QT573/TNbyy6/h1HPrtW4dCtpK5/95HPbo9slhjT9e9E8tnbb5n8OOfTCPLZ +414+mZ37phHks5821Z5VfdAI8tnnE6UcOZcbQT47uNj54bqTjSCfLTSZIpW9 +pxHks3vIizpPb2wE+exNX84slFZqBPlsbTnvW99mNYJ8Nmfzu+SLYxpBPruP +weQ6pQGNIJ9tP9DVX+JnA8hnNx253Le4rgHks8dc9/oWnN8A8tmG+S3fnOMb +QD47TsmP+ySoAeSz/Tz7DrR+3wDy2aq2XsNtXzSAfPZtqR5lb+43gHz29pud +W/wvNoB8NiYrr0k91gDy2bszS9/ydjWAfLbONJe1U9QbQD7bZlfqyJ3LG0A+ +W817fsfTWQ0gnx3+flxO4ZgGkM+ucz5mISfZAPLZilon/1z9VQ/y2SeseCOL +GupBPlujv3ooiupBPvv3frlsr6R6kM8u/cNRnRRaD/LZW3b4/HjpXQ/y2Zm9 +f2WPe1sP8tkz5MZEuT6sB/nsQVFcL8Vr9SCfPX/+64tJZ+pBPlvZp1j6uG49 +yGfr9fYwGLytHuSzn83fvytodT3IZxfI++cfV6gH+eyMqM/pU6bWg3z26MuZ +8uXS9SCf7X0rKse1dz3IZ+dF2QUb8utAPluq0Td2dXUdyGerPvtSMyq3DmKf +fcWnnyC2DuSzp37OG5n/pQ7ks6d3xvaMeF8H8tn7ZbQDP7ysA/ls7mj7qa6W +dSCfvaXHgMX2l+tAPtt68Irit6fqQD47bOfkfi7760A+e9OeMlefrXUgn33o +UYVrGOpAPnuQTIowc0EdyGdH7Lht2TylDuSzFyXIbhs8vA7ks8s8+i5b2KcO +5LMVIwMX7hPUgnx2jxV/F1jV1IJ8duXF4mmcvFqQzzb5bv5bFF8L8tl9p2p5 +Lw6uBfnsW4ZNshe9akE++8bM0bs4b2pBPrvfxcylA61rQT5bYLw+cO/1WpDP +zhmfGeN3thbks0uNOnZJ6teCfLbQ8pL+Ma1akM+29JyXk7iuFuSzBxyXc1VY +Ugvy2RW59pFvZtaCfPY3D960QWNqQT677xn/kOuStSCfvSDM8qqoowbks+Uv +7d5v3FwD8tkbBk3U4JXWgHx2lk+nmml6Dchny3ipLf8bUQPy2Y6fDo+1CqgB ++eyC+a2lY91qQD77xoOXl32f14B89ir7ldWq92tAPvvHzxypiks1IJ/dqHON +d/1kDchn6/OMbk7dXwPy2W2/hwbEb60B+ewJv9PNjFbXgHy23tptaZMW1oB8 +9v6Dau/Sp9WAfPb65xaC2yNqQD572+bBASv61YB89tgnFdmiH9Ugn93ffqFm +YEM1yGcfU90w8WJxNchn61jeWbgytRrks7UmHLvd91s1yGefqr0nmeFfDfLZ +wmfPQxxcqkE+O1RO1/rcs2qQz350M+aS2r1qkM9e/jvm/MRL1SCf7fPz/IWf +J6pBPtv0RqdJ3r5qkM/eket2ImhrNchnc6VjNr1ZXQ3y2afjkqVuLawG+ew3 +L9f6n5KtBvlslZoY2d0jq0E+u86g76EN/atBPntXSvwhpV9ckM8uuNY4cX4T +F+SzVWbtfzCjlAvy2RGmU1wnp3NBPntbmMaBCZFckM9OdZYOGP+JC/LZXrnu +rya+44J89oJw3cHTXnBBPnut3Nvesy25IJ/t1TPHZNEVLshnx0ha78MZLshn +v7fW89uixwX5bPdxwuMHtnNBPnvOygE3z63jgnx2w5LD7XeXcEE+WzOi2s9e +jgvy2epxF74GjeWCfPaM6TJ9cgZxQT47+ViCBa+zCuSzJZ74r5VprwL57N8F +wtlLq6pAPjvuVOayfTlVIJ89ZdmDI7fiqkA+W9bbzMf7axXIZ8vc6tUv36sK +5LMXWDw/1de+CuSzzQ9/yVO0qQL57LeHeCpHblaBfLbRqhrXl+erQD67onHZ +r9QjVSCffeC4k7LE7iqQzz5sUamPTVUgn50VkXTcbGUVyGeP6Vi28cv8KpDP +VtWT7hRMqQL57IL5m68vkakC+WyjhzVxFyWqQD57iXZzRuiPSpDP7tNgater +sRLks48M95DeWFIJ8tkr4n1XPkmrBPnsqQMipUsjKkE+++bnGQ9mf6oE+Ww9 +fXnXi+8qQT47QWHWjvgXlSCf/d3z1ssxVpUgn817/P7kqauVIJ89N3Zo7DfD +SpDPVpNWdhmpXwny2fFSgX9Oa1eCfPbmXiPTY9UqQT5bzfOnzJTllSCfvUd+ +QNwV+UqQz17LFZQXTKgE+ex1W8z2L5OqBPns6bm7l77oVQny2T7Resd/8itA +PttnuEHL3toKkM++tl0lglNQAfLZEndKi2WTK0A+e1Gf2SuswitAPltwYGzh +d78KkM92U/b13+tSAfLZTcubwmKeVYB8tnRO5c8F9ytAPjtC7b3eW7MKkM9W +PLGnWfJ0BchnZztMfH1JtwLks1f4Tzlcr1kB8tnOn+3U9qyrAPns98IQpeQl +FSCfbZuTvgKzKkA+O8dv0oaAcRUgnz0s8cdOuSEVIJ+tOyfgqH2PCpDP/v3Y +22gErxzks7W2qZ9/WF0O8tl7FvOPSuSXg3y22qWJ6uaJ5SCf/coJwztCy0E+ ++8qJnIgLH8pBPvvEh0PbeE7lIJ+9RPt88Nmn5SCfrT3PrqPlbjnIZ59vOTj0 +zKVykM8+Inm2vflkOchnb3LXf3vmQDnIZw++XT6kbVs5yGdP5j5TMVpbDvLZ +d8wUFQSLy0E+e9QO44KLcuUgnz0yfsiSP2PLQT775+74NTcHl4N89vUPRzr6 +9SgH+ewfrSe+jK8uA/nsggBJzuzEMpDPNuPs7Kv0oQzks30SJG5ufFoG8tkh +fXPn7LtUBvLZRzsf/DlzoAzks7XO/W68ubYM5LMrZne22smVgXz2pcrzPX0H +l4F89upRm0fHfC8F+WzFZtM5JXmlIJ8dKClYLAwtBflsowvxc6ScS0E+28fk +b/9/zoh89p8THyLVTpWCfLbWZ4HmIc1SkM82fCTyNV9SCvLZHq0VGfbjSkE+ +e+Kx+o9hPUpBPluu38aNJdUlIJ+9ctl6mz+JJSCfPdpN/upEvxKQz8Yo9QGr +n5WAfHb27h9z9M1KQD6bM+5l+W3dEpDPnpBpO/79uhKQzx78WqMgaVYJyGd/ +naw2tG1ICchnf7nSGijDLwb5bO9xyfHLC4pBPlvJSX+lbngxyGcrJ2PwHZdi +kM9W3Ctc4HW/GOSzx7dPdMo4XQzy2bq/dur82F4M8tkTHquqT1pWDPLZQUdt +jdQmFIN89jPXhsTTvYpBPntSZt9Nz2qLQD570Lc3jaHJRSCfnX/ijBvXvwjk +s80qV50bZFcE8tl7FfLWK14pAvnsS2v7Tt13sAjkszNSXv+4pVYE8tkti42i +veSLQD477JLBtSypIpDPthylNalDUAjy2UuFUx2nFhWCfLbrlrCf6t8KQT57 +3aOh08+5FYJ8ds3WgeNeWBaCfPZH+XfZ4YaFIJ+9/mvBxmrtQpDPljnucmGg +UiHIZ+cb99FUmFQI8tmbo3pm6fQpBPlsUZGL4HJ9AchnL44T+jumFoB89q4/ +A3rGBBSAfPZfjbaiuhcFIJ/9MJujPOhaAchnR6fajpl/qADksx+9vnlGc0MB +yGfXvPZfZDy3AOSzyyap6D+VLgD57EJNHd4nUT7IZ+dNGVOeXZwP8tk1Cz5N +4Ufkg3x2RKi2v7R7PshnX7GafX/Bg3yQz96Xd9Rhy7l8kM9OUFJpO66TD/LZ ++980G99Rzgf5bJlx76Y5dr0u8tnPf7n9+No3H+Sz3z6a3ZTZkAfy2efmGIsa +0vJAPvvh4IjhvT7ngXz2JrWdSqNf5YF8dqCPmcHc63kgn22YdM5KxSAP5LN9 +o2+/11bPA/lsLfU/n47MywP57OE3ZniaDs8D+ezgH5tv3PuRC/LZNx6FL3pe +kgvy2RcNEoNdInNBPrtv9Lehfl33CfnsCyN48iEPckE+2yM+oH/MuVyQzw5Z +q+OQ0nWfks/OT59Xn62cC/LZ0g8elxVOzgX57A2aeZfL+uaCfPZkT60vlQ05 +IJ8tHXrAipuWA/LZ15Zsa+d+ygH57JTV5pVVL3NAPtvz2PpdFddyQD57pU3n +ppJDOSCfbVLcGZi3IQfks4+4OD1On5sD8tnue1bnx0nngHw2547ijTBRNshn +O7rm3fUvzgb57OlTb1W6RGSDfLbDGpuHT99lg3z2EeldN251dYd8tqn2oi9n +z2aDfPbwc2Zy+3Zkg3z2SYM7qapK2SCfvc3Hy3nupGyQz65TXmc/vE82yGef +0HMLFtVlgXx2xVfZ9oKULJDPbpYdpRz8MQvks208021f2GWBfLbwjGvL+StZ +IJ+90ZG7UeNgFshn3zxc6TBTLQvks5elNNf8mZ0F8tlXQ3eMyRyaBfLZPiVH +F7jyM0E+e+Ojs9PPF2SCfHZ6ZnC7SngmyGc/ULaxHuySCfLZhiXLBLn3MkE+ +u1a5Y6L9qUyQz/ZYNr7vIc1MkM++36vMcfqSTJDPVupzp7F6bCbIZ8/I2lLq +8jcD5LNnTjQ10uVmgHz2pjcrnEYnZIB8tnVH3qE0nwyQz36aZh5w63EGyGfv +MD9lsdg0A+SzY5ellnL3ZoB89vWcXG/b1Rkgn62T9alJeXoGyGe3rHxqVzUg +A+Sz19vbv7vfkg7y2avqf0nLZ6WDfHa/Myk5iV/SQT77VfTSuqNv0kE+e1To +rrW9bqSDfPZ09c3lLw+ng3y28nn5oHkb00E+m7t+UPS3eekgny0K7/lHY3g6 +yGdfOrw0KKg1DeSzJz9O+ZQUlAby2dnR+RX5N9JAPjti6GGF8o1pIJ+94KPp +s4rhaSCfvZU/pEdJcSrIZ8f1mnoo0y0V5LO3Jzr6RZxJBfns/lnGhZ5LU0E+ ++/24K5kPe6SCfLYP/7HliYQUkM9eV2TBX/04BeSzx2rP7jVsbwrIZ/+NWexW +OC0F5LNPaR9Oe9uUDPLZmV+PG+35nAzy2XFTGq9LXUsG+WyJ3hb1HLVkkM9W ++VngeGxoMshnR9mftJPMTwL57PrDkeFujkkgn33CaHd/peNJIJ99yMj5cJxC +EshnrzPtG7/lVyLIZ5uklk9OiUwE+ez8gvsHVa0SQT5bom795UCtRJDPPjTo +gO7k8Ykgn90q7PH3JjcB5LMrkvZuKvVOAPlsyQ7rxQtNEkA+++dIP99rKxNA +PpvrleAe1TcB5LM1RxYN65kaD/LZV3bnlyx5Hg/y2RYCj98GB+JBPvtg2Bxd +qxnxIJ+9z1tB6NESB/LZb0OufvwWGAfy2bO/JNxOuxYH8tlh0pH7c9XiQD57 +W7jEvJwhcSCfrf1rdk1SbizIZ3vKf74QbB8L8tlrPkxMdzwSC/LZl32yKq/N +iwX57EnNCx20hTEgny377qFgSngMyGdLaM/MrbkTA/LZpfcWK7hsiQH57JHB +ZUKdETEgn508DqN6lUSDfHb50QM3XV2jQT77bcByuVWnokE+WxrhP1IXRYN8 +dh/VxCqdjiiQz7ZInFOQExkF8tnKZ9ziN1lGgXz20KVcpyDNKJDPjta30B4/ +Jgrks5sXTU+/UB4J8tkvOEv7xLtHgnz27eAF5UMNI0E+m69qqLV1SSTIZw8N +Vdly608EyGcXXa4O9Y2OAPnsr5/u2qZbRYB89nWF1cF12yNAPnv4tHEzRGMi +QD77RcOQ6I7ybyCfPbqqxy2h+zeQz46ZHa1Ze+YbyGd/ODlmUuribyCfPXDD +/oAdPzkgn+1btLL8110OyGe/fMB1spLhgHx20nulnH7O4SCfvfNAr52G88NB +PjtELbd3ZGgYyGfLtcsF/VUPA/ns9yfXbZPLCwX57IVJ6u+VDUJBPvv5xwVv +lreHgHw28mP7Tb0WAvLZeZYfYvmSISCf7a146JO/XTDIZ7eUzPPeJRsM8tle +Poss6v2+gny2zfEjMwxWfgX57ON86wPxCUEgn62dtH7QSJ0gkM/2vPFnwJbK +LyCf/Vy5ReH0mS8gn609c9aBC78CQT57oODhsWN3A0E+W3tL8uy10oEgn62m +duiahP1nkM+enue44uOszyCf/Xij0yq1z59APlv1w9b9kas/gXy2+nq9czOS +A0A+20+wW8toZwDIZ4d6WhW5VX4E+WyzVKnKb6c/gnx2uEfaqqgf/iCffTvl +YoL3LX+Qz/a/eHf31SH+IJ898bdyrOJLP5DP/jnerSZzmh/IZ+c0zJ72Yd8H +kM/+/k5D+c45X5DP1pxzOXLmXR+Qz7Yd9tvg+StvkM+uvOiVmu7rBfLZyziN +nrmRniCfHT3T3dU91wPks+/d2npkbcN7kM/un5P30uOPO8hnD2oLrSuQcgf5 +bPURvzqypr0D+eweloOu2C1xA/lsQ/l2KVl1V5DPHtg5Vf/SXheQzz4R9HKk +3WlnkM8uUo/PvnLdCeSzb+17vWa2rSPIZw961yq5StUB5LPlbxnd/nz0Lchn +R0TrKQVbvAb5bEvJtec3eb0E+WwTwZ2Lx5LtQD47mHfhgVTzM5DP7tdbhb9m +8FOQz3Y8Vv+9c85jkM9W092cuHazDchnm5osujAl9wHIZ5v1dBmQKG0B8tlX +WsyTrm+9A/LZtzV+LlaxuAHy2fcO3Lsx5u5lkM9WzV4aGSEyBvls55jGGW2p +x0A+W+HrZZ1qbS2Qzy7JsytvEqmKfXanxoI8XU8Dsc9Wujo7Xq/5nNhnW5Zq +H3ly75LYZ4cc7mlebWsu9tn7Rm040ffgbbHP/u53SkZe4b7YZ/cMcJu2q9cD +sc/uOesXrLMfiX32zz+ylrVZtmKffWiB/Mwh4U/EPttK4XBayPtnYp+tFuu0 +r/2Jndhnp2rP9fxw/aXYZ7ftyH0qOvla7LMP57T/Stj1VuyzTXrqhc5UcxD7 +bLnDG0r6v3IU++w3G9adWmfrJPbZLcZGf2LvO4t99hC/tt625i5in622xJfn +fNFV7LN5cmdU/px1E/vsOplEfcfj78Q++9VlxdQH+u5in73sSMevyL3vxT67 +rOemg2t3eIh99hDVBWZDNDzFPvuq/WuOrLqX2Gdb+BzyvrvWW+yzD/bZEKq4 +ykfsswfzeSfnL/cV++zn+j2Xmyp+EPvsTzl/tkmM9hP7bClVheE/nvmJffaf +ezrThsn4i3123+0zIjUf+4t99pJ++QuCpT6Kffbu9dP8Nz76KPbZyddU7vQe +EiD22UuutrZyHwSIffZgaUPF1oGfxD57/of7z6dafRL7bPNhD/dcl/ws9tkZ +rToxfS0/i332QL/N4wMHBIp9dtZUfTcbi0Cxzw5QHev+bMAXsc8WDrQ4FmPx +ReyzN8zboTBZMkjss9EzWP2dZZDYZ7dtt/i1c+BXsc/205j7cPGDr2KfPeqM +5yYMDhb7bDN9J3MT62Cxz24do2maPzRE7LP7h724fMw2ROyzDwb/LJg+PFTs +sxcUvCyTehYq9tnftVQLZ40KE/vsQx1nR597GSb22XUHV9TXjAsX+2yroeff +WNmHi312WPiTm7pTOGKf3cc5vknflSP22XsKLo1MGv5N7LOv9slNvLLjm9hn +O9lPDlOz+yb22U71B0bNKvgm9tkn8/i9ZcdFiH22rvo7n6X7I8Q+O1X7BQwc +IsQ+++2D7dUeFRFin71827fE/rKRYp9dNmnh+BtHIsU++6jwgMRwj0ixz3Z7 +fzgwvDFS7LPPbUzdfXtelNhnP3hpNdHgXJTYZ+ue+b3wwKcosc9OXNgcYySK +EvvsUbovJZyVosU+e/4fb9mmq9Fin71wodo2zYhosc9+lir8mtEnRuyzC7kx +j09viBH77AlHFQZNfxAj9tmmSfN3/UiLEfvsS18UPGqHx4p9dvzHaQt5O2PF +PntkheGMcW9ixT77QdTfr7rlsWKfndVz1ZQI2Tixz65QGm2L43Fin+1f0gNF +PnFinz1Msl3v6fc4sc/+eOnE3JNL48U+2+7cpSLdK/Finz01NNDZKCJe7LNL +vdRDXCQSxD57+cyG/bxNCWKfrR1WGXXQNkHss+dGS89szU0Q++wl5m0Rr8cn +in221w6rtKP6iWKfHdzb2krrfaLYZ6fXr16o25Io9tnTdj7oYaWYJPbZidxf +i/LMksQ++25hiOS6iCSxzza3d4vK6Jcs9tlLFyyzN9+aLPbZ6b4OhdueJYt9 +9rDGwK9ripPFPht9Ja/qTEv5v88eVXDkwYkUsc/e2jA2mOufIvbZJiHXg3V/ +poh99gTJ895/VqeKfTbPZFpO+P1Usc8+43HZzCU9VeyzlbfPr/IanSb22WEz +v+rk66WJfXb93wMTZnmkiX12zHdFc/v2NLHPvqRmYzB+QrrYZ3/tf2bKFZV0 +sc9+Wn3lTcvhdLHPHvOF12FsmS722aXRF48M+pAu9tnyd6b1DspKF/vs0CPX +fl74kS722XNc7p9Tn5Ah9tlqY4OezF+TIfbZ55d03J91NEPss08XBpstfZAh +9tnHdhU/3u2fIfbZvY2jOh7lZoh99qBj2uWFHRlin32hr/Ck0pRMsc92jX+S +6KuWKfbZ6v0d5ZadyhT77AmzRgbk2maKfbZLyRU7yy+ZYp+tfK6ut3ZJpthn +R0/8M2NR7yyxz5Yxbp8vNytL7LPNQ2y2LtLIEvtsjvnKAG2TLLHPvjiEb/3g +dZbYZ/v22zK8KCJL7LOP9zc3Wl2XJfbZVdpHykKHZIt99qXnVx9uW5wt9tmJ +7xr8OvZmi332quNXroXfzBb7bJ0AX/mXHtlinz1inU+LVXq22GdzE3U6n4uy +xT57aSceB0/MEftsg8jAyv+1d+//UCd6HIBtETUh6aKiVFPKJakkQvdSKolu +yEZoTkg2urclJ6m2LUnqVFiHkEWXzTrdtGpLxWrDjBnM/YYxM9+JLV20v733 +Hzg/fv6Q5/X8tawZPtsk4vdpq+Ob4bM9/nTPuX+xGT57llXWkcUPm+Gz0zPm +DpDLmuGzU+94cHJZXPjsgcGpmqTZXPhsv/3NdVGhXPhsh4+PVySkcuGzjTaV +XLtYyoXPNjkrYDU3cuGzPS0OcGd+5sJn59ee8y1m8+Cz5y0dfnL+Gh589keu ++F1nMg8++3dd3cvKHB589tb919fmvuDBZy8KsH5ZoOfBZz/ndx97MaYFPtu2 +QvfYdEkLfHZVmKwuOq4FPrt+aV6vJKsFPntrtuWJw9Ut8NlnYtxq3Tta4LNr +uBPNLaz58NmbY8f/18SHD58duvuMwHYHHz578vE+4+AMPnx25Gyn5NIHfPjs +8Ldjj05W8uGzh6w12v9wmAA+u2r+psq98wXw2Xb98oz1MQL4bI5F9fZ1GQL4 +bGdOYNruhwL4bK1N9Ia7KgF8tkXP1BljrFvhs5nYGQn5vq3w2eyMf+8P2NkK +nx03r7TU/lIrfHaSX0HsqJpW+OwJM/ts3bSt8NkL02qmJI5tg88ubKzo5i5v +g88OSbcURu5pg88eZceLGJnXBp99M6JUoa1rg8/e16fm6fra4LPrB5UV2zi0 +w2e7DhK+/FdwO3z2LsGcCllKO3z2lSHL/0iraIfP/mXWmZyg9nb4bPfiuCur +WEL47BVB/l5xnkL47NpHp/urdgjhs423XI12uySEz3b6ZMw0PxPCZ+/LSmQX +vRPCZxuzq04UThLBZ69qUV37M1AEn+11NKjHKUUEny2afN3m3i0RfHaK7rfL +8WIRfPZItvVAJzMxfLb76MCDHvZi+Ozc7uVHwuaJ4bMTA3Zs/s86MXx2hdF3 +WxiOGD77yYWDDREpYvjsAx66IZorYvhsi8rcrefviOGz2cefjQ14LYbPXtVr +kj1NLobPHlyaOs3uixg+u/Jr61SXURL4bNs7s7s2u0rgs4cV+7zJ85PAZyub +F88yiZTAZ9/yc0xIOySBz54elKJkZ0ngs79pT+0Tl0vgs92b2KwHtRL47OFP +Rh27K5XAZ/smNz1+9VkCnz2js8T1m9FS+GxPp0fem9yk8Nkr4kevr/eXwmcP +9y1v3x4jhc/OfxMXMC5FCp+dGeUzpueqFD474fnwCm2lFD7bR8Wksd5K4bOt +Tn2y8e+Wwmf79CeLysxk8NnRH7gb57Bl8NmxUfVfhAtk8Nn8AP8N5aEy+OzB +TefE+ftk8NncAW5THmXK4LNXv5lS+qVCBp89q6fhc1SdDD5b9Mik6J1aBp/t +2DTO86aJHD47R6BdemqSHD47p0cTnrFADp89efyE8U/D5PDZiwNn2U44KIfP +vrDrWG9hthw+u6bdZuXme3L47AJBbdXcRjl8Ni9icsciRg6fPa4iPeWgpQI+ +29jXxVniooDPPuJtf2nvagV8tjosMGF+rAI+27kna+fM0wr47Llry3w2lijg +s3+YuPSnsloFfHa62ft1HmoFfHaePIjVY6qEz/7ZXJAhd1DCZ1907ise7KeE +z9Zet7CJ4ijhsx3nRpT0pCvhsxN9xjk+LFHCZ5vtXbzzwSslfPbXT2Xe77qU +8NldtX17o8xV8Nnin/Yxlq4q+OyhbnYn/1qngs8eZpowcsweFXz2aS/O4f1Z +Kvhsx43hOSOrVPDZrAWSbxmBCj5bee9S0dB+FXz2Z3OrcM5ENXx2+M958SbL +1PDZ1vwJNXKOGj7bNbLKz/SsGj57UVq+Lv62Gj477Xxb4RiuGj7b7IQghPVJ +DZ+tcHHpX2LfAZ89sH7C0WfLOuCzY274152N7YDPdjtp35iX0QGfPSks4mj/ +rx3w2f/jZd4vEnbAZ68YERl73aQTPtticHeS2LkTPvv6BYvKxOBO+OzsylNm +6w93wmeb3XZYeLygEz57qO+T6QPrO+Gzv012Ot3c2wmfnbRrnN3H8V3w2U5P +WzMT/brgs2/KezK9v+uCz2asbt8LvdYFn33jyrHjDc+74LP3dnjtucx0wWfP +eeaprbTVwGer6/2XOflp4LOzEw/d7d2jgc++/+FGyKg8DXy2xTHlwrN1Gvjs +6JUP14T0aeCzXapZK45M7YbP7glurO8N6obPblhZGPI0pRs+e4Rrq6eiohs+ +W/7h6MkQYTd8dq6fxnGSuRY+Oz1r2Fsvby18tnsLR5Mfq4XPXhWfVbj5qhY+ +e5BplmjLay18dlX1VeeCT1r4bH5lau0cZx189ky7pgyzrTr47PeeYQNsf9TB +Z+e+3uYQW62Dz+b6hF5h9Dr47LcvCj03WOnhs09VN7D9HPXw2ZatW86vXaKH +z/7ocsgtOkwPn53SEhKbnqyHzy4qb1r5y496+GwHoymH5UV6+Gwn//SAEb/p +4bOTN4lKfAV6+Oyk13HG4e/08NmuRi9i4oYy8Nm7Tewjt09h4LMNGgtzb18G +PttzjZWRbiMDn22azQpKSmDgs4PN2NeenmTgs+2TEvWNuQx89qbpE1VXf2Xg +s89t6821amDgs9d+H/aHo5KBz44XvH/V9pmBzx6fsa3c1toAn83pyz8gmmaA +zy407Qga62uAz1454/vOmvUG+GzL53zWmxgDfPZNZkeF10EDfHbmxTTe1x8M +8NnPLleFsnMN//jsfFHBo1sG+OxMD+n2ITUG+GzTD5wLvEYDfLZPX6XdVN0/ +Ppv+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+m +P5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+m +P5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+m +P5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+m +P5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+m +P5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+m +P5v+bPqz/x9/9t9BbF6S + "], {{{}, {}, {}, {}, {}, {}, + {RGBColor[1, 0, 0], Opacity[0.2], EdgeForm[None], + GraphicsGroupBox[PolygonBox[CompressedData[" +1:eJwl2UMMIFgSANBp27Zt27Zt27Zt27Zt27Ztc6b3dfbw8vNT50opQcN2FdsG +/OeffwKwOdj/37//QAQmCEER+ic4IQhJKEIThrCEIzwRiEgkIhOFqEQjOjGI +SSxiE4e4xCM+CUhIIhKThKQkIzkpSEkqUpOGtKQjPRnISCYyk4WsZCM7OchJ +LnKTh7zkIz8FKEghClOEohSjOCUoSSlKU4aylKM8FahIJSpThapUozo1qEkt +alOHutSjPg1oSCMa04SmNKM5LWhJK1rThra0oz0d6EgnOtOFrnSjOz3oSS96 +04e+9KM/AxjIIAYzhKEMYzgjGMkoRjOGsYxjPBOYyCQmM4WpTGM6M5jJLGYz +h7nMYz4LWMgiFrOEpSxjOStYySpWs4a1rGM9G9jIJjazha1sYzs72MkudrOH +vexjPwc4yCEOc4SjHOM4JzjJKU5zhrOc4zwXuMglLnOFq1zjOje4yS1uc4e7 +3OM+D3jIIx7zhKc84zkveMkrXvOGt7zjPR/4yCc+84WvfOM7P/jJL37zL//x +h7/JH4CABCIwQQhKMIITgpCEIjRhCEs4whOBiEQiMlGISjSiE4OYxCI2cYhL +POKTgIQkIjFJSEoykpOClKQiNWlISzrSk4GMZCIzWchKNrKTg5zkIjd5yEs+ +8lOAghSiMEUoSjGKU4KSlKI0ZShLOcpTgYpUojJVqEo1qlODmtSiNnWoSz3q +04CGNKIxTWhKM5rTgpa0ojVtaEs72tOBjnSiM13oSje604Oe9KI3fehLP/oz +gIEMYjBDGMowhjOCkYxiNGMYyzjGM4GJTGIyU5jKNKYzg5nMYjZzmMs85rOA +hSxiMUtYyjKWs4KVrGI1a1jLOtazgY1sYjNb2Mo2trODnexiN3vYyz72c4CD +HOIwRzjKMY5zgpOc4jRnOMs5znOBi1ziMle4yjWuc4Ob3OI2d7jLPe7zgIc8 +4jFPeMoznvOCl7ziNW94yzve84GPfOIzX/jKN77zg5/84jf/8h9/+Fv4AxCQ +QAQmCEEJRnBCEJJQhCYMYQlHeCIQkUhEJgpRiUZ0YhCTWMQmDnGJR3wSkJBE +JCYJSUlGclKQklSkJg1pSUd6MpCRTGQmC1nJRnZykJNc5CYPeclHfgpQkEIU +pghFKUZxSlCSUpSmDGUpR3kqUJFKVKYKValGdWpQk1rUpg51qUd9GtCQRjSm +CU1pRnNa0JJWtKYNfxu4drSnAx3pRGe60JVudKcHPelFb/rQl370ZwADGcRg +hjCUYQxnBCMZxWjGMJZxjGcCE5nEZKYwlWlMZwYzmcVs5jCXecxnAQtZxGKW +sJRlLGcFK1nFatawlnWsZwMb2cRmtrCVbWxnBzvZxW72sJd97OcABznEYY5w +lGMc5wQnOcVpznCWc5znAhe5xGWucJVrXOcGN7nFbe5wl3vc5wEPecRjnvCU +ZzznBS95xWve8JZ3vOcDH/nEZ77wlW985wc/+cVv/uU//vC36Q9AQAIRmCAE +JRjBCUFIQhGaMIQlHOGJQEQiEZkoRCUa0YlBTGIRmzjEJR7xSUBCEpGYJCQl +GclJQUpSkZo0pCUd6clARjKRmSxkJRvZyUFOcpGbPOQlH/kpQEEKUZgiFKUY +xSlBSUpRmjKUpRzlqUBFKlGZKlSlGtWpQU1qUZs61KUe9WlAQxrRmCY0pRnN +aUFLWtGaNrSlHe3pQEc60ZkudKUb3elBT3rRmz70pR/9GcBABjGYIQxlGMMZ +wUhGMZoxjGUc45nARCYxmSlMZRrTmcFMZjGbOcxlHvNZwEIWsZglLGUZy1nB +SlaxmjWsZR3r2cBGNrGZLWxlG9vZwU52sZs97GUf+znAQQ5xmCMc5RjHOcFJ +TnGaM5zlHOe5wEUucZkrXOUa17nBzUD/n7U3sZENrGcda1nDalaxkhUsZxlL +WcJiFrGQBcxnHnOZw2xmMZMZTGcaU5nCZCYxkQmMZxxjGcNoRjGSEQxnGEMZ +wmAGMZAB9KcffelDb3rRkx50pxtd6UJnOtGRDrSnHW1pQ2ta0ZIWNKcZTWlC +YxrRkAbUpx51qUNtalGTGlSnGlWpQmUqUZEKlKccZSlDaUpRkhIUpxhFKUJh +ClGQAuQnH3nJQ25ykZMcZCcbWclCZjKRkQykJx1pSUNqUpGSFCQnGUlJQmIS +kZAExCcecYlDbGIRkxhEJxpRiUJkIhGRCIQnHGEJQ2hCEZIQBCcYQQlCYAIR +kAD8XRD9CaqW8C+/+cVPfvCdb3zlC5/5xEc+8J53vOUNr3nFS17wnGc85QmP +ecRDHnCfe9zlDre5xU1ucJ1rXOUKl7nERS5wnnOc5QynOcVJTnCcYxzlCIc5 +xEEOsJ997GUPu9nFTnawnW1sZQub2cRGNrCedaxlDatZxUpWsJxlLGUJi1nE +QhYwn3nMZQ6zmcVMZjCdaUxlCpOZxEQmMJ5xjGUMoxnFSEYwnGEMZQiDGcRA +BtCffvSlD73pRU960J1udKULnelERzrQnna0pQ2taUVLWtCcZjSlCY1pREMa +UJ961KUOtalFTWpQnWpUpQqVqURFKlCecpSlDKUpRUlKUJxiFKUIhSlEQQqQ +n3zkJQ+5yUVOcpCdbGQlC5nJREYykJ50pCUNqUlFSlKQnGQkJQmJSURCEhCf +eMQlDrGJRUxiEJ1oRCUKkYlERCIQnnCEJQyhCUVIQhCcYAQlCIEJREAC8HdJ +/CeI/OdffvOLn/zgO9/4yhc+84mPfOA973jLG17zipe84DnPeMoTHvOIhzzg +Pve4yx1uc4ub3OA617jKFS5ziYtc4DznOMsZTnOKk5zgOMc4yhEOc4iDHGA/ ++9jLHnazi53sYDvb2MoWNrOJjWxgPetYyxpWs4qVrGA5y1jKEhaziIUsYD7z +mMscZjOLmcxgOtOYyhQmM4mJTGA84xjLGEYzipGMYDjDGMoQBjOIgQygP/3o +Sx9604ue9KA73ehKFzrTiY50oD3taEsbWtOKlrSgOc1oShMa04iGNKA+9ahL +HWpTi5rUoDrVqEoVKlOJilSgPOUoSxlKU4qSlKA4xShKEQpTiIIUID/5yEse +cpOLnOQgO9nIShYyk4mMZCA96UhLGlKTipSkIDnJSEoSEpOIhCQgPvGISxxi +E4uYxCA60YhKFCITiYhEIDzhCEsYQhOKkIQgOMEIShACE4iABODvoehPYPnP +v/zmFz/5wXe+8ZUvfOYTH/nAe97xlje85hUvecFznvGUJzzmEQ95wH3ucZc7 +3OYWN7nBda5xlStc5hIXucB5znGWM5zmFCc5wXGOcZQjHOYQBznAfvaxlz3s +Zhc72cF2trGVLWxmExvZwHrWsZY1rGYVK1nBcpaxlCUsZhELWcB85jGXOcxm +FjOZwXSmMZUpTGYSE5nAeMYxljGMZhQjGcFwhjGUIQxmEAMZQH/60Zc+9KYX +PelBd7rRlS50phMd6UB72tGWNrSmFS1pQXOa0ZQmNKYRDWlAfepRlzrUphY1 +qUF1qlGVKlSmEhWpQHnKUZYylKYUJSlBcYpRlCIUphAFKUB+8pGXPOQmFznJ +QXaykZUsZCYTGclAetKRljSkJhUpSUFykpGUJCQmEQlJQHziEZc4xCYWMYlB +dKIRlShEJhIRiUB4whGWMIQmFCEJQXCCEZQgBCYQAQnA32PxH3Ptf/zLb37x +kx985xtf+cJnPvGRD7znHW95w2te8ZIXPOcZT3nCYx7xkAfc5x53ucNtbvE/ +B1TYsw== + "]]]}, {}, {}, {}}, {{}, {}, {}, + TagBox[ + {RGBColor[1, 0, 0], PointSize[0.0055000000000000005`], + AbsoluteThickness[2], LineBox[CompressedData[" +1:eJwl12O3GIYSBdAb27Zt27Zt27Zt27Zt27bdxmnD5u2s92GvmT9wBokad6jc +PlBAQMDtIAEBf2pgtAFBCUZwQhCSUIQmDGEJR3giEJFIRCYKUYlGdGIQk1jE +Jg5xiUd8EpCQRCQmCUlJRnJSkJJUpCYNaUlHejKQkUxkJgtZyUZ2cpCTXOQm +D3nJR34KUJBCFKYIRSlGcUpQklKUpgxlKUd5KlCRSlSmClWpRnVqUJNa1KYO +dalHfRrQkEY0pglNaUZzWtCSVrSmDW1pR3s60JFOdKYLXelGd3rQk170pg99 +6Ud/BjCQQQxmCEMZxnBGMJJRjGYMYxnHeCYwkUlMZgpTmcZ0ZjCTWcxmDnOZ +x3wWsJBFLGYJS1nGclawklWsZg1rWcd6NrCRTWxmC1vZxnZ2sJNd7GYPe9nH +fg5wkEMc5ghHOcZxTnCSU5zmDGc5x3kucJFLXOYKV7nGdW5wk1vc5g53ucd9 +HvCQRzzmCU95xnNe8JJXvOYNf/E3b3nHez7wkU985gv/8C9f+cZ3fvCTX/zH +b/6EPxCBCUJQghGcEIQkFKEJQ1jCEZ4IRCQSkYlCVKIRnRjEJBaxiUNc4hGf +BCQkEYlJQlKSkZwUpCQVqUlDWtKRngxkJBOZyUJWspGdHOQkF7nJQ17ykZ8C +FKQQhSlCUYpRnBKUpBSlKUNZylGeClSkEpWpQlWqUZ0a1KQWtalDXepRnwY0 +pBGNaUJTmtGcFrSkFa1pQ1va8Wd4d6AjnehMF7rSje70oCe96E0f+tKP/gxg +IIMYzBCGMozhjGAkoxjNGMYyjvFMYCKTmMwUpjKN6cxgJrOYzRzmMo/5LGAh +i1jMEpayjOWsYCWrWM0a1rKO9WxgI5vYzBa2so3t7GAnu9jNHvayj/0c4CCH +OMwRjnKM45zgJKc4zRnOco7zXOAil7jMFa5yjevc4Ca3uM0d7nKP+zzgIY94 +zBOe8oznvOAlr3jNG/7ib97yjvd84COf+MwX/uFfvvKN7/zgJ7/4j9/8WfyB +CEwQghKM4IQgJKEITRjCEo7wRCAikYhMFKISjejEICaxiE0c4hKP+CQgIYlI +TBKSkozkpCAlqUhNGtKSjvRkICOZyEwWspKN7OQgJ7nITR7yko/8FKAghShM +EYpSjOKUoCSlKE0ZylKO8lSgIpWoTBWqUo3q1KAmtahNHepSj/o0oCGNaEwT +mtKM5rSgJa1oTRva0o72dKAjnehMF7rSje70oCe96E0f+tKP/gxgIIMYzBCG +MozhjGAkoxjNGMYyjvFMYCKTmMwUpjKN6cxgJrOYzRzmMo/5LGAhi1jMEpay +jOWsYCWrWM0a1rKO9WxgI5vYzBa2so3t7GAnu9jNHvayj/0c4CCHOMwRjnKM +45zgJKc4zRnOco7zXOAil7jMFa5yjevc4Ca3uM0d7nKP+zzgIY94zBOe8ozn +vOAlr3jNG/7ib97yjvd84COf+MwX/uFfvvKN7/zgJ7/4j9/8OfoDEZggBCUY +wQlBSEIRmjCEJRzhiUBEIhGZKEQlGtGJQUxiEZs4xCUe8UlAQhKRmCQkJRnJ +SUFKUpGaNKQlHenJQEYykZksZCUb2clBTnKRmzzkJR/5KUBBClGYIhSlGMUp +QUlKUZoylKUc5alARSpRmSpUpRrVqUFNalGbOtSlHvVpQEMa0ZgmNKUZzWlB +S1rRmja0pR3t6UBHOtGZLnSlG93pQU960Zs+9KUf/RnAQAYxmCEMZRjDGcFI +RjGaMYxlHOOZwEQmMZkpTGUa05nBTGYxmznMZR7zWcBCFrGYJSxlGctZwUpW +sZo1rGUd69nARjaxmS1sZRvb2cFOdrGbPexlH/s5wEEOcZgjHOUYxznBSU5x +mjOc5RznucBFLnGZK1zlGte5wU1uBfn/n/0/z7/j8A== + "]]}, + Annotation[#, "Charting`Private`Tag#1"]& ], + TagBox[ + {RGBColor[1, 0, 0], PointSize[0.0055000000000000005`], + AbsoluteThickness[2], LineBox[CompressedData[" +1:eJwN09OCGAYAALBbbdu2bdu2bdu2bds2ttq2bdtdHvIJSdiofaV2/wQEBNwI +HBBwk1vc5g53ucd9HvCQRzzmCU95xnNe8JJXvOYNb3nHez7wkU985gtf+cZ3 +fvCTX/zmD38JCBIQ8A+BCEwQghKM4IQgJKEITRjCEo7wRCAikYhMFKISjejE +ICaxiE0c4hKP+CQgIYlITBKSkozkpCAlqUhNGtKSjvRkICOZyEwWspKN7OQg +J7nITR7yko/8FKAghShMEYpSjOKUoCSlKE0ZylKO8lSgIpWoTBWqUo3q1KAm +tahNHepSj/o0oCGNaEwTmtKM5rSgJa1oTRva0o72dKAjnehMF7rSje70oCe9 +6E0f+tKP/gxgIIMYzBCGMozhjGAkoxjNGMYyjvFMYCKTmMwUpjKN6cxgJrOY +zRzmMo/5LGAhi1jMEpayjOWsYCWrWM0a1rKO9WxgI5vYzBa2so3t7GAnu9jN +Hvayj/38y38c4CCHOMwRjnKM45zgJKc4zRnOco7zXOAil7jMFa5yjevc4Ca3 +uM0d7nKP+zzgIY94zBOe8oznvOAlr3jNG97yjvd84COf+MwXvvKN7/zgJ7/4 +zR/+EhDUfwIRmCAEJRjBCUFIQhGaMIQlHOGJQEQiEZkoRCUa0YlBTGIRmzjE +JR7xSUBCEpGYJCQlGclJQUpSkZo0pCUd6clARjKRmSxkJRvZyUFOcpGbPOQl +H/kpQEEKUZgiFKUYxSlBSUpRmjKUpRzlqUBFKlGZKlSlGtWpQU1qUZs61KUe +9WlAQxrRmCY0pRnNaUFLWtGaNrSlHe3pQEc60ZkudKUb3elBT3rRmz70pR/9 +GcBABjGYIQxlGMMZwUhGMZoxjGUc45nARCYxmSlMZRrTmcFMZjGbOcxlHvNZ +wEIWsZglLGUZy1nBSlaxmjWsZR3r2cBGNrGZLWxlG9vZwU52sZs97GUf+/mX +/zjAQQ5xmCMc5RjHOcFJTnGaM5zlHOe5wEUucZkrXOUa17nBTW5xmzvc5R73 +ecBDHvGYJzzlGc95wUte8Zo3vOUd7/nARz7xmS985Rvf+cFPfvGbP/wlIJj/ +BCIwQQhKMIITgpCEIjRhCEs4whOBiEQiMlGISjSiE4OYxCI2cYhLPOKTgIQk +IjFJSEoykpOClKQiNWlISzrSk4GMZCIzWchKNrKTg5zkIjd5yEs+8lOAghSi +MEUoSjGKU4KSlKI0ZShLOcpTgYpUojJVqEo1qlODmtSiNnWoSz3q04CGNKIx +TWhKM5rTgpa0ojVtaEs72tOBjnSiM13oSje604Oe9KI3fehLP/ozgIEMYjBD +GMowhjOCkYxiNGMYyzjGM4GJTGIyU5jKNKYzg5nMYjZzmMs85rOAhSxiMUtY +yjKWs4KVrGI1a1jLOtazgY1sYjNb2Mo2trODnexiN3vYyz728y//cYCDHOIw +RzjKMY5zgpOc4jRnOMs5znOBi1ziMle4yjWuc4Ob3OI2d7jLPe7zgIc84jFP +eMoznvOCl7ziNW94yzve84GPfOIzX/jKN77zg5/84jd/+EtAcP8JRGCCEJRg +BCcEIQlFaMIQlnCEJwIRiURkohCVaEQnBjGJRWziEJd4xCcBCUlEYpKQlGQk +JwUpSUVq0pCWdKQnAxnJRGaykJVsZCcHOclFbvKQl3zkpwAFKURhilCUYhSn +BCUpRWnKUJZylKcCFalEZapQlWpUpwY1qUVt6lCXetSnAQ1pRGOa0JRmNKcF +LWlFa9rQlna0pwMd6URnutCVbnSnBz3pRW/60Jd+9GcAAxnEYIYwlGEMZwQj +GcVoxjCWcYxnAhOZxGSmMJVpTGcGM5nFbOYwl3nMZwELWcRilrCUZSxnBStZ +xWrWsJZ1rGcDG9nEZrbwP3es92U= + "]]}, + Annotation[#, "Charting`Private`Tag#2"]& ]}}], + {"WolframDynamicHighlight", <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>}], + DynamicBox[(Charting`HighlightActionBox["DynamicHighlight", {}, + Slot["HighlightElements"], + Slot["LayoutOptions"], + Slot["Meta"], + Charting`HighlightActionFunction["DynamicHighlight", + GraphicsComplex[CompressedData[" +1:eJxE23dczf0bx3EzZCXZmxBZkVV4C0VWUrKVZI8oiaxsFSkrs500lUhaR3vv +vddpb2ch8kv35zo//9yPHjid8f1er8vd5zlF33D74V49evQ4NrRHj3///e3R +nrlFfxvWZkvOsm7jcf77Wg/JV2PD9MfxOb+unQg9/+QYrNdq6Doo89nvn4X9 +wztaejp8zmUZ3/UVZufxaNOFbY6n6c9fxNQFUQsO3eRzLtXPUOjvfQWjbi3Q +WPuM/r45jA0kV7i943OUyzQe2p25iXNBM9JTA+nxbuPrEdvq7zF8TrD6oF7y +9+4C+ovKlmbT49/HnlqpRyEVfM7yT/EXwh0soVjzwetZC32/BxBp+p0p+MXn +BE2806gZZA3thsYPzyUE7PvbwOZOmHfhMAHnTfRkP6Njtkh61WTnN17Ans9j +7Iz63nvqTAGn6Gf3N4SV8e+FSxUE7Pk9RX99eW2RkoAzbn6JrMSNZ/gtUgw4 +tk7Anu9zJFjWlNhsEXD2GHQ/Itrrh0ae1xGw5/8CnF1OMaN1BZxXL7t/YfXS +rYXmRwXs9bxCk6vvQz9DAacgVaRV5fYaT7XejPQyFbDX9wbpibv1jK8LOGP6 +6AyZ+/EtTu35PnzQPQF7vfawMJJzNLUWcHb994DY7b54ddAzev2OmOZq2zP9 +jYCzeamFil61I/o/boj/5kLvhxOaZc/pPPAUcJo0/z2iE/r1eqqu6E/vjzPe +jFSRDf4i4Fidqu3waHdG0lHOlknh9H654I77ghGHowUc+Xsju16CC4pWxwU8 +SKT3zxVzjEO22qULOElOqoG8P64w3d//551cej/d4HL+x6fdxQLOv3drdJ93 +yA26ZzK8kt7fd4Ck06RPtQKOZJ7L2ZWS7jBYpGy1opneb3foP9992+e7gOP5 +7+VKvcefYl5Svx/0/r+Hx9O40Zv/CDgb/z3cSA8MUx45+nIvIfs8PBDUoHnm +WT8hp/vtHu+JQOvgL68HCdnn44kKteb71sOEnH/vXvpUL0SePWp4ZqSQfV5e +mPems115nJAza9+jSIGcN1ZabbUVTRKyz88bR5UnxjvJCjkJXY82dr4PduaH +DlSeJWSfpw8O6O5Mjpor5Byzbb6Cxb7Y5breZMVCIft8fXF4x/7TbkuEnK4n +1/WSPyDaYsqov0pC9nl/gOHuERnqEHK0uy6ero8UAc2Ngjtrhezz98Mnw2/F +AeuFHNeudy/PxQ9H528oyNokZNeDH9y0e66o0RBy+H+yL9bP8EfNoQPbG7WE +7Prwx9iCliNVO4Wcdd0XgD/iZO5EpO4VsuvFHxUZu919dIWcrg/v3wtC4Y65 +e80PCdn18xHR945MWH9UyPnv6X3EjVEL5vQ6KWTX00fMKnwS5X9GyFnU/SsA +UVefTtAxErLrKwArAlSNWk2EnFvfEruuoABMbqwUXb0kZNdbAEZzuJU9rwo5 +WV2fftd7gpZJI45cNhey6+8TZA84f2y4JeRM637ATxg7ReGHxj0hux4/QU+3 +2cDLUsgx7v4APmPu8VfT/zwUsuvzMxJknA+r2go5UQK5rk/4M7THTdO4/VTI +rtdA7A/olAy2E3Kk/3uC8DOp/lbzSsiu30DE2x2yk7QXcrqfXXwgLO42BUx3 +ErLr+QvGNFYrLXMVcj6+bXWP3fQFPaZ91FjjLmTX9xf0UwyWWecp5PTqfsFf +ELjW1Gulj5Bd70HIfnlZcb6fkLP9vwsGPoqX00YHCNn1HwRLgdbrX5+FHOd/ +Lzc7CKonRwRmBwnZ/fAV5qv7r3QPEXK+/3u4XV/xcfvnzUbhdH98RdaFph5L +IoScNf8u/6KvUGlLPP89iu6XYPx9GxHpHivkdF++B4Kxu/JVH50Eun+C8WqD +udafJCGnwuzfBxKMLU1/496m0v0UAg23YWZLM4Qche4LPATJHeNuJGbR/RWC +lpofPJ1cIefGs7Vdl0wIRu/+VVecT/dbKGTetJjsKxJyMqZ2f8AIuC7rl1NC +918oRuw39N5QLuRM+Tf9m0MR1Db7+udKuh/DsH0wVoyvFnLO/bs9zoZh/6xp +oiu1dH+GYURCRWRevZAT8e/T5YXhRey4j3Oa6H4NR+KlgXmXW4Qcqf9uSJyI +uLc2po3u33DktUgMGMATcrrfvp/hSH/mtGy9gO5nDi6qjKi6LhJyup/eFQ6y +h8aNDvhJ9zcH5Yqx9eUdQk6P7l/fcPBI6uEBnXS/f4OuwsY3c3qIOO9jN3Xd +0d+go7TjzcZeInb/f0Pf4+HnD/URcbZ13Y1d1yj8ekgqmkqI2Dz4hnA347o7 +/UWcH//qE/0N+pptDo8kRWw+fMM8xQXHng4ScRzH/rshIiB/33Hr0yEiNi8i +sFzrtN4jKRFnQ/cHHIENY2953pEWsfkRgcl3bZabyog4be7/nmAEFH/1G2sw +UsTmSQSmT5yya/NoEefFv2eXGIHjewr/zh8rYvMlAl4bfo0fOl7E+XdxqQ+K +xLbRw0LqJ4jYvImEa+2las4kEeffNMncEomh0vvcbaeI2PyJRI6x90DdaSLO +v6ul65KBl8u8CXLTRWweRcJx6oWaphkiTvfLTe/6+mbtOR85EZtPkfh162vC +8dkiTvfDSUdh2WyhaMocEZtXUbCLvyyRO1fE6R5HWlG4ItHZeWe+iM2vKEwq +lmhUUBBx/lsfohB2T5RVsFDE5lkUXl4eEHVVUcTpHgd5UZBQ1YiYsETE5lsU +vp36nPd1qYhzvXvgRCNqW++h25eL2LyLhkhUZFijJOLM7L6BohFZFt7LdIWI +zb9oZEb1T++9SsRJ614vorFVj1/WtTWxeRiNh/NeK0mriDim/26Hkmi8HS+s +fbJGxOZjNHxR2jpsnYgz6d/lPDEGDjcG73mgKmLzMgYZ6pPm9V4v4sT9u/z0 +YrBviq3xhQ0iNj9jwN0epVCjLuIYdg/IGEy6+9Nw+yYRm6cxUN65d3HIZhFn +VPcN3/V1A//+5K0iNl9jccXsy7mbGiJO99OTjcWFmkvC8m0iNm9jkbX054QV +20WcI92/YjFUrqDliZaIzd9YODu5GtZpizj/lqeuCQvuytR3y3VEbB7H4ivH +79W9nSLOv+l4sT4WM6weaWbuErH5HIfqMXYZY/aIOAe6HzAOkqdLJh/YK2Lz +Og6Fl/VVHfaJOBLdAyoOZQ5SqiX7RWx+x2FPZ+j00boizr9PQ8Y3DqNbZzZr +6InYPI9Dmt4fx9sHRZzu7a41Dv2Uc9QC9UVsvsfDI2wRl3tIxOn8d7spxEO9 +1OKa1GERm/fxmOuyeNzyIyLOu3+Xs1E8lly5yTlwVMTmfzy8X3qcvXFMxNn6 +3wtG5+rMRU7HRawH8XgwSXpI+AkRR/jv4xDE4/br033yT4pYHxLAnZo/rvWU +iGP/7+UuTYDDRcXdvc+IWC8SMKzHjggZQxFHrfsNTIC3xO9d086KWD8S0HtQ +sez8cyJOS3ceEjCv/4tZy4xErCcJcPOLOrnKWMR5/m+8dyTgfkhVq8p5EetL +Ik5NORO8xkTE6R7PKxPxeX9i2uoLItabRNz10VRcYSridI/Xa4nYukWjSfGi +iPUnEb0/9/g9+5KI89/4S4RE1rOjE81ErEeJSFFVWDT0sojTPV56JWHj0zr9 +P11f/9enJIw7HP2r7oqI0337rk3C00/fOjOuilivkuA0IMUo6JqI03173EnC +fY/kPW+ui1i/kmCf+Dz4qrmI89/ll4SyGOGzfTdErGdJeLTeu23ZTREn59/H +2z8ZSddOpkrfErG+JUNuc8nChq6vr3YPwGTsMnSYwrktYr1LRm3AOnvbOyLO +9O4LMBn9JuzwPnhXxPqXjOtSWzXm3xNxUpK7fyHc3NnyV9fX//UwGVIjQw5G +3RdxTLoHagp67RTmWViIWB9T0DP9fdsWSxFnQvcFnYKpShM+DLUSsV6mQMHp +6ci0rq9juv95lYLO+2qzHzwQsX6moHXYwWa1hyLO6e4BnYL88QMO/e36+r+e +pkD+zB7rz9YiTve4kknF2fSjRscfiVhfUzFqibL0OBsRp3sc6KTCszDnbGLX +1//1NhXbDy2wNbUVcf7751cq4juVLkx9LGL9TcW72yVTk7q+HvTfDQfZp6KX +556IWI9TseKjXumIpyJO9+UyNg3qR+u+f+n6+r8+p2HgPp3Cnc9EnO6PY18a +5l44YSfo+vq/XqdhJb94ju1zEee/f56lYbrFgbez7USs32mYbPqiMaLr6+6H +K0uDouecsTtfiFjP0zEv87N8wwu639MhdyVu6uWXItb3dLyQb+kp+Yru/3Q0 +GX1Iev6Kep+OwIGWN6e8pnmQjhMtAjnP19T/dPgeN4hY8IbmQzrWLF+99fMb +2gfScfNNc8bStzQv0nH9VOLWoLe0H6QjRGFe3BJ7mh/puLr8xMoAe9oX0pHi +mRAw14HmSTqGvLad886B9od0rJ7a03O8I82XdNSc0Zxn60j7RAZeFXwO6e1E +8yYD6j/2ap93ov0iAyP8T/6qdKL5k4H2zf38NJxp38hAjcUm02BnmkcZ6O+j +sX2aC+0fGZj8dPpaSxeaTxkYHFewqdWF9pEM5N43PrPdleZVBszq+N4BrrSf +ZOBsxp4Bw91ofmWgadzbO2fdaF/p+vtvfKclu9E8y8CdxVerp7+j/SUDS6ra +k6++o/mWAaS2FWW9o30mE0N275aRc6d5l4mCeRIXzdxpv8mE7PaU/knuNP8y +0TnbPH7Me9p3MtH/WWPQkfc0DzOh1pxX5P+e9p9MBEwasKjjPc3HTDh+WvVt +jQftQ5koL1l0574HzctMBN5yuJXsQftRJuw1FcOGeNL8zERGpOMiDU/alzLh +Nu9R3UNPmqeZ+JLtVpLoSftTJqrr7spIeNF8zcIIh3RreNE+lYWcJRO2mnrR +vM1CrERvbR8v2q+yUHVPxrnCi+ZvFh7plS+X8aZ9Kwv3DNvHq3rTPM7C29zU +zee9af/Kgmbf34lO3jSfs6AS9eNFijftY1k4pqQSJPKmeZ2FNT23zp7sQ/tZ +FqJln7ap+dD8zsLMhXcGnfKhfa3r+61+dP2RD83zLGT8Gr/J34f2tyxovH92 +NsOH5ns2Ipfsb2/1oX0uG8PWZqcO8qV5n439U3dJyvnSfpeNnIY1biq+NP+z +ceFKo+NuX9r3svHKwq3T0Jd6kI2LDhGc2760/2Xj0ryH1Xa+1IdsnH28/ZyH +L+2D2Qgeu9vgqy/1IhtK0tWcOF/aD7OxNG7pnWxf6kc2ZJ88+lzmS/tiNlQr +Z26v96WeZGO58vJ97b60P2bDUO1ntsiX+pKDCzmvwn770j6Z07XvbRnV4wP1 +Jgdy4ajq+YH2yxzMTXg1tdcH6k8OfOLv5v778//tmznYHivXo9OXepSDpIku +jj99af/Mwdn7I77yfKlPOcgY83Zjky/to13fb5u2TpUv9SoHYSO0SvJ9aT/N +wex43/JkX+pXDmxXmR3k+NK+moPAtBg9P1/qWQ767XIoc/Cl/TUXNpAue+hL +fctF1vWZema+tM/m4qVH9aHDvtS7XDg0bGvc6kv7bS6Uh58ULfGl/uWi7vUi +iwm+tO/mItLT3amXL/UwF/WjI1VqfGj/zUWx6eUT8T7Ux1wEjkwc7+FD+3Au +dPs5777vQ73Mhe80wZQjPrQf58JIOuDCGh/qZy7ObE7YNcGH9uVcqE2ZliD0 +pp7mYleoR3yqN+3Pebg9a90uN2/qax5qd+ddNPOmfToPN+XU5Ld6U2/zkHvg +3NnJ3rRf5+Hk16Xq7V7U3zxoNJkEfvOifTsPl90lvlp7UY/zoPg6UnOvF+3f +edh9xfzGDC/qcx6c+o9Qb/OkfTwPSZJaHkGe1Os8RB8Y6HLdk/bzPAQLBi1V +9aR+52FGoeyRAZ60r+chctFEhX/z8r+e5+PypsgXDz2o5/nY+ij/7RYP6nk+ +JjyfoTLIg3qej7PTzt5KeE89z8cyedPDd95Tz/NxLqejFu+p5/lIuxE68Kc7 +9Twf6kkmGX7u1PN8eFhVLj/qTj3PR7+yENXx7tTzfCjN/CpIe0c9z4fKUJuN +N99Rz/PhYM1ft+gd9Twf7k0vqivdqOf5iI2aO9fWjXqej7A++uNXuVHPC5Dy +szKg3pV6XoAq9X38J67U8wJ4d5iWrnClnhfA92KpEdeFel4AVZslH//1+r+e +F8DLbPrb+eKeF6CiaKtiljP1vAAOsdoXTZyp5wWYz8k7PtKZel6A9eEXBgQ6 +Uc8LkLsobae2E/W8AJEDru5od6Sed32/d2N7P3SknhfgedvqgzMdqecFsNO4 +d/abA/W8ACZ+txR2OVDPC6F8L9G1xZ56XojEjJbEW/bU80Loj73pOsqeel6I +MWYyCz3fUs8LofNa8bzyW+p5IRBqeDLpDfW8EKP9NUfveUM9L4RHjcHl2tfU +80I8MFj4zPg19bwQ5mkqRzpfUc8L4XtyTOu9V9TzQnAnrlog9Yp6Xoh0o0Wz +7V5SzwuhYHe5ZPxL6nkhfh6y2+j0gnpehPN7JYxkX1DPi6Drrab9zo56XoRf +i3LaZ9hRz4sw2nzupnfPqedFmJiRoCf7nHpeBInx0xY5PaOeF0F7kH/k+GfU +8yL0lWgYaveUel6EqzPXjpN6Sj0vwpFNC6rvPaGeF+GRpOyZzsfU8yJEhj0K +NH5MPS+C3fWq8Fpb6nkRlsyzvrfHlnpeBNnTf6SSbajnXa/n3du9K2yo58WY +OLTwqNcj6nkxTFzGLxnziHpejCHzUmPvWFPPixGvpjO2/SH1vBit2uMU9j6k +nhejyObmwOgH1PNiXO3b5iH/gHpeDJ5t7KDHVtTzYkg1HlUUWVLPi1H8RnHK +XkvqeTFkBj3ICrOgnhfD1TR50yQL6nkxRv7abnn9PvW8GD2tLj8qFf/7sBjn +ezjtXXGPel4MLakJrS/uUs9L8FVzw0b+Hep5CTRXmZ3eeod6XgL3RQN2u9+m +npfA5PzGwX9vUc9L0D7rseWOW9TzEgw9vCjD8yb1vARhk8wrOm9Qz0swbVVC +iOYN6nkJch4d0HMxp56XQCLDOo13nXpeAj8v9wFrr1PPSxARJBhie416XoJl +N6LLSq5Sz0ugdv3AlVlXqeclODZpcLXxFep5KUwjRo8Nu0w9L0X41/hxfS9T +z0vRY/uhhk1m1PNS3E6ce9f2EvW8FMP3nmrNuUg9L0XHIv0ZYy5Sz0vR67qm +/F5T6nkpDPQud76+QD0vRYhokmORCfW8FDy9a1JjTajnpZj/OVtj53nqeSm8 +B+odeGJMPS+Fq9m+FalG1PNSzB7dt6GfEfW8FL9/PTm6+hz1vBT7ldd8Nj1L +PS9DFW99lo8h9bwMK9cUR1SeoZ6XodeGxTdGnqGel+GM/P1h6qep52WYNnqA +sdkp6nkZatDo5nmSel4G7SI9z4IT1PMyPJv92rzfCep5GQZeSJRTPE49L0OP +oRNddI9Rz8uwaVt0q8VR6nkZ2u0bhgQcoZ6XIeVEWI+iw9TzMkyZfyym52Hq +eRkWG4/YP9OAel6OxsSWuE2H6H4vx5a88RKG4v8/V47R6+NG2R6k+78c6nky +f/z1qPflcNKSD8zQpXlQjql289e2HaD+l+PpA1XXwQdoPpTjxzybgln7aR8o +R09Lhap1+2helONe8MaIf///8b/9oBzClg5j0z00P8rx5ahBh/Vu2hfK8dLU +eafbLpon5Vh8O+t+8E7aH8qxWULmUaoOzZdyyFleP1Gxg/aJcrR9WDCOp03z +phw95qg49dam/aIcSnEJv6W1aP6UQ0e3cu6U7bRvdD1erZPiPE2aR+VoOjJx +mNI22j/Kcfv3qah1GjSfyvGtyGnT1q20j5Tj0dZ0D50tNK/KsfazZOn+zbSf +lCP0rn7doU00v8rhuK0u/thG2lfKYfnF6/opdZpn5ZhjGjLIcAPtL+Uw159+ +9ux6mm/lqDok9DirRvtMBZSvKgUbqtK8q8CQ+N+Op9fRflMBB5P1B06spflX +gb+p074fXkP7TgWmLX61T0+F5mEFmiZ/fLt7Ne0/FVg6zeizJmg+VqCCW+ay +YRXtQxVInNHj+KqVNC8rkBuR22fRCtqPKlD/7ojpDGWanxUoLvX/NlqJ9qUK +XLT8Wj5gOc3TChysvJr3cyntTxUYsLTTtW4JzdeKrn1nmXruYtqnur6/tXx0 +pCLN2wrUvc8c4buI9qsKPGyeterFQpq/FXg6dc7KGwq0b3X9edks6eMLaB5X +YGfdKI7GfNq/KnDc9LvK4nk0nysgx9v9esxc2se6Xt/jdUm/5WleV2C4q3tG +6WzazypQaWHqy5lF87vr/Q5zP+ggR/ta1/udNLvx6kya5xXYvfv7xr0zaH+r +wPIJreZLp9N8rwSnSuqhtCztc5WY81DNsGkqzftKXBp8bWbMFNrvKvHjpOvn +N5Np/lfie6TbGONJtO9VQh2ntTZMpB5Uov/kav3xE2j/q8Tvz8L1reOoD5VY +e8iyV8RY2gcrkfvq3mPbMdSLSowozxbpjab9sBKqsTsXzh9F/ej6/Ta+yu8R +tC9WYtxQmxnxMtSTrj9fNbD88XDaHyuhpbTxzD5p6kvX77fKZ8gOo32yEjH1 +Nv2bhlJvKmEzYZfMxyG0X1ai0EKXf2Ew9acS75fdfq80iPbNSiiscl7wR5J6 +VImFQU8fhA+g/bMSK1IWh17rT32qhKT3Ac7KfrSPViLCsvZZR1/qVSVWvnNH +UB/aTyuxRcUk1Lg39asSLS7TB83rRftqJe6PfTivtgf1rOv9EFyb5vBXyPbX +KhjdTWnY0Uk/j6/Chmkbbw/8I2T7bBWMp8S0cjqErHdV+Js7eK7xL/r5dRW2 +vvyuPP2nkPWvCvKhq8fniug8QxXKbaJT7gjp571VUDmsvk1RIGT7bxUCb750 +qeDRz/+rsHLutbiH34VsH67CMWdOyLJ2IetlFXaPnm5e2Uo/L+/6+6HnZaxa +hKyfVbALML64sJnOT1Th7Mgar/xG+vlyFUTfrX2vNgjZ/lwFiY0LzKfU03mD +rj8/xGpydK2Q7dNVKJmm++hwjZD1tgqP959M7ltNP5+vwtqrp/Ncq4Ssv1XI +Upjqt6aSzmtUQWakqk5ZOf08uwo3P9gkmJUJ2f5dBeGp8AEjSul8QxW03O6O +9C0Wsn28CoueO7aqFglZr6twWz/yaXEBnQeogtwwp75G+ULW7ypYWrWpSOTR ++ZAqKLw5pP4yh35+zsXGZq9x8tlC1nMuZvUzCwrJpPMUXCRvvTppU4aQ9ZyL +7X0MthWk0c/buTihUrTxSCqdP+Dim8h6yPdkIes5F/qts15fSaLzKFxMjNnR +1jdRyHrORX5d8GDreCHrORelr3vwZOLo/AYXc1qTHV7FCFnPufgSET18UjSd +5+HCJdxqq3MknXfg4vLeaA3ZCCHrORf7c4eOcuPQ+RcupikOcZUNF7Kec3Fz +1QGhc6iQ9ZyLSTffDp4cQudFuHDbfKz+9Vch6zkXSZ67LUYG0fkhLnSODGx+ +FEjnK7gY1mekTP/PQtZzLqIjpDqvBdB5Gy5GP7X15PsLWc+5uCY7d8xxPyHr +ORcSaRfUi33pfAoXCx17KG/1EbKecxG+fW9zuBedV+Li8JaRevM86TwHF08c +OY/fvBeynnMxrg/39gB3Ot/DRVH2kCUmbkLW82rsk050K3MRsp5X4/A++6wN +znQephqWbdIcP0ch63k1vN19jo5yoPNR1bjA+5585S2dH6lGctHhlvLXQtbz +aiz8fS9p7Ss6T1SNmTcKDrm9ELKeV+PK9ILAvnZC1vNqvJ7fJ9rgGZ2/qUbC +mVzLyCdC1vNq7FiWKDnpMZ3Hqkaiwa61ZjZ0XqUag7b8WJRtLWQ9r8aZuEnF +c8Tnl6oRKrtW+baVkPW8Gqvm5mwrtBCynlfDY8L68fPv03mfagx27f/25l0h +63k1/IvvZWbfpvNf1ajZqhA6/Radj6lGWr3hbpMbQtbzakzs6eMRdZ3OS1Xj +m/o2b6lrQtbzatzK5ejtuyJkPa/GBxm92HdmdL6oGhbxT4pbLwpZz6tRNCDU +eakpnTfr+vxWLxt9zYTO41Rj/OQjK6KMhazn1ch2cxrSz4jOZ9VAcbiqtfpZ +Iet5DSY0vg+2PCNkPa9BavO0J4mn6DxTDfqNGDhqwEkh63kNxtr4q6odp/Nt +NWjiHJt48yid/6lB80Jzx9DDQtbzGvxxVE0SHKLzYDVYV9vHfq6+kPW8Bj96 +9x9toCdkPa/BaGm7ZS8P0PmpGkir/uhM3idkPa+BLtfwxN89dJ6uBgPWrzZb +sJvOG9VgRprHXL2dQtbzGqysbrhlvYPOn9XAgYcrIVpC1vMaeB+sGlGrKWQ9 +73q8R4O3DdtG57VqwEnlz1LaKmQ9r8E2/RTng5vp/F4NPp9P+HpvI51vqsGG +EWPOeG8Qsp7XwGlhQ3iaGp13q8HuZ8e82tcJWc9rEFkYtlB6rZD1vAa3DIdp +K6jQ+bAazLS8P0QDQtbzGthMVzt4ciWdF6yBfseJTXeV6TxVDbZcG5HssJx6 +Xot12QcrvyylntfCI/34w9TF1PNaaLWsS65aRD2vhbV6f3uRAvW8Fj9exvaU +XEA9r8XmOTbCsfOo57UYV2x0efYc6nktDg82e7RsNvW8FhPe+SqoylHPa/G6 +36iD22ZQz2tR1+/L+D2y1PNa9G58dEh/KvW8Fr/3Oioen0w9r8Wfw1zrMxOp +57VQPr3jnNF46nktEmc2FJ4fSz2vxbbnzxNNRlPPa+H5exNMRlLPa3Gs8MdS +YxnqeS0WV90PMJSmntdikojrd0KKel6LyLG8eQZDqOe12Gn4fM6+QdTzWryb +/eH9dknqeS0yrcc6r+9PPa+FVOXHUcoS1POu539Eo//cPtTzWqzdFm00oRf1 +vBY3OZU7BvWgntei5dNFv59/6HxxLeQkNW9UdwhYz+tw6tiiuLSfAtbzOuwF +1zxIROeB6+AcIuvjIBCwntfhZtjHjXd4AtbzOixI27DreLuA9bwOQ8bZZ29q +FbCe1yHq4JHwOc0C1vM6zG3TlBnUKGA9r8OMZ4Nz6usErOddv2+35m9MjYD1 +vA5FHs9tHbkC1vM6LKvysrxUSeez61DpN7l+W7mA9bwOQ1Meec0oFbCe10F3 +kU36ryI6T10HyxGfdVIKBKzndShIe7jCPk/Ael6HSyVu5qdz6Pxz1+tPvj1F +OUvAet719xf6TuiXIWA9r8M8ByfjjFQ6b16HJpvCKa+SBazndag/mj7jYKKA +9bwO6ruF5jPiBazndViiHzKvIUbAel4HfyXLed5RAtbzrt/fkHDtVISA9bwO +02wKJ8hz6Dx6HQQe4yTrQgWs53VY51+t4hIsYD2vw5OlD6P2BdH58TpULNZ/ +KBMoYD2vR9/OD28TAwSs5/XIkA/7fs2fznvXo+NZkqXCBwHreT0SV402qPQW +sJ7X4+66oiu2ngLW83p8zFifteq9gPW8Hj0HPzjc4CZgPa/H4AEZCk9dBKzn +9cgOUFqywknAel6P9pjas5X2Atbzetj6t5fdfSNgPa+HvO2pG7Ne0fn7enwf +cVQr0U7Ael6PTpMGrePPBKzn9Vi155e5xBM6L1+PIclP851sBKzn9Xg19eMu +ZWsB63k9mlrX/c20Im9Qj8/NyxOPWQhYz+uRUm/65fddAet5PfQ+lkVb3yZf +UI+CnpvbJt4UsJ7Xw+SE7VKf6wLW83rYqFx7sfyqgPW8Hq8tC6WizQSs5/WI +rjhqv/migPW8HluPVKzKMhGwnnf9fdeO1p3G5A3q8f66wYfCswLW83qkjY0y +23tGwHre9fwiv2gUniQf0IBr2UXyO48LWM8bcNIjYlDmEQHreQOuX+a3bjQQ +sJ43IGrrj8yIgwLW8wYsy1cPWKIrYD1vQJDBAWuPfQLW867H57gcHLtHwHre +AM3R5rMtdgpYzxuwvl6zRqAtYD1vgEKx4WO97QLW8wa8+SA3J0FDwHreANNx +UX7ztwhYzxuw7fPVCU83kq9owJxsa0PhegHreQN+G8u66qgKWM8b4DPaIOjT +GvIQDUj0O+EhtVrAet6AcNPN50+sFLCeN+B9nszISCXyJQ2I3RhrNWqZgPW8 +AXUrd2WeWCxgPW/AmSKXmpCF5EkaoJR/KkZygYD1vAHT5M6c3DlXwHreAJlh +e3KcZgtYzxsgHFr4t36mgPW8Aeph1rXzpwtYzxtwyH+ohfFUAet5A/Ycayz/ +NIk8SQNeTHdv5I0XsJ43YGxeuNuCsQLW80Zo/nAdeHIU+Y9GBJyPHOMiI2A9 +b4TQ4kJy/jAB63kj6j1GTh40VMB63oi/e1ukVg4SsJ434uZEvD45QMB63ohT +61eH2EkIWM8b0cCdeDqit4D1vBF33/7xrushYD1vRF8b/oXBnXzW80aULxwW +N7+Dz3reiEuLdF5q/OCznjeiWTuk/pSAz3reCMetcz/d+85nPW/ESc+HfIdW +Put51/dz/vjucxOf9bwRI/4eiY2v57OeN8KUd1yjoIbPet6IpR1nVtZW8VnP +GxEZOOHB93I+63kjUuOGLe0o4bOeN+KyT+HynkV81vNGLHDseNQnn8963ogV +pxsV++bwWc8bsXC7jGzvTD7reSOk87N2dabyWc8bscTXLFWYxGc9b8SAO5vN +m+L5rOeNeFF3/XhZDJ/1vOv9GLvqTlokn/W8EVtanFNDOXzW8yZsdAhd7R7K +Zz1vQrSvVa71Vz7reRNSQ3pZGQfyWc+bcHW/xO4dAXzW8yaE/j6lrOjHZz1v +wiDfzjlSPnzW8ybsGGgiV+/BZz1vQvDgy3Kcd3zW8yZY1XrPfOzCZz1vwuZS +u8n6jnzW8ybUyAYPnv+Wz3rehP6BN+t/vCTv1oTIeZq+nOd81vMmHPEw0bn1 +hM963oSwFwuK19qQf2vCY5ePy3s+5LOeN8HXfPzxEAs+63kT1mddMzC6Sx6u +CT/6FU6fcYvPet4EtYUT3XOv81nPm5DSMLvy1hXycU2YOC8tfe4lPut5E/RW +JJzKNuGznjeBcyn2g6kRn/W8CYkah56MMuSznne9n0Plh306yWc9b0J+jt3U +Lcf4rOdNyDktHVFpwGc9b8KdZd+rzx/ks543wV/6qmXvA3zW8yZorqx1t97D +Zz1vwsz1WktH7uSznjcjW5Sh8EqLz3reDLMV+jZjt/FZz5shGtG+yW4zn/W8 +GVn3d++XUueznjej3Nog7I4qn/W8GZ6SCQYiFT7reTM+hspvPryKz3reDAul +SafSlPis582IqJ0Wtngpn/W8GWFt7ktfLOKznjdDa32/bOF8Put5M5Y4WVlq +zuGznjdD5uIprfdyfNbzZqwbmDajQ5bPet6MMv3Y3+pT+KznzZDS1kp5OoHP +et6MDXcNbIrG8FnPmzH8Uu7KiSP5rOfNkLQ0TtknzWc9b8bxX7wldkP4rOfN +cOX9OZciyWc9b8YCy+kX/krwWc+bYRfWpDS3N5/1vBnB56O+6PzlsZ43o/F8 +P+7lDh7reTO2be/z5Y2Ix3rejM87eTO/8nis5814fqhjbkYrj/W8GbFfFnzj +NvJYz5sREvQ0h1fLYz3v+v0XIw53VvFYz1swo/Hh4T7lPNbzFpSPiU7pW8xj +PW+Bd9Dxp73yeaznLRjmO9bvVxaP9bwFobP3jWpJ47Get8Dv8OtvxUk81vMW +bJWe4xwbx2M9b8HGW2ofvaJ4rOctMDjZt9aKw2M9b8Gg2YeWHA3hsZ634Jf1 +kucrv/BYz1sw+Pe830MCeKznLVCLT9tf5MtjPW/B64gTfs6ePNbzFnx3HVNv +8I7Het6CzfsCf09x5rGet2BCYkZZwVse63kLFEvf333wksd63oJAl1HVy5/x +WM9bsKrOoqXChsd63oKzA+qf3X7AYz3vej9076ZMuc9jPW+BjlPPe19v8VjP +WzCgQS5g03Ue63kL5q9OQ74Zj/W8BQ6lj4frXuCxnreg5VDF0PJzPNbzFsx9 +uV9q72ke63kLZm7Sqk87xmM9b0FsR+DhVQY81vMWqBdZrHuny2M9b0XT+ROL +++3lsZ63YlxYr2w9HR7reSt+bCp3+qjJYz1vxbzxgzo7NvNYz1uhvEd3zIoN +PNbzVoyp6V1zbi2P9bwVhsMd/r5exWM9b8XNHr3vBy3nsZ63wlVqU3u0Io/1 +vBVrjN++D5vPYz1vxTq9bRZvZ/NYz1uxeP3c2n3Teaznrfg6MDuydRKP9bwV ++aIvY9eM5bGet0IYYaAxX4bHet4K7SLZJ8cH81jPW7F/RfGIEX15rOet2NHT ++uHU79855LMd/XqlusnwQD67U8p6yQHud5DPHj04Kc8n/DvIZ5snPzp50f47 +yGdv5NxRjrpBf/4ilutHnLI8+h3ks4NOKbou0qC/b44TDzdo7ln2HeSzh14r +eRU0lR7vNqZEv3k3bsh3kM/+9n77sP2/2kE++9KvD/11a9tBPvutc1XOgJx2 +kM9u29ELG6PaQT67JbHmpNTHdpDPfmLYMFHDqR3ksytLf8p22LSDfPZhXY1G +yRvtIJ8tO9to/oVz7SCfvbw3P2KxfjvIZ+9KyNRZq9UO8tkiZb7uy3XtIJ+t +dFq5deESev4vIB1/wn6gXDvIZ489euPypLH0el7h67mXP04Magf5bAl1q9KG +zjaQz561LlblTXsbyGd/DWu7eIXbBvLZZ0N+yljktYF8tnLDrkJOYhvIZ/fw +Wh8yMbwN5LM/eMVnuvi3gXz2hMK9elvc2kA+e/OfNdFjXraBfPaFA6uv9HvY +BvLZg3+GTh5xow3ks19Uq+mrmLSBfPbMLXv7WR1vA/nsH1vONbTtbwP5bF7r +ih/ntreBfPZM36nzBq9vA/ns1So5LyKU20A+23rjaC3rBW0gn22m7nLbaHob +yGePaZl88PTYNpDPLj22fua1oW0gn30wVWKya582kM9eM+K5chivFeSztY7Z +i3aXtoJ89ia/HsoTElpBPrtVvrio76dWkM+eOedRuLRDK8hn75wdl73GshXk +s/vFu45/ZtIK8tkLQtsd+h9sBfnsRc1HjN9sbgX57AuzegZqLGsF+exZEw7Z +TJFtBflso41rFYdJtYJ89uxGbtGE3y0gn70x4lWCWl0LyGcvF2xfb5XdAvLZ +O5bJv2vomsvksy0vDFpl4NMC8tn+xltOi162gHz2h4i9L1zutoB89mrLE7OO +GbeAfPaWTzNs1um1gHx2qMhQZVlX18hnV10Z+WWNUgvIZ4f7vDE8NLMF5LMn +1f5uftnVXfLZ+zlGX6t7toB8tpn9+v1qrc0gn+163PlYSHEzyGdvG7DnzLqu +PYJ8dtKzxD4VX7r2NOazHX55x9i4NYN89m9u2xatJ80gn12R/WKlXNdeRD67 +U/7F0qGGzSCfrTI6oKLf/maQz76vpMcdtqkZ5LOtm7wa5ixvBvns5QMnOO+a +2Qzy2dfmvA5+OqIZ5LN/z9KpKe/dDPLZvRp9C1Z8bwL5bJ09Uza9L28C+eyz +JvN5U9OaQD57lcGWB95hTSCf/dQiNXNd195NPjvgatbtxldNIJ89u3qZvqNF +E8hn6466N+fQxSaQz+ber3y36GgTyGebtvx+NkynCeSzjwzp9+33uiaQz3ZY +4tLEX9QE8tnHtuZzf05tAvns9Zf7Gg+UbgL57MWaufqzejaBfLbj3aU3tNoa +QT573/TNbyy6/h1HPrtW4dCtpK5/95HPbo9slhjT9e9E8tnbb5n8OOfTCPLZ +414+mZ37phHks5821Z5VfdAI8tnnE6UcOZcbQT47uNj54bqTjSCfLTSZIpW9 +pxHks3vIizpPb2wE+exNX84slFZqBPlsbTnvW99mNYJ8Nmfzu+SLYxpBPruP +weQ6pQGNIJ9tP9DVX+JnA8hnNx253Le4rgHks8dc9/oWnN8A8tmG+S3fnOMb +QD47TsmP+ySoAeSz/Tz7DrR+3wDy2aq2XsNtXzSAfPZtqR5lb+43gHz29pud +W/wvNoB8NiYrr0k91gDy2bszS9/ydjWAfLbONJe1U9QbQD7bZlfqyJ3LG0A+ +W817fsfTWQ0gnx3+flxO4ZgGkM+ucz5mISfZAPLZilon/1z9VQ/y2SeseCOL +GupBPlujv3ooiupBPvv3frlsr6R6kM8u/cNRnRRaD/LZW3b4/HjpXQ/y2Zm9 +f2WPe1sP8tkz5MZEuT6sB/nsQVFcL8Vr9SCfPX/+64tJZ+pBPlvZp1j6uG49 +yGfr9fYwGLytHuSzn83fvytodT3IZxfI++cfV6gH+eyMqM/pU6bWg3z26MuZ +8uXS9SCf7X0rKse1dz3IZ+dF2QUb8utAPluq0Td2dXUdyGerPvtSMyq3DmKf +fcWnnyC2DuSzp37OG5n/pQ7ks6d3xvaMeF8H8tn7ZbQDP7ysA/ls7mj7qa6W +dSCfvaXHgMX2l+tAPtt68Irit6fqQD47bOfkfi7760A+e9OeMlefrXUgn33o +UYVrGOpAPnuQTIowc0EdyGdH7Lht2TylDuSzFyXIbhs8vA7ks8s8+i5b2KcO +5LMVIwMX7hPUgnx2jxV/F1jV1IJ8duXF4mmcvFqQzzb5bv5bFF8L8tl9p2p5 +Lw6uBfnsW4ZNshe9akE++8bM0bs4b2pBPrvfxcylA61rQT5bYLw+cO/1WpDP +zhmfGeN3thbks0uNOnZJ6teCfLbQ8pL+Ma1akM+29JyXk7iuFuSzBxyXc1VY +Ugvy2RW59pFvZtaCfPY3D960QWNqQT677xn/kOuStSCfvSDM8qqoowbks+Uv +7d5v3FwD8tkbBk3U4JXWgHx2lk+nmml6Dchny3ipLf8bUQPy2Y6fDo+1CqgB ++eyC+a2lY91qQD77xoOXl32f14B89ir7ldWq92tAPvvHzxypiks1IJ/dqHON +d/1kDchn6/OMbk7dXwPy2W2/hwbEb60B+ewJv9PNjFbXgHy23tptaZMW1oB8 +9v6Dau/Sp9WAfPb65xaC2yNqQD572+bBASv61YB89tgnFdmiH9Ugn93ffqFm +YEM1yGcfU90w8WJxNchn61jeWbgytRrks7UmHLvd91s1yGefqr0nmeFfDfLZ +wmfPQxxcqkE+O1RO1/rcs2qQz350M+aS2r1qkM9e/jvm/MRL1SCf7fPz/IWf +J6pBPtv0RqdJ3r5qkM/eket2ImhrNchnc6VjNr1ZXQ3y2afjkqVuLawG+ew3 +L9f6n5KtBvlslZoY2d0jq0E+u86g76EN/atBPntXSvwhpV9ckM8uuNY4cX4T +F+SzVWbtfzCjlAvy2RGmU1wnp3NBPntbmMaBCZFckM9OdZYOGP+JC/LZXrnu +rya+44J89oJw3cHTXnBBPnut3Nvesy25IJ/t1TPHZNEVLshnx0ha78MZLshn +v7fW89uixwX5bPdxwuMHtnNBPnvOygE3z63jgnx2w5LD7XeXcEE+WzOi2s9e +jgvy2epxF74GjeWCfPaM6TJ9cgZxQT47+ViCBa+zCuSzJZ74r5VprwL57N8F +wtlLq6pAPjvuVOayfTlVIJ89ZdmDI7fiqkA+W9bbzMf7axXIZ8vc6tUv36sK +5LMXWDw/1de+CuSzzQ9/yVO0qQL57LeHeCpHblaBfLbRqhrXl+erQD67onHZ +r9QjVSCffeC4k7LE7iqQzz5sUamPTVUgn50VkXTcbGUVyGeP6Vi28cv8KpDP +VtWT7hRMqQL57IL5m68vkakC+WyjhzVxFyWqQD57iXZzRuiPSpDP7tNgater +sRLks48M95DeWFIJ8tkr4n1XPkmrBPnsqQMipUsjKkE+++bnGQ9mf6oE+Ww9 +fXnXi+8qQT47QWHWjvgXlSCf/d3z1ssxVpUgn817/P7kqauVIJ89N3Zo7DfD +SpDPVpNWdhmpXwny2fFSgX9Oa1eCfPbmXiPTY9UqQT5bzfOnzJTllSCfvUd+ +QNwV+UqQz17LFZQXTKgE+ex1W8z2L5OqBPns6bm7l77oVQny2T7Resd/8itA +PttnuEHL3toKkM++tl0lglNQAfLZEndKi2WTK0A+e1Gf2SuswitAPltwYGzh +d78KkM92U/b13+tSAfLZTcubwmKeVYB8tnRO5c8F9ytAPjtC7b3eW7MKkM9W +PLGnWfJ0BchnZztMfH1JtwLks1f4Tzlcr1kB8tnOn+3U9qyrAPns98IQpeQl +FSCfbZuTvgKzKkA+O8dv0oaAcRUgnz0s8cdOuSEVIJ+tOyfgqH2PCpDP/v3Y +22gErxzks7W2qZ9/WF0O8tl7FvOPSuSXg3y22qWJ6uaJ5SCf/coJwztCy0E+ ++8qJnIgLH8pBPvvEh0PbeE7lIJ+9RPt88Nmn5SCfrT3PrqPlbjnIZ59vOTj0 +zKVykM8+Inm2vflkOchnb3LXf3vmQDnIZw++XT6kbVs5yGdP5j5TMVpbDvLZ +d8wUFQSLy0E+e9QO44KLcuUgnz0yfsiSP2PLQT775+74NTcHl4N89vUPRzr6 +9SgH+ewfrSe+jK8uA/nsggBJzuzEMpDPNuPs7Kv0oQzks30SJG5ufFoG8tkh +fXPn7LtUBvLZRzsf/DlzoAzks7XO/W68ubYM5LMrZne22smVgXz2pcrzPX0H +l4F89upRm0fHfC8F+WzFZtM5JXmlIJ8dKClYLAwtBflsowvxc6ScS0E+28fk +b/9/zoh89p8THyLVTpWCfLbWZ4HmIc1SkM82fCTyNV9SCvLZHq0VGfbjSkE+ +e+Kx+o9hPUpBPluu38aNJdUlIJ+9ctl6mz+JJSCfPdpN/upEvxKQz8Yo9QGr +n5WAfHb27h9z9M1KQD6bM+5l+W3dEpDPnpBpO/79uhKQzx78WqMgaVYJyGd/ +naw2tG1ICchnf7nSGijDLwb5bO9xyfHLC4pBPlvJSX+lbngxyGcrJ2PwHZdi +kM9W3Ctc4HW/GOSzx7dPdMo4XQzy2bq/dur82F4M8tkTHquqT1pWDPLZQUdt +jdQmFIN89jPXhsTTvYpBPntSZt9Nz2qLQD570Lc3jaHJRSCfnX/ijBvXvwjk +s80qV50bZFcE8tl7FfLWK14pAvnsS2v7Tt13sAjkszNSXv+4pVYE8tkti42i +veSLQD477JLBtSypIpDPthylNalDUAjy2UuFUx2nFhWCfLbrlrCf6t8KQT57 +3aOh08+5FYJ8ds3WgeNeWBaCfPZH+XfZ4YaFIJ+9/mvBxmrtQpDPljnucmGg +UiHIZ+cb99FUmFQI8tmbo3pm6fQpBPlsUZGL4HJ9AchnL44T+jumFoB89q4/ +A3rGBBSAfPZfjbaiuhcFIJ/9MJujPOhaAchnR6fajpl/qADksx+9vnlGc0MB +yGfXvPZfZDy3AOSzyyap6D+VLgD57EJNHd4nUT7IZ+dNGVOeXZwP8tk1Cz5N +4Ufkg3x2RKi2v7R7PshnX7GafX/Bg3yQz96Xd9Rhy7l8kM9OUFJpO66TD/LZ ++980G99Rzgf5bJlx76Y5dr0u8tnPf7n9+No3H+Sz3z6a3ZTZkAfy2efmGIsa +0vJAPvvh4IjhvT7ngXz2JrWdSqNf5YF8dqCPmcHc63kgn22YdM5KxSAP5LN9 +o2+/11bPA/lsLfU/n47MywP57OE3ZniaDs8D+ezgH5tv3PuRC/LZNx6FL3pe +kgvy2RcNEoNdInNBPrtv9Lehfl33CfnsCyN48iEPckE+2yM+oH/MuVyQzw5Z +q+OQ0nWfks/OT59Xn62cC/LZ0g8elxVOzgX57A2aeZfL+uaCfPZkT60vlQ05 +IJ8tHXrAipuWA/LZ15Zsa+d+ygH57JTV5pVVL3NAPtvz2PpdFddyQD57pU3n +ppJDOSCfbVLcGZi3IQfks4+4OD1On5sD8tnue1bnx0nngHw2547ijTBRNshn +O7rm3fUvzgb57OlTb1W6RGSDfLbDGpuHT99lg3z2EeldN251dYd8tqn2oi9n +z2aDfPbwc2Zy+3Zkg3z2SYM7qapK2SCfvc3Hy3nupGyQz65TXmc/vE82yGef +0HMLFtVlgXx2xVfZ9oKULJDPbpYdpRz8MQvks208021f2GWBfLbwjGvL+StZ +IJ+90ZG7UeNgFshn3zxc6TBTLQvks5elNNf8mZ0F8tlXQ3eMyRyaBfLZPiVH +F7jyM0E+e+Ojs9PPF2SCfHZ6ZnC7SngmyGc/ULaxHuySCfLZhiXLBLn3MkE+ +u1a5Y6L9qUyQz/ZYNr7vIc1MkM++36vMcfqSTJDPVupzp7F6bCbIZ8/I2lLq +8jcD5LNnTjQ10uVmgHz2pjcrnEYnZIB8tnVH3qE0nwyQz36aZh5w63EGyGfv +MD9lsdg0A+SzY5ellnL3ZoB89vWcXG/b1Rkgn62T9alJeXoGyGe3rHxqVzUg +A+Sz19vbv7vfkg7y2avqf0nLZ6WDfHa/Myk5iV/SQT77VfTSuqNv0kE+e1To +rrW9bqSDfPZ09c3lLw+ng3y28nn5oHkb00E+m7t+UPS3eekgny0K7/lHY3g6 +yGdfOrw0KKg1DeSzJz9O+ZQUlAby2dnR+RX5N9JAPjti6GGF8o1pIJ+94KPp +s4rhaSCfvZU/pEdJcSrIZ8f1mnoo0y0V5LO3Jzr6RZxJBfns/lnGhZ5LU0E+ ++/24K5kPe6SCfLYP/7HliYQUkM9eV2TBX/04BeSzx2rP7jVsbwrIZ/+NWexW +OC0F5LNPaR9Oe9uUDPLZmV+PG+35nAzy2XFTGq9LXUsG+WyJ3hb1HLVkkM9W ++VngeGxoMshnR9mftJPMTwL57PrDkeFujkkgn33CaHd/peNJIJ99yMj5cJxC +EshnrzPtG7/lVyLIZ5uklk9OiUwE+ez8gvsHVa0SQT5bom795UCtRJDPPjTo +gO7k8Ykgn90q7PH3JjcB5LMrkvZuKvVOAPlsyQ7rxQtNEkA+++dIP99rKxNA +PpvrleAe1TcB5LM1RxYN65kaD/LZV3bnlyx5Hg/y2RYCj98GB+JBPvtg2Bxd +qxnxIJ+9z1tB6NESB/LZb0OufvwWGAfy2bO/JNxOuxYH8tlh0pH7c9XiQD57 +W7jEvJwhcSCfrf1rdk1SbizIZ3vKf74QbB8L8tlrPkxMdzwSC/LZl32yKq/N +iwX57EnNCx20hTEgny377qFgSngMyGdLaM/MrbkTA/LZpfcWK7hsiQH57JHB +ZUKdETEgn508DqN6lUSDfHb50QM3XV2jQT77bcByuVWnokE+WxrhP1IXRYN8 +dh/VxCqdjiiQz7ZInFOQExkF8tnKZ9ziN1lGgXz20KVcpyDNKJDPjta30B4/ +Jgrks5sXTU+/UB4J8tkvOEv7xLtHgnz27eAF5UMNI0E+m69qqLV1SSTIZw8N +Vdly608EyGcXXa4O9Y2OAPnsr5/u2qZbRYB89nWF1cF12yNAPnv4tHEzRGMi +QD77RcOQ6I7ybyCfPbqqxy2h+zeQz46ZHa1Ze+YbyGd/ODlmUuribyCfPXDD +/oAdPzkgn+1btLL8110OyGe/fMB1spLhgHx20nulnH7O4SCfvfNAr52G88NB +PjtELbd3ZGgYyGfLtcsF/VUPA/ns9yfXbZPLCwX57IVJ6u+VDUJBPvv5xwVv +lreHgHw28mP7Tb0WAvLZeZYfYvmSISCf7a146JO/XTDIZ7eUzPPeJRsM8tle +Poss6v2+gny2zfEjMwxWfgX57ON86wPxCUEgn62dtH7QSJ0gkM/2vPFnwJbK +LyCf/Vy5ReH0mS8gn609c9aBC78CQT57oODhsWN3A0E+W3tL8uy10oEgn62m +duiahP1nkM+enue44uOszyCf/Xij0yq1z59APlv1w9b9kas/gXy2+nq9czOS +A0A+20+wW8toZwDIZ4d6WhW5VX4E+WyzVKnKb6c/gnx2uEfaqqgf/iCffTvl +YoL3LX+Qz/a/eHf31SH+IJ898bdyrOJLP5DP/jnerSZzmh/IZ+c0zJ72Yd8H +kM/+/k5D+c45X5DP1pxzOXLmXR+Qz7Yd9tvg+StvkM+uvOiVmu7rBfLZyziN +nrmRniCfHT3T3dU91wPks+/d2npkbcN7kM/un5P30uOPO8hnD2oLrSuQcgf5 +bPURvzqypr0D+eweloOu2C1xA/lsQ/l2KVl1V5DPHtg5Vf/SXheQzz4R9HKk +3WlnkM8uUo/PvnLdCeSzb+17vWa2rSPIZw961yq5StUB5LPlbxnd/nz0Lchn +R0TrKQVbvAb5bEvJtec3eb0E+WwTwZ2Lx5LtQD47mHfhgVTzM5DP7tdbhb9m +8FOQz3Y8Vv+9c85jkM9W092cuHazDchnm5osujAl9wHIZ5v1dBmQKG0B8tlX +WsyTrm+9A/LZtzV+LlaxuAHy2fcO3Lsx5u5lkM9WzV4aGSEyBvls55jGGW2p +x0A+W+HrZZ1qbS2Qzy7JsytvEqmKfXanxoI8XU8Dsc9Wujo7Xq/5nNhnW5Zq +H3ly75LYZ4cc7mlebWsu9tn7Rm040ffgbbHP/u53SkZe4b7YZ/cMcJu2q9cD +sc/uOesXrLMfiX32zz+ylrVZtmKffWiB/Mwh4U/EPttK4XBayPtnYp+tFuu0 +r/2Jndhnp2rP9fxw/aXYZ7ftyH0qOvla7LMP57T/Stj1VuyzTXrqhc5UcxD7 +bLnDG0r6v3IU++w3G9adWmfrJPbZLcZGf2LvO4t99hC/tt625i5in622xJfn +fNFV7LN5cmdU/px1E/vsOplEfcfj78Q++9VlxdQH+u5in73sSMevyL3vxT67 +rOemg2t3eIh99hDVBWZDNDzFPvuq/WuOrLqX2Gdb+BzyvrvWW+yzD/bZEKq4 +ykfsswfzeSfnL/cV++zn+j2Xmyp+EPvsTzl/tkmM9hP7bClVheE/nvmJffaf +ezrThsn4i3123+0zIjUf+4t99pJ++QuCpT6Kffbu9dP8Nz76KPbZyddU7vQe +EiD22UuutrZyHwSIffZgaUPF1oGfxD57/of7z6dafRL7bPNhD/dcl/ws9tkZ +rToxfS0/i332QL/N4wMHBIp9dtZUfTcbi0Cxzw5QHev+bMAXsc8WDrQ4FmPx +ReyzN8zboTBZMkjss9EzWP2dZZDYZ7dtt/i1c+BXsc/205j7cPGDr2KfPeqM +5yYMDhb7bDN9J3MT62Cxz24do2maPzRE7LP7h724fMw2ROyzDwb/LJg+PFTs +sxcUvCyTehYq9tnftVQLZ40KE/vsQx1nR597GSb22XUHV9TXjAsX+2yroeff +WNmHi312WPiTm7pTOGKf3cc5vknflSP22XsKLo1MGv5N7LOv9slNvLLjm9hn +O9lPDlOz+yb22U71B0bNKvgm9tkn8/i9ZcdFiH22rvo7n6X7I8Q+O1X7BQwc +IsQ+++2D7dUeFRFin71827fE/rKRYp9dNmnh+BtHIsU++6jwgMRwj0ixz3Z7 +fzgwvDFS7LPPbUzdfXtelNhnP3hpNdHgXJTYZ+ue+b3wwKcosc9OXNgcYySK +EvvsUbovJZyVosU+e/4fb9mmq9Fin71wodo2zYhosc9+lir8mtEnRuyzC7kx +j09viBH77AlHFQZNfxAj9tmmSfN3/UiLEfvsS18UPGqHx4p9dvzHaQt5O2PF +PntkheGMcW9ixT77QdTfr7rlsWKfndVz1ZQI2Tixz65QGm2L43Fin+1f0gNF +PnFinz1Msl3v6fc4sc/+eOnE3JNL48U+2+7cpSLdK/Finz01NNDZKCJe7LNL +vdRDXCQSxD57+cyG/bxNCWKfrR1WGXXQNkHss+dGS89szU0Q++wl5m0Rr8cn +in221w6rtKP6iWKfHdzb2krrfaLYZ6fXr16o25Io9tnTdj7oYaWYJPbZidxf +i/LMksQ++25hiOS6iCSxzza3d4vK6Jcs9tlLFyyzN9+aLPbZ6b4OhdueJYt9 +9rDGwK9ripPFPht9Ja/qTEv5v88eVXDkwYkUsc/e2jA2mOufIvbZJiHXg3V/ +poh99gTJ895/VqeKfTbPZFpO+P1Usc8+43HZzCU9VeyzlbfPr/IanSb22WEz +v+rk66WJfXb93wMTZnmkiX12zHdFc/v2NLHPvqRmYzB+QrrYZ3/tf2bKFZV0 +sc9+Wn3lTcvhdLHPHvOF12FsmS722aXRF48M+pAu9tnyd6b1DspKF/vs0CPX +fl74kS722XNc7p9Tn5Ah9tlqY4OezF+TIfbZ55d03J91NEPss08XBpstfZAh +9tnHdhU/3u2fIfbZvY2jOh7lZoh99qBj2uWFHRlin32hr/Ck0pRMsc92jX+S +6KuWKfbZ6v0d5ZadyhT77AmzRgbk2maKfbZLyRU7yy+ZYp+tfK6ut3ZJpthn +R0/8M2NR7yyxz5Yxbp8vNytL7LPNQ2y2LtLIEvtsjvnKAG2TLLHPvjiEb/3g +dZbYZ/v22zK8KCJL7LOP9zc3Wl2XJfbZVdpHykKHZIt99qXnVx9uW5wt9tmJ +7xr8OvZmi332quNXroXfzBb7bJ0AX/mXHtlinz1inU+LVXq22GdzE3U6n4uy +xT57aSceB0/MEftsg8jAyv+1d+//UCd6HIBtETUh6aKiVFPKJakkQvdSKolu +yEZoTkg2urclJ6m2LUnqVFiHkEWXzTrdtGpLxWrDjBnM/YYxM9+JLV20v733 +Hzg/fv6Q5/X8tawZPtsk4vdpq+Ob4bM9/nTPuX+xGT57llXWkcUPm+Gz0zPm +DpDLmuGzU+94cHJZXPjsgcGpmqTZXPhsv/3NdVGhXPhsh4+PVySkcuGzjTaV +XLtYyoXPNjkrYDU3cuGzPS0OcGd+5sJn59ee8y1m8+Cz5y0dfnL+Gh589keu ++F1nMg8++3dd3cvKHB589tb919fmvuDBZy8KsH5ZoOfBZz/ndx97MaYFPtu2 +QvfYdEkLfHZVmKwuOq4FPrt+aV6vJKsFPntrtuWJw9Ut8NlnYtxq3Tta4LNr +uBPNLaz58NmbY8f/18SHD58duvuMwHYHHz578vE+4+AMPnx25Gyn5NIHfPjs +8Ldjj05W8uGzh6w12v9wmAA+u2r+psq98wXw2Xb98oz1MQL4bI5F9fZ1GQL4 +bGdOYNruhwL4bK1N9Ia7KgF8tkXP1BljrFvhs5nYGQn5vq3w2eyMf+8P2NkK +nx03r7TU/lIrfHaSX0HsqJpW+OwJM/ts3bSt8NkL02qmJI5tg88ubKzo5i5v +g88OSbcURu5pg88eZceLGJnXBp99M6JUoa1rg8/e16fm6fra4LPrB5UV2zi0 +w2e7DhK+/FdwO3z2LsGcCllKO3z2lSHL/0iraIfP/mXWmZyg9nb4bPfiuCur +WEL47BVB/l5xnkL47NpHp/urdgjhs423XI12uySEz3b6ZMw0PxPCZ+/LSmQX +vRPCZxuzq04UThLBZ69qUV37M1AEn+11NKjHKUUEny2afN3m3i0RfHaK7rfL +8WIRfPZItvVAJzMxfLb76MCDHvZi+Ozc7uVHwuaJ4bMTA3Zs/s86MXx2hdF3 +WxiOGD77yYWDDREpYvjsAx66IZorYvhsi8rcrefviOGz2cefjQ14LYbPXtVr +kj1NLobPHlyaOs3uixg+u/Jr61SXURL4bNs7s7s2u0rgs4cV+7zJ85PAZyub +F88yiZTAZ9/yc0xIOySBz54elKJkZ0ngs79pT+0Tl0vgs92b2KwHtRL47OFP +Rh27K5XAZ/smNz1+9VkCnz2js8T1m9FS+GxPp0fem9yk8Nkr4kevr/eXwmcP +9y1v3x4jhc/OfxMXMC5FCp+dGeUzpueqFD474fnwCm2lFD7bR8Wksd5K4bOt +Tn2y8e+Wwmf79CeLysxk8NnRH7gb57Bl8NmxUfVfhAtk8Nn8AP8N5aEy+OzB +TefE+ftk8NncAW5THmXK4LNXv5lS+qVCBp89q6fhc1SdDD5b9Mik6J1aBp/t +2DTO86aJHD47R6BdemqSHD47p0cTnrFADp89efyE8U/D5PDZiwNn2U44KIfP +vrDrWG9hthw+u6bdZuXme3L47AJBbdXcRjl8Ni9icsciRg6fPa4iPeWgpQI+ +29jXxVniooDPPuJtf2nvagV8tjosMGF+rAI+27kna+fM0wr47Llry3w2lijg +s3+YuPSnsloFfHa62ft1HmoFfHaePIjVY6qEz/7ZXJAhd1DCZ1907ise7KeE +z9Zet7CJ4ijhsx3nRpT0pCvhsxN9xjk+LFHCZ5vtXbzzwSslfPbXT2Xe77qU +8NldtX17o8xV8Nnin/Yxlq4q+OyhbnYn/1qngs8eZpowcsweFXz2aS/O4f1Z +Kvhsx43hOSOrVPDZrAWSbxmBCj5bee9S0dB+FXz2Z3OrcM5ENXx2+M958SbL +1PDZ1vwJNXKOGj7bNbLKz/SsGj57UVq+Lv62Gj477Xxb4RiuGj7b7IQghPVJ +DZ+tcHHpX2LfAZ89sH7C0WfLOuCzY274152N7YDPdjtp35iX0QGfPSks4mj/ +rx3w2f/jZd4vEnbAZ68YERl73aQTPtticHeS2LkTPvv6BYvKxOBO+OzsylNm +6w93wmeb3XZYeLygEz57qO+T6QPrO+Gzv012Ot3c2wmfnbRrnN3H8V3w2U5P +WzMT/brgs2/KezK9v+uCz2asbt8LvdYFn33jyrHjDc+74LP3dnjtucx0wWfP +eeaprbTVwGer6/2XOflp4LOzEw/d7d2jgc++/+FGyKg8DXy2xTHlwrN1Gvjs +6JUP14T0aeCzXapZK45M7YbP7glurO8N6obPblhZGPI0pRs+e4Rrq6eiohs+ +W/7h6MkQYTd8dq6fxnGSuRY+Oz1r2Fsvby18tnsLR5Mfq4XPXhWfVbj5qhY+ +e5BplmjLay18dlX1VeeCT1r4bH5lau0cZx189ky7pgyzrTr47PeeYQNsf9TB +Z+e+3uYQW62Dz+b6hF5h9Dr47LcvCj03WOnhs09VN7D9HPXw2ZatW86vXaKH +z/7ocsgtOkwPn53SEhKbnqyHzy4qb1r5y496+GwHoymH5UV6+Gwn//SAEb/p +4bOTN4lKfAV6+Oyk13HG4e/08NmuRi9i4oYy8Nm7Tewjt09h4LMNGgtzb18G +PttzjZWRbiMDn22azQpKSmDgs4PN2NeenmTgs+2TEvWNuQx89qbpE1VXf2Xg +s89t6821amDgs9d+H/aHo5KBz44XvH/V9pmBzx6fsa3c1toAn83pyz8gmmaA +zy407Qga62uAz1454/vOmvUG+GzL53zWmxgDfPZNZkeF10EDfHbmxTTe1x8M +8NnPLleFsnMN//jsfFHBo1sG+OxMD+n2ITUG+GzTD5wLvEYDfLZPX6XdVN0/ +Ppv+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+m +P5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+m +P5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+m +P5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+m +P5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+m +P5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+m +P5v+bPqz/x9/9t9BbF6S + "], {{{}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{ + Polygon[CompressedData[" +1:eJwl2UMMIFgSANBp27Zt27Zt27Zt27Zt27Ztc6b3dfbw8vNT50opQcN2FdsG +/OeffwKwOdj/37//QAQmCEER+ic4IQhJKEIThrCEIzwRiEgkIhOFqEQjOjGI +SSxiE4e4xCM+CUhIIhKThKQkIzkpSEkqUpOGtKQjPRnISCYyk4WsZCM7OchJ +LnKTh7zkIz8FKEghClOEohSjOCUoSSlKU4aylKM8FahIJSpThapUozo1qEkt +alOHutSjPg1oSCMa04SmNKM5LWhJK1rThra0oz0d6EgnOtOFrnSjOz3oSS96 +04e+9KM/AxjIIAYzhKEMYzgjGMkoRjOGsYxjPBOYyCQmM4WpTGM6M5jJLGYz +h7nMYz4LWMgiFrOEpSxjOStYySpWs4a1rGM9G9jIJjazha1sYzs72MkudrOH +vexjPwc4yCEOc4SjHOM4JzjJKU5zhrOc4zwXuMglLnOFq1zjOje4yS1uc4e7 +3OM+D3jIIx7zhKc84zkveMkrXvOGt7zjPR/4yCc+84WvfOM7P/jJL37zL//x +h7/JH4CABCIwQQhKMIITgpCEIjRhCEs4whOBiEQiMlGISjSiE4OYxCI2cYhL +POKTgIQkIjFJSEoykpOClKQiNWlISzrSk4GMZCIzWchKNrKTg5zkIjd5yEs+ +8lOAghSiMEUoSjGKU4KSlKI0ZShLOcpTgYpUojJVqEo1qlODmtSiNnWoSz3q +04CGNKIxTWhKM5rTgpa0ojVtaEs72tOBjnSiM13oSje604Oe9KI3fehLP/oz +gIEMYjBDGMowhjOCkYxiNGMYyzjGM4GJTGIyU5jKNKYzg5nMYjZzmMs85rOA +hSxiMUtYyjKWs4KVrGI1a1jLOtazgY1sYjNb2Mo2trODnexiN3vYyz72c4CD +HOIwRzjKMY5zgpOc4jRnOMs5znOBi1ziMle4yjWuc4Ob3OI2d7jLPe7zgIc8 +4jFPeMoznvOCl7ziNW94yzve84GPfOIzX/jKN77zg5/84jf/8h9/+Fv4AxCQ +QAQmCEEJRnBCEJJQhCYMYQlHeCIQkUhEJgpRiUZ0YhCTWMQmDnGJR3wSkJBE +JCYJSUlGclKQklSkJg1pSUd6MpCRTGQmC1nJRnZykJNc5CYPeclHfgpQkEIU +pghFKUZxSlCSUpSmDGUpR3kqUJFKVKYKValGdWpQk1rUpg51qUd9GtCQRjSm +CU1pRnNa0JJWtKYNfxu4drSnAx3pRGe60JVudKcHPelFb/rQl370ZwADGcRg +hjCUYQxnBCMZxWjGMJZxjGcCE5nEZKYwlWlMZwYzmcVs5jCXecxnAQtZxGKW +sJRlLGcFK1nFatawlnWsZwMb2cRmtrCVbWxnBzvZxW72sJd97OcABznEYY5w +lGMc5wQnOcVpznCWc5znAhe5xGWucJVrXOcGN7nFbe5wl3vc5wEPecRjnvCU +ZzznBS95xWve8JZ3vOcDH/nEZ77wlW985wc/+cVv/uU//vC36Q9AQAIRmCAE +JRjBCUFIQhGaMIQlHOGJQEQiEZkoRCUa0YlBTGIRmzjEJR7xSUBCEpGYJCQl +GclJQUpSkZo0pCUd6clARjKRmSxkJRvZyUFOcpGbPOQlH/kpQEEKUZgiFKUY +xSlBSUpRmjKUpRzlqUBFKlGZKlSlGtWpQU1qUZs61KUe9WlAQxrRmCY0pRnN +aUFLWtGaNrSlHe3pQEc60ZkudKUb3elBT3rRmz70pR/9GcBABjGYIQxlGMMZ +wUhGMZoxjGUc45nARCYxmSlMZRrTmcFMZjGbOcxlHvNZwEIWsZglLGUZy1nB +SlaxmjWsZR3r2cBGNrGZLWxlG9vZwU52sZs97GUf+znAQQ5xmCMc5RjHOcFJ +TnGaM5zlHOe5wEUucZkrXOUa17nBzUD/n7U3sZENrGcda1nDalaxkhUsZxlL +WcJiFrGQBcxnHnOZw2xmMZMZTGcaU5nCZCYxkQmMZxxjGcNoRjGSEQxnGEMZ +wmAGMZAB9KcffelDb3rRkx50pxtd6UJnOtGRDrSnHW1pQ2ta0ZIWNKcZTWlC +YxrRkAbUpx51qUNtalGTGlSnGlWpQmUqUZEKlKccZSlDaUpRkhIUpxhFKUJh +ClGQAuQnH3nJQ25ykZMcZCcbWclCZjKRkQykJx1pSUNqUpGSFCQnGUlJQmIS +kZAExCcecYlDbGIRkxhEJxpRiUJkIhGRCIQnHGEJQ2hCEZIQBCcYQQlCYAIR +kAD8XRD9CaqW8C+/+cVPfvCdb3zlC5/5xEc+8J53vOUNr3nFS17wnGc85QmP +ecRDHnCfe9zlDre5xU1ucJ1rXOUKl7nERS5wnnOc5QynOcVJTnCcYxzlCIc5 +xEEOsJ997GUPu9nFTnawnW1sZQub2cRGNrCedaxlDatZxUpWsJxlLGUJi1nE +QhYwn3nMZQ6zmcVMZjCdaUxlCpOZxEQmMJ5xjGUMoxnFSEYwnGEMZQiDGcRA +BtCffvSlD73pRU960J1udKULnelERzrQnna0pQ2taUVLWtCcZjSlCY1pREMa +UJ961KUOtalFTWpQnWpUpQqVqURFKlCecpSlDKUpRUlKUJxiFKUIhSlEQQqQ +n3zkJQ+5yUVOcpCdbGQlC5nJREYykJ50pCUNqUlFSlKQnGQkJQmJSURCEhCf +eMQlDrGJRUxiEJ1oRCUKkYlERCIQnnCEJQyhCUVIQhCcYAQlCIEJREAC8HdJ +/CeI/OdffvOLn/zgO9/4yhc+84mPfOA973jLG17zipe84DnPeMoTHvOIhzzg +Pve4yx1uc4ub3OA617jKFS5ziYtc4DznOMsZTnOKk5zgOMc4yhEOc4iDHGA/ ++9jLHnazi53sYDvb2MoWNrOJjWxgPetYyxpWs4qVrGA5y1jKEhaziIUsYD7z +mMscZjOLmcxgOtOYyhQmM4mJTGA84xjLGEYzipGMYDjDGMoQBjOIgQygP/3o +Sx9604ue9KA73ehKFzrTiY50oD3taEsbWtOKlrSgOc1oShMa04iGNKA+9ahL +HWpTi5rUoDrVqEoVKlOJilSgPOUoSxlKU4qSlKA4xShKEQpTiIIUID/5yEse +cpOLnOQgO9nIShYyk4mMZCA96UhLGlKTipSkIDnJSEoSEpOIhCQgPvGISxxi +E4uYxCA60YhKFCITiYhEIDzhCEsYQhOKkIQgOMEIShACE4iABODvoehPYPnP +v/zmFz/5wXe+8ZUvfOYTH/nAe97xlje85hUvecFznvGUJzzmEQ95wH3ucZc7 +3OYWN7nBda5xlStc5hIXucB5znGWM5zmFCc5wXGOcZQjHOYQBznAfvaxlz3s +Zhc72cF2trGVLWxmExvZwHrWsZY1rGYVK1nBcpaxlCUsZhELWcB85jGXOcxm +FjOZwXSmMZUpTGYSE5nAeMYxljGMZhQjGcFwhjGUIQxmEAMZQH/60Zc+9KYX +PelBd7rRlS50phMd6UB72tGWNrSmFS1pQXOa0ZQmNKYRDWlAfepRlzrUphY1 +qUF1qlGVKlSmEhWpQHnKUZYylKYUJSlBcYpRlCIUphAFKUB+8pGXPOQmFznJ +QXaykZUsZCYTGclAetKRljSkJhUpSUFykpGUJCQmEQlJQHziEZc4xCYWMYlB +dKIRlShEJhIRiUB4whGWMIQmFCEJQXCCEZQgBCYQAQnA32PxH3Ptf/zLb37x +kx985xtf+cJnPvGRD7znHW95w2te8ZIXPOcZT3nCYx7xkAfc5x53ucNtbvE/ +B1TYsw== + "]]}]}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwl12O3GIYSBdAb27Zt27Zt27Zt27Zt27bdxmnD5u2s92GvmT9wBokad6jc +PlBAQMDtIAEBf2pgtAFBCUZwQhCSUIQmDGEJR3giEJFIRCYKUYlGdGIQk1jE +Jg5xiUd8EpCQRCQmCUlJRnJSkJJUpCYNaUlHejKQkUxkJgtZyUZ2cpCTXOQm +D3nJR34KUJBCFKYIRSlGcUpQklKUpgxlKUd5KlCRSlSmClWpRnVqUJNa1KYO +dalHfRrQkEY0pglNaUZzWtCSVrSmDW1pR3s60JFOdKYLXelGd3rQk170pg99 +6Ud/BjCQQQxmCEMZxnBGMJJRjGYMYxnHeCYwkUlMZgpTmcZ0ZjCTWcxmDnOZ +x3wWsJBFLGYJS1nGclawklWsZg1rWcd6NrCRTWxmC1vZxnZ2sJNd7GYPe9nH +fg5wkEMc5ghHOcZxTnCSU5zmDGc5x3kucJFLXOYKV7nGdW5wk1vc5g53ucd9 +HvCQRzzmCU95xnNe8JJXvOYNf/E3b3nHez7wkU985gv/8C9f+cZ3fvCTX/zH +b/6EPxCBCUJQghGcEIQkFKEJQ1jCEZ4IRCQSkYlCVKIRnRjEJBaxiUNc4hGf +BCQkEYlJQlKSkZwUpCQVqUlDWtKRngxkJBOZyUJWspGdHOQkF7nJQ17ykZ8C +FKQQhSlCUYpRnBKUpBSlKUNZylGeClSkEpWpQlWqUZ0a1KQWtalDXepRnwY0 +pBGNaUJTmtGcFrSkFa1pQ1va8Wd4d6AjnehMF7rSje70oCe96E0f+tKP/gxg +IIMYzBCGMozhjGAkoxjNGMYyjvFMYCKTmMwUpjKN6cxgJrOYzRzmMo/5LGAh +i1jMEpayjOWsYCWrWM0a1rKO9WxgI5vYzBa2so3t7GAnu9jNHvayj/0c4CCH +OMwRjnKM45zgJKc4zRnOco7zXOAil7jMFa5yjevc4Ca3uM0d7nKP+zzgIY94 +zBOe8oznvOAlr3jNG/7ib97yjvd84COf+MwX/uFfvvKN7/zgJ7/4j9/8WfyB +CEwQghKM4IQgJKEITRjCEo7wRCAikYhMFKISjejEICaxiE0c4hKP+CQgIYlI +TBKSkozkpCAlqUhNGtKSjvRkICOZyEwWspKN7OQgJ7nITR7yko/8FKAghShM +EYpSjOKUoCSlKE0ZylKO8lSgIpWoTBWqUo3q1KAmtahNHepSj/o0oCGNaEwT +mtKM5rSgJa1oTRva0o72dKAjnehMF7rSje70oCe96E0f+tKP/gxgIIMYzBCG +MozhjGAkoxjNGMYyjvFMYCKTmMwUpjKN6cxgJrOYzRzmMo/5LGAhi1jMEpay +jOWsYCWrWM0a1rKO9WxgI5vYzBa2so3t7GAnu9jNHvayj/0c4CCHOMwRjnKM +45zgJKc4zRnOco7zXOAil7jMFa5yjevc4Ca3uM0d7nKP+zzgIY94zBOe8ozn +vOAlr3jNG/7ib97yjvd84COf+MwX/uFfvvKN7/zgJ7/4j9/8OfoDEZggBCUY +wQlBSEIRmjCEJRzhiUBEIhGZKEQlGtGJQUxiEZs4xCUe8UlAQhKRmCQkJRnJ +SUFKUpGaNKQlHenJQEYykZksZCUb2clBTnKRmzzkJR/5KUBBClGYIhSlGMUp +QUlKUZoylKUc5alARSpRmSpUpRrVqUFNalGbOtSlHvVpQEMa0ZgmNKUZzWlB +S1rRmja0pR3t6UBHOtGZLnSlG93pQU960Zs+9KUf/RnAQAYxmCEMZRjDGcFI +RjGaMYxlHOOZwEQmMZkpTGUa05nBTGYxmznMZR7zWcBCFrGYJSxlGctZwUpW +sZo1rGUd69nARjaxmS1sZRvb2cFOdrGbPexlH/s5wEEOcZgjHOUYxznBSU5x +mjOc5RznucBFLnGZK1zlGte5wU1uBfn/n/0/z7/j8A== + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwN09OCGAYAALBbbdu2bdu2bdu2bds2ttq2bdtdHvIJSdiofaV2/wQEBNwI +HBBwk1vc5g53ucd9HvCQRzzmCU95xnNe8JJXvOYNb3nHez7wkU985gtf+cZ3 +fvCTX/zmD38JCBIQ8A+BCEwQghKM4IQgJKEITRjCEo7wRCAikYhMFKISjejE +ICaxiE0c4hKP+CQgIYlITBKSkozkpCAlqUhNGtKSjvRkICOZyEwWspKN7OQg +J7nITR7yko/8FKAghShMEYpSjOKUoCSlKE0ZylKO8lSgIpWoTBWqUo3q1KAm +tahNHepSj/o0oCGNaEwTmtKM5rSgJa1oTRva0o72dKAjnehMF7rSje70oCe9 +6E0f+tKP/gxgIIMYzBCGMozhjGAkoxjNGMYyjvFMYCKTmMwUpjKN6cxgJrOY +zRzmMo/5LGAhi1jMEpayjOWsYCWrWM0a1rKO9WxgI5vYzBa2so3t7GAnu9jN +Hvayj/38y38c4CCHOMwRjnKM45zgJKc4zRnOco7zXOAil7jMFa5yjevc4Ca3 +uM0d7nKP+zzgIY94zBOe8oznvOAlr3jNG97yjvd84COf+MwXvvKN7/zgJ7/4 +zR/+EhDUfwIRmCAEJRjBCUFIQhGaMIQlHOGJQEQiEZkoRCUa0YlBTGIRmzjE +JR7xSUBCEpGYJCQlGclJQUpSkZo0pCUd6clARjKRmSxkJRvZyUFOcpGbPOQl +H/kpQEEKUZgiFKUYxSlBSUpRmjKUpRzlqUBFKlGZKlSlGtWpQU1qUZs61KUe +9WlAQxrRmCY0pRnNaUFLWtGaNrSlHe3pQEc60ZkudKUb3elBT3rRmz70pR/9 +GcBABjGYIQxlGMMZwUhGMZoxjGUc45nARCYxmSlMZRrTmcFMZjGbOcxlHvNZ +wEIWsZglLGUZy1nBSlaxmjWsZR3r2cBGNrGZLWxlG9vZwU52sZs97GUf+/mX +/zjAQQ5xmCMc5RjHOcFJTnGaM5zlHOe5wEUucZkrXOUa17nBTW5xmzvc5R73 +ecBDHvGYJzzlGc95wUte8Zo3vOUd7/nARz7xmS985Rvf+cFPfvGbP/wlIJj/ +BCIwQQhKMIITgpCEIjRhCEs4whOBiEQiMlGISjSiE4OYxCI2cYhLPOKTgIQk +IjFJSEoykpOClKQiNWlISzrSk4GMZCIzWchKNrKTg5zkIjd5yEs+8lOAghSi +MEUoSjGKU4KSlKI0ZShLOcpTgYpUojJVqEo1qlODmtSiNnWoSz3q04CGNKIx +TWhKM5rTgpa0ojVtaEs72tOBjnSiM13oSje604Oe9KI3fehLP/ozgIEMYjBD +GMowhjOCkYxiNGMYyzjGM4GJTGIyU5jKNKYzg5nMYjZzmMs85rOAhSxiMUtY +yjKWs4KVrGI1a1jLOtazgY1sYjNb2Mo2trODnexiN3vYyz728y//cYCDHOIw +RzjKMY5zgpOc4jRnOMs5znOBi1ziMle4yjWuc4Ob3OI2d7jLPe7zgIc84jFP +eMoznvOCl7ziNW94yzve84GPfOIzX/jKN77zg5/84jd/+EtAcP8JRGCCEJRg +BCcEIQlFaMIQlnCEJwIRiURkohCVaEQnBjGJRWziEJd4xCcBCUlEYpKQlGQk +JwUpSUVq0pCWdKQnAxnJRGaykJVsZCcHOclFbvKQl3zkpwAFKURhilCUYhSn +BCUpRWnKUJZylKcCFalEZapQlWpUpwY1qUVt6lCXetSnAQ1pRGOa0JRmNKcF +LWlFa9rQlna0pwMd6URnutCVbnSnBz3pRW/60Jd+9GcAAxnEYIYwlGEMZwQj +GcVoxjCWcYxnAhOZxGSmMJVpTGcGM5nFbOYwl3nMZwELWcRilrCUZSxnBStZ +xWrWsJZ1rGcDG9nEZrbwP3es92U= + "]]}, "Charting`Private`Tag#2"]}}], <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 1.}, {-1.75, 1.75}}, + "Frame" -> {{True, True}, {True, True}}, "AxesOrigin" -> {0, 0}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> Directive[ + Opacity[0], FontFamily -> "Times", FontSize -> 10], + "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, + "Primitives" -> {{{}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxEnXlcjF8bxq1JtiSEshVSQkQUbluRaFEiQlLJGiWRLbtChGRtT1qVSNpG ++77v+zTNtO+zIfKm37nP6x+f+cQ08zzn3N/rnHPd1zPPzHq3xYhhw4Z9HjNs +2L+/fwf2Fu0y04MtJWKLXXq4jP9em0LO1bR4s1k8xq9rJ+LOP7MCly26hz3V +eeTnZ8Hj0R0DUyMe47Jk2LYGh/PwWPuCntdp/PcXYf7y5OVHb/IYl1oXKouG +XIHpt5brbnHD/+8ItuZi6/zf8xjq9bqP3M/chHPRCwvyovD9bsM3S1dOXyqP +EaM1foTivbsAZivrVUvw/e/D/mbxx7ENPMbazxkXEjydQaXpY7BbF/6+hyDU +Dz9T+YvHiJ59p10/2gUM29o/vhDhk9//BJ7ciQ+pmsxnvE2ZG25j5QrZrzvc +w6X55PM8hb3JfSPnL+Izqn8O/UJ4YPt7haoyn3y+5yBqpmgoVOMzZi2rlRO5 +4Qa/hSqRVlv55PO+gEznptonu/iM/eZD7wi9rZOSzhvxyed/CYx93qlSh/mM +16+G/sBGVZ0qx2N88n1eQ4df2KNwaz6jMk9o0Oj/Bp4bvJ0WbM8n3+8tFGQZ +m9pe5zNmjDKaqPTpHZza3zdl/D0++b4e4GQj72Xvwmfs++8NwThg1cZoN/z+ +XiDr5zq84C2fsVPVaZMpxwtEn7ZlfPfF6+ENnXLnjB4G8Rkd+v/e0RvGjHiu +pRKB18cH3k7bJBfzlc94cKq5P7DXB7KPMXbNScDr5Qt3ApZPtUjhMxTvTRv8 +Cr5QvTE98mEWXj8/WGIbq+NewGdke2tEcf/4gf1B0Z93yvB6+oPv+R+fjWv4 +jH9XS2rUeyiLvmc3hYXX9z2AmPecz818hli579n1YgFgvlL9wbpOvN4BYPbC ++HZoH58R9O/rin+APzXc7DE/8Pp/gMDn6VI7//AZO/693bRAmKw+TeryCAG5 +H4EQ3aZ/xm2MgDF0uaWDIMol5uub8QJyf4KgQbPzvstkAePf1SuYHwxJZ49Z +n5kmIPcrGJa+HehVnyVgLDZ5nMSXD4H1D3RchXME5P6FwDH12RnecgJG5uC7 +zVwWCnsr4sapLxaQ+xkKhw7vzUlWEjCsXDuvwKow2Oe3zW7dCgG5v2Fgsefg +af/VAsbghxv8yh8hxWne9L9qAnK/P4K18dRCLRAwDAcHz+AthcjOdv6dLQJy +/8Phs/X3mshtAobf4NUr9w2HY8u2VxZrC8h4CAd/w+HrmnQFDN6fkoutCyOg +6eih3e0GAjI+ImBmZZdl414BY+vQAIiAdMk7iXkHBGS8REBDoXFA6GEBY/Dm +/ftCULVH6YDjUQEZP58g5Z6lzLZjAsZ/H+8T3Ji+fMmIkwIynj7B4qpnyRFn +BIyVQ38iIfnqcxkjGwEZX5GwLlLDpttOwLj1PWtwBEXC3HaW8OolARlvkSDF +YLOGXxUwigfv/uA1ga45Uy0vOwrI+PsMcod8PrXdEjBkh97wM8ycp/xD956A +jMfPYHq40zzYWcCwHboBX0Dp+OsFfx4JyPj8ApmSPhYargJGMl9+8A5/AcNZ +srq3nwvIeI2Cg5EDYjHuAobEfx8Qwu0435teC8j4jYIM96PuYh4CxtCny4gC +p7sdkQu8BWQ8f4UZ7Ry1NX4Cxqd33QFp2l9hmOwn3c0BAjK+v8IYlRjJrUEC +xoihL/wVorbYB68PFZDxHg0lry6rLAsXMHb/N2AgVOVyvlSkgIz/aHDmG7z5 +9UXA8Pn3dUuiQePk1KiSaAGZD9/AcaPo+oBYAaPv39vt+wafdn/ZaZOA8+Mb +FF/oGLY6UcDY/G/4V3+DTT1Z5/uScb7EwN93iUkBaQLG0PA9FAPGrNejjDJx +/sTA6+2OBn+yBYwGh383JAZ2dfxNf5eH8ykWdP0nO6gWChjKQwM8FnL6Z93I +Ksb5FQtdTT+4RmUCxg23LYNDJhakjH+11FTgfIsDybdddibVAkbh/KEbDJHX +5cJLa3H+xcHUg9Yh25kCxrx/1b8zDqJ7FK5/YeF8jIfdE2CdNEfAOPdvepyN +h4OLZYVXmnF+xsPUzIak8lYBI/Hf3eXGw8u0WZ+WdOB8TYCsS+PKL3cJGOL/ +TUg4kXhvS2oPzt8EKO8SGTuWK2AMXb6fCVDg5r1mGx/nMwMubpraeF0oYAx9 +vCsMKJmULhX5E+c3A5gqaa3MfgFj2NCf73DEMs9i7ADO9+9wWHnH2yXDhIwP +adqDM/o7GKntebtjhJDM/+8w+njC+aOjhAy9wdk4OEYhfJiYir2IkNSD75Dg +b9tyR1TI+PGPPinfwUy/x/OxmJDUh++wVGW51fPxQobXzH8TIhEU73vpPJ8o +JPUiEdYanDZ9LC5kbB+6wYmwfeatoDsSQlI/EmHu3Sdr7SWFjJ6Afx8wEVR+ +jZlpPk1I6kkiLJg9b99OKSHj5b9Pl5UIx/dX/V02U0jqSyIEb/8lPUlayPg3 +uLTGJ4Ge1OTYVhkhqTdJ4Nd8icOYI2T8qyZFu5JgkoRJgOs8Iak/SVBqGzLu +sKyQ8W+0DA4ZCPZdKiO/QEjqURJ4zb/Q1LFQyBj6ugWDr282nwuVF5L6lAS/ +bn3LPK4gZAy9nUQyrFEQCOctEZJ6lQzuGZdFypSEjKFyZJAMV0QGBu4sE5L6 +lQxzakTalZWFjP/kQzLE3xMWV64QknqWDK8uj02+qiJkDJWD8mQQ0dBNlFkt +JPUtGb6f+lL+TVXIuD5UcFIgWW/kpN1rhaTepYBQWG3dpCZkLBqaQCmQVJ8w +wn6dkNS/FChKFi0YuUHIyB+SFymgY8qrH1RNpB6mwKOlb9QkNgkZ9v+mQ20K +vJMWND/bLCT1MQXCoK578lYhY86/4Tw7FTxvTNj/UENI6mUqFGrNWTpym5CR +/m/4maaCyTxX2wvbhaR+pgJ7d7Jyk5aQYT1UIFNhzt2f1ru1haSepoL63gOr +YncKGdOHJvzg6zbe/bk6QlJf0+CKw9dzN3WFjKGPJ5cGF5ouCZh6QlJv06BY +9afMut1ChuXQnzSYJF/Z9cxASOpvGvh4+1m3GAoZ/8TTYIUF9vq892uNhKQe +p8E3Rvjre3uFjH/V8WJrGix88Fi/aJ+Q1Od04MxwL5yxX8g4NPSG6SB2unbu +oQNCUq/ToeqymYaniZAhMlSg0qHeU1yj9qCQ1O902D8Qt0DqsJDx725IhqWD +VPeiTl1TIann6ZBv+sfr9hEhY0jddafDGPVSzSgzIanvGRAYv5LNPipkDPyb +bsoZoFXndE3cQkjqfQYo+a6atdZSyHj/bzjbZMDqKzcZh44JSf3PgJBXgWdv +WAkZOv99YRjYWLTS+7iQ8CADHs6RmJhwQsgQ/Lsd/Ay4/eb0qIqTQsKHTGDP +r5jVfUrI8Pj3dVUzwfOiivHIM0LCi0yYPGxPoqS1kKE5dAEzIUTk9z7Zs0LC +j0wYOb5Gbtk5IaNrCA+ZsFT05eI1NkLCk0zwD08+ucFWyHjxr7z3Z8L92Mbu +TeeFhC9ZcGremZjNdkLGUHlenwVfDmblb7wgJLzJgruh+irr7IWMofJ6LQt0 +dul2qFwUEv5kwcgvw34rXBIy/it/WSBS7HZstoOQ8CgLcjWUV066LGQMlZcR +2bDjeYvZn8HX//EpG2ZZpPxquSJkDE3fLdnw/PP3gcKrQsKrbPAem2sTfU3I +GJoed7LhfmDO/rfXhYRf2eCR9SLmqqOQ8d/wy4b6VIGbyQ0h4Vk2PN4W0rPm +ppBR+u/2iuZA9rWTeRK3hIRvOSC/s3ZF2+Drq0MFMAf2WXvOY9wWEt7lQHPk +Vg/XO0LGgqEBmANjZPaEHLkrJPzLgeviOrrL7gkZuTlDfyDB0cf51+Dr/3iY +A+LTYo8k3xcy7IYKai6M2Csod3ISEj7mwvCCDz27nIUMmaEBnQvz1WQ+Tnog +JLzMBWXv59PyB1+nDi2vcmHgvqbCw4dCws9c6J58pFPzkZBxeqhA50KF9Nij +fwdf/8fTXFA8s9/li4uQMVSuJPPgbMExm+OPhYSveTB9tbrErCdCxlA5MMqD +oKrSs1mDr//jbR7sPrrc1d5VyPhv+ZUHGQNqF+Y/FRL+5sH727Xzswdfj/9v +woHcc+Grc8+EhMd5sO6Tad3U50LG0HCZmQ9ax1r6vg6+/o/P+TDOxKhqr5uQ +MXQ7TPJB6cIJd/7g6/94nQ/reTVLXF8IGf8tz/JhgdOhdwruQsLvfJhr/7I9 +cfD10NvV54NK0JKZe18KCc8LYGnRF8W2lzjfC0D+Svr8y6+EhO8F8FKxa7jY +a5z/BdBh8zH7xWvkfQFEjXO+Oe8N1oMCONHFlw96g/wvgLDj5onL32J9KIDN +azfqfHmLeqAAbr7tLFR9h/WiAK6fytKJfof6oABilZemr/bA+lEAV9eeWB/p +gXqhAHKDMiOVPLGeFMDEN65L3nuifiiAjfOHB0l7YX0pgKYz+ktdvVBPFMLr +yi+xI72x3hSC1o8Dhue9UV8UwtSIk79Y3lh/CqF355hwXR/UG4XQ5KRtH+OD +9agQREN1d8v6ov4ohLnPF2xx9sX6VAgT0iu1u31RjxRC2X3bM7v9sF4VgkML +LyTSD/VJIZwt3D92ij/Wr0LomPXuzll/1CuD//9tmGyOP9azQriz6ipnwXvU +L4WwurE35+p7rG+FAHk91cXvUc8UwURjY0n5AKx3RVC5VOSiQwDqmyKQ250r +mh2A9a8IBhQcM2Z8QL1TBKJu7dGWH7AeFoFmZ3l1xAfUP0UQOWfsyv4PWB+L +wOvzhu+bA1EPFQGzduWd+4FYL4sg6pbnrZxA1EdF4KGvEj8xCOtnERQmea3U +DUK9VAT+Sx+3PArCeloEX0v8a7OCUD8VAaflrqRIMNbXYpjqWeACwainiqF0 +tYyOfTDW22JIExlpGBqM+qoYGu9J+jQEY/0thsemzLWSIai3iuGeda+0RgjW +42J4V5a383wI6q9i0B/9O8s7BOtzMWxK/vEyNwT1WDFYqW2KFoZgvS6GzcN1 +FOaGoj4rhhS55z2aoVi/i2HRijvjT4WiXhv8fRsfX38civW8GAp/SWtHhKJ+ +KwbdD25nC0OxvpdA0uqDvd2hqOdKYPKWkrzxYVjvS+Dg/H1i8mGo70qgtG2z +/6YwrP8lcOFKu5dxGOq9Enjt5D9gHYY8KIGLnomM22Go/0rg0tJHHPcw5EMJ +nH26+1xgGOrBEoiZaWz+LQx5UQJqEhxGehjqwxJQTVe9UxKG/CgBuWePv9SH +oV4sAQ3Wot2tYciTElirvtakNwz1YwlYa/4sEYYhX0rhQunr+N9hqCdLB/Xe +runDPiJvSkE+ARqHf0R9WQpKma/nj/iI/CmF0Iy7Zf/+/X96sxR2p8kPGwhD +HpVC9mxfr59hqD9L4ez9qd+4YcinUiic8W5HRxjq0cHfp2do1BiGvCqF+KkG +tRVhqE9LQSEjjJkThvwqBdcNDkcYYahXSyEqP9U0PAx5Vgpj9nnWe4ahfi2D +JyBR/ygM+VYGxdcXmTqEoZ4tg1eBnKMWYci7MvBs02vXCUN9WwbqU04KV4ch +/8qg5c1KJ5kw1LtlkBQU4D0iDHlYBq1SSZuaQlH/lkGN/eUTGaHIxzKImpYl +HRiKergMDo/xMb4firwsgzBZ/jzLUNTHZWAjEXlhcyjyswzO7MzcJxOKerkM +NOfJZgpCkKdlsC8uMCMvBPVzOdxevHWffwjytRyajcsvOoSgni6Hm/Kaijoh +yNtyKDt07uzcENTX5XDym6pWbzDytxx0O+yivgej3i6HywEi31yCkcfloPIm +Sf9AMOrvcjC+4nhjYTDyuRy8Radq9QShHi+HbDGDwOgg5HU5pBwa53s9CPV5 +OcTwx6tqBCG/y2FhlZzl2CDU6+WQtHK28r96+R/PK+CydtLLR4HI8wrQeVzx +blcg8rwCZF4s3DQ+EHleAWdlz97K/IA8r4A1ivYWdz4gzyvgXGl/M3xAnldA +/o24cT8DkOcVoJVtVxgegDyvgMAHrLXHApDnFTCmPlZDOgB5XgFqi77x898j +zytg06QnO26+R55XgKcLb+vK98jzCgjoeMlh+SPPKyAtWUnJ1R95XgHxo8yk +N/gjzysh9ycrstUPeV4JjVomvGd+yPNKCOm3r1vnhzyvhLCLdTZsX+R5JWg8 +Wf3pH6//43klBDsseLeM8rwSGqp1VIp9kOeV4JlmeNHOB3leCcsY5cen+SDP +K2FbwoWxUd7I80ooW5m/19AbeV4JSWOv7un1Qp4P/r73M0c+8kKeV8KLno1H +FnkhzyvBXffe2e+eyPNKsAu/pbzPE3leBer3svy6PJDnVZBV2JV1ywN5XgVm +M2/6TfdAnlfBDAfJFUHvkOdVYPRG5bz6O+R5FUCc9cnst8jzKpCK0Jfa/xZ5 +XgWBTeaXm98gz6vgofkKN9s3yPMqcMzfZDnwGnleBWEnZ3Tfe408rwL27A3L +xV8jz6ugwGalgvsr5HkVKLtfrpV+hTyvgp9H3Xd4v0SeV8P5AyI2ci+R59Vw +OETT8L078rwafq0s7V3ojjyvBilHJe33L5Dn1TC7MNNU7gXyvBpEpGVXersh +z6vBcHxEkrQb8rwaRou0TXJ/jjyvhquLtswSf448rwZL7eWce8+Q59XwWEzu +zMBT5Hk1JMU/jrJ9ijyvBvfrjQnNrsjzali91OXeflfkeTXInf4jnvMEeT74 +fd6/O7DuCfK8BmZPqjoW/Bh5XgN2vtKrZzxGntfAxKV5aXdckOc1kKFpNLP3 +EfK8BroNZykfeIQ8r4HqJzfHpTxEntfA1dE9gYoPkec1wHVNG//0AfK8BsTb +j6kInZHnNVDzVmXeAWfkeQ1Ijn9YHO+EPK8BP/sc7TlOyPMamPZrt/P1+8jz +Ghj+4PLjOro+rIHzw7wPrLuHPK8BA3GZ7pd3kee18E1/+w7eHeR5LehvcDit +cwd5XgsBK8caB9xGnteC3fkdE/7eQp7XQu/ip857biHPa2GSxcrCoJvI81qI +n+PYMHADeV4LshsyY/VvIM9rofTxIVNfR+R5LYgUuuRzryPPayE8OGDsluvI +81pIjOZPdL2GPK+FNTdS6muvIs9rQfP6oSuLryLPa8FqzgSO7RXkeR3YJ0rN +jL+MPK+DhG8Zs0ZfRp7XwbDdR9u0HZDndXA7S+mu6yXkeR1MOXCqu/Qi8rwO ++leaLZxxEXleByOu6ysesEee14G56eWBNxeQ53UQK5zjVW2HPK8Druk18Zl2 +yPM6WPalRHfveeR5HYSMMz30zBZ5Xgd+Dibr8myQ53WgIDW6bYwN8rwOfv96 +dmzjOeR5HRxU3/zF/izyvB4auduKQ62R5/WwfnNNIusM8rweRmxfdWPaGeR5 +PZxRvD9Z6zTyvB5kpcbaOpxCntdDE7T7B51EnteDYbVpUOUJ5Hk9uCm8cRxz +AnleD+MuZMmrHEee18OwSbN9D1shz+tBWy+l2+kY8rweej3aJkZaIs/rIfdE +/LBqC+R5PcxbZpU63AJ5Xg+rbKceXGSOPGdCe1ZXuvZRnO9M2FUuLWJN9+eY +ILUtfbrrEZz/TNAql/wTYYq8Z4K3gWJU4WGsB0yY775sS88h5D8Tnj/U8Jtw +COsDE34sfVK5+CDqASYMd1Zu3GqC9YIJ92J2JP7bf/xPHzBB0NVva78f6wcT +vh4z73cxRr3AhFf2Pnv992E9YcKq28X3Y/aifmDCThHJx3lGWF+YIO98/UTD +HtQTTOj5uHwW1xDrDROGLdnkPdIQ9QUT1NIzf0sYYP1hgtFhltK83ag3Bt+v +2VtlqT7WIyZ0WM6erKaH+oMJt3+fSt6qi/WJCd+rvbV1dFCPMOGxTkGg0S6s +V0zY8kWs7uBO1CdMiLtr1nJUG+sXE7z0WjKsdqBeYYLz1+Drp7SwnjFhiX3s +eOvtqF+Y4Gi24OzZbVjfmNB4VBB4VhP1TAOoX1WLsdbAetcAEzN+e53eivqm +ATztth06sQXrXwP8zZPts9iMeqcBZFe9NjHdhPWwATrmfnpnvBH1TwOoytp8 +0Qesjw3QwK733b4B9VADZC0cdnzDeqyXDVCWWDZq5TrURw3Q+t7SfqE61s8G +qKmL+C6lhnqpAS46f2OOXYv1tAGOsK6W/1RF/dQAY1UH/FpWY31tGNQ7a7TK +VqGeGvz9LoopSSpYbxug5UPR1LCVqK8a4FHn4g0vV2D9bYDn85esv6GMemvw +38sVSxxfjvW4Afa2TGfoLkP91QDH7fs2rVqK9bkB5LnGb2YooR4b/H5Pt2b/ +VsR63QBT/AIK6xRQnzUAy8k+jLEY6/fg9Y4POOIpj3pt8HpnK7RfXYT1vAGM +jft2HFiI+q0B1sp0O6ouwPrOAkaj+CMJOdRzLFjySNO6Yz7WexZcmnBtUeo8 +1Hcs+HHS78vbuVj/WdCX5D/Ddg7qPRZowWmD7bORBywQncsxk5ZB/ceC318E +27pnIR9YsOWo84jEmagHWVD2+t5T1xnICxZMZZYITaVQH7JAI23vimXTkR+D +P+/hbfo9FfUiC2ZNerIwQxJ5MvjvG8cxn05B/cgCA7UdZ0wkkC+DP+9WLJSb +jHqSBamtT0Q7JiFvWPBEZp/kp4moL1lQ5XSYd2EC8ocFH9bc/qA2HvUmC5Q3 ++Cz/I4Y8YsGK6OcPE8ai/mTButxVcddEkU8sEAs5xFg/BvUoCxKdm936RyOv +WLD+fQBEj0J9yoJdm+zibEciv1jQ5btg/NIRqFdZcH/mo6XNw5Bng9eDf03W +86+A6NdGsLmb27ZnAM/jG2G77I7b4/4IiJ5tBNt5qd2MfgHhXSP8LZugZPsL +z68bQedVn/qCnwLCv0ZQjNsoXSZEP0MjMJ+k5N4R4HlvI2yy0NJT4QuI/m2E +qJuvfBu4eP7fCOuVrqU/6hMQPdwIVj6M2DW9AsLLRjCWWuDI6sbz8sH/H3de +8kGXgPCzEdwjbS+u6ET/RCOcndYUXNGO58uNIOxzCbvaJiD6uRFEdix3nNeK +foPBfz/xwdyUZgHR041QK3v4sUWTgPC2EZ4ePJkzmoPn842w5erpcr9GAeFv +IxQrzw/fzEK/RiNITtMwqmfieXYj3Pz4JNOhXkD0dyMITiWMnVqH/oZGMPC/ +Oy2sRkD0eCOsfOHVrVEtILxuhNtmSc9rKtEP0Ajyk71H21QICL8bwflBzyaR +cvSHNILy26Nar0rx/JwNOzqDZymWCAjP2bB4jEN0bBH6KdiQo3N1jnahgPCc +DbtHmetV5uN5OxtObKreYZmH/gM2fBe6TOzLERCes8Gse/GbK9noR2HD7NQ9 +PaOzBITnbKhoiZngkiEgPGdD3ZthXMl09G+wYUl3jufrVAHhORu+JqZMmZOC +fh42+CY80PFJQr8DGy4fSNGVSxQQnrPhYNmk6f4M9L+wQVZlop9cgoDwnA03 +NxwS+MQJCM/ZMOfmuwlzY9Evwgb/nVatb74JCM/ZkB1k7DQtGv1DbDCyHNf5 +OAr9FWyYPGqapOgXAeE5G1ISxQeuRaLfhg1Sz12DeBECwnM2XJNTmnE8XEB4 +zgaR/AtaNWHoT2HDCq9h6jqhAsJzNiTsPtCZEIx+JTZY7JpmujQI/RxseObF +ePr2g4DwnA2zRrFvjw1Afw8bqksmrrbzFxCec8BEIsu/3ldAeM4BCxOP4u0+ +6IfhgHOPBCPcS0B4zoGQgNBj0z3RH8WBC9y+nCvv0D/CgZxqiy7mGwHhOQdW +/L6XveU1+ok4sOhG5VH/lwLCcw5cWVAZNdpdQHjOgTfLRqWYu6H/hgOZZ8qc +k54JCM85sGdNlticp+jH4kCW+b4tDk/Qr8KB8bt+rCxxERCec+BM+pyaJdS/ +xIE4uS3qtx8ICM85sEGpVK/KSUB4zoFAmW3Sy+6j34cDE/xE3928KyA850BE +zb2iktvo/+JAk45y3IJb6I/hQH6rtbHdDQHhOQdmDw8NTL6OfikOfNfSCxG/ +JiA858CtMoapyRUB4TkHPkqapr13QH8RB5wyntV0XxQQnnOgemycj6o9+s0G +79/GNVLX7NCPwwHpuZbrkm0FhOccKPH3njjGBv1ZTaAyRcNF66yA8LwJZNo/ +xDifERCeN0Fep+yzrFPoZ2qCMVPHTR97UkB43gQzn0RoaB5Hf1sTdDCsZt88 +hv6fJuhc4egVZyEgPG+CP14a2fyj6Adrgq3NozyUzASE503wY6SolLmpgPC8 +CaQk3Ne8OoT+qSaQ0PgxkGMiIDxvgsNs6xN/96OfrgnGbtvosNwY/UZNsDA/ +UMl0r4DwvAnWc9puuexB/1kTeHLhSqyBgPC8CUKONE5t1hcQng++3+MJepP1 +0K/VBIw83mI1HQHheRPomeX6HNmJ/r0m+HI+89u9HehvaoLtU2ecCdkuIDxv +Au8VbQn5muh3awJjN6vg3q0CwvMmSKqKXyGxRUB43gS3rCcbKm9Cf1gTLHK+ +P1EXBITnTfBkgeaRk+vRL9gEZv0ntO+qo5+qCXZdm5rjuRZ53gxbS46wvqoi +z5shsOD4o7xVyPNmMOjamtO4EnneDC5aoh5CZeR5M/x4lTZcbDnyvBl2Lnki +mLkUed4Ms2psLissQZ43g8UEh8drFJDnzSDzPkxZQx553gxvxkw/orcQed4M +LWO+Su+XQ543w8j2x0fN5iPPm+H3AS+V43OR583wx4LtcmY28rwZ1E/vOWcj +jTxvhqxFbVXnZyLPm0HvxYssOynkeTME/dYGu2nI82awqvqhaiuJPG+GVY33 +I60lkOfNMEfIDj8hjjxvhqSZ3KXmE5HnzbDX+sUSk/HI82Z4r/Dxw24x5Hkz +FLnM9NkmijxvBnHWp+nqIsjzwc9vqSuqNAp53gxb9FJsZEYgz5vhJoO1Z/ww +5HkzdH2+GP7zD/qLm0FeTP8Gp59PeN4Cp6xWpuf/5BOet8ABYDtGC9EP3AI+ +sXKhnnw+4XkL3Iz/tOMOl0943gLL87fvO97LJzxvgYmzPEq0u/mE5y2QfMQy +YUknn/C8BZR69CXHt/MJz1tgoduE0tYWPuH54M/dN/9NbeITnrdAdeALVy82 +n/C8BdY0BjtfYqE/uwVY4XNb9Zh8wvMWmJT7OHhhHZ/wvAUOr3xS8Ksa/dQt +4Dz1i1FuJZ/wvAUq8x+t8yjnE563wKVaf8fTpeh/Hvz+ObfnqRfzCc8H//+K +MJkxhXzC8xZY6ultW5iHfvMW6HhSNe91Dp/wvAVajxUsPJLFJzxvAS1jgePC +DD7heQusNotd2pbKJzxvgQg156UhyXzC88Gfb8+8diqRT3jeArJPqmQUGehH +bwF+4Cyxljg+4XkLbI3gbPKN4ROet8Az1UfJJtHoH2+BhlVmjySj+ITnrTB6 +4OO7rEg+4XkrFCrG912LQL93K/S7ZTsrf+QTnrdC1gYpc1YIn/C8Fe5urb7i +GsQnPG+FT4Xbijd84BOet8LwCQ8t2vz5hOetMGFsofJzXz7heSuURKqtXufN +Jzxvhd7U5rMsDz7heSu4RvTW333LJzxvBUXXUzcWv0b/fSv0TT1mkOXOJzxv +hQG7NoPjbnzC81bYsP+Xo8gz9Mu3wsSc5xXeT/iE563wev6nfeoufMLzVujo +3vq36AH2G7TCl861WVZOfMLzVshttf/6+y6f8LwVTD/Vp7jcxv6CVqgcvrNn +9k0+4Xkr2J1wVQ29zic8b4Unm669XHuVT3jeCm+cq8RTHPiE562Q0nDMY+dF +PuF5K+hYNmwotuMTng/+f7/+7r222G/QCh+um3+sOssnPG+F/JnJDgfO8AnP +Bz9f0lfdqpPYH9AG10qqFfce5xOet8HJwMTxRZZ8wvM2uH6Z173DnE943gbJ +Oj+KEo/wCc/bYE2FVuTqw3zC8zaINj/kEmjCJzwffH+G75GZ+/mE522gL+Wo +4LSXT3jeBtta9Zv4hnzC8zZQrrF+arqbT3jeBm8/yi/J1OUTnreB/azk8GW7 ++ITnbaD35arM8x3YX9EGS0pcrAXb+ITnbfDbVs7PSINPeN4GoVLm0Z83Yz9E +G2SFnwgU38gnPG+DBPud50+s5xOet8GHcslpSWrYX9IGaTvSHkxfwyc8b4OW +9fuKTqziE563wZlq36bYFdhP0gZqFadSxZbzCc/bQFb+zMm9SnzC8zaQnLy/ +1FuBT3jeBoJJVX9bF/EJz9tAK96ledkCPuF5GxyNmORkO59PeN4G+63amZ/n +YD9JG7xcENDOleYTnrfBzPIE/+Uz+YTn7aD/w2/cyenY/9EOkeeTZvhK8gnP +20HgdCGnYjKf8LwdWgOnzR0/iU943g5/D3SJrx/PJzxvh5uz4c3JsXzC83Y4 +tW1jrLsIn/C8HdrYs08njuQTnrfD3Xd/QlqG8QnP22H0E96FCQM8wvN2YK6Y +nL6sn0d43g6XVhq90v3BIzxvh07D2NZTfB7heTt46Sh9vtfHIzxvh5NBj3ie +3TzC88Hf5/Pp/ZcOHuF5O0z9a5mW0cojPG8He+5x3comHuF5O6j2n1nf3Mgj +PG+HpCiZh31MHuF5O+SlT1btr+URnrfD5dCqtcOreYTn7bDcq//xqAoe4Xk7 +rDvdrjK6lEd43g4rdkvKjSziEZ63g0RF8b6BPB7heTusDnPIE2TzCM/bYeyd +nY4dGTzC83Z42XL9eH0qj/B88HrM3HAnP4lHeN4Ou7p88uIYPMLzDtjhGbcx +II5HeN4BKWEPyly+8QjPOyAvdsQD2yge4XkHXD0oYrwnkkd43gFxv0+pq4Tz +CM87YHzYwBLxUB7heQfsGWcn3xrIIzzvgJgJl+UZ73mE5x3woDlk0VNfHuF5 +B+ysc59r5sUjPO+AJrmYCcve8QjPO0A06mbrj1fY79YBSUv1wxgveITnHWAZ +aGd06xmP8LwD4l8ur9nyBPvfOuCp76e1wx/xCM87IMxR+nisE4/wvAO2FV8z +t7mL/XAd8GNM1YKFt3iE5x2guWJ2QNl1HuF5B+S2KbBuXcH+uA6YvTS/QOkS +j/C8A0zXZZ4qseMRnncA41LaR3sbHuF5B2TpHn023ZpHeD54PScpTv58kkd4 +3gEVpe7zd1nxCM87oPS0RCLLnEd43gF31vRxzh/hEZ53QITEVeeRh3iE5x2g +v745wGU/j/C8AxZtM1CdtpdHeN4JJcJC5dcGPMLzTnBYZ/Zkph6P8LwThFN7 +td138gjPO6H4vvFBcS0e4XknMF3M4+9o8AjPOyFILNNcuIlHeN4Jn+IUd1ps +4BGed4KT2pxT+Wo8wvNOSGyWjV+lyiM874T4ngDVlyt5hOedYLBtTIlgGY/w +vBNWez9w1l/CIzzvBMmLpww+yPMIzzth67j8hf1yPMLzTqg3S/utNY9HeN4J +4oYGuc9leITnnbD9rvmT6hk8wvNOmHKpbP3saTzC804Qc7bNNZHgEZ53wvFf +3NXuE3mE553gx/1zLleMR3jeCcudF1z4K8IjPO8E9/gONaWRPMLzTog5n/zV +6C+X8LwT2s+PYV/u5xKed4Le7lFf3wq5hOed8GUvd9E3LpfwvBNeHO1XKuzm +Ep53QtrX5d/Z7VzC806IjX5eym3mEp4P/vzlVIuBRi7heRcsbH9kMYrJJTzv +AuaMlNzRNVzC8y4IiT7+fEQFl/C8CyaHzQz/VcwlPO+COAWT6V35XMLzLgi3 +ePO9JptLeN4FOhJLfNLSuYTnXbDjluan4GQu4XkXmJ8c3fyAwSU874LxCkdX +H4vlEp53wS+X1S/Wf+USnnfBhN9Lf0+M5BKed4FmRv7B6jAu4XkXvEk8Ee4T +xCU874I+vxmt5u+5hOddsNMk6vc8Hy7heRfIZBXWV77jEp53gUrdh7sPX3EJ +z7sgync6Z60bl/C8Cza0OHU1POESnnfB2bGtbrcfcgnPB6/H4bu58+5zCc+7 +wMh7+L1vt7iE510wtk0+Uvs6l/C8C5ZtzIcKBy7heRd41j2dcvgCl/C8C7qO +NkxinuMSnneB0quD4gdOcwnPu2CRtkFrvhWX8LwL0vqjLDaYcwnPu0Cr2mnr ++8NcwvNu6Dh/YtWYA1zC826YFT+ixNSIS3jeDT+0md6f9LmE592wVHr8QP9O +LuF5N6jvPzxj3XYu4Xk3zGga2XRuC5fwvBusp3j+fbOBS3jeDTeHjbwfvZZL +eN4NfuLavSkqXMLzbths++5D/DIu4Xk3bDXVc3qnwCU874ZV25SaTRZwCc+7 +4du4kqTuOVzC826oEH6duXkml/C8GwSJ5rrLJLmE591gWC337PgELuF5Nxxc +VzN16mgu4Xk37Bnu8mh+Xx99vf5nlMzC7j7678f8sHpaXtxH3++ZKuuoWFLf +/3+fT71ffHgf/TwpL6MPyHn20c/77Pnd8r8P++j3Ceo99lHNoY9+30lpleMK +LPvo9dBaeq0taXcfvV7+Y1oNZm7oo9fT6qfPpXr5Pnq9Z7uahklP6aP343SV +MKvmdy+9XzrXTPIUmnrp/XxsyvecnN9L7/fexfOa33ztpeNh7vlzPcWevXS8 +GIrKvU2+10vH0xj3cQbnrXvpeFu7a/KwbqNeOh77OiZOWLehl47Xs6Pnmh1d +0EvH87Jh6ZanxvfS8X4++9SoQ9weOh/s9tYHbqjqofNFUfu+rmRiD51Pi4Yt +uMIO6KHzLSCsROuzSw+djzcq9p+8b9dD5+svpcvKFiY9dD5PqjZ+orOlh853 +J0a+3HaFHloPitL91+6Z3EPrRdn6A696e7ppPfHMNl10ktFN641wrckIaZdu +Wo+Wy5S4ih7spvWqMupWhsqSblrPohlvlvj1d9F6JzLGrd44u4vWwx2n3fz3 +vemi9XJVhVWHz8kuWk/vu4kXqa3rovXWc3uHwvwJXbQes39cv7e/rpPWa8ll +1Ws5HztpPc/X8t+ffKOT1nueYXEu36CT8kCJMW7b1YWdlBcWWnG79v/soDyZ +6Ni08VFOB+VNzI/3+6d5dVAeuZ+7HMm37aC8asnV1lDc3kF5ppKytitKuoPy +7kKrmu3L3nbKw/evHG/mp7VTXvZOjvhy4G075WkQm/dsnU075a1icvWzc9vb +KY/Pn5kl82t2O+X1YTtF51J+G+X5+A3fF4/MbaO8F41YtPGmXxvVA+5RTqK7 +r7RRvfDu6cSoc4ZtVE9MHNt5nrmkjeqNbZJmJ9+NbqN65Fv5s5iAulaqV+ab +HLk+8LWV6hnle3OLvVxbqd6xfK+d8+hkK9VDI3PnXE/RaKV6iaOkNLBlbivV +U6J3qvaP62+heuvukxr/GWUtVI9tuuvTfTqiheq1ZWbR28c8aqF6bkrlnCS2 +VQvVe4dCvE6P1mihevD3hMmHrOa1UL3Y9OVFwPiBZqonx0HD4d6qZqo3FYwO +eUyNbqZ61FnN6spFt2aqV8XHWE+dYdtM9ex4ZZl7Ar1mqneZ3va9k5Y1Uz3c +nvHzgvmEZqqX//aHruO2N1E9LXph84nYrCaqt8+tn6UQF9hE9bjC6iOBvPtN +VK93vZsoZW7VRPX88yU/P4zd3kT1fsiEKlf2oia6HvBiG4zjjWmi64X7okI9 +1RYOXU88nLfVOzSDQ9cbq3VC1xsFcuh6ZAnP7cRyZw5dr7SY6Furn+TQ9czV +dXNfXNjJoeudURuUljQoceh6aNbH+zccJnHoeqn8iGzrpl42XU/5VWVEry5m +0/VWUq2U1r4vbLoee3rGke/vzqbrtc36K6TnOLDpek529pzZySZsut7z4HUc +cgU2XQ96VHVtdZrPputFhZJZa4NGs+l6sj5+dAC3pZGuN1fw8n+b5zTS9ejO +ggXBfz420vVq2QjlBfHPGul6dmzJY6aPfSNd71bqau8JO9BI18MnzXP/1EEj +XS9b/CgzUpFrpOvp9QN29aGijXS9PdmpX0q7k0XX4+ube++OK2LR9bp1msTH +rigWXc8/M18/g/eGRdf7PgWndGfdYNH9AIkNYbVHLVl0v2Db6em7c7VZdD9h +rWL8ur3KLLrfsLQtcNnw6Sy6H7HBriQh63cD3a+Q+D7NMZLVQPczVpXIjYvN +aKD7HcNrb/1khjXQ/ZDFBjea5Nwa6H5J+HYF67uXG+h+SlPp5hWjzRrofov4 +h/UFXtsb6H6M9KeV7fuWNdD9mqi/1QuVpjXQ/ZyxwbfkZf4w6X7PDv5od3k2 +k+4Hyd1MmambzaT7RROjPA8++cSk+0mXVLvFOl4x6X7T96cO+UduMOl+1Mdh +Nsa9Vky6X3VO99i+13pMup/l2al51WQNk+53rZqu76A6l0n3w6bKTRmpKMqk ++2U3uhNfnmbW0/20etl3Ul/C6+l+m9p1A57ijXq6H7ejovltoX493a8bJRd9 +x39+Pd3Ps3c7JxfAraP7fYr9o3pLU+rofuAo4zcWyi/q6H5hRrzzQPSxOrqf +uM1AW+3U2jq637jqw6lXO8bV0f3IzyseeBjU1tL9yldimnl3P9bS/cwzVSof +G2/U0v3OZSJ1mccNa+l+aK5I6AepRbV0v9T+Z0t5988aup8adCSY05VTQ/db +p8mUH5nqVUP3Y/ffn1RnZltD92v9iz92lmnW0P3cjXeTFpybWUP3e+cs/ymt +3FVN94PPb/c7OS2pmu4Xn1oTHDz3RTXdT5ZzvX1R90Q13W/uPbnU2mdDNd2P +nshbuHTGlGq6X90lZbEnsrmK7mcvsdK/ezauiu53W01kHNVzraL74TIDbNfd +llV0vzxafW/UBfUqup8upjPsYpx4Fd1vP1Q087psUyXdjzdbqWgXHFtJ9+tl +b/4cZehaSffzD5x9UCV9rJLu9+87Odt39PpKeh6QVDZvwsQplfS84IGlcsaq +1gp6nnDQfdKdK4wKet6Qu9WL3+BWQc8jok0acyxOVdDzCumP3QljtlTQ84y0 +yk7H9BkV9Lxjk+6UTL+ecnoecvDiOx3P9HJ6XpLanZMZ5VFOz1N+lTG5bXbl +9LxlzVaJe+q7yul5jE/G4w0f5Mrpec3aiZfKlv8uo+c5ox9VjSstLqPnPcP2 +Br59HlxGz4MW/UrYZn2rjJ4Xbb9YmmN+oIyeJ400vNVxfmUZPW+69UnVynNc +GT2Puu+6egS7sZSeV62Y7HZ1c1wpPc9SLVzlEfO8lJ53jT6SKr/zdCk9DzNP +imIJNErpeZnqADyNmV1Kz9PYWUYDL4Ql9Lxt6tbQrgcFJfQ8zigyTPFVYAk9 +r9tw/Mq1hJsl9Dwv631beP+BEnred+nF1Ud6q0roeWCjoWV93MQSel54XNTR +ZmNLMT1PDBuza0p1YjE9b7w4kefy8E0xPY9kOK6PNLQrpueVjrFPdFbqFtPz +TEnb3mXyi4vpeWfK7D8LV44spueh6udaRhrWFtHzUt/aK+7OX4voearM4mmR +Za5F9LxVS9RLfs2pInoe65fxLCtMs4ie114YLTipNq+InueOtzJkVvUX0vPe +kbbJ/Y/LCul5sNW+mqfGEYX0vPh0VYyD6sNCep58fnX//cXHCul5s+bM6GfL +NhfS8+glvvfPackU0vPqOMtrPy/8KKDn2Yp3ZEdGFxfQ8+66lIuW4z8W0PPw +GV+5/bbOBfS8/DnnytsuiwJ6nv5N9My8K5sK6Hn7Jc0n5tIyBfQ8PrVPxdGj +N5+e17f+PSSzODCfnufHL/pmVGGaT8/71XcvawyWyqd+gDOBlx18C/KoX4Br +J1uacD+P+glkxM6H/NmYR/0GdrHXYw7/zKV+BJ22mTHsiNz/+xWmV1o+PJFL +/QwwWuyqkWwu9TtMbo/6trkmh/ohCsI8q/TccqhfQnX5Gg9HnRzqp3D08E8u +HJND/RZ3q2LFtiZmUz9GFvvXynKHbOrXkN37cNgDlWzq5yho3bjicFcW9XvE +jHR5YPAhi/pBgvc8yD9mlkX9IqsdexLfSGdRP4lSisSi7rJM6jcxjGclH3HN +pH6UtYvaDnK1M6lfpS5YK9ZXJJP6WebHRfnYJGZQv4v7uUvVh69kUD/Mp0sn +lE6qZlC/zGSxXtPnfenUTxNROwyqQ9Op36ZBTcoVjqdTP07x8A3zEuXSqV/n +YfLfb4eZadTPM63BeuGst2nU75PxSXYFd28a9QNd+qoc2DwljfqF7LOX7fuR +n0r9RDLHlMcveJhK/UZV7NSnp7enUj+SW57gW+GoVOpXWrFCU08/MYX6mZb9 +CZHruJpC/U7TD78S8VFLoX6orBWdqTbCZOqXOnzm94pDn5Opn+rhqwezzc8l +U7/VuR15xreXJlM/lv8Hi6iE9iTq1zomOCQyJTCJ+rnq56yQvmGZRP1ea/W+ +Z4nKJVE/2LuHuzmBDYnUL5Zn+BLMPROpn+yw1vtQ1YOJ1G92spw3Um5WIvWj +ebcemr648jv1q3l7zI3XdP9O/WxXR5VlXdnznfrd9ldempY95Tv1w43yyegw +82NQv1x8wrObh+cxqJ/uwaTzbx94JFC/XcuRda1NsxKoH+9o/1mpc6/iqV+v +z0CjavH0eOrnW175ql7cLY76/Y7E/KxcMCWO+gFF419etnKNpX7B7hn69hWT +Yqmf0MHM29HOJYb6DaefCdKGCTHUjxiuq/Ro1cNv1K/Ys9vp195x36ifEYbH +aL13jqZ+x+1L9yjPFYumfkjBOCerVKev1C8ZqTEzwG3sV+qnLJ5v5v/EKYr6 +LceF75SOGhtF/ZiF3Uapo52/UL+m4+RH+6+LfaF+zmUf77+Y/+Az9XtOkLBW +6R73mfpBV1/t7mY/jKR+0Zxrm+6MnBhJ/aTG22Qjdjz+RP2mq8dULI8R/0T9 +qKN3L0zSfxpB/ap/7hnJTpaMoH5WcQ3lKT/cwqnf9XPpHz0RqXDqh31hNnyt +vcpH6pedwOOeXLY2jPppj4zaHqeyIZT6bZ1Cj4bc3RJC/bhXPd4w5LSCqV93 +osZyh4m6QdTPWz9c+8iWPYHU77vGsv9X0oEP1A/8+rJK3kOzAOoXbpHMMvM6 +/p76ibnyZzb9OetP/caaq8O4Phf9qB95YnjPSFdHX+pX7rK1+ZN234f6md9u +33pqq6s39TvLW2yvFX3tRf3QdsNN4xZpelK/tEVp76/Mfe+on7pnT9lz4ck3 +1G+dZ6gU9PH6K+rH1kzzNul95k792g+ULfJjP7hRP/fR5YqLJiY8o37vn3/k +nJuLXakffPjiX+BS8pj6xYdH+svuG/GQ+sn7wk9JKirfp35zk+nbT4w+cpv6 +0WMthjtyXB2pX925ztDy2b1L1M+udlUhw7TzHPW7D+guLz8cZE798LXl7swO +oQb1yyt/u2zEMTQA9NP7pLYv7MmzAvTba5SoJiUKbQH9+PcO3bsx4+5lQL/+ +bd2fqzY53QD081/pcsy+rnMH0O/vMNx3bJaEE2A/gL3dygvzyh4C9gtoHt6Z +tWXnE8B+Ai+r1r6BJU8B+w3GjNzE2zzhOWA/Qgz3wkPxTjfAfgU7/p2LVjnu +gP0MzmJbzmsHvwLsd0hMMVWLcXoD2A+heMvm9pdj7wD7Jca/7xbboOEJ2E9x +y+TNZgVXL8B+i2qtjJIr170B+zFORL+a5n7aB7BfY9zAfLNLB3wB+zmsFXvF +5bT8APs9hjmPv+K+2h+wH0Rr6q/+Ytn3gP0i43viWirFAwD7SURLy18F/gkA +7De5d0vHckvbB8B+lJRFAX4BZYGA/SprGO1BZUlBgP0srIvBeQVhwYD9Lq6T +f5u/eB0C2A+jv+Ry0qK7oYD9Mn3vddXvnAsD7KcpbVOQ/WjyEbDf5qe0f1OR +bDhgP87s3+ppKq/CAft1Ii7eNb46MQKwn+d27sXMkFsRgP0+CYH5G5J/RAD2 +AznkibO+n/4E2C8UF/Sg2p/1CbCfKJxvbGCzNxKw30hrm+m5hTmRgP1IGh91 +DiZt/AzYr/R0h/cGzS+fAfuZFpR7rfu0+Atgv5Om5tFrIh5fAPuhDHflKGyR +iALslxrHf2RldTcKsJ/KcNHiQxd+RQH2W71Q71I+feYrYD9W0I0/Y3exvgL2 +axlmbxs/zSgasJ/rOM/lUEZmNGC/15PjlgvN138D7AcLDl3p1Br+DbBfrKt2 +acg+uRjAfrIQlaOfI9xjAPvNyp0/pvHEYgH70aAibcz8a7GA/WovPi1/u7Y3 +FrCfbUW21gd18zjAfrcPJ7fqyZfHAfbDyffKR//Vigfsl4vVLBuZFBcP2E+3 +99CIvdbLEgD77bI/qJWO8UkA7Md79ZDt/UCSAdivF1a9nvnrLgOwn2/c9oOR +e34yAPv9Pp6cMSdv1XfAfsBUhRT95jPfAfsFpRqH3RIEfAfsJ3zZNjGln/kd +sN9wiuyshcIZiYD9iNeVN8a07E4E7Ff89vmua8GDRMB+xurLnLiwlETAfsdJ +cZt23fqTCNgPydOwNtBZnQTYL3k7ZjlzknUSYD/lS4bqqIyAJMB+y86VCwou +MJMA+zFTzJwMpWckA/ZrTlJle0frJwP2c6qf8c/Qdk4G7Pd0ylpSWZqUDNgP +Okojq9GoPxmwX1QCEn7krUwB7Cd9F7lWfsOpFMB+U+axQzf9/FIA+1FzZsH0 +EbUpgP2q02LqBUZTUwH7WevurVL23ZUK2O8qYriorOlOKmA/rNz7R/x5CamA +/bJzOld4GgpSAftpL4cWs64tTQPst938cXaBl2UaYD9ukOKXCzEeaYD9uoa/ +FJqyy9IA+3n1EkSWlk5MB+z3jZdIOlimmQ7YD6zwNfN2/rV0wH7hd7FXP32P +SgfsJzYJURYEdqUD9hsfiV9y+MHCDMB+ZCd+4G/zQxmA/cpXjCtqV7/IAOxn +1p9WPXl4XgZgvzM7ODMgeXQmYD/0z2nhYdfWZwL2S4v1u6xaYZcJ2E/dkH1A +uy4kE7Dfulsw7O9NdiZgP/bR8YcOz5XOAuzXFmnZdjnKIAuwn7ui8v4RjQdZ +gP3ednnMublJWYD94FvtR2fs+pUF2C9+1MbHIl05G7Cf/ISNsaja8WzAfvNW +i6QEf69swH70ZI+T7mIV2YD96pt+VnpZTcoB7GcXGenUytDMAex3T5/Xfl38 +Wg5gP3zRt+M2+7/kAPbLnzK0yH/XkQPYT/83dZV/lWwuYL/9TEOFEZMP5AL2 +42+tduJtfJoL2K8fynvqfCIzF7Cf/8OsK0WPhuUB9vuLFttWBanmAeYB7M7y +Ck88kweYF5A+Yv7RIv88wDwBHd7EYbU1eYB5A8s/2bs1TMkHzCNInGShzNyR +D5hXUJJS0VBxIx8wz2Du09zP2dH5gHkHlyxUo6O78wHzEIQJw//oTikAzEtg +bxuf8n1pAWCegvp5xeilOwoA8xYWaO1kvrIoAMxjmB63b8uIGwWAeQ2vU1Rb +jr0tAMxzGHMmtzTrawFg3sOG1l8SisUFgHkQ2zw83t/vKgDMi+ha/9y9cWwh +YJ6EUfHnDvUFhYB5E9dLy0JcNxYC5lGkrcmrYx8oBMyr2ON4ymmVfSFgnsXz +fMfIW08LAfMuXPrLj+aHFgLmYWi/XectlVkImJexaLa9zWF2IWCexsLiXXW+ +fwsB8zbURg2ucmcWAeZx3B9R77VgdRFgXkfgGunRR/WLAPM8mtX7Z3ucKgLM ++7CuXcMvu1cEmAfyUP2JywTfIsC8kIKimN5NCUWAeSI7Hp9dcL6yCDBvJLT2 +2HI/XhFgHsnVuD0ziiYVA+aVrMntbPqjUAyYZ3LTguW5SLMYMO9khxd7h+6R +YsA8FMEZv67zV4oB81KeBBW4vnQvBsxT6ZSbrh7zqRgwb6Xhm1xvZW4xYB7L +CVP/GGFLMWBeS4v6Vo8po0oA81z0QoN9lOaUAOa9nDS/k6ehVgKYBzPlnIO8 +yZ4SwLwYe8OVX8+eLQHMk7GU2Hfj1oMSwLwZz81PHj1/XwKYR7Ng/i2Wb2IJ +YF6Nl1/53YiaEsA8G8YdlRvxwhLAvJuA/Rsr0iVKAfNwLH29nxYolQLm5djV +DESVby8FzNNZ/2RAu/ZoKWDeTpDVtn0N10oB83hyNzqyGl+VAub1XFut18v+ +XAqY5yMRd+gBO78UMO9nbpDBV1ZbKWAe0Hb98sv1o8sA84IkHj6tr5pbBpgn +VFGwtLVEvQwwbyh2i5FnrlEZYB5RYEakaOq5MsC8ogtTuYqxD8sA84xGp3yf +FB5QBph3dNE8K8Y3qQwwD+nG44SVL2rLAPOSYn7svHHvRxlgntKUGwuD7KeU +A+YtGWj9+Wy5tBwwjyks5fYHQ61ywLwm6+xzDzaZlwPmOUWFOpgrXS8HzHvS +1tyrJvW6HDAP6tGExCkjvpQD5kWdW2IrbMsvB8yTevdYoaOorRwwb+rFL/8f +30ZXAOZRSc56L+s1twIwr+rg207bO+oVgHlWmWqbeo4bVQDmXZmUH/Pcda4C +MA/rygOF+8sfVgDmZSXGGUZIBFQA5mk1Lf88j5dYAZi3VT5vBrOkpgIwj6tK +34j7WVgBmNdVP2eT2XOJSsA8r6Y3ESttlSoB874ev7l5Rn97JWAeWEqe64xl +RysB88IelTDUx1+rBMwT+6vbU93yshIwb2zfn7HDUyMrAfPIVqULIrzyKgHz +yoTVvvzLrZWAeWY7k4cXG42qAsw7q7Adpa88pwowD03yuO+FcWpVgHlp275V +7uAYVgHmqX1SfF+SYF0FmLfWpDNu1kvnKsA8tq2PJy04518FmNfmtyv+p9bg +vMI8N1XBfK/51VWAeW/O0w3m9POrAPPg4i+ZXysWrwbMi+taZZMSrFgNmCdX +mPvmxy3NasC8uUtbRs83OVINmEd3QLl8m8qVasC8OgfWhnPj3asB8+wqTpzx +Z0dUA+bdjf/+tj0upxowD29O0Whtt8E6iXl5bn5tWadH1ADm6UUfc7XRlKkB +zNuTeaqhNWdNDWAe3+Ffe41+7K4BzOuT7p3tXXi6BjDPT+WAYHnw/RrAvD/1 +HJhwx7cGMA9Qzdts/eGEGsC8wJBZORlrK2sA8wS/XumOkuTVAOYNfpurOaln +kHuYRzjhjW5l9uJawLxCmSJX6Q9bawHzDBmzXjFvH64FzDssMf6xxMyhFjAP +EaZrjd3oVguYlyjlr3h1dngtYJ7i+jXbnvzJqgXMW5Qfs2NHLacWMI9xtlXr +p/hhdYB5jYHdDYUes+oA8xytHwvDHFfXAeY9Gnzh6x/VrwPMg/xz4mOS5qk6 +wLzIULu/ov90FOZJ2lzIWCLuUweYNxklxl8liKsDzKNU6bRfUlteB5hXuXH6 +TqnUvjrAPMtLrPPDwybUA+ZdNigMdLvL1wPmYRqc+91+c0s9YF7msYGHf84c +qgfM04wdXbbE5FI9YN5maKbIzR3P6wHzOB0Ye0erfawHzOusjBRjKGTVA+Z5 +/ug+8VWaUw+Y93n9o2X/mEFdjnmgP40zNt+cwATMC52WMXH1n5lMwDzR6Xts +Ky8Orgswb/SOg4oyfxUTMI90Ltttk80WJmBe6YTbzIk9ekzAPFPtALN3Zw4x +AfNOLcXO9naeZALmoZ7vOjLpzCUmYF6q4VL3/q67TMA81dWG52POPmcC5q2e ++HhUj+vNBMxjvXKiNPHCRyZgXutrb5jSP7jOwzxXzUuztRyzmIB5r/tX8Y6J +VDAB82AN9LTOPxpcZ2Je7O+nITZTuUzAPNnDSyKPeQxrAMybnZz1Y6/84DoX +82hLw+dsj5zVAJhX61pasA4WNwDm2X4QxKrlrG4AzLv1+eKuuX9rA2Ae7rqI +eRat+g2AebklnrPfXDrcAJinq3Jif6fY6QbAvN1EzQ+m7xwaAPN4JUpZP5ff +bwDM6+1Y2xGf6tYAmOfrrx4WccC3ATDvl39oZlVfeANgHvDKUQrrHiQ0AOYF +i9ypq5HLaQDME762e1Mio7IBMG84dIp514HmBsA84tAU0+M/eQ2AecULyoxV +X45gAeYZb93lcHCNOAsw73gLm8+slGEB5iHvVxybfkWRBZiXrBn0U3LeWhZg +nvLOEdMK0jRZgHnLGeJRf04bsgDzmDUl1H2nmbEA85qV0ialfbdmAeY5c59+ +OHnqKgsw77kv6NarGQ9YgHnQmcqL92S8ZAHmRZuaKfpdfM8CzJO++WXhQ4XP +LMC86fljkyTqElmAedTrMsLWP8tnAeZVW04JlNhRywLMsx7VZu8+op0FmHe9 +2rCzMO4HCzAP2+ZRU/pFkUbAvOzKZTuvr5ZsBMzT1jCVGODPawTM257Rv2bH +12WNgHncxYnZxx3WNwLmdVs4scxAuxEwz/vQcW91EeNGwLzvhvY1v/IsGwHz +wG02NPm9Ot8ImBf+7ih3k+XNRsA8cUeLr+UqTxoB88aXO704NdqjETCPXPLW +iDEVwY2AeeVyIQ6hId8aAfPM5615aHkrvREw7zz9VNEak9JGwDz035UCBdXG +RsC8dJFnEVskexsB89RzrDKduAONgHnrCxdIjiodzwbMY9dKv/AteiYbMK9d +P5ET7iHPBsxzb1tt0Xt3NRsw733J+rE3z21lA+bBB8wSHD+0mw2YF//BxTR8 +lykbME8+VczFBM6wAfPmg4eX2q28wgbMo98i/26kgjMbMK9+ecLhCbIv2YB5 +9sFlAa9nv2cD5t3n+UhESn9mA+bh68XrHpJJYgPm5Sfaz/ObW8AGzNPftPjg +w4V1bMC8/cpr7bOXdbAB8/j35WYcVfvFBszrbzEffXS7KAcwz39TU6qc8TQO +YN7/21dbIk7JcQCfB3A6PUf81goO4PMC2BKp2m83cgCfJ7CnzP9EtA4H8HkD +9jcG7MpNOIDPIwj9ef7CzxMcwOcVrP2den72JQ7g8wwe30y9pHmPA/i8gzj5 +wy7n3DiAz0MQuL2I9fTlAD4v4VTzPbHCCA7g8xQMZKxuj/7OAXzegpHznRXr +8ziAz2Ow0tg++2INB/B5DaIeK/Sj2jiAz3OY+ayhRPiDA/i8B72dEyLXjWkC +fB7EthdO/NtTmwCfF3HwiOb7AtkmwOdJmG7Ry5+zognweRMyvwscbDY2AT6P +ouf3pMgMnSbA51WYcW1uzj/YBPg8i3aja9zrJ5sAn3fx42epeMOlJsDnYWzw +WM/RuP+/nu7+H+tDj+O4biRhydSoKaS56zEVlZvO3pRYdE1jSTVTtEI3xNE0 +3cyp0ylK9ig7NKnDVQ8NxWrdu8vWis3ZMbp1lKbr+72+1933onKT7Pz0Pj9+ +Hp/P5/F4/QdPFehlZB8uyjr/jQr0NB56GbqmnlGB3sbpS59Pzb2oAj0O24oQ +vz8bVaDX8XvVSMgXv6lAz+NDy+kRfV0q0Pvw3Lk6Nl2nAj2QObU5u/tfq0Av +xHRbzY29EwTQE2k41zfT0l4AvZHueyW3il0F0CMxT3JTzl0ggF5JznfvdzQH +C6Bn8ipnZ3xilAB6J11pr2MmxAugh9LxbttP1akC6KW8TA+9vHavAHoqZplt +Cy3yBNBbyXa1i6kvFkCPZV+K1iWzQgC9FlPnqMr51wXQc8no/Wq4/44Aei/P +Mjtn1t8XQA/GZNGfc3JVAujF+Ny6PO/TlwLoyTw5Z+o7b6wIejPed11WWL0t +gh5N48r9OTonEfRqLG1/fdU2RwQ9m4Sj3cpaiKB3E77mibLqIxH0cGpXOZqV +xYqgl5Nntajz5BYR9HQUJubzS7JE0NvpsStxVuaIoMcTa/vJ5QtFIuj1zBq5 +PaqxXAQ9H+cf7k95cEXE/72fXVVmL2+LoAe0tOCK6p17IugFWWvO3w58LoKe +0P2mf15PeSGC3lDlvqYO5Rg16BHZZbV5PrVRg17Rf5p++M3JWQ16Rg89ax4k +zVWD3lGBV2zM1UA16CGtG3Nug9UKNeglBVR12iTFqUFPycvr28yWbWrQW7Js +6qnw2aMGPab33OyblEfUoNfUNmaofdpJNeg5KVZWDRRVqkHvqetN/dIZN9Wg +BzUc69Ze0aIGvaiI8ctu4rEa9KSSc/umPJbUoDflE7X5ze4hNehRiaWJh9wm +SKBXVVc+reORvQR6ViGVXq+Pu0ugd5Uf0zpllZ8EeljRM8uWOC2TQC9rdVvX +yb4YCfS04BiwuDVRAr2tyL+NKGoyJdDj2m9t8qT4oAR6XUu/rnj760IJ9Lyq +vzO1yCuXQO/rZ//qnmNXJdADS3mgbyi9I4FemP3eiobrDyTQE9NuzDLtFCXQ +GyuxUNaMG5RAj2zsBkfR31wDemX1y8/+kmmvAT2zT9wq9zW4a0DvLPzKtnk2 +/hrQQzPx7B/ZGqYBvbRXGU7W7Ws0oKd2vbP0SPBmDeit/bXZ+nR9lgb02I5r +hdSlhzWg1zat6JjHvWIN6LlF7ssY2F6lAb034y3dOPs6DejBCXMT9rW0akAv +7tNZy4sPPdGAntzpAwuzo2QN6M3N//hevPsoLejRhWaZplvYaEGvLvGjBz2D +zlrQszu1oEz7wlsLencb3zJrGA7Wgh7eF/rhgknRWtDL6zn47Kz3Ji3o6cW9 +84/ZCZla0NvzeO4bf/qQFvT4Lu7+fb/mhBb0+o4fam0LrtSCnt8HGxSHK2u1 +oPeXmuHV5/xvLegBRq9xCi9/qgW9wNGa8w8X9WpBT3DYPVr1dIwO9Ab3zP72 ++vHJOtAj9LNwKI1x1YFeYZ62QprtpwM9w4P+63omhetA7zDI7mK3WawO9BBH +PAsXTkzRgV5id3vhX9yydaCnONwjK6KO6UBv8dRQ5U/5Z3Sgx9hS0Dy2+4oO +9BpXmK/ZFtysAz1HZVJp4o1OHeg9flkSGhti0IEeZGx92rXno/SgFzlDGNYV +2epBT7KuqjglwVUPepN/7JpyZbG/HvQob/anBPkq9KBXqbj0Xn7wOj3oWQbm +JLsnputB7/JC49rCsgN60MOsSVds7S/Sg15mzg7LDzZU6UFPc6Wv51mpQQ96 +m34vI0Nz2/WgxxnWeOJuiKgHvU4PTc9jh2E96HmmhS3xmWRtAL1Pd4eEfCcX +A+iB7nAfdTnC1wB6od66jenFyw2gJzrnpvHU+PUG0Bs1u6N8tyDDAHqkqzx+ +bl+cYwC9UtfZR+tsThlAz9Tg2fnY9JIB9E7Dq00CHO4aQA81KrGkf3WXAfRS +F0/+JqC2zwB6qutbxzkqx8qgt9qVGOq6Z6IMeqz2esf1W6fKoNf65bKy/Wmz +ZNBzzQuzi8qbI4Pea2BQR2FjgAx6sK7nnd+3CpVBL7bPsGhge6QMerIDiu2S +HCuD3qyrYq1ZbpIMerSFz0PigzJk0Ku1GrzpODlbBj3bHZ8F7jI7IoPe7fI3 +i3+0L5JBD9fh0dp1ijMy6OVeqLjTVlYjg56uSUXojel1MujtBkgxj+qbZdDj +Tb0xaHvovgx6vddq5cxdPTLo+boH3w4qNsqg9ztuWW6XNCKDHvC17UUDyZZG +0Aueuik7a8ZUI+gJ29xJLrFwM4LesP/WAMO8BUbQI+4PeBFXFGwEveKYu23R +S6KMoGfsN+ZF4/x4I+gdu3ikee3YbgQ95M/jIjQTso2gl/ysa9Dldb4R9JSP +pUjTI/5lBL1lfbNqs/X3RtBjlleORliTEfSaT5b+0WHewf6D2Dl0YXycwN4D +aCiPnBQ7xL79cPqx+Oy0t3pBL3rinv+euOrcC3rSyUc+/HiNby/oTV/d4qP0 +jugFPWq/+MYtOZt6Qa86rP7vAU3Z/E/FV78c3ZxZwvtE2Fm13K+q434dRqzz +FnzWw3kFTlePbj1j24f/AcRisJg= + "]]}}]}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJw923VYFF0bBnATO19QxFZsQRHsuG3FALExUbEDFRG7C1RCUWxapFYUA0Uc +CenubliWVHdnxsRvwfN8/OO1FzC7M3PO/btxnx241Wz59hbNmjXLadmsWeO/ +v72+pizdugxz0tqPsPki5/49NkHc6Yjgrb0V3M8zez4cub0LNnMMNztNVbDv +H8STm5dXmKxWcCdVJQuKTxyB7eKjy5z3088fw6CxYWO3XVBwx2VDddr6nkLP +i2MN59yh3z8Hc9P20zyeKriphYY3HQ9cwKHAoUkJb+h4l/Buh335t88K7r1+ +xxajrl4BtuoWTkyj41/DOmlX26BiBTf5VdTRj07W0Kt47nOnjp7vBkQj/wPZ +PxVcYL/L1UaBNlhZVf38rgrPnt8OdpeDfXO68dyj8AH+h3fZI/ZBjaN/H569 +nltYE/at5aBhPJf7o+kJcd3897iJOjx7fQ5ou3XUSnEKz/Uek6+pcv4Ofot6 +Abvm8uz13kW0dUW+3VKeW2fadER8lXUJPbKaZ6//Hri1Lp/VN/Pcg/tNX5g5 +0SDn3E6enc8D1LhLbvqb8Vx2grii1OMhHFY86uFjybPze4SkGGMT87M816vV +6s5aLx9j37pv/3W8yrPzfQKrw8OdLW14bu2/A8LYc/zMwDt0/s4Y7G7fPOkR +zy2ZaDXLpNwZbW9VRX1yo+vhglrNQ6tvePNcjVHjEV3QpoWDvt4Luj6ueNRj +lub7tzx3fZ/0l9dXV8Tu5Jb2/0jXyw2XPceqbQ/nuVFXeyhPwQ25MyMDbsTQ +9XPHaPMgA8cknot1mfdG/scdlhvb/ricQdfTA25Hvr8yzuO5xqul3uopMgKv +WvxXQtf3KdDepf8rKc+1z3Q7OL29J0x1p16fVkvX2xNb7xpf8vvGc96Np9v1 +Gf7kyWPbfKfr/wxeDpHqS/7w3KLGw/XwQrepPdRPthDY/fBCYJXRgTttBK7p +cvfxxhub928fdhTY/fFG8fzaazbdBK7x6iUN8kHowZ1mB3oI7H75QPtRw9ep +vQVuxAbbUH64L6ZfN7AX+wvs/vli59R+US6aAhetPJrGGD+syfrQYeoIgd1P +P2zavCYuTEvgdtnXnsJ4Cda6L7CYNk5g91eC7as27veYIHDKF6c85ecItxrY +8+8Ugd3v5zAzVkvWh8CtVC4e5S1FQG01f3mOwO6/P16ZfcoLWCBw7sqrl+nm +j51jFmanLhbYevCHx8rm0yoMBU7xJ+2YbOgLVGzbtLx6hcDWxwtoZNftKF0j +cHObFsALRKpeDklYL7D18gLFycaefpsFTnnzGk8IOau01p/bJrD18xLhV3f0 +XbBT4P69vJc433Ps6BZ7BbaeXmJEzu2wFwcETrfpKwBhpx36rj4ssPUVgGkB +8w7XWwjcxU8xyhUUgAHVJeLp4wJbbwFQ58pKmp8WuFTl3VdeE9T1V9tx8pzA +1t8raG5yfVl1UeAGNx3wFTQG6nw3vCqw9fgKJptrTX2sBc686Qa8htbuB0P+ +3BTY+nyNaFXX7fPsBS6MH668w6+xsvdgw0sOAluvb7AxoKH9e0eB6/7vBcLf +ovxTxQOBrd83iHLc5tj+icA1vbqoN7C6UhMwxEVg6/ktelWXT5nkLnAvH9d7 +Rix+i2aDXxrO9hTY+n6LNnrvVed6C1yLphN+izdzLH2m+wlsvQci7f5JvTH+ +Arf834KBn97JRPUAga3/QFjzKx7+fC1wro2nmxaIeXvV3qQFCmw/vMO5mW2n +ewYJ3LfGw619h5fLXy85/JH2xzukHq1pNiFE4GY3Lv/cd5j1JebItzDaL+/x +93FIqGeEwDUt303vYVzyoNXqaNo/7/Fg4bkVf2IFrvhE4w15j6U1fyMfJ9B+ +CoKhR7cTE5MFTqdpgQch7lfv8zGptL+CUFfxXb46Q+DO35mjXDJBUDf+WZmX +RfvtA1Qf1VlsyBW45EFNNxgBZzX90/Np/32A2kYz34VFAjewMf1rPyDwy8iz +r0toPwZjeSdM61MucIcat8fBYGwcMVg8JaX9GQy16OLQTJnAhTTeXXkw7kX0 +fjm6hvbrR8Qc75B5sk7guv7bkNgTcnXO5y+0fz8is06lXTu5wDVdvh8fkXTH +ZdICnvYzh2Oz1ErPigLX9PJOcUjrEqke8IP2N4civQhZ0S+Ba9b09QlbdiRs +b9dA+/0TNussejS6mcg9i1is3NGfsHrKqkeLWohs/39C690fj2xrJXLLlLtR +uUbh36y9nqWKyPLgEz56mFdebity3xv1Cf+ErUZfnGzbiywfPkFbb+wuh44i +56zRuCFCMOqas4FDZ5HlRQgmr9hvYttV5BY23eAQLNS46H25u8jyIwQDrthN +tlQVuS+ejS8wBHo/22iY9hBZnoRgSL+Ba5eoi9y9xlcXE4Ld63L+jtEQWb6E +wGfhzz5d+ohc4+LS7xiKZerdgmR9RZY3oXCXHi/n+otcY5qkLA1Fl+4bPO0H +iix/QpFu7tth82CRa1wtyiUDHzftvsOHiCyPQuE86GhFzVCRazrdJOXjC9JD +fsNFlk+h+HnxXfTukSLXdLjuYZg0UhAHjhZZXoXBMeqkSoaWyDXF0YownFJp +aLg8RmT5FYb+eSrVOjoi968+hCH4qpiaPU5keRaG+yfbhZ3WE7mmOMgMg8o8 +w5C+E0SWb2H4tO915ruJIne2KXDCEbasZZflk0WWd+EQxVyziikiN6xpA4Uj +tPBjC8tpIsu/cKSEtU1qOUPkEpvqRTgMTBSFytbE8jAcN7UfTuk+S+QsG7dD +fjge9xGkt2eLLB/DIUFBfbe5Ite/cTn3+wyn853W3Zgnsrz8jGT9/totF4hc +ZOPyM/mMDQPtzY8uFFl+fkbZ8jCdCn2RM2sKyM/of+WH2fLFIsvTz5i6Zv34 +oCUi17NpwysfVymuDTAQWb5G4NSJt4cuGIpc08vTjMDRiuNC0TKR5W0EUif+ +6DttucjtaPqKQJfh2XW3V4gsfyPg6uJuVrlS5BrLkzJhUTY94enk1SLL4wi8 +4/wfXF0jco3peEwWgaHXbY1S1oosnyNR3ssxudc6kdvUdMBItN+fP2DTepHl +dSRyTm6d57RB5FSaAioShU5d5+VvFFl+R2Jdw4ch6ptFrvFuqEoioV4/rNbQ +RGR5HolEkz/Ol7aIXFO7q49Em6np899sFVm+R8ErWLesbJvINTRuN50o6BdY +nem6XWR5HwUtt/G9J+8QuaeNy/lwFCacusBt2imy/I+C732vg+d3iZzBvxNG +w8wUXZfdIvMgCjf6d+/8cY/ICY23g4/CpYf7W2XtFZkP0SgblNW7fp/IPWk8 +3YnRcDqmZ9zygMi8iEa3ZqtCVM1Ebn7TBYyGr8rvtYMPisyPaLTsmKc55pDI +1TXxEA3ttvdGTDosMk+i4eEftneGucjdbYz3X9G4FlRaP+uIyHyJwb6BB97P +thC5pnieHoPXG2MSZx4VmTcxuOJnpDfNUuSa4vVMDAyWGtboHROZPzFo+brZ +75HHRe5f/MVAJfXOzn4nROZRDOLn6eh2OSlyTfHSIhaLHCq3/lE+/udTLHpv +D/9ZeUrkmrbvnFg4vPrUkHxaZF7FwqVd/OHAMyLXtD0ux+KaV9y6R2dF5lcs +nsTcfX/6nMj9W36xKPws3NlwXmSexcJ2ge+XSRdELr3x9raNQ+yZvQndL4rM +tzgMX5I/rkr5+HRTAMZhrZnTQO6SyLyLgzRg7hP7yyI3pGkBxqFN31W+W66I +zL84nO1qYDjmqsjFxzV94eM5V+ufysf/PIxD1x5BW8KuiZxFU6DGo8UaIdPK +SmQ+xqN50rMvS61Frm/Tgo7HoCl9n3e5LjIv46Hj4tAjUfn4c9OfV/FouDZ/ +5I0bIvMzHvXdttTOvyly+5sCOh5Zfdpt+6t8/M/TeIw6sM7mtY3INcWVagIO +Ju08vNtWZL4moOeEqd1724lcUxysToB3TvrBGOXjf94mYPm2sfaW9iL378+v +BEQ1TDk66JbI/E3A00v5g2KVjzv+23DQdBDvH7otMo8TMO2lSYGag8g1LReN +ROjvrPz2Vvn4n8+J6LBhdc6aOyLXdDs2JELr6B5HXvn4n9eJmK7IG21/V+T+ +/XmWiCFWmx6PdBSZ34kYYHmvOkT5uOlwhYnQ8x6tseaeyDxPgnbK61FV92i/ +J2H4qchBJ++LzPck3BtV17z9A9r/Sag5/Dz27gPyPglvOlhfGPiQ8iAJe+r4 +4d4Pyf8kSHabhox9RPmQhNmTZxq8fkR9IAkXHtUmT3xMeZGEs/tiDAIfUz9I +QpCOduSEJ5QfSTg9ec/0gCfUF5IQ7x0doOVEeZKEzg/tRz91ov6QhJmDmnv3 +caZ8SULFASNte2fqE8l4kP06qKUL5U0y9L+vX3nEhfpFMtRe7P1Z4kL5k4yv +S9r4G7pS30hGhdViy/eulEfJaOtnuHywG/WPZAxwGDLH2o3yKRmdIrMX17tR +H0lGxjXzA8vdKa+ScaJS4RvgTv0kGQeT17X7z4PyKxk1vR9fPuhBfUX5+48k +g+M8KM+ScXn86fIhT6m/JGNC6de4008p35KBhC+5qU+pz6Sgs7Gx6nBPyrsU +ZGurHDvhSf0mBZrL49vGelL+paBh5LmoXs+o76Sg7Z3qwB3PKA9TML82M/fF +M+o/KQjo30731zPKxxQ4v5rxabYX9aEUFOXrXr7mRXmZgjcXnS7GeVE/SsET +I73gzt6UnylIDnXWNfSmvpQCD23bypvelKcpeJvmkR/jTf0pBeWVV1RVfChf +U6HmlGQDH+pTqUif0NfA0ofyNhURKi1X+vlQv0pF6VVV12Ifyt9U2JoUTVb1 +pb6ViqtmX/vM86U8TsXjjIQlR3ypf6XCqPXvGBdfyudUzAr7fi/el/pYKnZN +mRUo+lJep2J2c4ORA/yon6UiXNPhy3w/yu9UDBt3ueM+P+pryuebaXvW1o/y +PBXJP/ssfuFH/S0Vhs/uHEz2o3xPQ+iEjV/r/ajPpaHbnLSEjhLK+zRsHLS2 +/XAJ9bs0pFfN9pglofxPw9FT1c7GEup7aXhg5dFgJiEP0nDMKYS7JKH+l4bj +2jfLHSXkQxoO3lp+yEtCfTAN7zWMTd9JyIs0TOlezkVKqB+mYWLkxMtpEvIj +DZq3bV8XSqgvpmFeybDlMgl5kobJUydv+Cqh/pgGs/k/0kQJ+ZKOo+kPgn9L +qE+mK/ve0p7NnpM36Rj+EaXNn1O/TIdW9INBLZ6TP+nwi7qS0fjz//pmOpZH +DG/WICGP0hHbz835h4T6ZzoOXlN7J5eQT+lI7vV4UY2E+qjy+ZatXF0qIa/S +Eay2Ij9LQv00HSOjJEVxEvIrHfYzTmzhJNRX0/Em8bOJv4Q8S0ebtU6FThLq +rxmwQ/fCmxLyLQOpZ4eZnJBQn83Afa/ybdsl5F0GnKqWVRtIqN9mYOp/e8UJ +EvIvA5UPda36SqjvZiDU29OlhYQ8zIBMPXRWhR/13wzkWZ7cE+VHPmbgTY+Y +Pl5+1IczsLmNq/E1P/IyA5LB/MAdftSPM3C4e8DR2X7kZwYOLIle29eP+nIG +5g8cHC34kqcZWPvBKyrBl/pzJi6NmLvWw5d8zYTUOPPYCV/q05m4MHz+KANf +8jYTGZsOHRzgS/06E3vfTdT/6kP+ZsKwxuLNJx/q25k46anyzsaHPM6E3sNQ +o/U+1L8zYXzq3PmhPuRzJlzaqul/8aY+nonY9iu8Ar3J60yEb+rgdtab+nkm +3vMdJ87zJr8zMTRHc0c7b+rrmQjV7afTmJf/PM/CycWh9256kedZMLDNerzU +izzPQt+7Q2d19CLPs3Bw8MGL0c/I8yxMGmW5/fIz8jwLh9J/SfGMPM9C4vkP +HX54kudZ0I+1SPb3JM+z4HW9ZPJOT/I8C20Kg+b18STPszBl2Ds+8Sl5noVZ +XewWXXhKnmfByUYxV/cpeZ4Fz5p75SUe5HkWIsK0tOw9yPMsBLfa2meGB3me +jfgfJQEyd/I8G6X6GxS33cnzbPj+siyY5k6eZ0NyrOBwmRt5no15dhNeNnr9 +z/Ns+JwY8njM/z3PRnGugV6qK3meDaeIlccsXMnzbIzhMnf3cCXPs7Hg49F2 +b1zI82xk6CauWelCnmcjtN3pVV+dyXPl8z3VaHnTmTzPxt0vM7cMcybPs+Fo +ePXgJyfyPBsW/hd11jqR5zmYejXGve4JeZ6DmOS6mItPyPMcbNW44N7zCXme +g14nVMd5PybPc7D6od6RqY/J8xzgg9ne2EfkeQ7UXxipr3tEnufAq8L0pPQh +eZ6DG6bj7pg/JM9zcC5x1o6GB+R5DiR7e9VffUCe56Cs34yxXR+Q5zlIOqw7 +0vE+eZ4DHceT+X3uk+c5+LHNcZHLPfI8F0fWqxzWvEee52Kz7/yVTx3J81z8 +1E3/OtSRPM+F+jmtxU/vkue56JccbaJ5lzzPhUqfwboud8jzXKzs+CK0zx3y +PBetVaq6ODqQ57k4PWxO764O5HkudiweW371NnmeC9v2mgcabpHnuQgNtn1j +fos8z4Xj2dKPUnvyPBcTtG2urrMnz3Ohuf9P1zg78lx5Pk8fr59mR57noV+X +nJ0+tuR5Hizc+kzoZUue56GzdkLEZRvyPA9R81drfL1JnuehfmVvnfU3yfM8 +5Npd6BB+gzzPw+nWX7xG3SDP8yC3j+h46zp5noeu1Tv1RGvyPA95j/QGrrcm +z/Og2vFGarAVeZ4Hd8u4xf2tyPM89Pi53PrsNfI8D82vn7Qt+P/fh3k40sxl +/bSr5HkeVnTtW3/vCnmej3dGCxcpLpPn+TCacWK/wWXyPB+euu2MPS+R5/mw +OLKo09+L5Hk+vo64Zb3qInmejy7bdZO9L5Dn+Qjuf6644Tx5no/BM6KDjM6T +5/lIt91k4naOPM+HSrJNovwseZ4Pfx/PdnPOkuf5CAnkO9ufIc/zMel8eGH+ +afI8H/PPbjo14jR5no9d/TuVm58izwtgGaKuEXySPC/Ax3dRvVufJM8L0Gz5 +tqrFJ8jzAlyK0bpif5w8L8B/6/fVpx8jzwvwS3fr0F7HyPMCtDhrNGq9JXle +AFOTkw0Pj5LnBQgS+zvnWpDnBZCbnOmqYUGeF2DM6zTDNUfI8wL4djDZdNuc +PC+A+4kN0xIOk+cFGKneuqrNYfK8AL9/3t458xB5XoCNU2e/tjxInheiVL4g +1c+MPC/E9Nl5ISUHyPNCtFg4/nyPA+R5IQ6MutZNfz95XojB6u3MT+wjzwtR +gWoP773keSFW5pp4Z+8hzwtxZ+TDc232kOeF6HA0ZrjebvK8EM269HPbvIs8 +L8TiZeH1VjvJ80J8fVLVOWAHeV6I+D3BzXK3k+eFGDhm1+fm28nzQow3V9s4 +zJQ8L0J1TF3k4m2034uwNLOPitn//3+uCOoLInvab6H9XwT9TNU/L0zI+yK4 +rBj1Jnkz5UERBjmOmfNlE/lfBIcb89w7baJ8KMJ3bbvsERupDxShubVO6dwN +lBdFuPp+UUjj/z/+6wdFEOp+mVuuo/wowtudpr9sjKkvFOG+pesaj7WUJ0UY +fyn12vs11B+KsERF1TZhNeVLEYZbn91TvIr6RBG+PB/bW76S8qYIzUbPcmm5 +kvpFEaZERv/uvoLypwirN5doDVxOfUN5PKmLnrYR5VERanb06zZlGfWPIlz6 +vS9sriHlUxE+5bosNjCgPlIEW4Mkr9VLKa+KMOd1+4KNS6ifFOHDla2V2xZT +fhXBeVll1K5F1FeKYP3W5+w+fcqzIoy2DOpotpD6SxHObR1y8OACyrcilG4T +vA7Opz5TjKmnp7w3m0d5V4zOUb+d98+lflMMJ4sFm/bMofwrxt+Ewd+2z6a+ +U4zB4x9sMJlFeViMmgEvHxvPpP5TjImDD782AuVjMYrLCt0WzqA+VIyYoc12 +z5hOeVmMjJCMVrrTqB8VQ/Z0h+XQqZSfxcgrePFJfQr1pWIcs35X1G4y5Wkx +tpSczvwxkfpTMdpNbHCvnED5WqzsO5P0M8ZTn1I+v82o8FA9yttiVD5LUZPo +Ur8qxs3aETPujaP8LYbDoNHTz+tQ31L+vGZq991jKY+LsaayJ2c4hvpXMXZb +fps1XpvyuRjD5cYPe2lRH1Oe3625sb9HUV4X4z93z+SCkdTPilFiZSnhRlB+ +K693sOcWp+HU15TXO3Zk9elhlOfFMDb+tmj9UOpvxZjct/7cxCGU7yXgSrve +7K5Jfa4Eo2/ON6sZRHlfguOdzgz7PJD6XQm+73V//WgA5X8JvoV69DLvT32v +BPrYv2JhP/KgBG0HlG/t05f6Xwl+vxYW1PcmH0owZ5t1ixAN6oMlyHhw9ZZ9 +L/KiBGpFaaKJOvXDEsyLWDNuTE/yQ/n9L4pZv9WoL5agdxe7oVGq5Iny50s7 +FN36j/pjCVZMWXRgQ3fyRfn9+lHJmt2oT5bgs8yubU0X8qYEdn3Xqr7sTP2y +BDlWmxVHO5E/JXg26dKzKR2pb5ZAZ4br2D/tyaMSjAt0uPGxHfXPEkyLH//h +TFvyqQTtfTdx09tQHy1BiLX0zq/W5FUJpj/1RGAr6qclWDrL4oN5S/KrBHVu +Qzpqt6C+WoJrGje1pc3IM+X14M8MdvorsP5aisNX4qtWNdD78aVYOHjRpQ5/ +BNZnS2E+8HM990tg3pXib0YnLfOf9P51KQzuf5s65IfA/CvFqA8z+2SINM9Q +iiK78PjLAr3fW4pZ2/WX6fEC67+leHPhvluxnN7/L8V0rTORN78JrA+XYpcr +FzTpq8C8LIWx+pBzJfX0frny9z8cUb1eJzA/S+EYYH5sXC3NT5TiYI8Kn6xq +en+5FOI3G8npKoH151KoLBp7bqCM5g2UP9/5+oBwqcD6dCnyB2+23V4hMG9L +cWvj3rjW5fT+fCnmnN6f6V4qMH9LkaozyH92Cc1rlEK1x7zVhUX0fnYpLjy3 +iz5RKLD+XQph38d2agU031CKFR5XekjyBNbHS6F717l+Xq7AvC7Fpa2hDnnZ +NA9QiuHdXFofzhKY36Wwvv5llkomzYeUQufRNv376fT+eRkW1fr0HpUmMM/L +MKLNicCgFJqnKEOcwen+i5MF5nkZlrcyXZadSO+3l2HPrNxFOxJo/qAMn0Sb +zt/iBOZ5GbbWj3h4KpbmUcrQ7/OqL61jBOZ5GbIq33eyiRKY52UoeNhMrhpJ +8xtlGF0f5/Tgs8A8L8PbkPD/+ofTPE8Z3D5eN3ANpXmHMpxcH26oGSIwz8uw +MaNLTw+O5l/KMFivs7vmR4F5XoYLMzYJrh8E5nkZ+l943GlAEM2LlMFjyS7Z +w3cC87wMsd7GVj0CaX6oDKt3dKi1fUPzFWXo1qqHatvXAvO8DOEhXRvOBNC8 +TRnUHey9FS8E5nkZzmhq9drtLzDPy6CSeFQ/T0LzKWUY59xsqoGfwDwvw8fl +62s/+tC8Uhm2L+1hou1N8xxluO3M3Xr0TGCel6F3q7JL7TxpvqcMuWmdJ1h4 +CMzzcmzoHuNR6CYwz8uxfcOT1IWuNA9TDusv3Tl/Z4F5Xg5fT7+dPZ1oPqoc +R+Xf4k49pvmRcsTlbq8reigwz8sx7vfV2DkPaJ6oHMPOZ2/zuCcwz8txakj2 +m9aOAvO8HA/HtAo3vUPzN+WIPpBhHXpbYJ6XY9WkmPb9b9E8VjliTNfOOWFH +8yrl6Lj0u26ajcA8L8eByP55o/8/v1SOD5pzpl66LjDPyzFDK31ZjpXAPC+H +V98FfcZco3mfcnRyb/v4whWBeV6OF3lXU9Iu0fxXOSoMdD4MuUjzMeVIlJkZ +W5wXmOfl6NfczyvsLM1LleOT/jLfrmcE5nk5LmZwJhtOCczzcjxXNYl4eoLm +i8phFXU7r/6YwDwvR267D64TLWneTHn/Zk5SP2NB8zjl6DNgx7Qwc4F5Xo40 +D5fObQ7TfFYF9P6bZ6N/UGCeV6Bv9bP31gcE5nkFEmoH347ZR/NMFWij1qFn +u70C87wCGnYv5s3fTfNtFajhdvW7sJPmfypQO+6c84ftAvO8An+c58Xy22ge +rAJzpa2eaG0VmOcV+N6yrbqpicA8r4B6d8dJ9zfR/FQFus/73hC3QWCeV2Bz +mdmev+tonq4C7RbMPDHWmOaNKjA00UvLZI3APK/A9PKqizaraP6sAk5ynApa +ITDPK+C7pVRNaiQwz5XHs+20rNsymteqAJegGDHFQGCeV2DZ1njXLUtofq8C +r49Ev7u6iOabKrBQrdcB34UC87wCLuOqPibOp3m3Chjf2eXzda7APK9AaE7w +uO5zBOZ5BS6adVupM4vmwyowzPpaZ0MIzPMK2A2Zv2XvdJoXrMDWX3sWX5lK +81QVWHpGLc5pMnkuxdy0LSVvJ5LnUngl7b6ZMJ48l2JF3dy4Ul3yXAob/bZP +RB3yXIrv9yOatx9LnkuxZLSdoKFNnkvRO+/wyZGjyXMptnc6YTtpJHkuRd+n +Ep15w8lzKR626bll2VDyXIrKNm/7rNMkz6VoWW27besg8lyK3+ud9XYPIM+l ++LO9zOZAP/Jciqn7Vx063Ic8lyJmWFXOEQ3yXIpld+/GWKiT51J4/14Mix7k +uRS7cr5PNFclz6UYX3otwKw7eS5Ff7HMf09X8lyKUA25tmln8lyKNWZ3R2/o +SJ5L8XTk82fL25PnUqTYaLguaEueS9G15GXPqSrkufL17zBsq9WKPJdizrLw +w31bkOdSXOBKVnVsRp5LUffqmP+PPzRfLMXw9kbny3/xzPNK7NulG5n4g2ee +V2I9ys4FijQPXAnXIE0/J55nnlfiQvDLRZflPPO8EmMTF67d/ZVnnleic+8n +aYvreeZ5JcK27Pg4upZnnldC64uRasdqnnleiaF3OqXLKnnmufL7jrP/fq7g +meeVyPW6a+9cxjPPKzGp1Mf6eAnNZ1eixH+AbFkRzzyvRJd4W5+hBTzzvBKb +de2SfubSPHUlrNVer47P5pnnlchOvDntSSbPPK/E8XyPc/vTaf5Zef5xlwZO +TeWZ58rfHyfp2yaZZ55XQtvJxTw5gebNK1FjlzPwQRzPPK+EbGfS0C0xPPO8 +EvrGwrmhUTzzvBITtgZpV33mmeeVeDHFWts3jGeeK7+/MPrMvhCeeV6JwXY5 +fUdxNI9eCd6rd/vKDzzzvBJzX5TPcnvPM88rcXvizbANgTQ/Xoni8Vtvqr7h +mecytG54/jgmgGeey5A8KvjbmRc07y3Drzux1jrPeea5DDEz1E1LfHnmuQxX +5uaesvfmmecyvExekDrjGc88l6F5pxvbqzx45rkMndol6zi48cxzGdICpkyY +5sIzz2X4+ll6sOQJzzyXwf7F18Irj3jmuQyj7PedH/GA5u9l+Ka2c0WMI888 +l6HBomrF7js881yGGet+nlO5TfPyMnSOc8hyseOZ5zI8GPRy7VQbnnkuQ039 +3L8p1+nzBjK8rp0cs8uKZ57LEC+zfPv7Cs88l8HkZWG4zSX6fIEM2c2XfOl3 +gWeey2Cxx36i31meeS6D3awz9yaf5pnnMjy0zukafoJnnssQXrzzyZJjPPNc +BoMdxTNSLXjmufL33X/VrzGnzxvI8Oys6fOcgzzzXIZEjbAT6w/wzHPl6wt9 +a5izlz4fUIUzabmj1uzmmedV2OsV0jFlB888r8LZk4r6RaY887wKYQbfU0K2 +8MzzKkzK0g+YsJlnnlch0HSTjdcGnnmuPD7ntkVjHc88r4KR+rmRVmt45nkV +FsiMKviVPPO8Cjp5ZrdMlvPM8yo8ej58dLQhzzyvgmXvMP8xS3nmeRWWvT7d +12ERfb6iCqPTbMyEBTzzvAq/zTXdV8/jmedV8FM3DXw1mz4PUYUY/z1eXWfy +zPMqfLRccmTPdJ55XoVnmao9QqfQ50uqELEo4nrPSTzzvAqV09em7BnPM8+r +cCDXrSJoHH2epApTsvZ9bj+WZ55XYfDwA3vXaPHM8yqodluX7jKSZ55XQeiS +81c2jGeeV0E/2EY6ZgjPPK/CthddrMwH8czzKqzbVV30qj99nqQK94Z4Vsv7 +8MzzKmhkfvQYq8Ezz6th9N29w96e9PmPagQcCe3lpsozz6shWB2Ny+rGM8+r +IfPqMaBjF555Xo2/6+u6Tu/IM8+rcaEfHu5txzPPq7FvwcwgRxWeeV6NqrJ+ ++0Na8szzalx5/Me3shnPPK9GazvF0U4NCuZ5NYrGdYsc80vBPK/Gcd3V9w2/ +K5jn1ahdGSTbxyuY59VwNtB6dfWbgnlejb3eNxVO9QrmufL5XF8+fV2jYJ5X +Q+3vjogomYJ5Xg1L+W7D7AoF87waE38dmC4tVTDPqxH6pu+Nb0UK5nk1EiK7 +TfyVr2CeV+OkX87k5rkK5nk1xjr/sm2VpWCeV2Pa/mq91ukK5nk1xi1X1WyZ +omCeV6N7VurahgQF87waEyQnEoRYBfO8Gu0uLzlXE6VgnlfjXuXZ3YWfFcxz +5fXQmHE5MVTBPK/G0jrXhA+cgnleg0VOH2Z6flAwz2sQLrmeYfNOwTyvQUJQ +i+vmbxTM8xqc3qhivCpAwTyvwYff+6bq+SuY5zXoKGkY3dVPwTyvwaoOFsNl +XgrmeQ3edzo5nHuqYJ7X4LrUd9gtNwXzvAZLChwHbHVWMM9rUKH5vtOYxwrm +eQ3avrkg+36fPu9Wg1BtIwl3V8E8r8EOL4vVF28rmOc1CL43Nm+OHX3+rQa3 +3F5Obn5TwTyvgeRcn91BVgrmeQ0WpJ4xPXyFPg9Xg+9tcoYMvahgntdg/rh+ +nhlnFczzGsRXjSy5eIo+H1eDftqJSVrHFczzGphMi96XZqFgnteAOx7x3PKw +gnlegxjDbbd7mimY58rr2WVUt1d7FczzGmSlOw5aukvBPK9B+v7uISWmCuZ5 +DS5P+lZ+ZIuCeV6DF91PW7fcpGCe18BoutTTZp2CeV6DYQtWTOyxRsE8r0Wa +mKzzYIWCeV6LE9O22mksUzDPayGqfV3suETBPK9F6jXjjV31FczzWhTZmAZf +nqdgntfCu320qThLwTyvxcsPo5Zsn6FgntfCakr/fYlTFMzzWoRIBwePn6hg +ntci+IvnxHu6CuZ5LVYsaJMmjFEwz2sxweW6tdFoBfO8FqrH9q14NlzBPK/F +3A6JQ39pKpjntSjcGvFbf6CCeV6LritXxDv0VTDPa7Hwiqldbi8F87wW/x3P +mN6vh4J5Xov21ubxG7ormOe12P1TPsGxs4J5Xgt3+Z9D8e0VzPNajLUecvSv +ioJ5XgvH4JopWi0VzPNavD8S9nb1XznzvBbVR9qUnfwlZ57XYtnyVm8fiXLm +eS1er5EPeyeXM89rcXfbL63kejnzvBYRb8d+KquWM89rERTokC6Xypnnyu/f +U9veUCpnntdhaPXN7a2K5MzzOhT1Co9vnSdnntfBN3C3Q4ssOfO8Dt0kGv4/ +U+XM8zp8GLmhZ12inHleB//tDz/lxcqZ53Uw6D7aNSJSzjyvw6KL81/6hMmZ +53Uw3dtaep2TM8/r0HHktgk7g+TM8zr8tJlwd/pbOfO8Dp1+a//uHCBnntdh +flTixlyJnHleh4che/xdveXM8zp8c+8lM30qZ57XYcmGN78HusqZ53XoG5Nc +mP1Yzjyvg17Bsys37suZ53V449azfPIdOfO8DjMqreqK7eTM8zocbCe7c+mG +nHmuvB6br8QPvCZnntdhtUvzq+8uypnndWhXNTxg8Vk587wOY2YmIuuEnHle +B6eCW/9tPipnntehbltxl6JDcuZ5HbTub+y6fr+ceV6HYYtXyBJ3yZnndYj4 +9Wb7DFM587wO+rlWc59uljPP61FzZM/4NuvlzPN69A5ukWayWs48r8f3xUUu +L43kzPN6aPfp2PBriZx5Xo+p6zb3mrZQzjyvR6+KlhWH5siZ5/Uw+8/p78MZ +cuZ5PS40a3ktcLKceV4P966Lv4bryZnn9Zht/vhZ8Bg587wec02WWT0eKWee +12P8Ai3phiFy5nk93nVIC63vL2ee1yNLfKsxW0POPK+HEGJqOEZVzjyvx8pc +zdu7O8mZ5/XYOC1PTa21nHlej1XNbW4O+vaN+x8vAbPd + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJw113dcjf0fBnAre8ZjbyGysgmXGdmU7BWSLTNkZJMVQg+ShpWWSFp3e+86 +53SaZ+/RRMLzO3V/fuefXr063ec7r/d1htgdWbunRbNmzYpbNmvW+PP3+6r8 +FXar4RXcItuvRw3Y33fgb9d7U7dJqvHrwv6oE48c0LtTBi8gppr+fhSXMu8f +cPKsxrkegYuFZ09gKXPNIsHl/+93wgy7uIO391bjjHKEeduPzgg/ONl30qr/ +//8l7L+7ZM2m6dWwqFh19+nhy+hyoezf8KH/f95VDEl88aZf52pEWHVsYXbj +OmLfre229VcVPf8mzvwKartdXoUZn1NPxby6jZfeYk47ThV93h1UrmuBpQlV +CB94Tb0m/B506bIDXT9V0ec/wKMjqoGrXlfhReLg4GMObhCV15s0PKii8TzE +nu2r1O1dqlBS3/SBMBl9bPwpxyoa32PMaFkbN8WuCv3Gl5m0dnHHhrR82wXW +VTTeJ/hhUbvdY2EVNu1ueiJmHrLQT5z6//E/g3Hqfs8OplX416Pphb57Xc4N +6vv/+fyLb44eP/d3rAI/+4e12O85Wlu5lqv+VtL8XmDUwuR5L6oq0aeVbeex +n17iW3Slk7OkkubriaOR9T1u8SqxgX0gLFQbipn0Spq/F5r5L44cGFOJ5dNu +zdsh9UKQf2q+T0glrcdrDCjevGOFXyU0axqf+BrL/8xP7ONRSevjjVPb5jq3 +uVsJ14PyhvdV3uhUHzX4H5dKWi8fPJNa2s07WQmzGz0NU/DByBWb27juq6T1 +88XPFY6qyq2VyHi9KKzmjy9q9LN+Oq6tpPX0w8jAoeM6La5E42r1bvUGc+dx +nsVZVNL6vsG9pb2t702oRHuez9HZ7d/irJXP1WPDK2m936KPbvDOQ30r8aFx +ul3fodxh8cgLXSpp/d9hZ3brwb6tKrG08XE932P+P08somv0tB/vYe3g+WNj +uR5Ny93/A5YFN7MYkKan/fkAvVlpidFnPRpXL3eoP0aOuR9j/EpP++WP9aNT +Cuff1mPUlvvxdaYf0SbVt7/7ST3t30dMiKp61XanHmmGp/UdH4BJWvvjL5br +aT8DcGpU87BV0/VwcNM6Y0ogRg3Y9WCIiZ72NxDHli6Y3K2rHobBGaYchNFq +ScmA3zra7yAsjfs3zVKhg43h8Bi2FDPq1i52LdTR/gdj3XSzN6pYHXwNq8fz +CcbtUx3n7A7Q0XkIRsjxFYd+eOhQ+6fQSTkiBEFxm5/5XNfR+QjB3Nv7Rzkc +12Fh0wEIwYrPIx4s3KGj8xKCqB9H5k1foYNh8xonBLFzz6/zZ+ro/HxCTMCL +I7tG6sAO7xMGyX9rPXro6Dx9wlbm2Ddpcx0mNb1CcdZz8VZLvZbOVyh893k7 +RJZqcSU23XCCQrG63abDC9O1dN5CkeGe3kr4VYsCw+4b1gSvfn1MeuCnpfP3 +Gb8llSusH2kxrOmBnyEsfDbb1EVL5/Ez/po9m9bliBbHmzbgC+b1DhW22aql +8/kFN2fukHRbpkVCnalhh7/gnsZfNWaGls5rGGZ0GOC9YaQWxuwAcWHM84jH +/2jp/Ibh9yhbmaClFk2jSw1DC3Ugf1a1hs7zV9huGrLsnUCDTy/1b5OXfcXR +k+NrhuZo6Hx/xZzdK+58jNagRdOEv+Lxrez8hR81dN7DEXq+4Kr6Xw3WsgcG +o6XT7bxuaej8h2N7rxtjdjlp4N043cJwSG6K3kzaq6H78A2ndb/du9lqUN34 +uA3fYN+5TezvhRq6H9/waqqPpnaSBvMbj3/JNzisLJLUD9XQfYnA4nNGxzsY +a9B0fLdFYMoart2o5hq6PxHwuj7NxbpSDeHZxg2JwJbhy1/cqlDTfYqE3HzX +lYxsNcybDngkquK1rfvEqOl+RWLtlZM/HQPUcHFfYDgykejn8Wg094Wa7lsU +HmvkRxfdUSNvaNMG40R6Vy/mnJruXxQiSr3vLjygxpDG9NdG4fvJIV0LN6np +PkajmdmPv4eWquHYeD2ORmPZ18MTjWeq6X5Gw8b045XYUWrENe5uTTSY5W8y +nfqo6b7GoNXuwYqZ7dToyl5IeHbwDWldr6L7GwON/TmjUoUKTctXH4M+F/1j +I4pUdJ8ZHCnSxXqnqtA0PGcGKTODJY/CVXS/GQR/MOpw750KzZpesVjk5t/d +7ZmK7nssrnZtVvHipgrvkpcZbnQs1l7+uyLESUX3PxYYbDE/20GF1YbbaDij +2Jhf/rJmg4ryIBa2w3wWDLFS4WejPomxeLAhu+f6GSrKh1hYfhzf8HiUCl59 +Gy9EHGLe9eMU91FRXsRB4e1wy7S9CkuaNjgOk60P/Dn/S0n5EYf9rjU9S1RK +VL5tHGAcVrW1ikKJkvIkDr+3mhb6ZyjxrHF06XEo/8MsGhSlpHyJw4p1AT89 +PirReLisOsYjv+Wvwn4vlZQ38Rhh2ifB964SjWmSvyIeHRMk/pMvKCl/4jF+ +/HOnjMNKNJ4Ww5GBRUCp8b7tSsqjeOxo+X53p9VKNE03Nx7u47duCJ+rpHyK +B98spGifuRJNjzNOQF7Cl9whQ5WUVwnofS7fTGCsRFMcWSfg45UEjm9LJeVX +AngJTyOO1CrA1ocEdFUHJs+VKijPErDI/ausF1eBpjjgJaC1c0CbumQF5VsC +hn7h9Sz6qsDFpsBJxPC/yc3j3iko7xKxtYdNWJCHAiObLlAiJL09h/reVlD+ +JWJFs3ZTPM8pkNNULxJxr9Os0pcHFZSHiYheP7iNz1YFTjdeh7JELNtU4Ruw +UkH5mIhd94W+0VBgUONxHpiEjj2yvudPUFBeJiFu3dXb2iEKpDQevx1JmJRm +srpTdwXlZxIq3htNn9hKgSNNAZmEyfFhE7fUySlPk9Bs1n8TXGVy9Gq68EkQ +OZUOY3hyytdknKy+9PtHqhxNwzNJhtFQ649TIuSUt8m4ckRj4uQvh33TKxku +I3tvYF7IKX+T0cYpf1qHe3I0lidDwqLu+OKwzRfllMfJ4PTPTwo+KkdjOjop +k1F+rGFDezs55XMKvt8+Y+dgLce2pgem4PaHcZz0hXLK6xS022fqaz5VjtZN +AZUCIdcz/sVIOeV3CmLf1wzr2EeOxt3oEZgCo8MhkRfbyynPUzAh+vb5Hw0y +NLU7fQrMzmzcelwro3xPxZKOA1fVlMvwt/G6maeiIOCv5elcGeV9Knr4W874 +L06GN43H+VgqvD7v6esaKqP8TwV/vL68r58MK9kJw+WOx7nAJzLyIBVzPGdL +F92U4XvjdtSl4mc9p6vwjIx8SIPa9kLNxQMyeDZOd1oa7GqOXR66VUZepKHy +d5fQ1JUyWDYtYBoG/M49e2yujPxIw44Fq3MGTZRB18RDGrbutHyTO0xGnqRh +8ZNbdVf/keFJY7w3pGH18k6hs9rIyJd09H0kLPzxU4qmeJ6djraeE9eEqaTk +TTocFi0Z6FQqRVO8XkiH7e1rE2dnS8mfdFgPcLhqFCsFG3/pOCi/0T4vREoe +peO7+5PIVz5SNl5aZCDKdPs9R3cp+ZSB+5eTzljekKLp+i7IwIzfSScGnpGS +VxkIqD9xqn6/FE3X41oGTrv8PcnbIiW/MrCO67c/fKUU7PHLgMQ4admLuVLy +LAOHUjK7XpkoBadxe9tm4oXHgpCDJlLyLRPzZEkmG3tKcb5phJlQ7DbataSt +lLzLxIas1F0zf0kwvOkAZoJ/QT1wvEZC/hn+f9TWOyPKJcjKbHoh7vQQ38G5 +EvIwE6ujV20bEC/ByaZAzUK2t3Fo/88S8jEL/ty3/w58I8GApgOdhQkx2zsN +eyYhL7OwwPRly9G3JUhq+npleH9zzslJzhLyMwtJ7e9twWEJDjUFdBbe3dsR +vGKHhDzNwtt+3/dtWytBU1z1yMaY2e0uOy6UkK/ZUE3dU3V9qgRNcWCbjTVx +0mBPUwl5mw2rlFPfwvtKwH79ysaI4T1acTpKyN9sZDqk3ar5K0ZH9sKh9aOQ +BT2qxORxNn7zv4+eJhaj6bj0zUHKwfzpWzhi8jkHQ6bfsb+SIkbTdmzJgcnH +swEfv4nJ6xz0uNKiTZG/GOzXsxxMuPXkoJGnmPzOwaU9X3mTH4jR9LiKHLzc +VTPP/rKYPM/FsTkyX48TYrrvuRCqp//KtheT77nYtu+1ReuNYrr/udhzS2SH +ZWLyPhcFcRn7zs4WUx7kok/D9KVfx4vJ/1ws2mH8t26ImPIh15AHyy9O7SGm +PmD4/LuyFKfWYsqLXEy10eZF/RRRP8hFK9Xppy3UIsqPXNh3f2+8tExEfSEX +s1IDZz/KEVGe5GJou3jj8jgR9YdcXP4y4s7ozyLKl1zssDPzdXojoj6RhzTz +UetSn4kob/JQ/eGKRx9XEfWLPNQ8fHfg4HkR5U8exiZ3SY49IqK+kQdLYwuf +nnYiyqM8pHYN+3PIRkT9Iw/LW/TMTbYUUT4Z3v+hvseQGSLqI3nYZNYuxdlM +RHmVhwWSOgF/gIj6SR4Wrji7dXpXEeVXHoZzN0571kJEfSUPAYk79tXXCinP +DL93363bLBdSf8nDhbXz4hi+kPItD62vlZeaZAqpz+RjUqvRs1xjhJR3+ajb +1re4OlhI/SYffhaBIZt9hJR/+dDM0EQnuQup7+TDmCOqn3BTSHmYjzjLdzte +nhVS/8nH5P2btO0PCSkf81H4auDzM9uF1IfyMStkyB7lGiHlZT68vzy13LRQ +SP0oH+++R87MnCqk/MyHGyd3FkYJqS/lgxM8aEloPyHlaT66pf9cb9pZSP0p +H9vHhO71bCakfC3A74cfj/1TI6A+VQDr1VYn7koFlLcF2DSldm/rIgH1qwJY +nhlodSldQPlbgH9fo3tDlID6VgGc93PiTgUJKI8LsD9o1+qa1wLqXwWG83wi +4uhjAeVzAWzGPW3QXRdQHyvACd3OLofPCCivC2Df/miV9oCA+lkBlr21e3l4 +m4DyuwCdrgo6V64WUF8rwGCJ+7xjCwSU5wW4dnayed0UAfW3AvRad5zvZCqg +fC9Ez9TOU//0FVCfK0T9xtT5lzsJKO8LcTHIvqFNMwH1u0L81O//2l9aQflf +CH5oe2Z0egX1vUKcZdYbzQyqIA8KEZDW+vLSxxXU/woRacQds+VMBflQiL1/ +7/w5vK2C+mAhrB1/qy8vqCAvCiEc/Vf/1LSC+mEhzohONA/sVEF+FGJur+W9 +k6rLqS8WYrL29JgyXjl5Uoiw9nVTvkeVU38sxLFTqWO6epeTLxwEnPyvrdmN +cuqTHPzZHxRvebCcvOHA+kvdml1ryqlfcnDk/o/AS1PLyR8O3uuFeZ79yqlv +cjDQQfkpulk5ecSBaZulS8ukZdQ/OZg9ffGDP+ll5BMHvf3Mzg8MLqM+ygF6 +WbWb615GXnFQuPHnGLuzZdRPOWD6eQiubi8jvzgYkO/W/93CMuqrHHR6voqf +MaqMPOPg22DLLpWdy6i/cvHVWR/Wo7aUfOPiY7/M1Bn8UuqzXMx8bTd7e0wp +eceFRSY6XfMppX7LxeTN3yf43ywl/7joXzXwdd6hUuq7XGz/td7259pS8pCL +AQ8XWQ2aXkr9l4vwvW7HLAeUko9cuPuq0g+1KKU+zMWgfKNl7vIS8pKLjrEv +1FGZJdSPuSjaf9hPElJCfnJxVjTHsePTEurLXGw25y2e7FxCnnJxZoHR0C07 +S6g/85CX9fznFcsS8pUH3ZRjif5mJdSneYg+s/tCQdcS8paH272sBzXUFVO/ +5mHa96FeQ0uKyV8efFdE11vFFlPf5mHh/S7DHf2KyWMeZCs79Ht2u5j6Nw+f +zN4UxhwpJp95WPyNv1RqU0x9nIce+3xOdZhZTF7zUHS81RrzQcXUz3lYntC8 +wLZVMfnNw48Sn7pzSj71dR6mpHwP8crmk+dF2PCnXfOkUD55XoT/VlWWKJ7x +yfMi3C1kLDpe4JPnRUjMduszfhefPC/C/eeXD69ZwifPiyB7HjLp+Fg+eV6E +ikHz7B4b88nzIhSvsa35/KOIPC8Cb0gfQWFpEXlu+P8Jn4fUxhWR50WIi7IJ +MX5bRJ4Xwdl19M0Jd4rI8yJs4e19tcKxiDwvQtrMeZX7bIvI8yJsfaE9fs2i +iDwvQo9+b4Z5GebFes7Hk19+P78ZFZHnfLy8P1qTr+KR53w4jjn+Q5XDI8/5 +uNsprnuLLzzynI9llutn9v6XR57zERZwdvfYizzynI8jGY6u83bzyHM+AhOv +vrOx4pHnfFhb/flsP45HnvPR3WXEh9PdeeQ5HxE/l7vc+Mklz/lwuR8z6UkZ +lzznw2l3eoRPPJc858MoMbZLsOGesJ7zceqfGrPIO1zynI/3qaFtkxy55Hkx +IhfYvsoy3FPW82IU5Y5TFlpwyfNiGN95WFE8mEueF2PJGt65CiMueV6MwR+s +v4pUHPLc8P6oba6SHA55XowLU1dXST5zyPNiZM29JBJ7cMjzYnxwWLxBeIFD +nhdj9oO/y8p2ccjzYpws/RvGW8Ihz4th7/P6Ye5YDnlejLeb5halGHPI82Iw +1ya7RP8oJM+L4eXLux5SWkiel2D40Csin7hC8rwEr+Y/uPv4TSF5XgJ74w0u +VwzusJ6X4LTNpK9HjxaS5yXo7njWdMu6QvK8BAd2X8teNLOQPC/B6gB/77GD +CsnzEigsFnp2b1VInpdg/w6/iB+KAvK8BMJvJlX8rALyvARak14WEZ8KyPMS +PPiQ6/bsaQF5XoLvh311J5wLyPMSLPWSLF21s4A8L8HlPaJXIy0LyPMSTM/S +yv6MLiDPS3E+al2f/C4F5HkpAsr2TvCtzSfPS7H0/tHhJ/j55HkpcvMjqubF +5JPnpbhj8eBeJ5988rwUR8qm13Fv5JPnpZBbNAz0PJhPnpfi/fT+RrvW5JPn +pbjZosJr+NR88rwUM1tdU0v75pPnpRhRsKLc57888rwUIweePrZdkkeel2LZ +i1mve6flkeeluNfA25UTkEeel+JxzqXQKw/zyPNSrLt08NaU03nkeRmSp2eX +SzbnkedluMjhfnSbm0eel8G24LPGYngeeV4G3ezHT8Xt8sjzMiz29HxzU5dL +npdhjvKXsVlBLnlehjaHszjpX3PJ8zL8mzhNsfdFLnlucDhqw4IWLrnkeRmG +Wy0XeOzJJc/LYHHCLHzc0lzyvAySxR0TY8flkudl+BHT/M+q7rnkeRnO7JkW +Hq7PIc/LMPhh1ueM8BzyvByFiUXCIpcc8rwccV32mAuW5pDn5Zjw6bS7sHsO +eV6OlbWdm5WVZpPnht7SYuiufL9s8rwca9O9guMOZ5Pn5WhbcLz4w7Rs8rwc +7/o5599tlk2elyOg9uHt/WlZ5Hk5Fpbcqp37MIs8L0dfm9Etum3OIs/L8V/S +FL/iYVnkeTkO2uzJeanJJM/Lkf9t37FNXzLJc8P4hqgvdr2QSZ6Xo3XLW0rG +MpM8r8C8er6XQ5dM8rwCCZ4HnrYvyiDPK6DcEx/j55VBnldg/7GNbWfuyyDP +K7DrmPeeFPMM8rwCC08bpa74lU6eV+BktmBwVnw6eV6BIv7NnYtc08nzCrRW +LD4XZp1Onhue13Hb9sH908nzCui/N/vvsiSNPK+AMGPzsvKPaeR5Bdo33Jsy +8WQaeV6B+p7BgRdmp5HnFZD4p71NMEojzwVY07OkW/PsVLrvAjhvLCqb+iSV +fDd8b6l7/3v3tlS6/wLsjB6z3XVEKnkvwJaP5t/f61IoDwR4GXn+U2xYCvkv +wOivaVdzLqRQPggQbRy/lWuZQn1AgNUxrcdxOqdQXghg82u0LIObTP1AgA9m +X05FeCZTfggwP2hgrpd9MvUFAc4FFIgujEumPBFgkHbiK5vvSdQfBDB5c7du +SEwS5YsArW1GcmXXkqhPCFB+Y4q5z4okyhsBekZUfLf9J4n6hQCZ/dCrRVki +5Y8Agr3bLvv6JlLfMMw3dIbpnIOJlEcCGCPmZ/akROofArRalC62bUigfDKs +Z/oYPic+gfqIABaH/VKX3U6gvBKgyzTJ6/A1CdRPBEi0u2XTv08C5ZcA2knD +c08J4qmvCPCMmdYq9W085ZkAVyMmCLociaf+IkDtoiPWK6fGU74Znh81b8WV +P3HUZ4QoOSeNCkyMo7wT4tvn6265rnHUb4S4aD43QrE2jvJPiO7D+o340SeO ++o4Qz1SdExsEsZSHQvQWN7vy/W0s9R8hkkYnrpEfjqV8FCLoQJ9B2VNiqQ8J +0WHJ1tB19QzlpRCBJbMFv64z1I+E8Lgjee3ag6H8FCLj3UxOG+8Y6ktCrN/W +Yv2R8TGUp0JEWnJbxkdFU38SwrTKNPw/q2jKVyHeHVi42pQXRX1KiIkZVu8s +dkdR3grx5NOEFzOqIqlfCYGi5DZDL0RS/grBux2UXNs+kvqWEB8n7/oc8jSC +8lgIXdm4jxtMIqh/CeEfMOmWMvgb5bMQD/bZj9g9+xv1MSH21d7blpoWTnkt +hE3G4o49bcOpnwnxweVPuxWir5TfhvFZ6MwPHf5Kfc3w/pGjtp36FUZ5bljP +ursODtfDqL8Z/r4ic/QC4zDKdxEsLXddaO35hfqcCMN5XrM+jfpCeS/Cw6Wv +51h++Uz9ToRFQSu3xs/9TPkvgtXiHY4jMkOp74kQXLfR+tj6UPJAhKgPriV+ +ok/U/0Q4m91VFHvoE/kgQsz7nDkJP0OoD4pwNcsp7eOVEPJChBCn6xvPdw6h +fijCwN8WyZM9gskPEer7+8nyhwVTXxSBoxo9LGhLEHkiQvWbVRbXHAOpP4qw +Zsy5+JHXA8gXEdy6/d795N+P1CdFEDn5Z+cG+pM3Ikxn1B+48R+oX4qQOPKt +71vue/JHhBtXVtovUL2jvilCWw7P4/2ft+SRCB0roxT8rm+pfxrW659fDQXD +3pBPIjS73dH56VQ/6qMiHDGr6mpi5UteidDh71C7M5t9qJ+KsD/co+fTQ97k +lwglVqmFzhdfU18V4cqW5/NHu3mRZ4bPf6NvP2fRK+qvYphdOXb1y96X5JsY +cYk7Zkbcek59Vozb7RecWObvQd6JcbLumpND5lPqt2JE1Jy601XrTv6J0abl +vNr5nR5T3xXDy0FZ/XfMQ/JQDMvty9MXLH9A/VeM0ycnnRrCvUM+inG2uU+7 +dONb1IfFcNZdyri48hp5KcbVVfVT5t1yoX4sxo1tN1z6XD9HfoqxqHBafNyP +49SXxfBOUo+ozHYgT8Uw/3bOVmpjTf1ZjDLeU4HmxyKG9VWMv6sm8LZ/2M2w +fVqMmedHp+7QOjKst4b1KLexf3TjDMP2azEi9zS/JHW7xLD+irGl15L9Rjuv +MmzfFqM6+GAPM/ObDOuxGM1D/YZtaHGHYfu34fdRv3Cv8D7D+ixG/R+T2/IC +N4bt42LsmmA2snPMI4b1WgxX8z05ke/cGbafG9Yz+fWWqkdPGdZvMbJtxn4I +uujBsH1djMp13Mc/DjxnWM8l2MOp+pW24SXDei7ByeY7okZavmJYzyUw3bOk +rO2/XgzruQQvliw8uNDtNcN6LoHu+LE/yTe9GdZzCToHV7Z0u+TDsJ5LYDk1 +sMbbyZdhPZegxvTwvD9H/RjWcwkUPdLtvPa9YVjPJfj33OTsO3ZvGdZzCabb +N/yK3/yOYT2XoKL5sp0L1r1nWM8Nn7dowtnOqz4wrOcSnPd8zphY+TOs5xLc +Ctj18fqCjwzruQQ7Wy2JmjwngGE9l6BTbc2B8TMCGdZzCZ7YNZ9xenIQw3ou +wWfOn9WtewczrOcSdF1k3v2nezDDei7Bnxu2w7r1CGFYzyUwWjsifs3DEIb1 +XIKpbYomRHT9xLCeS7Bx8bCQpfc/MaznEmRemHetZedQhvXc8P7zer3kTijD +em4Yn/GRyfoOnxnWcwnGB918MtT1M8N6LsGlbnc3XWz/hWE9lyBPb5tkdPsL +w3ouQYfg5f3D2oUxrOcSFAy183twK4xhPZcidFHft+7tvjKs51J873DLIenW +V4b1XIol49aZD24fzrCeS4HmEVZvboczrOdSVK699Wt9h28M67kUwavG3p1y +5xvDei5Fr8MflqFTBMN6LsVZu9eXTt6LYFjPpdD3WXO6qEskw3ouRdvoZ+cc +3CIZ1nMpdkbU84d3j2JYz6WYwPeo6OoexbCeS1Ftvah4VK9ohvVcil0NR3s7 +ekQzrOdSKHbOUsr6xTCs51K4djnxwtUzhmE9lyI65tHl7UMYhvVcilbeqRo7 +X4ZhPZdiE/9Mz4zusQzruRTnW3HTndfFMqznUrz2HBxt+TSWYT03/K7c1msU +P5ZhPZfiAK+2pUm/OIb1XIrtVm8Cpm2NY1jPpYb7+Qy7X8UxrOdSvLyzVvpe +GMewnksxY3VseluTeIb1XIqKQRP7u9jHM6znUuz9vq119/fxDOu5FH7v9oTF +qOMZ1nMpHJdmb7w6LoFhPZfhjofrwN2OCQwb+DJsP/x74rbPCQzruQzpE7VJ +x34kMKznMvTa7tHae2Yiw3ouw/g/H0005xMZ1nMZJk60XL0mLpFhPZfBPfv7 +t7xWSQzruQzFkqSHh5YkMaznMgzYa95x+J0khvVchtMZ4zf8zEliWM9lOPPV +/L28ezLDei5D6qdhE2vWJzOs5zL0FB4Z0e9FMsN6bphPwn/ftguSGdZzGQqa +zxkSZ5LCsJ7LIJzZ2w37UhjWcxlCypqhJCCFYT2XoVv7qh2Pq1MY1nMZPp3Z +P/bAtFSG9VyGp45nSrY7pzKs5zIMjQrzPhaXyrCey1DubxXp0zqNYT2XYcZI +1daaZWkM67kMNtGihJ1uaQzruQxjE41H6rlpDOu5DFMvVcY975/OsJ7L4L/O +NWevXTrDei5DRMt7rtbv0hnWcxlylXMnbtelM6znMgxbf6eZ6+QMhvXcsH+S +X5N4ZzMY1nM5rhdHtl8Yl8GwnstxydMvIa9NJsN6Lse0CdM9L63MZFjP5cgN +fFW82j2TYT2Xo5s67Nv80kyG9VwOGLU/bzssi2E9l6NfL779nf1ZDOu5HCtV +fSMkIVkM67kcJyMvRmyvz2JYz+UY0P7Exz9zsxnWczlqTg7jxNzMZljP5Tj8 +/txZn9xshvVcDou148X+vXMY1nM5okd+sy3akcOwnsuh/G/bgFHvcxjWczmS +qidf8qzKYVjP5Thj+WB3/wG55Lkc39oeHuI8L5c8l+Ox1PmFbk8ueS5Hn681 +Dcdv55LncpQnOtl3DMolz+UwuzasZXhBLnkuR5T9hfpTP3PJcznG+Nx0tBqQ +R57LYdk3/NH4+XnkuRwnpjbcHLU3jzyX41BxxNlpd/LIczkcNpQ+3BiSR57L +0fJ4QsN9bh55LkdHBxtBcUMeeS7HKaPvB2YOySfPFfBNfZQeaJlPnitg1dbL +dPrBfPJcgQGjeoZy3fLJcwV8ypyf3v6aT54rYOGoaGlTlk+eK5A48M+ISS0L +yHMFehyvGm86qoA8V+BS5IOVk1YVkOcKMJdmh9qcLCDPFXDqXHvvzvMC8lyB +wDYrupfEFZDnCuxre+nYXEUBea6A2Ma+IqpzIXmuwJkn5++unlJIniuQ/kYV +3LC5kDxXYM4+5wsxlwvJcwVsQwPNPN4XkucK/LMwQOeaW0ieKyBJt/375Ech +ea7AtL94GDGQQ54rsDs+TPR9EYc8V8BoZ5Lp8kMc8tzw/rwpnhGPOeS5AhO7 +uZ+fH8UhzxW46Ta1hUTMIc8VuPJpmsOrDlzyXIGWNlc0JyZxyXMFljhxMndv +5pLnCoz8FbP4yBUuea5As/XvXzz255LnhvHcLe7AKeCS5wrM6HyGO+E3lzxX +wjv1/px3JjzyXInpC41vWKzgkedK/OIKalQneeS5Ekn6zLQwTx55rsRWp5cr +X6XwyHMl5q3qnuZbySPPlUjmay+l9Ckiz5XoH6SPabOgiDxXInyLOHPPwSLy +XImshV51Qvci8tzw/KddrjkzReS5Eq725qlTlEXkuRLx3CGdOnfnk+dKbDgw +0MdoNp88V2LzUdfi/nv55LkSwy7Xt7Jx45PnSthNMjvpH8knz5XYlt/34jAZ +nzxXov3KZk5RXYvJc8N4LdaHnbIoJs+VGPBX4rbWvpg8V8KhM7NrtVsxea7E +GIc1149GFZPnSuh671kXKi8mz5XoXDtiXJ/uJeS5ElUHxh3xnlNCnith4nbV +adX+EvJciYPT/f0HPykhz5U4scT3QM/4EvJciUET6vub60rIcyXmXo8f7ti3 +lDxXwa8gSMu1LCXPVdh0s0u53fFS8lyFngN4O//xKiXPVfiw01+qyywlz1U4 +Xa/g6etLyXMVsloHvOs9sow8V2F86/K0fTZl5LkKh4snB4ldyshzFTzaW2Zf +Dyojz1X4PNHV07qsjDxXYcq7gx5LO5ST5yostl428+CMcvJchdTo23/D95aT +5yq02vh8j/mTcvJcBbOGVlWcxHLy3DBed0eTtzXl5Lnh/Sbh1/yGVpDnKiwt +kr/IW1NBnqsw86J1rZlLBXmuQsWwl72/BFeQ5yq46OOeHRJUkOcq/GPSvaVZ +WwF5bhh/rzVnpw0WkOcqvNJant8yXUCeq+C4au+Gf1cLyHMVgpod21jlICDP +VYh9eDZnp4uAPFfhzDR9e42HgDxXoXPYq60PPgnIcxVMLif2XZUhIM8N86kz +emoqEZDnarTzv2I64I+APFcj7L+SEWN7CslzNfp/mqTeMF5InqvR9d3sXK8l +QvJcDRln/kQjOyF5rkbwktFHrp8TkudqjLJ2kZm4C8lzNZqXXakXBArJczWm +FJp0iEwVkudqGMf2vBQqEpLnasw5WRiT/ltInqsxTvV+fPNeIvJcjRlm0bPW +m4vIczUWH+q1NmuZiDw3PG9OYNkuexF5roZ37sFV/VxE5Lkaj3bP7lP7XESe +q3Ek2ThIFyYiz9WYLa+63iFfRJ6r0e1WQ+9lWhF5bvj735MVAW3F5Lkae35y +bSebiMlzNQ7szvpTDjF5rgZ/1bJ1gZvF5Llh/QvvC7xPi8lzNbgtzIdHPxKT +52oszx3u/ydITJ6rMbE25/fuTDF5rkZFtNHbGoWYPFdjdGG/GR+MJOS5Gp7F +uoW3hkrIcw08azXb3CAhzzUYNnDQwIQtEvJcg/lrJvYfdFZCnmvw8PClOr+n +EvJcg/iy3lYbvkjIcw18i1PDpxZIyHMNeDuHKedVSchzDfoF3XQ520VKnmvQ +as7YMcKxUvJcg/OzBj85tVxKnmug2LLmiMUBKXmuwZha9/0TbkvJcw2mrgyY +bfteSp5rcGfIwtcBqVLyXIObbX+snqaQkucaeEmsO9S2kZHnGnzsVOwmGSkj +zzV4PKb+XbslMvJcA93Lzr13O8jIcw1GT935vvamjDzXwHF2v9FR72XkuQZt +T83fH5kuI881+K8hYFaNWkaea6BOrT+1u5OcPNdA8Pp0VZfxcvJcg47mA258 +Xy0nzzXo2ubIP32Oy8lzDW7PdHB2cpeT54bx2G7z/CdcTp5r0AHC7VXFcvJc +A9mXJ287/pWT5xr87tRtm8MQBXmuxbaPXoeMFinIcy268wfFSxwU5LkW4+3C +l7S5qyDPtZh33Vt/KERBnmtx/UGpXx+ugjzXou214k0dGhTkuRbSsWP/Lhis +JM+1aJk16GLiIiV5roX9m2WZdw8oyXMtzG8MLvByU5LnWgzdsvPi369K8lyL +b7xHEW/LleS5Fot72B14aaQiz7Xo3E57QjBGRZ5r8fJh5zBHGxV5rsXTsFtt +1zqryHPDeENGzr3sqyLPteg4J3ZUyywVea7F9pNmtzl1KvJcixOH+w34NVBN +nmthllDyyHGJmjzX4oOk9tGsY2ryXIuqbiFfNr9Qk+davPG4dDknWU2ea3FK +OfP4syo1ea7F5MQZurD+GvJcC0XWskVmSzTkuWH8judC645ryHMtIn6+2dTT +S0OeG+Z/STb3bqaGPNdij1XUik31GvJch7FMh8XnR2jJcx1qbQqy6qy15LkO +OVZ+mxJctOS5Dj3Gl8yQBmnJcx0kPy/e2FSuJc91eLVEM3poJx15rsNN9675 +M2fpyHMdphQ5aLwP6MhzHZYecvfb8FxHnuvQuo17xcYMHXmuQzjzfIxvg448 +14EfdiV18hg9ea7DhAGFbm236slzHX7M2NKi/z09eW4YT8aOkQcYPXmuA3f2 +Zo+qSj15rkN+it+Mdd0qyXMdbjE5JktGV5LnOnQp2fhg5YJK8lyHX2PPme/Z +Ukme6+BStOnAzZOV5LkObwMLrT7fqyTPdRjZbLiz5G0lea6D2bKbq3rEVZLn +OpxcX/F+TnElea7DiYyDrbbVVJLnOoxvlmJ/sGMVea7DUaPBdruGV5HnOlRr +OneaNaeKPNdhxopuzfS2VeS5Dm2edrA+caSKPNfDpq3Ji4QbVeS5HoNPOFYW +vKoiz/VYP2qI/PnXKvJcj/s76l51y6kiz/VYeWFL9mhZFXmuN3yf/JFe+ruK +PNdjoNuOwP7dq8lzPRzqvc9UmFaT53r4tVFa951TTZ7rYTXugip+bTV5rkeX +ZH6HXPtq8lyPD1V7g2aerSbP9Xj0+DrvvzvV5Lkeic/CN5u8qibP9fjuXeEb +HVxNnhveP020q318NXmuR5ufDg95BdXkuR6z68MGjNBXM/8DXdT/WQ== + "]]}, "Charting`Private`Tag#2"]}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + ListPlot, "GroupHighlight" -> False|>|>]]& )[<| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 1.}, {-1.75, 1.75}}, + "Frame" -> {{True, True}, {True, True}}, "AxesOrigin" -> {0, 0}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> Directive[ + Opacity[0], FontFamily -> "Times", FontSize -> 10], + "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, + "Primitives" -> {{{}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxEnXlcjF8bxq1JtiSEshVSQkQUbluRaFEiQlLJGiWRLbtChGRtT1qVSNpG ++77v+zTNtO+zIfKm37nP6x+f+cQ08zzn3N/rnHPd1zPPzHq3xYhhw4Z9HjNs +2L+/fwf2Fu0y04MtJWKLXXq4jP9em0LO1bR4s1k8xq9rJ+LOP7MCly26hz3V +eeTnZ8Hj0R0DUyMe47Jk2LYGh/PwWPuCntdp/PcXYf7y5OVHb/IYl1oXKouG +XIHpt5brbnHD/+8ItuZi6/zf8xjq9bqP3M/chHPRCwvyovD9bsM3S1dOXyqP +EaM1foTivbsAZivrVUvw/e/D/mbxx7ENPMbazxkXEjydQaXpY7BbF/6+hyDU +Dz9T+YvHiJ59p10/2gUM29o/vhDhk9//BJ7ciQ+pmsxnvE2ZG25j5QrZrzvc +w6X55PM8hb3JfSPnL+Izqn8O/UJ4YPt7haoyn3y+5yBqpmgoVOMzZi2rlRO5 +4Qa/hSqRVlv55PO+gEznptonu/iM/eZD7wi9rZOSzhvxyed/CYx93qlSh/mM +16+G/sBGVZ0qx2N88n1eQ4df2KNwaz6jMk9o0Oj/Bp4bvJ0WbM8n3+8tFGQZ +m9pe5zNmjDKaqPTpHZza3zdl/D0++b4e4GQj72Xvwmfs++8NwThg1cZoN/z+ +XiDr5zq84C2fsVPVaZMpxwtEn7ZlfPfF6+ENnXLnjB4G8Rkd+v/e0RvGjHiu +pRKB18cH3k7bJBfzlc94cKq5P7DXB7KPMXbNScDr5Qt3ApZPtUjhMxTvTRv8 +Cr5QvTE98mEWXj8/WGIbq+NewGdke2tEcf/4gf1B0Z93yvB6+oPv+R+fjWv4 +jH9XS2rUeyiLvmc3hYXX9z2AmPecz818hli579n1YgFgvlL9wbpOvN4BYPbC ++HZoH58R9O/rin+APzXc7DE/8Pp/gMDn6VI7//AZO/693bRAmKw+TeryCAG5 +H4EQ3aZ/xm2MgDF0uaWDIMol5uub8QJyf4KgQbPzvstkAePf1SuYHwxJZ49Z +n5kmIPcrGJa+HehVnyVgLDZ5nMSXD4H1D3RchXME5P6FwDH12RnecgJG5uC7 +zVwWCnsr4sapLxaQ+xkKhw7vzUlWEjCsXDuvwKow2Oe3zW7dCgG5v2Fgsefg +af/VAsbghxv8yh8hxWne9L9qAnK/P4K18dRCLRAwDAcHz+AthcjOdv6dLQJy +/8Phs/X3mshtAobf4NUr9w2HY8u2VxZrC8h4CAd/w+HrmnQFDN6fkoutCyOg +6eih3e0GAjI+ImBmZZdl414BY+vQAIiAdMk7iXkHBGS8REBDoXFA6GEBY/Dm +/ftCULVH6YDjUQEZP58g5Z6lzLZjAsZ/H+8T3Ji+fMmIkwIynj7B4qpnyRFn +BIyVQ38iIfnqcxkjGwEZX5GwLlLDpttOwLj1PWtwBEXC3HaW8OolARlvkSDF +YLOGXxUwigfv/uA1ga45Uy0vOwrI+PsMcod8PrXdEjBkh97wM8ycp/xD956A +jMfPYHq40zzYWcCwHboBX0Dp+OsFfx4JyPj8ApmSPhYargJGMl9+8A5/AcNZ +srq3nwvIeI2Cg5EDYjHuAobEfx8Qwu0435teC8j4jYIM96PuYh4CxtCny4gC +p7sdkQu8BWQ8f4UZ7Ry1NX4Cxqd33QFp2l9hmOwn3c0BAjK+v8IYlRjJrUEC +xoihL/wVorbYB68PFZDxHg0lry6rLAsXMHb/N2AgVOVyvlSkgIz/aHDmG7z5 +9UXA8Pn3dUuiQePk1KiSaAGZD9/AcaPo+oBYAaPv39vt+wafdn/ZaZOA8+Mb +FF/oGLY6UcDY/G/4V3+DTT1Z5/uScb7EwN93iUkBaQLG0PA9FAPGrNejjDJx +/sTA6+2OBn+yBYwGh383JAZ2dfxNf5eH8ykWdP0nO6gWChjKQwM8FnL6Z93I +Ksb5FQtdTT+4RmUCxg23LYNDJhakjH+11FTgfIsDybdddibVAkbh/KEbDJHX +5cJLa3H+xcHUg9Yh25kCxrx/1b8zDqJ7FK5/YeF8jIfdE2CdNEfAOPdvepyN +h4OLZYVXmnF+xsPUzIak8lYBI/Hf3eXGw8u0WZ+WdOB8TYCsS+PKL3cJGOL/ +TUg4kXhvS2oPzt8EKO8SGTuWK2AMXb6fCVDg5r1mGx/nMwMubpraeF0oYAx9 +vCsMKJmULhX5E+c3A5gqaa3MfgFj2NCf73DEMs9i7ADO9+9wWHnH2yXDhIwP +adqDM/o7GKntebtjhJDM/+8w+njC+aOjhAy9wdk4OEYhfJiYir2IkNSD75Dg +b9tyR1TI+PGPPinfwUy/x/OxmJDUh++wVGW51fPxQobXzH8TIhEU73vpPJ8o +JPUiEdYanDZ9LC5kbB+6wYmwfeatoDsSQlI/EmHu3Sdr7SWFjJ6Afx8wEVR+ +jZlpPk1I6kkiLJg9b99OKSHj5b9Pl5UIx/dX/V02U0jqSyIEb/8lPUlayPg3 +uLTGJ4Ge1OTYVhkhqTdJ4Nd8icOYI2T8qyZFu5JgkoRJgOs8Iak/SVBqGzLu +sKyQ8W+0DA4ZCPZdKiO/QEjqURJ4zb/Q1LFQyBj6ugWDr282nwuVF5L6lAS/ +bn3LPK4gZAy9nUQyrFEQCOctEZJ6lQzuGZdFypSEjKFyZJAMV0QGBu4sE5L6 +lQxzakTalZWFjP/kQzLE3xMWV64QknqWDK8uj02+qiJkDJWD8mQQ0dBNlFkt +JPUtGb6f+lL+TVXIuD5UcFIgWW/kpN1rhaTepYBQWG3dpCZkLBqaQCmQVJ8w +wn6dkNS/FChKFi0YuUHIyB+SFymgY8qrH1RNpB6mwKOlb9QkNgkZ9v+mQ20K +vJMWND/bLCT1MQXCoK578lYhY86/4Tw7FTxvTNj/UENI6mUqFGrNWTpym5CR +/m/4maaCyTxX2wvbhaR+pgJ7d7Jyk5aQYT1UIFNhzt2f1ru1haSepoL63gOr +YncKGdOHJvzg6zbe/bk6QlJf0+CKw9dzN3WFjKGPJ5cGF5ouCZh6QlJv06BY +9afMut1ChuXQnzSYJF/Z9cxASOpvGvh4+1m3GAoZ/8TTYIUF9vq892uNhKQe +p8E3Rvjre3uFjH/V8WJrGix88Fi/aJ+Q1Od04MxwL5yxX8g4NPSG6SB2unbu +oQNCUq/ToeqymYaniZAhMlSg0qHeU1yj9qCQ1O902D8Qt0DqsJDx725IhqWD +VPeiTl1TIann6ZBv+sfr9hEhY0jddafDGPVSzSgzIanvGRAYv5LNPipkDPyb +bsoZoFXndE3cQkjqfQYo+a6atdZSyHj/bzjbZMDqKzcZh44JSf3PgJBXgWdv +WAkZOv99YRjYWLTS+7iQ8CADHs6RmJhwQsgQ/Lsd/Ay4/eb0qIqTQsKHTGDP +r5jVfUrI8Pj3dVUzwfOiivHIM0LCi0yYPGxPoqS1kKE5dAEzIUTk9z7Zs0LC +j0wYOb5Gbtk5IaNrCA+ZsFT05eI1NkLCk0zwD08+ucFWyHjxr7z3Z8L92Mbu +TeeFhC9ZcGremZjNdkLGUHlenwVfDmblb7wgJLzJgruh+irr7IWMofJ6LQt0 +dul2qFwUEv5kwcgvw34rXBIy/it/WSBS7HZstoOQ8CgLcjWUV066LGQMlZcR +2bDjeYvZn8HX//EpG2ZZpPxquSJkDE3fLdnw/PP3gcKrQsKrbPAem2sTfU3I +GJoed7LhfmDO/rfXhYRf2eCR9SLmqqOQ8d/wy4b6VIGbyQ0h4Vk2PN4W0rPm +ppBR+u/2iuZA9rWTeRK3hIRvOSC/s3ZF2+Drq0MFMAf2WXvOY9wWEt7lQHPk +Vg/XO0LGgqEBmANjZPaEHLkrJPzLgeviOrrL7gkZuTlDfyDB0cf51+Dr/3iY +A+LTYo8k3xcy7IYKai6M2Csod3ISEj7mwvCCDz27nIUMmaEBnQvz1WQ+Tnog +JLzMBWXv59PyB1+nDi2vcmHgvqbCw4dCws9c6J58pFPzkZBxeqhA50KF9Nij +fwdf/8fTXFA8s9/li4uQMVSuJPPgbMExm+OPhYSveTB9tbrErCdCxlA5MMqD +oKrSs1mDr//jbR7sPrrc1d5VyPhv+ZUHGQNqF+Y/FRL+5sH727Xzswdfj/9v +woHcc+Grc8+EhMd5sO6Tad3U50LG0HCZmQ9ax1r6vg6+/o/P+TDOxKhqr5uQ +MXQ7TPJB6cIJd/7g6/94nQ/reTVLXF8IGf8tz/JhgdOhdwruQsLvfJhr/7I9 +cfD10NvV54NK0JKZe18KCc8LYGnRF8W2lzjfC0D+Svr8y6+EhO8F8FKxa7jY +a5z/BdBh8zH7xWvkfQFEjXO+Oe8N1oMCONHFlw96g/wvgLDj5onL32J9KIDN +azfqfHmLeqAAbr7tLFR9h/WiAK6fytKJfof6oABilZemr/bA+lEAV9eeWB/p +gXqhAHKDMiOVPLGeFMDEN65L3nuifiiAjfOHB0l7YX0pgKYz+ktdvVBPFMLr +yi+xI72x3hSC1o8Dhue9UV8UwtSIk79Y3lh/CqF355hwXR/UG4XQ5KRtH+OD +9agQREN1d8v6ov4ohLnPF2xx9sX6VAgT0iu1u31RjxRC2X3bM7v9sF4VgkML +LyTSD/VJIZwt3D92ij/Wr0LomPXuzll/1CuD//9tmGyOP9azQriz6ipnwXvU +L4WwurE35+p7rG+FAHk91cXvUc8UwURjY0n5AKx3RVC5VOSiQwDqmyKQ250r +mh2A9a8IBhQcM2Z8QL1TBKJu7dGWH7AeFoFmZ3l1xAfUP0UQOWfsyv4PWB+L +wOvzhu+bA1EPFQGzduWd+4FYL4sg6pbnrZxA1EdF4KGvEj8xCOtnERQmea3U +DUK9VAT+Sx+3PArCeloEX0v8a7OCUD8VAaflrqRIMNbXYpjqWeACwainiqF0 +tYyOfTDW22JIExlpGBqM+qoYGu9J+jQEY/0thsemzLWSIai3iuGeda+0RgjW +42J4V5a383wI6q9i0B/9O8s7BOtzMWxK/vEyNwT1WDFYqW2KFoZgvS6GzcN1 +FOaGoj4rhhS55z2aoVi/i2HRijvjT4WiXhv8fRsfX38civW8GAp/SWtHhKJ+ +KwbdD25nC0OxvpdA0uqDvd2hqOdKYPKWkrzxYVjvS+Dg/H1i8mGo70qgtG2z +/6YwrP8lcOFKu5dxGOq9Enjt5D9gHYY8KIGLnomM22Go/0rg0tJHHPcw5EMJ +nH26+1xgGOrBEoiZaWz+LQx5UQJqEhxGehjqwxJQTVe9UxKG/CgBuWePv9SH +oV4sAQ3Wot2tYciTElirvtakNwz1YwlYa/4sEYYhX0rhQunr+N9hqCdLB/Xe +runDPiJvSkE+ARqHf0R9WQpKma/nj/iI/CmF0Iy7Zf/+/X96sxR2p8kPGwhD +HpVC9mxfr59hqD9L4ez9qd+4YcinUiic8W5HRxjq0cHfp2do1BiGvCqF+KkG +tRVhqE9LQSEjjJkThvwqBdcNDkcYYahXSyEqP9U0PAx5Vgpj9nnWe4ahfi2D +JyBR/ygM+VYGxdcXmTqEoZ4tg1eBnKMWYci7MvBs02vXCUN9WwbqU04KV4ch +/8qg5c1KJ5kw1LtlkBQU4D0iDHlYBq1SSZuaQlH/lkGN/eUTGaHIxzKImpYl +HRiKergMDo/xMb4firwsgzBZ/jzLUNTHZWAjEXlhcyjyswzO7MzcJxOKerkM +NOfJZgpCkKdlsC8uMCMvBPVzOdxevHWffwjytRyajcsvOoSgni6Hm/Kaijoh +yNtyKDt07uzcENTX5XDym6pWbzDytxx0O+yivgej3i6HywEi31yCkcfloPIm +Sf9AMOrvcjC+4nhjYTDyuRy8Radq9QShHi+HbDGDwOgg5HU5pBwa53s9CPV5 +OcTwx6tqBCG/y2FhlZzl2CDU6+WQtHK28r96+R/PK+CydtLLR4HI8wrQeVzx +blcg8rwCZF4s3DQ+EHleAWdlz97K/IA8r4A1ivYWdz4gzyvgXGl/M3xAnldA +/o24cT8DkOcVoJVtVxgegDyvgMAHrLXHApDnFTCmPlZDOgB5XgFqi77x898j +zytg06QnO26+R55XgKcLb+vK98jzCgjoeMlh+SPPKyAtWUnJ1R95XgHxo8yk +N/gjzysh9ycrstUPeV4JjVomvGd+yPNKCOm3r1vnhzyvhLCLdTZsX+R5JWg8 +Wf3pH6//43klBDsseLeM8rwSGqp1VIp9kOeV4JlmeNHOB3leCcsY5cen+SDP +K2FbwoWxUd7I80ooW5m/19AbeV4JSWOv7un1Qp4P/r73M0c+8kKeV8KLno1H +FnkhzyvBXffe2e+eyPNKsAu/pbzPE3leBer3svy6PJDnVZBV2JV1ywN5XgVm +M2/6TfdAnlfBDAfJFUHvkOdVYPRG5bz6O+R5FUCc9cnst8jzKpCK0Jfa/xZ5 +XgWBTeaXm98gz6vgofkKN9s3yPMqcMzfZDnwGnleBWEnZ3Tfe408rwL27A3L +xV8jz6ugwGalgvsr5HkVKLtfrpV+hTyvgp9H3Xd4v0SeV8P5AyI2ci+R59Vw +OETT8L078rwafq0s7V3ojjyvBilHJe33L5Dn1TC7MNNU7gXyvBpEpGVXersh +z6vBcHxEkrQb8rwaRou0TXJ/jjyvhquLtswSf448rwZL7eWce8+Q59XwWEzu +zMBT5Hk1JMU/jrJ9ijyvBvfrjQnNrsjzali91OXeflfkeTXInf4jnvMEeT74 +fd6/O7DuCfK8BmZPqjoW/Bh5XgN2vtKrZzxGntfAxKV5aXdckOc1kKFpNLP3 +EfK8BroNZykfeIQ8r4HqJzfHpTxEntfA1dE9gYoPkec1wHVNG//0AfK8BsTb +j6kInZHnNVDzVmXeAWfkeQ1Ijn9YHO+EPK8BP/sc7TlOyPMamPZrt/P1+8jz +Ghj+4PLjOro+rIHzw7wPrLuHPK8BA3GZ7pd3kee18E1/+w7eHeR5LehvcDit +cwd5XgsBK8caB9xGnteC3fkdE/7eQp7XQu/ip857biHPa2GSxcrCoJvI81qI +n+PYMHADeV4LshsyY/VvIM9rofTxIVNfR+R5LYgUuuRzryPPayE8OGDsluvI +81pIjOZPdL2GPK+FNTdS6muvIs9rQfP6oSuLryLPa8FqzgSO7RXkeR3YJ0rN +jL+MPK+DhG8Zs0ZfRp7XwbDdR9u0HZDndXA7S+mu6yXkeR1MOXCqu/Qi8rwO ++leaLZxxEXleByOu6ysesEee14G56eWBNxeQ53UQK5zjVW2HPK8Druk18Zl2 +yPM6WPalRHfveeR5HYSMMz30zBZ5Xgd+Dibr8myQ53WgIDW6bYwN8rwOfv96 +dmzjOeR5HRxU3/zF/izyvB4auduKQ62R5/WwfnNNIusM8rweRmxfdWPaGeR5 +PZxRvD9Z6zTyvB5kpcbaOpxCntdDE7T7B51EnteDYbVpUOUJ5Hk9uCm8cRxz +AnleD+MuZMmrHEee18OwSbN9D1shz+tBWy+l2+kY8rweej3aJkZaIs/rIfdE +/LBqC+R5PcxbZpU63AJ5Xg+rbKceXGSOPGdCe1ZXuvZRnO9M2FUuLWJN9+eY +ILUtfbrrEZz/TNAql/wTYYq8Z4K3gWJU4WGsB0yY775sS88h5D8Tnj/U8Jtw +COsDE34sfVK5+CDqASYMd1Zu3GqC9YIJ92J2JP7bf/xPHzBB0NVva78f6wcT +vh4z73cxRr3AhFf2Pnv992E9YcKq28X3Y/aifmDCThHJx3lGWF+YIO98/UTD +HtQTTOj5uHwW1xDrDROGLdnkPdIQ9QUT1NIzf0sYYP1hgtFhltK83ag3Bt+v +2VtlqT7WIyZ0WM6erKaH+oMJt3+fSt6qi/WJCd+rvbV1dFCPMOGxTkGg0S6s +V0zY8kWs7uBO1CdMiLtr1nJUG+sXE7z0WjKsdqBeYYLz1+Drp7SwnjFhiX3s +eOvtqF+Y4Gi24OzZbVjfmNB4VBB4VhP1TAOoX1WLsdbAetcAEzN+e53eivqm +ATztth06sQXrXwP8zZPts9iMeqcBZFe9NjHdhPWwATrmfnpnvBH1TwOoytp8 +0Qesjw3QwK733b4B9VADZC0cdnzDeqyXDVCWWDZq5TrURw3Q+t7SfqE61s8G +qKmL+C6lhnqpAS46f2OOXYv1tAGOsK6W/1RF/dQAY1UH/FpWY31tGNQ7a7TK +VqGeGvz9LoopSSpYbxug5UPR1LCVqK8a4FHn4g0vV2D9bYDn85esv6GMemvw +38sVSxxfjvW4Afa2TGfoLkP91QDH7fs2rVqK9bkB5LnGb2YooR4b/H5Pt2b/ +VsR63QBT/AIK6xRQnzUAy8k+jLEY6/fg9Y4POOIpj3pt8HpnK7RfXYT1vAGM +jft2HFiI+q0B1sp0O6ouwPrOAkaj+CMJOdRzLFjySNO6Yz7WexZcmnBtUeo8 +1Hcs+HHS78vbuVj/WdCX5D/Ddg7qPRZowWmD7bORBywQncsxk5ZB/ceC318E +27pnIR9YsOWo84jEmagHWVD2+t5T1xnICxZMZZYITaVQH7JAI23vimXTkR+D +P+/hbfo9FfUiC2ZNerIwQxJ5MvjvG8cxn05B/cgCA7UdZ0wkkC+DP+9WLJSb +jHqSBamtT0Q7JiFvWPBEZp/kp4moL1lQ5XSYd2EC8ocFH9bc/qA2HvUmC5Q3 ++Cz/I4Y8YsGK6OcPE8ai/mTButxVcddEkU8sEAs5xFg/BvUoCxKdm936RyOv +WLD+fQBEj0J9yoJdm+zibEciv1jQ5btg/NIRqFdZcH/mo6XNw5Bng9eDf03W +86+A6NdGsLmb27ZnAM/jG2G77I7b4/4IiJ5tBNt5qd2MfgHhXSP8LZugZPsL +z68bQedVn/qCnwLCv0ZQjNsoXSZEP0MjMJ+k5N4R4HlvI2yy0NJT4QuI/m2E +qJuvfBu4eP7fCOuVrqU/6hMQPdwIVj6M2DW9AsLLRjCWWuDI6sbz8sH/H3de +8kGXgPCzEdwjbS+u6ET/RCOcndYUXNGO58uNIOxzCbvaJiD6uRFEdix3nNeK +foPBfz/xwdyUZgHR041QK3v4sUWTgPC2EZ4ePJkzmoPn842w5erpcr9GAeFv +IxQrzw/fzEK/RiNITtMwqmfieXYj3Pz4JNOhXkD0dyMITiWMnVqH/oZGMPC/ +Oy2sRkD0eCOsfOHVrVEtILxuhNtmSc9rKtEP0Ajyk71H21QICL8bwflBzyaR +cvSHNILy26Nar0rx/JwNOzqDZymWCAjP2bB4jEN0bBH6KdiQo3N1jnahgPCc +DbtHmetV5uN5OxtObKreYZmH/gM2fBe6TOzLERCes8Gse/GbK9noR2HD7NQ9 +PaOzBITnbKhoiZngkiEgPGdD3ZthXMl09G+wYUl3jufrVAHhORu+JqZMmZOC +fh42+CY80PFJQr8DGy4fSNGVSxQQnrPhYNmk6f4M9L+wQVZlop9cgoDwnA03 +NxwS+MQJCM/ZMOfmuwlzY9Evwgb/nVatb74JCM/ZkB1k7DQtGv1DbDCyHNf5 +OAr9FWyYPGqapOgXAeE5G1ISxQeuRaLfhg1Sz12DeBECwnM2XJNTmnE8XEB4 +zgaR/AtaNWHoT2HDCq9h6jqhAsJzNiTsPtCZEIx+JTZY7JpmujQI/RxseObF +ePr2g4DwnA2zRrFvjw1Afw8bqksmrrbzFxCec8BEIsu/3ldAeM4BCxOP4u0+ +6IfhgHOPBCPcS0B4zoGQgNBj0z3RH8WBC9y+nCvv0D/CgZxqiy7mGwHhOQdW +/L6XveU1+ok4sOhG5VH/lwLCcw5cWVAZNdpdQHjOgTfLRqWYu6H/hgOZZ8qc +k54JCM85sGdNlticp+jH4kCW+b4tDk/Qr8KB8bt+rCxxERCec+BM+pyaJdS/ +xIE4uS3qtx8ICM85sEGpVK/KSUB4zoFAmW3Sy+6j34cDE/xE3928KyA850BE +zb2iktvo/+JAk45y3IJb6I/hQH6rtbHdDQHhOQdmDw8NTL6OfikOfNfSCxG/ +JiA858CtMoapyRUB4TkHPkqapr13QH8RB5wyntV0XxQQnnOgemycj6o9+s0G +79/GNVLX7NCPwwHpuZbrkm0FhOccKPH3njjGBv1ZTaAyRcNF66yA8LwJZNo/ +xDifERCeN0Fep+yzrFPoZ2qCMVPHTR97UkB43gQzn0RoaB5Hf1sTdDCsZt88 +hv6fJuhc4egVZyEgPG+CP14a2fyj6Adrgq3NozyUzASE503wY6SolLmpgPC8 +CaQk3Ne8OoT+qSaQ0PgxkGMiIDxvgsNs6xN/96OfrgnGbtvosNwY/UZNsDA/ +UMl0r4DwvAnWc9puuexB/1kTeHLhSqyBgPC8CUKONE5t1hcQng++3+MJepP1 +0K/VBIw83mI1HQHheRPomeX6HNmJ/r0m+HI+89u9HehvaoLtU2ecCdkuIDxv +Au8VbQn5muh3awJjN6vg3q0CwvMmSKqKXyGxRUB43gS3rCcbKm9Cf1gTLHK+ +P1EXBITnTfBkgeaRk+vRL9gEZv0ntO+qo5+qCXZdm5rjuRZ53gxbS46wvqoi +z5shsOD4o7xVyPNmMOjamtO4EnneDC5aoh5CZeR5M/x4lTZcbDnyvBl2Lnki +mLkUed4Ms2psLissQZ43g8UEh8drFJDnzSDzPkxZQx553gxvxkw/orcQed4M +LWO+Su+XQ543w8j2x0fN5iPPm+H3AS+V43OR583wx4LtcmY28rwZ1E/vOWcj +jTxvhqxFbVXnZyLPm0HvxYssOynkeTME/dYGu2nI82awqvqhaiuJPG+GVY33 +I60lkOfNMEfIDj8hjjxvhqSZ3KXmE5HnzbDX+sUSk/HI82Z4r/Dxw24x5Hkz +FLnM9NkmijxvBnHWp+nqIsjzwc9vqSuqNAp53gxb9FJsZEYgz5vhJoO1Z/ww +5HkzdH2+GP7zD/qLm0FeTP8Gp59PeN4Cp6xWpuf/5BOet8ABYDtGC9EP3AI+ +sXKhnnw+4XkL3Iz/tOMOl0943gLL87fvO97LJzxvgYmzPEq0u/mE5y2QfMQy +YUknn/C8BZR69CXHt/MJz1tgoduE0tYWPuH54M/dN/9NbeITnrdAdeALVy82 +n/C8BdY0BjtfYqE/uwVY4XNb9Zh8wvMWmJT7OHhhHZ/wvAUOr3xS8Ksa/dQt +4Dz1i1FuJZ/wvAUq8x+t8yjnE563wKVaf8fTpeh/Hvz+ObfnqRfzCc8H//+K +MJkxhXzC8xZY6ultW5iHfvMW6HhSNe91Dp/wvAVajxUsPJLFJzxvAS1jgePC +DD7heQusNotd2pbKJzxvgQg156UhyXzC88Gfb8+8diqRT3jeArJPqmQUGehH +bwF+4Cyxljg+4XkLbI3gbPKN4ROet8Az1UfJJtHoH2+BhlVmjySj+ITnrTB6 +4OO7rEg+4XkrFCrG912LQL93K/S7ZTsrf+QTnrdC1gYpc1YIn/C8Fe5urb7i +GsQnPG+FT4Xbijd84BOet8LwCQ8t2vz5hOetMGFsofJzXz7heSuURKqtXufN +Jzxvhd7U5rMsDz7heSu4RvTW333LJzxvBUXXUzcWv0b/fSv0TT1mkOXOJzxv +hQG7NoPjbnzC81bYsP+Xo8gz9Mu3wsSc5xXeT/iE563wev6nfeoufMLzVujo +3vq36AH2G7TCl861WVZOfMLzVshttf/6+y6f8LwVTD/Vp7jcxv6CVqgcvrNn +9k0+4Xkr2J1wVQ29zic8b4Unm669XHuVT3jeCm+cq8RTHPiE562Q0nDMY+dF +PuF5K+hYNmwotuMTng/+f7/+7r222G/QCh+um3+sOssnPG+F/JnJDgfO8AnP +Bz9f0lfdqpPYH9AG10qqFfce5xOet8HJwMTxRZZ8wvM2uH6Z173DnE943gbJ +Oj+KEo/wCc/bYE2FVuTqw3zC8zaINj/kEmjCJzwffH+G75GZ+/mE522gL+Wo +4LSXT3jeBtta9Zv4hnzC8zZQrrF+arqbT3jeBm8/yi/J1OUTnreB/azk8GW7 ++ITnbaD35arM8x3YX9EGS0pcrAXb+ITnbfDbVs7PSINPeN4GoVLm0Z83Yz9E +G2SFnwgU38gnPG+DBPud50+s5xOet8GHcslpSWrYX9IGaTvSHkxfwyc8b4OW +9fuKTqziE563wZlq36bYFdhP0gZqFadSxZbzCc/bQFb+zMm9SnzC8zaQnLy/ +1FuBT3jeBoJJVX9bF/EJz9tAK96ledkCPuF5GxyNmORkO59PeN4G+63amZ/n +YD9JG7xcENDOleYTnrfBzPIE/+Uz+YTn7aD/w2/cyenY/9EOkeeTZvhK8gnP +20HgdCGnYjKf8LwdWgOnzR0/iU943g5/D3SJrx/PJzxvh5uz4c3JsXzC83Y4 +tW1jrLsIn/C8HdrYs08njuQTnrfD3Xd/QlqG8QnP22H0E96FCQM8wvN2YK6Y +nL6sn0d43g6XVhq90v3BIzxvh07D2NZTfB7heTt46Sh9vtfHIzxvh5NBj3ie +3TzC88Hf5/Pp/ZcOHuF5O0z9a5mW0cojPG8He+5x3comHuF5O6j2n1nf3Mgj +PG+HpCiZh31MHuF5O+SlT1btr+URnrfD5dCqtcOreYTn7bDcq//xqAoe4Xk7 +rDvdrjK6lEd43g4rdkvKjSziEZ63g0RF8b6BPB7heTusDnPIE2TzCM/bYeyd +nY4dGTzC83Z42XL9eH0qj/B88HrM3HAnP4lHeN4Ou7p88uIYPMLzDtjhGbcx +II5HeN4BKWEPyly+8QjPOyAvdsQD2yge4XkHXD0oYrwnkkd43gFxv0+pq4Tz +CM87YHzYwBLxUB7heQfsGWcn3xrIIzzvgJgJl+UZ73mE5x3woDlk0VNfHuF5 +B+ysc59r5sUjPO+AJrmYCcve8QjPO0A06mbrj1fY79YBSUv1wxgveITnHWAZ +aGd06xmP8LwD4l8ur9nyBPvfOuCp76e1wx/xCM87IMxR+nisE4/wvAO2FV8z +t7mL/XAd8GNM1YKFt3iE5x2guWJ2QNl1HuF5B+S2KbBuXcH+uA6YvTS/QOkS +j/C8A0zXZZ4qseMRnncA41LaR3sbHuF5B2TpHn023ZpHeD54PScpTv58kkd4 +3gEVpe7zd1nxCM87oPS0RCLLnEd43gF31vRxzh/hEZ53QITEVeeRh3iE5x2g +v745wGU/j/C8AxZtM1CdtpdHeN4JJcJC5dcGPMLzTnBYZ/Zkph6P8LwThFN7 +td138gjPO6H4vvFBcS0e4XknMF3M4+9o8AjPOyFILNNcuIlHeN4Jn+IUd1ps +4BGed4KT2pxT+Wo8wvNOSGyWjV+lyiM874T4ngDVlyt5hOedYLBtTIlgGY/w +vBNWez9w1l/CIzzvBMmLpww+yPMIzzth67j8hf1yPMLzTqg3S/utNY9HeN4J +4oYGuc9leITnnbD9rvmT6hk8wvNOmHKpbP3saTzC804Qc7bNNZHgEZ53wvFf +3NXuE3mE553gx/1zLleMR3jeCcudF1z4K8IjPO8E9/gONaWRPMLzTog5n/zV +6C+X8LwT2s+PYV/u5xKed4Le7lFf3wq5hOed8GUvd9E3LpfwvBNeHO1XKuzm +Ep53QtrX5d/Z7VzC806IjX5eym3mEp4P/vzlVIuBRi7heRcsbH9kMYrJJTzv +AuaMlNzRNVzC8y4IiT7+fEQFl/C8CyaHzQz/VcwlPO+COAWT6V35XMLzLgi3 +ePO9JptLeN4FOhJLfNLSuYTnXbDjluan4GQu4XkXmJ8c3fyAwSU874LxCkdX +H4vlEp53wS+X1S/Wf+USnnfBhN9Lf0+M5BKed4FmRv7B6jAu4XkXvEk8Ee4T +xCU874I+vxmt5u+5hOddsNMk6vc8Hy7heRfIZBXWV77jEp53gUrdh7sPX3EJ +z7sgync6Z60bl/C8Cza0OHU1POESnnfB2bGtbrcfcgnPB6/H4bu58+5zCc+7 +wMh7+L1vt7iE510wtk0+Uvs6l/C8C5ZtzIcKBy7heRd41j2dcvgCl/C8C7qO +NkxinuMSnneB0quD4gdOcwnPu2CRtkFrvhWX8LwL0vqjLDaYcwnPu0Cr2mnr ++8NcwvNu6Dh/YtWYA1zC826YFT+ixNSIS3jeDT+0md6f9LmE592wVHr8QP9O +LuF5N6jvPzxj3XYu4Xk3zGga2XRuC5fwvBusp3j+fbOBS3jeDTeHjbwfvZZL +eN4NfuLavSkqXMLzbths++5D/DIu4Xk3bDXVc3qnwCU874ZV25SaTRZwCc+7 +4du4kqTuOVzC826oEH6duXkml/C8GwSJ5rrLJLmE591gWC337PgELuF5Nxxc +VzN16mgu4Xk37Bnu8mh+Xx99vf5nlMzC7j7678f8sHpaXtxH3++ZKuuoWFLf +/3+fT71ffHgf/TwpL6MPyHn20c/77Pnd8r8P++j3Ceo99lHNoY9+30lpleMK +LPvo9dBaeq0taXcfvV7+Y1oNZm7oo9fT6qfPpXr5Pnq9Z7uahklP6aP343SV +MKvmdy+9XzrXTPIUmnrp/XxsyvecnN9L7/fexfOa33ztpeNh7vlzPcWevXS8 +GIrKvU2+10vH0xj3cQbnrXvpeFu7a/KwbqNeOh77OiZOWLehl47Xs6Pnmh1d +0EvH87Jh6ZanxvfS8X4++9SoQ9weOh/s9tYHbqjqofNFUfu+rmRiD51Pi4Yt +uMIO6KHzLSCsROuzSw+djzcq9p+8b9dD5+svpcvKFiY9dD5PqjZ+orOlh853 +J0a+3HaFHloPitL91+6Z3EPrRdn6A696e7ppPfHMNl10ktFN641wrckIaZdu +Wo+Wy5S4ih7spvWqMupWhsqSblrPohlvlvj1d9F6JzLGrd44u4vWwx2n3fz3 +vemi9XJVhVWHz8kuWk/vu4kXqa3rovXWc3uHwvwJXbQes39cv7e/rpPWa8ll +1Ws5HztpPc/X8t+ffKOT1nueYXEu36CT8kCJMW7b1YWdlBcWWnG79v/soDyZ +6Ni08VFOB+VNzI/3+6d5dVAeuZ+7HMm37aC8asnV1lDc3kF5ppKytitKuoPy +7kKrmu3L3nbKw/evHG/mp7VTXvZOjvhy4G075WkQm/dsnU075a1icvWzc9vb +KY/Pn5kl82t2O+X1YTtF51J+G+X5+A3fF4/MbaO8F41YtPGmXxvVA+5RTqK7 +r7RRvfDu6cSoc4ZtVE9MHNt5nrmkjeqNbZJmJ9+NbqN65Fv5s5iAulaqV+ab +HLk+8LWV6hnle3OLvVxbqd6xfK+d8+hkK9VDI3PnXE/RaKV6iaOkNLBlbivV +U6J3qvaP62+heuvukxr/GWUtVI9tuuvTfTqiheq1ZWbR28c8aqF6bkrlnCS2 +VQvVe4dCvE6P1mihevD3hMmHrOa1UL3Y9OVFwPiBZqonx0HD4d6qZqo3FYwO +eUyNbqZ61FnN6spFt2aqV8XHWE+dYdtM9ex4ZZl7Ar1mqneZ3va9k5Y1Uz3c +nvHzgvmEZqqX//aHruO2N1E9LXph84nYrCaqt8+tn6UQF9hE9bjC6iOBvPtN +VK93vZsoZW7VRPX88yU/P4zd3kT1fsiEKlf2oia6HvBiG4zjjWmi64X7okI9 +1RYOXU88nLfVOzSDQ9cbq3VC1xsFcuh6ZAnP7cRyZw5dr7SY6Furn+TQ9czV +dXNfXNjJoeudURuUljQoceh6aNbH+zccJnHoeqn8iGzrpl42XU/5VWVEry5m +0/VWUq2U1r4vbLoee3rGke/vzqbrtc36K6TnOLDpek529pzZySZsut7z4HUc +cgU2XQ96VHVtdZrPputFhZJZa4NGs+l6sj5+dAC3pZGuN1fw8n+b5zTS9ejO +ggXBfz420vVq2QjlBfHPGul6dmzJY6aPfSNd71bqau8JO9BI18MnzXP/1EEj +XS9b/CgzUpFrpOvp9QN29aGijXS9PdmpX0q7k0XX4+ube++OK2LR9bp1msTH +rigWXc8/M18/g/eGRdf7PgWndGfdYNH9AIkNYbVHLVl0v2Db6em7c7VZdD9h +rWL8ur3KLLrfsLQtcNnw6Sy6H7HBriQh63cD3a+Q+D7NMZLVQPczVpXIjYvN +aKD7HcNrb/1khjXQ/ZDFBjea5Nwa6H5J+HYF67uXG+h+SlPp5hWjzRrofov4 +h/UFXtsb6H6M9KeV7fuWNdD9mqi/1QuVpjXQ/ZyxwbfkZf4w6X7PDv5od3k2 +k+4Hyd1MmambzaT7RROjPA8++cSk+0mXVLvFOl4x6X7T96cO+UduMOl+1Mdh +Nsa9Vky6X3VO99i+13pMup/l2al51WQNk+53rZqu76A6l0n3w6bKTRmpKMqk ++2U3uhNfnmbW0/20etl3Ul/C6+l+m9p1A57ijXq6H7ejovltoX493a8bJRd9 +x39+Pd3Ps3c7JxfAraP7fYr9o3pLU+rofuAo4zcWyi/q6H5hRrzzQPSxOrqf +uM1AW+3U2jq637jqw6lXO8bV0f3IzyseeBjU1tL9yldimnl3P9bS/cwzVSof +G2/U0v3OZSJ1mccNa+l+aK5I6AepRbV0v9T+Z0t5988aup8adCSY05VTQ/db +p8mUH5nqVUP3Y/ffn1RnZltD92v9iz92lmnW0P3cjXeTFpybWUP3e+cs/ymt +3FVN94PPb/c7OS2pmu4Xn1oTHDz3RTXdT5ZzvX1R90Q13W/uPbnU2mdDNd2P +nshbuHTGlGq6X90lZbEnsrmK7mcvsdK/ezauiu53W01kHNVzraL74TIDbNfd +llV0vzxafW/UBfUqup8upjPsYpx4Fd1vP1Q087psUyXdjzdbqWgXHFtJ9+tl +b/4cZehaSffzD5x9UCV9rJLu9+87Odt39PpKeh6QVDZvwsQplfS84IGlcsaq +1gp6nnDQfdKdK4wKet6Qu9WL3+BWQc8jok0acyxOVdDzCumP3QljtlTQ84y0 +yk7H9BkV9Lxjk+6UTL+ecnoecvDiOx3P9HJ6XpLanZMZ5VFOz1N+lTG5bXbl +9LxlzVaJe+q7yul5jE/G4w0f5Mrpec3aiZfKlv8uo+c5ox9VjSstLqPnPcP2 +Br59HlxGz4MW/UrYZn2rjJ4Xbb9YmmN+oIyeJ400vNVxfmUZPW+69UnVynNc +GT2Puu+6egS7sZSeV62Y7HZ1c1wpPc9SLVzlEfO8lJ53jT6SKr/zdCk9DzNP +imIJNErpeZnqADyNmV1Kz9PYWUYDL4Ql9Lxt6tbQrgcFJfQ8zigyTPFVYAk9 +r9tw/Mq1hJsl9Dwv631beP+BEnred+nF1Ud6q0roeWCjoWV93MQSel54XNTR +ZmNLMT1PDBuza0p1YjE9b7w4kefy8E0xPY9kOK6PNLQrpueVjrFPdFbqFtPz +TEnb3mXyi4vpeWfK7D8LV44spueh6udaRhrWFtHzUt/aK+7OX4voearM4mmR +Za5F9LxVS9RLfs2pInoe65fxLCtMs4ie114YLTipNq+InueOtzJkVvUX0vPe +kbbJ/Y/LCul5sNW+mqfGEYX0vPh0VYyD6sNCep58fnX//cXHCul5s+bM6GfL +NhfS8+glvvfPackU0vPqOMtrPy/8KKDn2Yp3ZEdGFxfQ8+66lIuW4z8W0PPw +GV+5/bbOBfS8/DnnytsuiwJ6nv5N9My8K5sK6Hn7Jc0n5tIyBfQ8PrVPxdGj +N5+e17f+PSSzODCfnufHL/pmVGGaT8/71XcvawyWyqd+gDOBlx18C/KoX4Br +J1uacD+P+glkxM6H/NmYR/0GdrHXYw7/zKV+BJ22mTHsiNz/+xWmV1o+PJFL +/QwwWuyqkWwu9TtMbo/6trkmh/ohCsI8q/TccqhfQnX5Gg9HnRzqp3D08E8u +HJND/RZ3q2LFtiZmUz9GFvvXynKHbOrXkN37cNgDlWzq5yho3bjicFcW9XvE +jHR5YPAhi/pBgvc8yD9mlkX9IqsdexLfSGdRP4lSisSi7rJM6jcxjGclH3HN +pH6UtYvaDnK1M6lfpS5YK9ZXJJP6WebHRfnYJGZQv4v7uUvVh69kUD/Mp0sn +lE6qZlC/zGSxXtPnfenUTxNROwyqQ9Op36ZBTcoVjqdTP07x8A3zEuXSqV/n +YfLfb4eZadTPM63BeuGst2nU75PxSXYFd28a9QNd+qoc2DwljfqF7LOX7fuR +n0r9RDLHlMcveJhK/UZV7NSnp7enUj+SW57gW+GoVOpXWrFCU08/MYX6mZb9 +CZHruJpC/U7TD78S8VFLoX6orBWdqTbCZOqXOnzm94pDn5Opn+rhqwezzc8l +U7/VuR15xreXJlM/lv8Hi6iE9iTq1zomOCQyJTCJ+rnq56yQvmGZRP1ea/W+ +Z4nKJVE/2LuHuzmBDYnUL5Zn+BLMPROpn+yw1vtQ1YOJ1G92spw3Um5WIvWj +ebcemr648jv1q3l7zI3XdP9O/WxXR5VlXdnznfrd9ldempY95Tv1w43yyegw +82NQv1x8wrObh+cxqJ/uwaTzbx94JFC/XcuRda1NsxKoH+9o/1mpc6/iqV+v +z0CjavH0eOrnW175ql7cLY76/Y7E/KxcMCWO+gFF419etnKNpX7B7hn69hWT +Yqmf0MHM29HOJYb6DaefCdKGCTHUjxiuq/Ro1cNv1K/Ys9vp195x36ifEYbH +aL13jqZ+x+1L9yjPFYumfkjBOCerVKev1C8ZqTEzwG3sV+qnLJ5v5v/EKYr6 +LceF75SOGhtF/ZiF3Uapo52/UL+m4+RH+6+LfaF+zmUf77+Y/+Az9XtOkLBW +6R73mfpBV1/t7mY/jKR+0Zxrm+6MnBhJ/aTG22Qjdjz+RP2mq8dULI8R/0T9 +qKN3L0zSfxpB/ap/7hnJTpaMoH5WcQ3lKT/cwqnf9XPpHz0RqXDqh31hNnyt +vcpH6pedwOOeXLY2jPppj4zaHqeyIZT6bZ1Cj4bc3RJC/bhXPd4w5LSCqV93 +osZyh4m6QdTPWz9c+8iWPYHU77vGsv9X0oEP1A/8+rJK3kOzAOoXbpHMMvM6 +/p76ibnyZzb9OetP/caaq8O4Phf9qB95YnjPSFdHX+pX7rK1+ZN234f6md9u +33pqq6s39TvLW2yvFX3tRf3QdsNN4xZpelK/tEVp76/Mfe+on7pnT9lz4ck3 +1G+dZ6gU9PH6K+rH1kzzNul95k792g+ULfJjP7hRP/fR5YqLJiY8o37vn3/k +nJuLXakffPjiX+BS8pj6xYdH+svuG/GQ+sn7wk9JKirfp35zk+nbT4w+cpv6 +0WMthjtyXB2pX925ztDy2b1L1M+udlUhw7TzHPW7D+guLz8cZE798LXl7swO +oQb1yyt/u2zEMTQA9NP7pLYv7MmzAvTba5SoJiUKbQH9+PcO3bsx4+5lQL/+ +bd2fqzY53QD081/pcsy+rnMH0O/vMNx3bJaEE2A/gL3dygvzyh4C9gtoHt6Z +tWXnE8B+Ai+r1r6BJU8B+w3GjNzE2zzhOWA/Qgz3wkPxTjfAfgU7/p2LVjnu +gP0MzmJbzmsHvwLsd0hMMVWLcXoD2A+heMvm9pdj7wD7Jca/7xbboOEJ2E9x +y+TNZgVXL8B+i2qtjJIr170B+zFORL+a5n7aB7BfY9zAfLNLB3wB+zmsFXvF +5bT8APs9hjmPv+K+2h+wH0Rr6q/+Ytn3gP0i43viWirFAwD7SURLy18F/gkA +7De5d0vHckvbB8B+lJRFAX4BZYGA/SprGO1BZUlBgP0srIvBeQVhwYD9Lq6T +f5u/eB0C2A+jv+Ry0qK7oYD9Mn3vddXvnAsD7KcpbVOQ/WjyEbDf5qe0f1OR +bDhgP87s3+ppKq/CAft1Ii7eNb46MQKwn+d27sXMkFsRgP0+CYH5G5J/RAD2 +AznkibO+n/4E2C8UF/Sg2p/1CbCfKJxvbGCzNxKw30hrm+m5hTmRgP1IGh91 +DiZt/AzYr/R0h/cGzS+fAfuZFpR7rfu0+Atgv5Om5tFrIh5fAPuhDHflKGyR +iALslxrHf2RldTcKsJ/KcNHiQxd+RQH2W71Q71I+feYrYD9W0I0/Y3exvgL2 +axlmbxs/zSgasJ/rOM/lUEZmNGC/15PjlgvN138D7AcLDl3p1Br+DbBfrKt2 +acg+uRjAfrIQlaOfI9xjAPvNyp0/pvHEYgH70aAibcz8a7GA/WovPi1/u7Y3 +FrCfbUW21gd18zjAfrcPJ7fqyZfHAfbDyffKR//Vigfsl4vVLBuZFBcP2E+3 +99CIvdbLEgD77bI/qJWO8UkA7Md79ZDt/UCSAdivF1a9nvnrLgOwn2/c9oOR +e34yAPv9Pp6cMSdv1XfAfsBUhRT95jPfAfsFpRqH3RIEfAfsJ3zZNjGln/kd +sN9wiuyshcIZiYD9iNeVN8a07E4E7Ff89vmua8GDRMB+xurLnLiwlETAfsdJ +cZt23fqTCNgPydOwNtBZnQTYL3k7ZjlzknUSYD/lS4bqqIyAJMB+y86VCwou +MJMA+zFTzJwMpWckA/ZrTlJle0frJwP2c6qf8c/Qdk4G7Pd0ylpSWZqUDNgP +Okojq9GoPxmwX1QCEn7krUwB7Cd9F7lWfsOpFMB+U+axQzf9/FIA+1FzZsH0 +EbUpgP2q02LqBUZTUwH7WevurVL23ZUK2O8qYriorOlOKmA/rNz7R/x5CamA +/bJzOld4GgpSAftpL4cWs64tTQPst938cXaBl2UaYD9ukOKXCzEeaYD9uoa/ +FJqyy9IA+3n1EkSWlk5MB+z3jZdIOlimmQ7YD6zwNfN2/rV0wH7hd7FXP32P +SgfsJzYJURYEdqUD9hsfiV9y+MHCDMB+ZCd+4G/zQxmA/cpXjCtqV7/IAOxn +1p9WPXl4XgZgvzM7ODMgeXQmYD/0z2nhYdfWZwL2S4v1u6xaYZcJ2E/dkH1A +uy4kE7Dfulsw7O9NdiZgP/bR8YcOz5XOAuzXFmnZdjnKIAuwn7ui8v4RjQdZ +gP3ednnMublJWYD94FvtR2fs+pUF2C9+1MbHIl05G7Cf/ISNsaja8WzAfvNW +i6QEf69swH70ZI+T7mIV2YD96pt+VnpZTcoB7GcXGenUytDMAex3T5/Xfl38 +Wg5gP3zRt+M2+7/kAPbLnzK0yH/XkQPYT/83dZV/lWwuYL/9TEOFEZMP5AL2 +42+tduJtfJoL2K8fynvqfCIzF7Cf/8OsK0WPhuUB9vuLFttWBanmAeYB7M7y +Ck88kweYF5A+Yv7RIv88wDwBHd7EYbU1eYB5A8s/2bs1TMkHzCNInGShzNyR +D5hXUJJS0VBxIx8wz2Du09zP2dH5gHkHlyxUo6O78wHzEIQJw//oTikAzEtg +bxuf8n1pAWCegvp5xeilOwoA8xYWaO1kvrIoAMxjmB63b8uIGwWAeQ2vU1Rb +jr0tAMxzGHMmtzTrawFg3sOG1l8SisUFgHkQ2zw83t/vKgDMi+ha/9y9cWwh +YJ6EUfHnDvUFhYB5E9dLy0JcNxYC5lGkrcmrYx8oBMyr2ON4ymmVfSFgnsXz +fMfIW08LAfMuXPrLj+aHFgLmYWi/XectlVkImJexaLa9zWF2IWCexsLiXXW+ +fwsB8zbURg2ucmcWAeZx3B9R77VgdRFgXkfgGunRR/WLAPM8mtX7Z3ucKgLM ++7CuXcMvu1cEmAfyUP2JywTfIsC8kIKimN5NCUWAeSI7Hp9dcL6yCDBvJLT2 +2HI/XhFgHsnVuD0ziiYVA+aVrMntbPqjUAyYZ3LTguW5SLMYMO9khxd7h+6R +YsA8FMEZv67zV4oB81KeBBW4vnQvBsxT6ZSbrh7zqRgwb6Xhm1xvZW4xYB7L +CVP/GGFLMWBeS4v6Vo8po0oA81z0QoN9lOaUAOa9nDS/k6ehVgKYBzPlnIO8 +yZ4SwLwYe8OVX8+eLQHMk7GU2Hfj1oMSwLwZz81PHj1/XwKYR7Ng/i2Wb2IJ +YF6Nl1/53YiaEsA8G8YdlRvxwhLAvJuA/Rsr0iVKAfNwLH29nxYolQLm5djV +DESVby8FzNNZ/2RAu/ZoKWDeTpDVtn0N10oB83hyNzqyGl+VAub1XFut18v+ +XAqY5yMRd+gBO78UMO9nbpDBV1ZbKWAe0Hb98sv1o8sA84IkHj6tr5pbBpgn +VFGwtLVEvQwwbyh2i5FnrlEZYB5RYEakaOq5MsC8ogtTuYqxD8sA84xGp3yf +FB5QBph3dNE8K8Y3qQwwD+nG44SVL2rLAPOSYn7svHHvRxlgntKUGwuD7KeU +A+YtGWj9+Wy5tBwwjyks5fYHQ61ywLwm6+xzDzaZlwPmOUWFOpgrXS8HzHvS +1tyrJvW6HDAP6tGExCkjvpQD5kWdW2IrbMsvB8yTevdYoaOorRwwb+rFL/8f +30ZXAOZRSc56L+s1twIwr+rg207bO+oVgHlWmWqbeo4bVQDmXZmUH/Pcda4C +MA/rygOF+8sfVgDmZSXGGUZIBFQA5mk1Lf88j5dYAZi3VT5vBrOkpgIwj6tK +34j7WVgBmNdVP2eT2XOJSsA8r6Y3ESttlSoB874ev7l5Rn97JWAeWEqe64xl +RysB88IelTDUx1+rBMwT+6vbU93yshIwb2zfn7HDUyMrAfPIVqULIrzyKgHz +yoTVvvzLrZWAeWY7k4cXG42qAsw7q7Adpa88pwowD03yuO+FcWpVgHlp275V +7uAYVgHmqX1SfF+SYF0FmLfWpDNu1kvnKsA8tq2PJy04518FmNfmtyv+p9bg +vMI8N1XBfK/51VWAeW/O0w3m9POrAPPg4i+ZXysWrwbMi+taZZMSrFgNmCdX +mPvmxy3NasC8uUtbRs83OVINmEd3QLl8m8qVasC8OgfWhnPj3asB8+wqTpzx +Z0dUA+bdjf/+tj0upxowD29O0Whtt8E6iXl5bn5tWadH1ADm6UUfc7XRlKkB +zNuTeaqhNWdNDWAe3+Ffe41+7K4BzOuT7p3tXXi6BjDPT+WAYHnw/RrAvD/1 +HJhwx7cGMA9Qzdts/eGEGsC8wJBZORlrK2sA8wS/XumOkuTVAOYNfpurOaln +kHuYRzjhjW5l9uJawLxCmSJX6Q9bawHzDBmzXjFvH64FzDssMf6xxMyhFjAP +EaZrjd3oVguYlyjlr3h1dngtYJ7i+jXbnvzJqgXMW5Qfs2NHLacWMI9xtlXr +p/hhdYB5jYHdDYUes+oA8xytHwvDHFfXAeY9Gnzh6x/VrwPMg/xz4mOS5qk6 +wLzIULu/ov90FOZJ2lzIWCLuUweYNxklxl8liKsDzKNU6bRfUlteB5hXuXH6 +TqnUvjrAPMtLrPPDwybUA+ZdNigMdLvL1wPmYRqc+91+c0s9YF7msYGHf84c +qgfM04wdXbbE5FI9YN5maKbIzR3P6wHzOB0Ye0erfawHzOusjBRjKGTVA+Z5 +/ug+8VWaUw+Y93n9o2X/mEFdjnmgP40zNt+cwATMC52WMXH1n5lMwDzR6Xts +Ky8Orgswb/SOg4oyfxUTMI90Ltttk80WJmBe6YTbzIk9ekzAPFPtALN3Zw4x +AfNOLcXO9naeZALmoZ7vOjLpzCUmYF6q4VL3/q67TMA81dWG52POPmcC5q2e ++HhUj+vNBMxjvXKiNPHCRyZgXutrb5jSP7jOwzxXzUuztRyzmIB5r/tX8Y6J +VDAB82AN9LTOPxpcZ2Je7O+nITZTuUzAPNnDSyKPeQxrAMybnZz1Y6/84DoX +82hLw+dsj5zVAJhX61pasA4WNwDm2X4QxKrlrG4AzLv1+eKuuX9rA2Ae7rqI +eRat+g2AebklnrPfXDrcAJinq3Jif6fY6QbAvN1EzQ+m7xwaAPN4JUpZP5ff +bwDM6+1Y2xGf6tYAmOfrrx4WccC3ATDvl39oZlVfeANgHvDKUQrrHiQ0AOYF +i9ypq5HLaQDME762e1Mio7IBMG84dIp514HmBsA84tAU0+M/eQ2AecULyoxV +X45gAeYZb93lcHCNOAsw73gLm8+slGEB5iHvVxybfkWRBZiXrBn0U3LeWhZg +nvLOEdMK0jRZgHnLGeJRf04bsgDzmDUl1H2nmbEA85qV0ialfbdmAeY5c59+ +OHnqKgsw77kv6NarGQ9YgHnQmcqL92S8ZAHmRZuaKfpdfM8CzJO++WXhQ4XP +LMC86fljkyTqElmAedTrMsLWP8tnAeZVW04JlNhRywLMsx7VZu8+op0FmHe9 +2rCzMO4HCzAP2+ZRU/pFkUbAvOzKZTuvr5ZsBMzT1jCVGODPawTM257Rv2bH +12WNgHncxYnZxx3WNwLmdVs4scxAuxEwz/vQcW91EeNGwLzvhvY1v/IsGwHz +wG02NPm9Ot8ImBf+7ih3k+XNRsA8cUeLr+UqTxoB88aXO704NdqjETCPXPLW +iDEVwY2AeeVyIQ6hId8aAfPM5615aHkrvREw7zz9VNEak9JGwDz035UCBdXG +RsC8dJFnEVskexsB89RzrDKduAONgHnrCxdIjiodzwbMY9dKv/AteiYbMK9d +P5ET7iHPBsxzb1tt0Xt3NRsw733J+rE3z21lA+bBB8wSHD+0mw2YF//BxTR8 +lykbME8+VczFBM6wAfPmg4eX2q28wgbMo98i/26kgjMbMK9+ecLhCbIv2YB5 +9sFlAa9nv2cD5t3n+UhESn9mA+bh68XrHpJJYgPm5Sfaz/ObW8AGzNPftPjg +w4V1bMC8/cpr7bOXdbAB8/j35WYcVfvFBszrbzEffXS7KAcwz39TU6qc8TQO +YN7/21dbIk7JcQCfB3A6PUf81goO4PMC2BKp2m83cgCfJ7CnzP9EtA4H8HkD +9jcG7MpNOIDPIwj9ef7CzxMcwOcVrP2den72JQ7g8wwe30y9pHmPA/i8gzj5 +wy7n3DiAz0MQuL2I9fTlAD4v4VTzPbHCCA7g8xQMZKxuj/7OAXzegpHznRXr +8ziAz2Ow0tg++2INB/B5DaIeK/Sj2jiAz3OY+ayhRPiDA/i8B72dEyLXjWkC +fB7EthdO/NtTmwCfF3HwiOb7AtkmwOdJmG7Ry5+zognweRMyvwscbDY2AT6P +ouf3pMgMnSbA51WYcW1uzj/YBPg8i3aja9zrJ5sAn3fx42epeMOlJsDnYWzw +WM/RuP+/nu7+H+tDj+O4biRhydSoKaS56zEVlZvO3pRYdE1jSTVTtEI3xNE0 +3cyp0ylK9ig7NKnDVQ8NxWrdu8vWis3ZMbp1lKbr+72+1933onKT7Pz0Pj9+ +Hp/P5/F4/QdPFehlZB8uyjr/jQr0NB56GbqmnlGB3sbpS59Pzb2oAj0O24oQ +vz8bVaDX8XvVSMgXv6lAz+NDy+kRfV0q0Pvw3Lk6Nl2nAj2QObU5u/tfq0Av +xHRbzY29EwTQE2k41zfT0l4AvZHueyW3il0F0CMxT3JTzl0ggF5JznfvdzQH +C6Bn8ipnZ3xilAB6J11pr2MmxAugh9LxbttP1akC6KW8TA+9vHavAHoqZplt +Cy3yBNBbyXa1i6kvFkCPZV+K1iWzQgC9FlPnqMr51wXQc8no/Wq4/44Aei/P +Mjtn1t8XQA/GZNGfc3JVAujF+Ny6PO/TlwLoyTw5Z+o7b6wIejPed11WWL0t +gh5N48r9OTonEfRqLG1/fdU2RwQ9m4Sj3cpaiKB3E77mibLqIxH0cGpXOZqV +xYqgl5Nntajz5BYR9HQUJubzS7JE0NvpsStxVuaIoMcTa/vJ5QtFIuj1zBq5 +PaqxXAQ9H+cf7k95cEXE/72fXVVmL2+LoAe0tOCK6p17IugFWWvO3w58LoKe +0P2mf15PeSGC3lDlvqYO5Rg16BHZZbV5PrVRg17Rf5p++M3JWQ16Rg89ax4k +zVWD3lGBV2zM1UA16CGtG3Nug9UKNeglBVR12iTFqUFPycvr28yWbWrQW7Js +6qnw2aMGPab33OyblEfUoNfUNmaofdpJNeg5KVZWDRRVqkHvqetN/dIZN9Wg +BzUc69Ze0aIGvaiI8ctu4rEa9KSSc/umPJbUoDflE7X5ze4hNehRiaWJh9wm +SKBXVVc+reORvQR6ViGVXq+Pu0ugd5Uf0zpllZ8EeljRM8uWOC2TQC9rdVvX +yb4YCfS04BiwuDVRAr2tyL+NKGoyJdDj2m9t8qT4oAR6XUu/rnj760IJ9Lyq +vzO1yCuXQO/rZ//qnmNXJdADS3mgbyi9I4FemP3eiobrDyTQE9NuzDLtFCXQ +GyuxUNaMG5RAj2zsBkfR31wDemX1y8/+kmmvAT2zT9wq9zW4a0DvLPzKtnk2 +/hrQQzPx7B/ZGqYBvbRXGU7W7Ws0oKd2vbP0SPBmDeit/bXZ+nR9lgb02I5r +hdSlhzWg1zat6JjHvWIN6LlF7ssY2F6lAb034y3dOPs6DejBCXMT9rW0akAv +7tNZy4sPPdGAntzpAwuzo2QN6M3N//hevPsoLejRhWaZplvYaEGvLvGjBz2D +zlrQszu1oEz7wlsLencb3zJrGA7Wgh7eF/rhgknRWtDL6zn47Kz3Ji3o6cW9 +84/ZCZla0NvzeO4bf/qQFvT4Lu7+fb/mhBb0+o4fam0LrtSCnt8HGxSHK2u1 +oPeXmuHV5/xvLegBRq9xCi9/qgW9wNGa8w8X9WpBT3DYPVr1dIwO9Ab3zP72 ++vHJOtAj9LNwKI1x1YFeYZ62QprtpwM9w4P+63omhetA7zDI7mK3WawO9BBH +PAsXTkzRgV5id3vhX9yydaCnONwjK6KO6UBv8dRQ5U/5Z3Sgx9hS0Dy2+4oO +9BpXmK/ZFtysAz1HZVJp4o1OHeg9flkSGhti0IEeZGx92rXno/SgFzlDGNYV +2epBT7KuqjglwVUPepN/7JpyZbG/HvQob/anBPkq9KBXqbj0Xn7wOj3oWQbm +JLsnputB7/JC49rCsgN60MOsSVds7S/Sg15mzg7LDzZU6UFPc6Wv51mpQQ96 +m34vI0Nz2/WgxxnWeOJuiKgHvU4PTc9jh2E96HmmhS3xmWRtAL1Pd4eEfCcX +A+iB7nAfdTnC1wB6od66jenFyw2gJzrnpvHU+PUG0Bs1u6N8tyDDAHqkqzx+ +bl+cYwC9UtfZR+tsThlAz9Tg2fnY9JIB9E7Dq00CHO4aQA81KrGkf3WXAfRS +F0/+JqC2zwB6qutbxzkqx8qgt9qVGOq6Z6IMeqz2esf1W6fKoNf65bKy/Wmz +ZNBzzQuzi8qbI4Pea2BQR2FjgAx6sK7nnd+3CpVBL7bPsGhge6QMerIDiu2S +HCuD3qyrYq1ZbpIMerSFz0PigzJk0Ku1GrzpODlbBj3bHZ8F7jI7IoPe7fI3 +i3+0L5JBD9fh0dp1ijMy6OVeqLjTVlYjg56uSUXojel1MujtBkgxj+qbZdDj +Tb0xaHvovgx6vddq5cxdPTLo+boH3w4qNsqg9ztuWW6XNCKDHvC17UUDyZZG +0Aueuik7a8ZUI+gJ29xJLrFwM4LesP/WAMO8BUbQI+4PeBFXFGwEveKYu23R +S6KMoGfsN+ZF4/x4I+gdu3ikee3YbgQ95M/jIjQTso2gl/ysa9Dldb4R9JSP +pUjTI/5lBL1lfbNqs/X3RtBjlleORliTEfSaT5b+0WHewf6D2Dl0YXycwN4D +aCiPnBQ7xL79cPqx+Oy0t3pBL3rinv+euOrcC3rSyUc+/HiNby/oTV/d4qP0 +jugFPWq/+MYtOZt6Qa86rP7vAU3Z/E/FV78c3ZxZwvtE2Fm13K+q434dRqzz +FnzWw3kFTlePbj1j24f/AcRisJg= + "]]}}]}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJw923VYFF0bBnATO19QxFZsQRHsuG3FALExUbEDFRG7C1RCUWxapFYUA0Uc +CenubliWVHdnxsRvwfN8/OO1FzC7M3PO/btxnx241Wz59hbNmjXLadmsWeO/ +v72+pizdugxz0tqPsPki5/49NkHc6Yjgrb0V3M8zez4cub0LNnMMNztNVbDv +H8STm5dXmKxWcCdVJQuKTxyB7eKjy5z3088fw6CxYWO3XVBwx2VDddr6nkLP +i2MN59yh3z8Hc9P20zyeKriphYY3HQ9cwKHAoUkJb+h4l/Buh335t88K7r1+ +xxajrl4BtuoWTkyj41/DOmlX26BiBTf5VdTRj07W0Kt47nOnjp7vBkQj/wPZ +PxVcYL/L1UaBNlhZVf38rgrPnt8OdpeDfXO68dyj8AH+h3fZI/ZBjaN/H569 +nltYE/at5aBhPJf7o+kJcd3897iJOjx7fQ5ou3XUSnEKz/Uek6+pcv4Ofot6 +Abvm8uz13kW0dUW+3VKeW2fadER8lXUJPbKaZ6//Hri1Lp/VN/Pcg/tNX5g5 +0SDn3E6enc8D1LhLbvqb8Vx2grii1OMhHFY86uFjybPze4SkGGMT87M816vV +6s5aLx9j37pv/3W8yrPzfQKrw8OdLW14bu2/A8LYc/zMwDt0/s4Y7G7fPOkR +zy2ZaDXLpNwZbW9VRX1yo+vhglrNQ6tvePNcjVHjEV3QpoWDvt4Luj6ueNRj +lub7tzx3fZ/0l9dXV8Tu5Jb2/0jXyw2XPceqbQ/nuVFXeyhPwQ25MyMDbsTQ +9XPHaPMgA8cknot1mfdG/scdlhvb/ricQdfTA25Hvr8yzuO5xqul3uopMgKv +WvxXQtf3KdDepf8rKc+1z3Q7OL29J0x1p16fVkvX2xNb7xpf8vvGc96Np9v1 +Gf7kyWPbfKfr/wxeDpHqS/7w3KLGw/XwQrepPdRPthDY/fBCYJXRgTttBK7p +cvfxxhub928fdhTY/fFG8fzaazbdBK7x6iUN8kHowZ1mB3oI7H75QPtRw9ep +vQVuxAbbUH64L6ZfN7AX+wvs/vli59R+US6aAhetPJrGGD+syfrQYeoIgd1P +P2zavCYuTEvgdtnXnsJ4Cda6L7CYNk5g91eC7as27veYIHDKF6c85ecItxrY +8+8Ugd3v5zAzVkvWh8CtVC4e5S1FQG01f3mOwO6/P16ZfcoLWCBw7sqrl+nm +j51jFmanLhbYevCHx8rm0yoMBU7xJ+2YbOgLVGzbtLx6hcDWxwtoZNftKF0j +cHObFsALRKpeDklYL7D18gLFycaefpsFTnnzGk8IOau01p/bJrD18xLhV3f0 +XbBT4P69vJc433Ps6BZ7BbaeXmJEzu2wFwcETrfpKwBhpx36rj4ssPUVgGkB +8w7XWwjcxU8xyhUUgAHVJeLp4wJbbwFQ58pKmp8WuFTl3VdeE9T1V9tx8pzA +1t8raG5yfVl1UeAGNx3wFTQG6nw3vCqw9fgKJptrTX2sBc686Qa8htbuB0P+ +3BTY+nyNaFXX7fPsBS6MH668w6+xsvdgw0sOAluvb7AxoKH9e0eB6/7vBcLf +ovxTxQOBrd83iHLc5tj+icA1vbqoN7C6UhMwxEVg6/ktelWXT5nkLnAvH9d7 +Rix+i2aDXxrO9hTY+n6LNnrvVed6C1yLphN+izdzLH2m+wlsvQci7f5JvTH+ +Arf834KBn97JRPUAga3/QFjzKx7+fC1wro2nmxaIeXvV3qQFCmw/vMO5mW2n +ewYJ3LfGw619h5fLXy85/JH2xzukHq1pNiFE4GY3Lv/cd5j1JebItzDaL+/x +93FIqGeEwDUt303vYVzyoNXqaNo/7/Fg4bkVf2IFrvhE4w15j6U1fyMfJ9B+ +CoKhR7cTE5MFTqdpgQch7lfv8zGptL+CUFfxXb46Q+DO35mjXDJBUDf+WZmX +RfvtA1Qf1VlsyBW45EFNNxgBZzX90/Np/32A2kYz34VFAjewMf1rPyDwy8iz +r0toPwZjeSdM61MucIcat8fBYGwcMVg8JaX9GQy16OLQTJnAhTTeXXkw7kX0 +fjm6hvbrR8Qc75B5sk7guv7bkNgTcnXO5y+0fz8is06lXTu5wDVdvh8fkXTH +ZdICnvYzh2Oz1ErPigLX9PJOcUjrEqke8IP2N4civQhZ0S+Ba9b09QlbdiRs +b9dA+/0TNussejS6mcg9i1is3NGfsHrKqkeLWohs/39C690fj2xrJXLLlLtR +uUbh36y9nqWKyPLgEz56mFdebity3xv1Cf+ErUZfnGzbiywfPkFbb+wuh44i +56zRuCFCMOqas4FDZ5HlRQgmr9hvYttV5BY23eAQLNS46H25u8jyIwQDrthN +tlQVuS+ejS8wBHo/22iY9hBZnoRgSL+Ba5eoi9y9xlcXE4Ld63L+jtEQWb6E +wGfhzz5d+ohc4+LS7xiKZerdgmR9RZY3oXCXHi/n+otcY5qkLA1Fl+4bPO0H +iix/QpFu7tth82CRa1wtyiUDHzftvsOHiCyPQuE86GhFzVCRazrdJOXjC9JD +fsNFlk+h+HnxXfTukSLXdLjuYZg0UhAHjhZZXoXBMeqkSoaWyDXF0YownFJp +aLg8RmT5FYb+eSrVOjoi968+hCH4qpiaPU5keRaG+yfbhZ3WE7mmOMgMg8o8 +w5C+E0SWb2H4tO915ruJIne2KXDCEbasZZflk0WWd+EQxVyziikiN6xpA4Uj +tPBjC8tpIsu/cKSEtU1qOUPkEpvqRTgMTBSFytbE8jAcN7UfTuk+S+QsG7dD +fjge9xGkt2eLLB/DIUFBfbe5Ite/cTn3+wyn853W3Zgnsrz8jGT9/totF4hc +ZOPyM/mMDQPtzY8uFFl+fkbZ8jCdCn2RM2sKyM/of+WH2fLFIsvTz5i6Zv34 +oCUi17NpwysfVymuDTAQWb5G4NSJt4cuGIpc08vTjMDRiuNC0TKR5W0EUif+ +6DttucjtaPqKQJfh2XW3V4gsfyPg6uJuVrlS5BrLkzJhUTY94enk1SLL4wi8 +4/wfXF0jco3peEwWgaHXbY1S1oosnyNR3ssxudc6kdvUdMBItN+fP2DTepHl +dSRyTm6d57RB5FSaAioShU5d5+VvFFl+R2Jdw4ch6ptFrvFuqEoioV4/rNbQ +RGR5HolEkz/Ol7aIXFO7q49Em6np899sFVm+R8ErWLesbJvINTRuN50o6BdY +nem6XWR5HwUtt/G9J+8QuaeNy/lwFCacusBt2imy/I+C732vg+d3iZzBvxNG +w8wUXZfdIvMgCjf6d+/8cY/ICY23g4/CpYf7W2XtFZkP0SgblNW7fp/IPWk8 +3YnRcDqmZ9zygMi8iEa3ZqtCVM1Ebn7TBYyGr8rvtYMPisyPaLTsmKc55pDI +1TXxEA3ttvdGTDosMk+i4eEftneGucjdbYz3X9G4FlRaP+uIyHyJwb6BB97P +thC5pnieHoPXG2MSZx4VmTcxuOJnpDfNUuSa4vVMDAyWGtboHROZPzFo+brZ +75HHRe5f/MVAJfXOzn4nROZRDOLn6eh2OSlyTfHSIhaLHCq3/lE+/udTLHpv +D/9ZeUrkmrbvnFg4vPrUkHxaZF7FwqVd/OHAMyLXtD0ux+KaV9y6R2dF5lcs +nsTcfX/6nMj9W36xKPws3NlwXmSexcJ2ge+XSRdELr3x9raNQ+yZvQndL4rM +tzgMX5I/rkr5+HRTAMZhrZnTQO6SyLyLgzRg7hP7yyI3pGkBxqFN31W+W66I +zL84nO1qYDjmqsjFxzV94eM5V+ufysf/PIxD1x5BW8KuiZxFU6DGo8UaIdPK +SmQ+xqN50rMvS61Frm/Tgo7HoCl9n3e5LjIv46Hj4tAjUfn4c9OfV/FouDZ/ +5I0bIvMzHvXdttTOvyly+5sCOh5Zfdpt+6t8/M/TeIw6sM7mtY3INcWVagIO +Ju08vNtWZL4moOeEqd1724lcUxysToB3TvrBGOXjf94mYPm2sfaW9iL378+v +BEQ1TDk66JbI/E3A00v5g2KVjzv+23DQdBDvH7otMo8TMO2lSYGag8g1LReN +ROjvrPz2Vvn4n8+J6LBhdc6aOyLXdDs2JELr6B5HXvn4n9eJmK7IG21/V+T+ +/XmWiCFWmx6PdBSZ34kYYHmvOkT5uOlwhYnQ8x6tseaeyDxPgnbK61FV92i/ +J2H4qchBJ++LzPck3BtV17z9A9r/Sag5/Dz27gPyPglvOlhfGPiQ8iAJe+r4 +4d4Pyf8kSHabhox9RPmQhNmTZxq8fkR9IAkXHtUmT3xMeZGEs/tiDAIfUz9I +QpCOduSEJ5QfSTg9ec/0gCfUF5IQ7x0doOVEeZKEzg/tRz91ov6QhJmDmnv3 +caZ8SULFASNte2fqE8l4kP06qKUL5U0y9L+vX3nEhfpFMtRe7P1Z4kL5k4yv +S9r4G7pS30hGhdViy/eulEfJaOtnuHywG/WPZAxwGDLH2o3yKRmdIrMX17tR +H0lGxjXzA8vdKa+ScaJS4RvgTv0kGQeT17X7z4PyKxk1vR9fPuhBfUX5+48k +g+M8KM+ScXn86fIhT6m/JGNC6de4008p35KBhC+5qU+pz6Sgs7Gx6nBPyrsU +ZGurHDvhSf0mBZrL49vGelL+paBh5LmoXs+o76Sg7Z3qwB3PKA9TML82M/fF +M+o/KQjo30731zPKxxQ4v5rxabYX9aEUFOXrXr7mRXmZgjcXnS7GeVE/SsET +I73gzt6UnylIDnXWNfSmvpQCD23bypvelKcpeJvmkR/jTf0pBeWVV1RVfChf +U6HmlGQDH+pTqUif0NfA0ofyNhURKi1X+vlQv0pF6VVV12Ifyt9U2JoUTVb1 +pb6ViqtmX/vM86U8TsXjjIQlR3ypf6XCqPXvGBdfyudUzAr7fi/el/pYKnZN +mRUo+lJep2J2c4ORA/yon6UiXNPhy3w/yu9UDBt3ueM+P+pryuebaXvW1o/y +PBXJP/ssfuFH/S0Vhs/uHEz2o3xPQ+iEjV/r/ajPpaHbnLSEjhLK+zRsHLS2 +/XAJ9bs0pFfN9pglofxPw9FT1c7GEup7aXhg5dFgJiEP0nDMKYS7JKH+l4bj +2jfLHSXkQxoO3lp+yEtCfTAN7zWMTd9JyIs0TOlezkVKqB+mYWLkxMtpEvIj +DZq3bV8XSqgvpmFeybDlMgl5kobJUydv+Cqh/pgGs/k/0kQJ+ZKOo+kPgn9L +qE+mK/ve0p7NnpM36Rj+EaXNn1O/TIdW9INBLZ6TP+nwi7qS0fjz//pmOpZH +DG/WICGP0hHbz835h4T6ZzoOXlN7J5eQT+lI7vV4UY2E+qjy+ZatXF0qIa/S +Eay2Ij9LQv00HSOjJEVxEvIrHfYzTmzhJNRX0/Em8bOJv4Q8S0ebtU6FThLq +rxmwQ/fCmxLyLQOpZ4eZnJBQn83Afa/ybdsl5F0GnKqWVRtIqN9mYOp/e8UJ +EvIvA5UPda36SqjvZiDU29OlhYQ8zIBMPXRWhR/13wzkWZ7cE+VHPmbgTY+Y +Pl5+1IczsLmNq/E1P/IyA5LB/MAdftSPM3C4e8DR2X7kZwYOLIle29eP+nIG +5g8cHC34kqcZWPvBKyrBl/pzJi6NmLvWw5d8zYTUOPPYCV/q05m4MHz+KANf +8jYTGZsOHRzgS/06E3vfTdT/6kP+ZsKwxuLNJx/q25k46anyzsaHPM6E3sNQ +o/U+1L8zYXzq3PmhPuRzJlzaqul/8aY+nonY9iu8Ar3J60yEb+rgdtab+nkm +3vMdJ87zJr8zMTRHc0c7b+rrmQjV7afTmJf/PM/CycWh9256kedZMLDNerzU +izzPQt+7Q2d19CLPs3Bw8MGL0c/I8yxMGmW5/fIz8jwLh9J/SfGMPM9C4vkP +HX54kudZ0I+1SPb3JM+z4HW9ZPJOT/I8C20Kg+b18STPszBl2Ds+8Sl5noVZ +XewWXXhKnmfByUYxV/cpeZ4Fz5p75SUe5HkWIsK0tOw9yPMsBLfa2meGB3me +jfgfJQEyd/I8G6X6GxS33cnzbPj+siyY5k6eZ0NyrOBwmRt5no15dhNeNnr9 +z/Ns+JwY8njM/z3PRnGugV6qK3meDaeIlccsXMnzbIzhMnf3cCXPs7Hg49F2 +b1zI82xk6CauWelCnmcjtN3pVV+dyXPl8z3VaHnTmTzPxt0vM7cMcybPs+Fo +ePXgJyfyPBsW/hd11jqR5zmYejXGve4JeZ6DmOS6mItPyPMcbNW44N7zCXme +g14nVMd5PybPc7D6od6RqY/J8xzgg9ne2EfkeQ7UXxipr3tEnufAq8L0pPQh +eZ6DG6bj7pg/JM9zcC5x1o6GB+R5DiR7e9VffUCe56Cs34yxXR+Q5zlIOqw7 +0vE+eZ4DHceT+X3uk+c5+LHNcZHLPfI8F0fWqxzWvEee52Kz7/yVTx3J81z8 +1E3/OtSRPM+F+jmtxU/vkue56JccbaJ5lzzPhUqfwboud8jzXKzs+CK0zx3y +PBetVaq6ODqQ57k4PWxO764O5HkudiweW371NnmeC9v2mgcabpHnuQgNtn1j +fos8z4Xj2dKPUnvyPBcTtG2urrMnz3Ohuf9P1zg78lx5Pk8fr59mR57noV+X +nJ0+tuR5Hizc+kzoZUue56GzdkLEZRvyPA9R81drfL1JnuehfmVvnfU3yfM8 +5Npd6BB+gzzPw+nWX7xG3SDP8yC3j+h46zp5noeu1Tv1RGvyPA95j/QGrrcm +z/Og2vFGarAVeZ4Hd8u4xf2tyPM89Pi53PrsNfI8D82vn7Qt+P/fh3k40sxl +/bSr5HkeVnTtW3/vCnmej3dGCxcpLpPn+TCacWK/wWXyPB+euu2MPS+R5/mw +OLKo09+L5Hk+vo64Zb3qInmejy7bdZO9L5Dn+Qjuf6644Tx5no/BM6KDjM6T +5/lIt91k4naOPM+HSrJNovwseZ4Pfx/PdnPOkuf5CAnkO9ufIc/zMel8eGH+ +afI8H/PPbjo14jR5no9d/TuVm58izwtgGaKuEXySPC/Ax3dRvVufJM8L0Gz5 +tqrFJ8jzAlyK0bpif5w8L8B/6/fVpx8jzwvwS3fr0F7HyPMCtDhrNGq9JXle +AFOTkw0Pj5LnBQgS+zvnWpDnBZCbnOmqYUGeF2DM6zTDNUfI8wL4djDZdNuc +PC+A+4kN0xIOk+cFGKneuqrNYfK8AL9/3t458xB5XoCNU2e/tjxInheiVL4g +1c+MPC/E9Nl5ISUHyPNCtFg4/nyPA+R5IQ6MutZNfz95XojB6u3MT+wjzwtR +gWoP773keSFW5pp4Z+8hzwtxZ+TDc232kOeF6HA0ZrjebvK8EM269HPbvIs8 +L8TiZeH1VjvJ80J8fVLVOWAHeV6I+D3BzXK3k+eFGDhm1+fm28nzQow3V9s4 +zJQ8L0J1TF3k4m2034uwNLOPitn//3+uCOoLInvab6H9XwT9TNU/L0zI+yK4 +rBj1Jnkz5UERBjmOmfNlE/lfBIcb89w7baJ8KMJ3bbvsERupDxShubVO6dwN +lBdFuPp+UUjj/z/+6wdFEOp+mVuuo/wowtudpr9sjKkvFOG+pesaj7WUJ0UY +fyn12vs11B+KsERF1TZhNeVLEYZbn91TvIr6RBG+PB/bW76S8qYIzUbPcmm5 +kvpFEaZERv/uvoLypwirN5doDVxOfUN5PKmLnrYR5VERanb06zZlGfWPIlz6 +vS9sriHlUxE+5bosNjCgPlIEW4Mkr9VLKa+KMOd1+4KNS6ifFOHDla2V2xZT +fhXBeVll1K5F1FeKYP3W5+w+fcqzIoy2DOpotpD6SxHObR1y8OACyrcilG4T +vA7Opz5TjKmnp7w3m0d5V4zOUb+d98+lflMMJ4sFm/bMofwrxt+Ewd+2z6a+ +U4zB4x9sMJlFeViMmgEvHxvPpP5TjImDD782AuVjMYrLCt0WzqA+VIyYoc12 +z5hOeVmMjJCMVrrTqB8VQ/Z0h+XQqZSfxcgrePFJfQr1pWIcs35X1G4y5Wkx +tpSczvwxkfpTMdpNbHCvnED5WqzsO5P0M8ZTn1I+v82o8FA9yttiVD5LUZPo +Ur8qxs3aETPujaP8LYbDoNHTz+tQ31L+vGZq991jKY+LsaayJ2c4hvpXMXZb +fps1XpvyuRjD5cYPe2lRH1Oe3625sb9HUV4X4z93z+SCkdTPilFiZSnhRlB+ +K693sOcWp+HU15TXO3Zk9elhlOfFMDb+tmj9UOpvxZjct/7cxCGU7yXgSrve +7K5Jfa4Eo2/ON6sZRHlfguOdzgz7PJD6XQm+73V//WgA5X8JvoV69DLvT32v +BPrYv2JhP/KgBG0HlG/t05f6Xwl+vxYW1PcmH0owZ5t1ixAN6oMlyHhw9ZZ9 +L/KiBGpFaaKJOvXDEsyLWDNuTE/yQ/n9L4pZv9WoL5agdxe7oVGq5Iny50s7 +FN36j/pjCVZMWXRgQ3fyRfn9+lHJmt2oT5bgs8yubU0X8qYEdn3Xqr7sTP2y +BDlWmxVHO5E/JXg26dKzKR2pb5ZAZ4br2D/tyaMSjAt0uPGxHfXPEkyLH//h +TFvyqQTtfTdx09tQHy1BiLX0zq/W5FUJpj/1RGAr6qclWDrL4oN5S/KrBHVu +Qzpqt6C+WoJrGje1pc3IM+X14M8MdvorsP5aisNX4qtWNdD78aVYOHjRpQ5/ +BNZnS2E+8HM990tg3pXib0YnLfOf9P51KQzuf5s65IfA/CvFqA8z+2SINM9Q +iiK78PjLAr3fW4pZ2/WX6fEC67+leHPhvluxnN7/L8V0rTORN78JrA+XYpcr +FzTpq8C8LIWx+pBzJfX0frny9z8cUb1eJzA/S+EYYH5sXC3NT5TiYI8Kn6xq +en+5FOI3G8npKoH151KoLBp7bqCM5g2UP9/5+oBwqcD6dCnyB2+23V4hMG9L +cWvj3rjW5fT+fCnmnN6f6V4qMH9LkaozyH92Cc1rlEK1x7zVhUX0fnYpLjy3 +iz5RKLD+XQph38d2agU031CKFR5XekjyBNbHS6F717l+Xq7AvC7Fpa2hDnnZ +NA9QiuHdXFofzhKY36Wwvv5llkomzYeUQufRNv376fT+eRkW1fr0HpUmMM/L +MKLNicCgFJqnKEOcwen+i5MF5nkZlrcyXZadSO+3l2HPrNxFOxJo/qAMn0Sb +zt/iBOZ5GbbWj3h4KpbmUcrQ7/OqL61jBOZ5GbIq33eyiRKY52UoeNhMrhpJ +8xtlGF0f5/Tgs8A8L8PbkPD/+ofTPE8Z3D5eN3ANpXmHMpxcH26oGSIwz8uw +MaNLTw+O5l/KMFivs7vmR4F5XoYLMzYJrh8E5nkZ+l943GlAEM2LlMFjyS7Z +w3cC87wMsd7GVj0CaX6oDKt3dKi1fUPzFWXo1qqHatvXAvO8DOEhXRvOBNC8 +TRnUHey9FS8E5nkZzmhq9drtLzDPy6CSeFQ/T0LzKWUY59xsqoGfwDwvw8fl +62s/+tC8Uhm2L+1hou1N8xxluO3M3Xr0TGCel6F3q7JL7TxpvqcMuWmdJ1h4 +CMzzcmzoHuNR6CYwz8uxfcOT1IWuNA9TDusv3Tl/Z4F5Xg5fT7+dPZ1oPqoc +R+Xf4k49pvmRcsTlbq8reigwz8sx7vfV2DkPaJ6oHMPOZ2/zuCcwz8txakj2 +m9aOAvO8HA/HtAo3vUPzN+WIPpBhHXpbYJ6XY9WkmPb9b9E8VjliTNfOOWFH +8yrl6Lj0u26ajcA8L8eByP55o/8/v1SOD5pzpl66LjDPyzFDK31ZjpXAPC+H +V98FfcZco3mfcnRyb/v4whWBeV6OF3lXU9Iu0fxXOSoMdD4MuUjzMeVIlJkZ +W5wXmOfl6NfczyvsLM1LleOT/jLfrmcE5nk5LmZwJhtOCczzcjxXNYl4eoLm +i8phFXU7r/6YwDwvR267D64TLWneTHn/Zk5SP2NB8zjl6DNgx7Qwc4F5Xo40 +D5fObQ7TfFYF9P6bZ6N/UGCeV6Bv9bP31gcE5nkFEmoH347ZR/NMFWij1qFn +u70C87wCGnYv5s3fTfNtFajhdvW7sJPmfypQO+6c84ftAvO8An+c58Xy22ge +rAJzpa2eaG0VmOcV+N6yrbqpicA8r4B6d8dJ9zfR/FQFus/73hC3QWCeV2Bz +mdmev+tonq4C7RbMPDHWmOaNKjA00UvLZI3APK/A9PKqizaraP6sAk5ynApa +ITDPK+C7pVRNaiQwz5XHs+20rNsymteqAJegGDHFQGCeV2DZ1njXLUtofq8C +r49Ev7u6iOabKrBQrdcB34UC87wCLuOqPibOp3m3Chjf2eXzda7APK9AaE7w +uO5zBOZ5BS6adVupM4vmwyowzPpaZ0MIzPMK2A2Zv2XvdJoXrMDWX3sWX5lK +81QVWHpGLc5pMnkuxdy0LSVvJ5LnUngl7b6ZMJ48l2JF3dy4Ul3yXAob/bZP +RB3yXIrv9yOatx9LnkuxZLSdoKFNnkvRO+/wyZGjyXMptnc6YTtpJHkuRd+n +Ep15w8lzKR626bll2VDyXIrKNm/7rNMkz6VoWW27besg8lyK3+ud9XYPIM+l ++LO9zOZAP/Jciqn7Vx063Ic8lyJmWFXOEQ3yXIpld+/GWKiT51J4/14Mix7k +uRS7cr5PNFclz6UYX3otwKw7eS5Ff7HMf09X8lyKUA25tmln8lyKNWZ3R2/o +SJ5L8XTk82fL25PnUqTYaLguaEueS9G15GXPqSrkufL17zBsq9WKPJdizrLw +w31bkOdSXOBKVnVsRp5LUffqmP+PPzRfLMXw9kbny3/xzPNK7NulG5n4g2ee +V2I9ys4FijQPXAnXIE0/J55nnlfiQvDLRZflPPO8EmMTF67d/ZVnnleic+8n +aYvreeZ5JcK27Pg4upZnnldC64uRasdqnnleiaF3OqXLKnnmufL7jrP/fq7g +meeVyPW6a+9cxjPPKzGp1Mf6eAnNZ1eixH+AbFkRzzyvRJd4W5+hBTzzvBKb +de2SfubSPHUlrNVer47P5pnnlchOvDntSSbPPK/E8XyPc/vTaf5Zef5xlwZO +TeWZ58rfHyfp2yaZZ55XQtvJxTw5gebNK1FjlzPwQRzPPK+EbGfS0C0xPPO8 +EvrGwrmhUTzzvBITtgZpV33mmeeVeDHFWts3jGeeK7+/MPrMvhCeeV6JwXY5 +fUdxNI9eCd6rd/vKDzzzvBJzX5TPcnvPM88rcXvizbANgTQ/Xoni8Vtvqr7h +mecytG54/jgmgGeey5A8KvjbmRc07y3Drzux1jrPeea5DDEz1E1LfHnmuQxX +5uaesvfmmecyvExekDrjGc88l6F5pxvbqzx45rkMndol6zi48cxzGdICpkyY +5sIzz2X4+ll6sOQJzzyXwf7F18Irj3jmuQyj7PedH/GA5u9l+Ka2c0WMI888 +l6HBomrF7js881yGGet+nlO5TfPyMnSOc8hyseOZ5zI8GPRy7VQbnnkuQ039 +3L8p1+nzBjK8rp0cs8uKZ57LEC+zfPv7Cs88l8HkZWG4zSX6fIEM2c2XfOl3 +gWeey2Cxx36i31meeS6D3awz9yaf5pnnMjy0zukafoJnnssQXrzzyZJjPPNc +BoMdxTNSLXjmufL33X/VrzGnzxvI8Oys6fOcgzzzXIZEjbAT6w/wzHPl6wt9 +a5izlz4fUIUzabmj1uzmmedV2OsV0jFlB888r8LZk4r6RaY887wKYQbfU0K2 +8MzzKkzK0g+YsJlnnlch0HSTjdcGnnmuPD7ntkVjHc88r4KR+rmRVmt45nkV +FsiMKviVPPO8Cjp5ZrdMlvPM8yo8ej58dLQhzzyvgmXvMP8xS3nmeRWWvT7d +12ERfb6iCqPTbMyEBTzzvAq/zTXdV8/jmedV8FM3DXw1mz4PUYUY/z1eXWfy +zPMqfLRccmTPdJ55XoVnmao9QqfQ50uqELEo4nrPSTzzvAqV09em7BnPM8+r +cCDXrSJoHH2epApTsvZ9bj+WZ55XYfDwA3vXaPHM8yqodluX7jKSZ55XQeiS +81c2jGeeV0E/2EY6ZgjPPK/CthddrMwH8czzKqzbVV30qj99nqQK94Z4Vsv7 +8MzzKmhkfvQYq8Ezz6th9N29w96e9PmPagQcCe3lpsozz6shWB2Ny+rGM8+r +IfPqMaBjF555Xo2/6+u6Tu/IM8+rcaEfHu5txzPPq7FvwcwgRxWeeV6NqrJ+ ++0Na8szzalx5/Me3shnPPK9GazvF0U4NCuZ5NYrGdYsc80vBPK/Gcd3V9w2/ +K5jn1ahdGSTbxyuY59VwNtB6dfWbgnlejb3eNxVO9QrmufL5XF8+fV2jYJ5X +Q+3vjogomYJ5Xg1L+W7D7AoF87waE38dmC4tVTDPqxH6pu+Nb0UK5nk1EiK7 +TfyVr2CeV+OkX87k5rkK5nk1xjr/sm2VpWCeV2Pa/mq91ukK5nk1xi1X1WyZ +omCeV6N7VurahgQF87waEyQnEoRYBfO8Gu0uLzlXE6VgnlfjXuXZ3YWfFcxz +5fXQmHE5MVTBPK/G0jrXhA+cgnleg0VOH2Z6flAwz2sQLrmeYfNOwTyvQUJQ +i+vmbxTM8xqc3qhivCpAwTyvwYff+6bq+SuY5zXoKGkY3dVPwTyvwaoOFsNl +XgrmeQ3edzo5nHuqYJ7X4LrUd9gtNwXzvAZLChwHbHVWMM9rUKH5vtOYxwrm +eQ3avrkg+36fPu9Wg1BtIwl3V8E8r8EOL4vVF28rmOc1CL43Nm+OHX3+rQa3 +3F5Obn5TwTyvgeRcn91BVgrmeQ0WpJ4xPXyFPg9Xg+9tcoYMvahgntdg/rh+ +nhlnFczzGsRXjSy5eIo+H1eDftqJSVrHFczzGphMi96XZqFgnteAOx7x3PKw +gnlegxjDbbd7mimY58rr2WVUt1d7FczzGmSlOw5aukvBPK9B+v7uISWmCuZ5 +DS5P+lZ+ZIuCeV6DF91PW7fcpGCe18BoutTTZp2CeV6DYQtWTOyxRsE8r0Wa +mKzzYIWCeV6LE9O22mksUzDPayGqfV3suETBPK9F6jXjjV31FczzWhTZmAZf +nqdgntfCu320qThLwTyvxcsPo5Zsn6FgntfCakr/fYlTFMzzWoRIBwePn6hg +ntci+IvnxHu6CuZ5LVYsaJMmjFEwz2sxweW6tdFoBfO8FqrH9q14NlzBPK/F +3A6JQ39pKpjntSjcGvFbf6CCeV6LritXxDv0VTDPa7Hwiqldbi8F87wW/x3P +mN6vh4J5Xov21ubxG7ormOe12P1TPsGxs4J5Xgt3+Z9D8e0VzPNajLUecvSv +ioJ5XgvH4JopWi0VzPNavD8S9nb1XznzvBbVR9qUnfwlZ57XYtnyVm8fiXLm +eS1er5EPeyeXM89rcXfbL63kejnzvBYRb8d+KquWM89rERTokC6Xypnnyu/f +U9veUCpnntdhaPXN7a2K5MzzOhT1Co9vnSdnntfBN3C3Q4ssOfO8Dt0kGv4/ +U+XM8zp8GLmhZ12inHleB//tDz/lxcqZ53Uw6D7aNSJSzjyvw6KL81/6hMmZ +53Uw3dtaep2TM8/r0HHktgk7g+TM8zr8tJlwd/pbOfO8Dp1+a//uHCBnntdh +flTixlyJnHleh4che/xdveXM8zp8c+8lM30qZ57XYcmGN78HusqZ53XoG5Nc +mP1Yzjyvg17Bsys37suZ53V449azfPIdOfO8DjMqreqK7eTM8zocbCe7c+mG +nHmuvB6br8QPvCZnntdhtUvzq+8uypnndWhXNTxg8Vk587wOY2YmIuuEnHle +B6eCW/9tPipnntehbltxl6JDcuZ5HbTub+y6fr+ceV6HYYtXyBJ3yZnndYj4 +9Wb7DFM587wO+rlWc59uljPP61FzZM/4NuvlzPN69A5ukWayWs48r8f3xUUu +L43kzPN6aPfp2PBriZx5Xo+p6zb3mrZQzjyvR6+KlhWH5siZ5/Uw+8/p78MZ +cuZ5PS40a3ktcLKceV4P966Lv4bryZnn9Zht/vhZ8Bg587wec02WWT0eKWee +12P8Ai3phiFy5nk93nVIC63vL2ee1yNLfKsxW0POPK+HEGJqOEZVzjyvx8pc +zdu7O8mZ5/XYOC1PTa21nHlej1XNbW4O+vaN+x8vAbPd + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJw113dcjf0fBnAre8ZjbyGysgmXGdmU7BWSLTNkZJMVQg+ShpWWSFp3e+86 +53SaZ+/RRMLzO3V/fuefXr063ec7r/d1htgdWbunRbNmzYpbNmvW+PP3+6r8 +FXar4RXcItuvRw3Y33fgb9d7U7dJqvHrwv6oE48c0LtTBi8gppr+fhSXMu8f +cPKsxrkegYuFZ09gKXPNIsHl/+93wgy7uIO391bjjHKEeduPzgg/ONl30qr/ +//8l7L+7ZM2m6dWwqFh19+nhy+hyoezf8KH/f95VDEl88aZf52pEWHVsYXbj +OmLfre229VcVPf8mzvwKartdXoUZn1NPxby6jZfeYk47ThV93h1UrmuBpQlV +CB94Tb0m/B506bIDXT9V0ec/wKMjqoGrXlfhReLg4GMObhCV15s0PKii8TzE +nu2r1O1dqlBS3/SBMBl9bPwpxyoa32PMaFkbN8WuCv3Gl5m0dnHHhrR82wXW +VTTeJ/hhUbvdY2EVNu1ueiJmHrLQT5z6//E/g3Hqfs8OplX416Pphb57Xc4N +6vv/+fyLb44eP/d3rAI/+4e12O85Wlu5lqv+VtL8XmDUwuR5L6oq0aeVbeex +n17iW3Slk7OkkubriaOR9T1u8SqxgX0gLFQbipn0Spq/F5r5L44cGFOJ5dNu +zdsh9UKQf2q+T0glrcdrDCjevGOFXyU0axqf+BrL/8xP7ONRSevjjVPb5jq3 +uVsJ14PyhvdV3uhUHzX4H5dKWi8fPJNa2s07WQmzGz0NU/DByBWb27juq6T1 +88XPFY6qyq2VyHi9KKzmjy9q9LN+Oq6tpPX0w8jAoeM6La5E42r1bvUGc+dx +nsVZVNL6vsG9pb2t702oRHuez9HZ7d/irJXP1WPDK2m936KPbvDOQ30r8aFx +ul3fodxh8cgLXSpp/d9hZ3brwb6tKrG08XE932P+P08somv0tB/vYe3g+WNj +uR5Ny93/A5YFN7MYkKan/fkAvVlpidFnPRpXL3eoP0aOuR9j/EpP++WP9aNT +Cuff1mPUlvvxdaYf0SbVt7/7ST3t30dMiKp61XanHmmGp/UdH4BJWvvjL5br +aT8DcGpU87BV0/VwcNM6Y0ogRg3Y9WCIiZ72NxDHli6Y3K2rHobBGaYchNFq +ScmA3zra7yAsjfs3zVKhg43h8Bi2FDPq1i52LdTR/gdj3XSzN6pYHXwNq8fz +CcbtUx3n7A7Q0XkIRsjxFYd+eOhQ+6fQSTkiBEFxm5/5XNfR+QjB3Nv7Rzkc +12Fh0wEIwYrPIx4s3KGj8xKCqB9H5k1foYNh8xonBLFzz6/zZ+ro/HxCTMCL +I7tG6sAO7xMGyX9rPXro6Dx9wlbm2Ddpcx0mNb1CcdZz8VZLvZbOVyh893k7 +RJZqcSU23XCCQrG63abDC9O1dN5CkeGe3kr4VYsCw+4b1gSvfn1MeuCnpfP3 +Gb8llSusH2kxrOmBnyEsfDbb1EVL5/Ez/po9m9bliBbHmzbgC+b1DhW22aql +8/kFN2fukHRbpkVCnalhh7/gnsZfNWaGls5rGGZ0GOC9YaQWxuwAcWHM84jH +/2jp/Ibh9yhbmaClFk2jSw1DC3Ugf1a1hs7zV9huGrLsnUCDTy/1b5OXfcXR +k+NrhuZo6Hx/xZzdK+58jNagRdOEv+Lxrez8hR81dN7DEXq+4Kr6Xw3WsgcG +o6XT7bxuaej8h2N7rxtjdjlp4N043cJwSG6K3kzaq6H78A2ndb/du9lqUN34 +uA3fYN+5TezvhRq6H9/waqqPpnaSBvMbj3/JNzisLJLUD9XQfYnA4nNGxzsY +a9B0fLdFYMoart2o5hq6PxHwuj7NxbpSDeHZxg2JwJbhy1/cqlDTfYqE3HzX +lYxsNcybDngkquK1rfvEqOl+RWLtlZM/HQPUcHFfYDgykejn8Wg094Wa7lsU +HmvkRxfdUSNvaNMG40R6Vy/mnJruXxQiSr3vLjygxpDG9NdG4fvJIV0LN6np +PkajmdmPv4eWquHYeD2ORmPZ18MTjWeq6X5Gw8b045XYUWrENe5uTTSY5W8y +nfqo6b7GoNXuwYqZ7dToyl5IeHbwDWldr6L7GwON/TmjUoUKTctXH4M+F/1j +I4pUdJ8ZHCnSxXqnqtA0PGcGKTODJY/CVXS/GQR/MOpw750KzZpesVjk5t/d +7ZmK7nssrnZtVvHipgrvkpcZbnQs1l7+uyLESUX3PxYYbDE/20GF1YbbaDij +2Jhf/rJmg4ryIBa2w3wWDLFS4WejPomxeLAhu+f6GSrKh1hYfhzf8HiUCl59 +Gy9EHGLe9eMU91FRXsRB4e1wy7S9CkuaNjgOk60P/Dn/S0n5EYf9rjU9S1RK +VL5tHGAcVrW1ikKJkvIkDr+3mhb6ZyjxrHF06XEo/8MsGhSlpHyJw4p1AT89 +PirReLisOsYjv+Wvwn4vlZQ38Rhh2ifB964SjWmSvyIeHRMk/pMvKCl/4jF+ +/HOnjMNKNJ4Ww5GBRUCp8b7tSsqjeOxo+X53p9VKNE03Nx7u47duCJ+rpHyK +B98spGifuRJNjzNOQF7Cl9whQ5WUVwnofS7fTGCsRFMcWSfg45UEjm9LJeVX +AngJTyOO1CrA1ocEdFUHJs+VKijPErDI/ausF1eBpjjgJaC1c0CbumQF5VsC +hn7h9Sz6qsDFpsBJxPC/yc3j3iko7xKxtYdNWJCHAiObLlAiJL09h/reVlD+ +JWJFs3ZTPM8pkNNULxJxr9Os0pcHFZSHiYheP7iNz1YFTjdeh7JELNtU4Ruw +UkH5mIhd94W+0VBgUONxHpiEjj2yvudPUFBeJiFu3dXb2iEKpDQevx1JmJRm +srpTdwXlZxIq3htNn9hKgSNNAZmEyfFhE7fUySlPk9Bs1n8TXGVy9Gq68EkQ +OZUOY3hyytdknKy+9PtHqhxNwzNJhtFQ649TIuSUt8m4ckRj4uQvh33TKxku +I3tvYF7IKX+T0cYpf1qHe3I0lidDwqLu+OKwzRfllMfJ4PTPTwo+KkdjOjop +k1F+rGFDezs55XMKvt8+Y+dgLce2pgem4PaHcZz0hXLK6xS022fqaz5VjtZN +AZUCIdcz/sVIOeV3CmLf1wzr2EeOxt3oEZgCo8MhkRfbyynPUzAh+vb5Hw0y +NLU7fQrMzmzcelwro3xPxZKOA1fVlMvwt/G6maeiIOCv5elcGeV9Knr4W874 +L06GN43H+VgqvD7v6esaKqP8TwV/vL68r58MK9kJw+WOx7nAJzLyIBVzPGdL +F92U4XvjdtSl4mc9p6vwjIx8SIPa9kLNxQMyeDZOd1oa7GqOXR66VUZepKHy +d5fQ1JUyWDYtYBoG/M49e2yujPxIw44Fq3MGTZRB18RDGrbutHyTO0xGnqRh +8ZNbdVf/keFJY7w3pGH18k6hs9rIyJd09H0kLPzxU4qmeJ6djraeE9eEqaTk +TTocFi0Z6FQqRVO8XkiH7e1rE2dnS8mfdFgPcLhqFCsFG3/pOCi/0T4vREoe +peO7+5PIVz5SNl5aZCDKdPs9R3cp+ZSB+5eTzljekKLp+i7IwIzfSScGnpGS +VxkIqD9xqn6/FE3X41oGTrv8PcnbIiW/MrCO67c/fKUU7PHLgMQ4admLuVLy +LAOHUjK7XpkoBadxe9tm4oXHgpCDJlLyLRPzZEkmG3tKcb5phJlQ7DbataSt +lLzLxIas1F0zf0kwvOkAZoJ/QT1wvEZC/hn+f9TWOyPKJcjKbHoh7vQQ38G5 +EvIwE6ujV20bEC/ByaZAzUK2t3Fo/88S8jEL/ty3/w58I8GApgOdhQkx2zsN +eyYhL7OwwPRly9G3JUhq+npleH9zzslJzhLyMwtJ7e9twWEJDjUFdBbe3dsR +vGKHhDzNwtt+3/dtWytBU1z1yMaY2e0uOy6UkK/ZUE3dU3V9qgRNcWCbjTVx +0mBPUwl5mw2rlFPfwvtKwH79ysaI4T1acTpKyN9sZDqk3ar5K0ZH9sKh9aOQ +BT2qxORxNn7zv4+eJhaj6bj0zUHKwfzpWzhi8jkHQ6bfsb+SIkbTdmzJgcnH +swEfv4nJ6xz0uNKiTZG/GOzXsxxMuPXkoJGnmPzOwaU9X3mTH4jR9LiKHLzc +VTPP/rKYPM/FsTkyX48TYrrvuRCqp//KtheT77nYtu+1ReuNYrr/udhzS2SH +ZWLyPhcFcRn7zs4WUx7kok/D9KVfx4vJ/1ws2mH8t26ImPIh15AHyy9O7SGm +PmD4/LuyFKfWYsqLXEy10eZF/RRRP8hFK9Xppy3UIsqPXNh3f2+8tExEfSEX +s1IDZz/KEVGe5GJou3jj8jgR9YdcXP4y4s7ozyLKl1zssDPzdXojoj6RhzTz +UetSn4kob/JQ/eGKRx9XEfWLPNQ8fHfg4HkR5U8exiZ3SY49IqK+kQdLYwuf +nnYiyqM8pHYN+3PIRkT9Iw/LW/TMTbYUUT4Z3v+hvseQGSLqI3nYZNYuxdlM +RHmVhwWSOgF/gIj6SR4Wrji7dXpXEeVXHoZzN0571kJEfSUPAYk79tXXCinP +DL93363bLBdSf8nDhbXz4hi+kPItD62vlZeaZAqpz+RjUqvRs1xjhJR3+ajb +1re4OlhI/SYffhaBIZt9hJR/+dDM0EQnuQup7+TDmCOqn3BTSHmYjzjLdzte +nhVS/8nH5P2btO0PCSkf81H4auDzM9uF1IfyMStkyB7lGiHlZT68vzy13LRQ +SP0oH+++R87MnCqk/MyHGyd3FkYJqS/lgxM8aEloPyHlaT66pf9cb9pZSP0p +H9vHhO71bCakfC3A74cfj/1TI6A+VQDr1VYn7koFlLcF2DSldm/rIgH1qwJY +nhlodSldQPlbgH9fo3tDlID6VgGc93PiTgUJKI8LsD9o1+qa1wLqXwWG83wi +4uhjAeVzAWzGPW3QXRdQHyvACd3OLofPCCivC2Df/miV9oCA+lkBlr21e3l4 +m4DyuwCdrgo6V64WUF8rwGCJ+7xjCwSU5wW4dnayed0UAfW3AvRad5zvZCqg +fC9Ez9TOU//0FVCfK0T9xtT5lzsJKO8LcTHIvqFNMwH1u0L81O//2l9aQflf +CH5oe2Z0egX1vUKcZdYbzQyqIA8KEZDW+vLSxxXU/woRacQds+VMBflQiL1/ +7/w5vK2C+mAhrB1/qy8vqCAvCiEc/Vf/1LSC+mEhzohONA/sVEF+FGJur+W9 +k6rLqS8WYrL29JgyXjl5Uoiw9nVTvkeVU38sxLFTqWO6epeTLxwEnPyvrdmN +cuqTHPzZHxRvebCcvOHA+kvdml1ryqlfcnDk/o/AS1PLyR8O3uuFeZ79yqlv +cjDQQfkpulk5ecSBaZulS8ukZdQ/OZg9ffGDP+ll5BMHvf3Mzg8MLqM+ygF6 +WbWb615GXnFQuPHnGLuzZdRPOWD6eQiubi8jvzgYkO/W/93CMuqrHHR6voqf +MaqMPOPg22DLLpWdy6i/cvHVWR/Wo7aUfOPiY7/M1Bn8UuqzXMx8bTd7e0wp +eceFRSY6XfMppX7LxeTN3yf43ywl/7joXzXwdd6hUuq7XGz/td7259pS8pCL +AQ8XWQ2aXkr9l4vwvW7HLAeUko9cuPuq0g+1KKU+zMWgfKNl7vIS8pKLjrEv +1FGZJdSPuSjaf9hPElJCfnJxVjTHsePTEurLXGw25y2e7FxCnnJxZoHR0C07 +S6g/85CX9fznFcsS8pUH3ZRjif5mJdSneYg+s/tCQdcS8paH272sBzXUFVO/ +5mHa96FeQ0uKyV8efFdE11vFFlPf5mHh/S7DHf2KyWMeZCs79Ht2u5j6Nw+f +zN4UxhwpJp95WPyNv1RqU0x9nIce+3xOdZhZTF7zUHS81RrzQcXUz3lYntC8 +wLZVMfnNw48Sn7pzSj71dR6mpHwP8crmk+dF2PCnXfOkUD55XoT/VlWWKJ7x +yfMi3C1kLDpe4JPnRUjMduszfhefPC/C/eeXD69ZwifPiyB7HjLp+Fg+eV6E +ikHz7B4b88nzIhSvsa35/KOIPC8Cb0gfQWFpEXlu+P8Jn4fUxhWR50WIi7IJ +MX5bRJ4Xwdl19M0Jd4rI8yJs4e19tcKxiDwvQtrMeZX7bIvI8yJsfaE9fs2i +iDwvQo9+b4Z5GebFes7Hk19+P78ZFZHnfLy8P1qTr+KR53w4jjn+Q5XDI8/5 +uNsprnuLLzzynI9llutn9v6XR57zERZwdvfYizzynI8jGY6u83bzyHM+AhOv +vrOx4pHnfFhb/flsP45HnvPR3WXEh9PdeeQ5HxE/l7vc+Mklz/lwuR8z6UkZ +lzznw2l3eoRPPJc858MoMbZLsOGesJ7zceqfGrPIO1zynI/3qaFtkxy55Hkx +IhfYvsoy3FPW82IU5Y5TFlpwyfNiGN95WFE8mEueF2PJGt65CiMueV6MwR+s +v4pUHPLc8P6oba6SHA55XowLU1dXST5zyPNiZM29JBJ7cMjzYnxwWLxBeIFD +nhdj9oO/y8p2ccjzYpws/RvGW8Ihz4th7/P6Ye5YDnlejLeb5halGHPI82Iw +1ya7RP8oJM+L4eXLux5SWkiel2D40Csin7hC8rwEr+Y/uPv4TSF5XgJ74w0u +VwzusJ6X4LTNpK9HjxaS5yXo7njWdMu6QvK8BAd2X8teNLOQPC/B6gB/77GD +CsnzEigsFnp2b1VInpdg/w6/iB+KAvK8BMJvJlX8rALyvARak14WEZ8KyPMS +PPiQ6/bsaQF5XoLvh311J5wLyPMSLPWSLF21s4A8L8HlPaJXIy0LyPMSTM/S +yv6MLiDPS3E+al2f/C4F5HkpAsr2TvCtzSfPS7H0/tHhJ/j55HkpcvMjqubF +5JPnpbhj8eBeJ5988rwUR8qm13Fv5JPnpZBbNAz0PJhPnpfi/fT+RrvW5JPn +pbjZosJr+NR88rwUM1tdU0v75pPnpRhRsKLc57888rwUIweePrZdkkeel2LZ +i1mve6flkeeluNfA25UTkEeel+JxzqXQKw/zyPNSrLt08NaU03nkeRmSp2eX +SzbnkedluMjhfnSbm0eel8G24LPGYngeeV4G3ezHT8Xt8sjzMiz29HxzU5dL +npdhjvKXsVlBLnlehjaHszjpX3PJ8zL8mzhNsfdFLnlucDhqw4IWLrnkeRmG +Wy0XeOzJJc/LYHHCLHzc0lzyvAySxR0TY8flkudl+BHT/M+q7rnkeRnO7JkW +Hq7PIc/LMPhh1ueM8BzyvByFiUXCIpcc8rwccV32mAuW5pDn5Zjw6bS7sHsO +eV6OlbWdm5WVZpPnht7SYuiufL9s8rwca9O9guMOZ5Pn5WhbcLz4w7Rs8rwc +7/o5599tlk2elyOg9uHt/WlZ5Hk5Fpbcqp37MIs8L0dfm9Etum3OIs/L8V/S +FL/iYVnkeTkO2uzJeanJJM/Lkf9t37FNXzLJc8P4hqgvdr2QSZ6Xo3XLW0rG +MpM8r8C8er6XQ5dM8rwCCZ4HnrYvyiDPK6DcEx/j55VBnldg/7GNbWfuyyDP +K7DrmPeeFPMM8rwCC08bpa74lU6eV+BktmBwVnw6eV6BIv7NnYtc08nzCrRW +LD4XZp1Onhue13Hb9sH908nzCui/N/vvsiSNPK+AMGPzsvKPaeR5Bdo33Jsy +8WQaeV6B+p7BgRdmp5HnFZD4p71NMEojzwVY07OkW/PsVLrvAjhvLCqb+iSV +fDd8b6l7/3v3tlS6/wLsjB6z3XVEKnkvwJaP5t/f61IoDwR4GXn+U2xYCvkv +wOivaVdzLqRQPggQbRy/lWuZQn1AgNUxrcdxOqdQXghg82u0LIObTP1AgA9m +X05FeCZTfggwP2hgrpd9MvUFAc4FFIgujEumPBFgkHbiK5vvSdQfBDB5c7du +SEwS5YsArW1GcmXXkqhPCFB+Y4q5z4okyhsBekZUfLf9J4n6hQCZ/dCrRVki +5Y8Agr3bLvv6JlLfMMw3dIbpnIOJlEcCGCPmZ/akROofArRalC62bUigfDKs +Z/oYPic+gfqIABaH/VKX3U6gvBKgyzTJ6/A1CdRPBEi0u2XTv08C5ZcA2knD +c08J4qmvCPCMmdYq9W085ZkAVyMmCLociaf+IkDtoiPWK6fGU74Znh81b8WV +P3HUZ4QoOSeNCkyMo7wT4tvn6265rnHUb4S4aD43QrE2jvJPiO7D+o340SeO ++o4Qz1SdExsEsZSHQvQWN7vy/W0s9R8hkkYnrpEfjqV8FCLoQJ9B2VNiqQ8J +0WHJ1tB19QzlpRCBJbMFv64z1I+E8Lgjee3ag6H8FCLj3UxOG+8Y6ktCrN/W +Yv2R8TGUp0JEWnJbxkdFU38SwrTKNPw/q2jKVyHeHVi42pQXRX1KiIkZVu8s +dkdR3grx5NOEFzOqIqlfCYGi5DZDL0RS/grBux2UXNs+kvqWEB8n7/oc8jSC +8lgIXdm4jxtMIqh/CeEfMOmWMvgb5bMQD/bZj9g9+xv1MSH21d7blpoWTnkt +hE3G4o49bcOpnwnxweVPuxWir5TfhvFZ6MwPHf5Kfc3w/pGjtp36FUZ5bljP +ursODtfDqL8Z/r4ic/QC4zDKdxEsLXddaO35hfqcCMN5XrM+jfpCeS/Cw6Wv +51h++Uz9ToRFQSu3xs/9TPkvgtXiHY4jMkOp74kQXLfR+tj6UPJAhKgPriV+ +ok/U/0Q4m91VFHvoE/kgQsz7nDkJP0OoD4pwNcsp7eOVEPJChBCn6xvPdw6h +fijCwN8WyZM9gskPEer7+8nyhwVTXxSBoxo9LGhLEHkiQvWbVRbXHAOpP4qw +Zsy5+JHXA8gXEdy6/d795N+P1CdFEDn5Z+cG+pM3Ikxn1B+48R+oX4qQOPKt +71vue/JHhBtXVtovUL2jvilCWw7P4/2ft+SRCB0roxT8rm+pfxrW659fDQXD +3pBPIjS73dH56VQ/6qMiHDGr6mpi5UteidDh71C7M5t9qJ+KsD/co+fTQ97k +lwglVqmFzhdfU18V4cqW5/NHu3mRZ4bPf6NvP2fRK+qvYphdOXb1y96X5JsY +cYk7Zkbcek59Vozb7RecWObvQd6JcbLumpND5lPqt2JE1Jy601XrTv6J0abl +vNr5nR5T3xXDy0FZ/XfMQ/JQDMvty9MXLH9A/VeM0ycnnRrCvUM+inG2uU+7 +dONb1IfFcNZdyri48hp5KcbVVfVT5t1yoX4sxo1tN1z6XD9HfoqxqHBafNyP +49SXxfBOUo+ozHYgT8Uw/3bOVmpjTf1ZjDLeU4HmxyKG9VWMv6sm8LZ/2M2w +fVqMmedHp+7QOjKst4b1KLexf3TjDMP2azEi9zS/JHW7xLD+irGl15L9Rjuv +MmzfFqM6+GAPM/ObDOuxGM1D/YZtaHGHYfu34fdRv3Cv8D7D+ixG/R+T2/IC +N4bt42LsmmA2snPMI4b1WgxX8z05ke/cGbafG9Yz+fWWqkdPGdZvMbJtxn4I +uujBsH1djMp13Mc/DjxnWM8l2MOp+pW24SXDei7ByeY7okZavmJYzyUw3bOk +rO2/XgzruQQvliw8uNDtNcN6LoHu+LE/yTe9GdZzCToHV7Z0u+TDsJ5LYDk1 +sMbbyZdhPZegxvTwvD9H/RjWcwkUPdLtvPa9YVjPJfj33OTsO3ZvGdZzCabb +N/yK3/yOYT2XoKL5sp0L1r1nWM8Nn7dowtnOqz4wrOcSnPd8zphY+TOs5xLc +Ctj18fqCjwzruQQ7Wy2JmjwngGE9l6BTbc2B8TMCGdZzCZ7YNZ9xenIQw3ou +wWfOn9WtewczrOcSdF1k3v2nezDDei7Bnxu2w7r1CGFYzyUwWjsifs3DEIb1 +XIKpbYomRHT9xLCeS7Bx8bCQpfc/MaznEmRemHetZedQhvXc8P7zer3kTijD +em4Yn/GRyfoOnxnWcwnGB918MtT1M8N6LsGlbnc3XWz/hWE9lyBPb5tkdPsL +w3ouQYfg5f3D2oUxrOcSFAy183twK4xhPZcidFHft+7tvjKs51J873DLIenW +V4b1XIol49aZD24fzrCeS4HmEVZvboczrOdSVK699Wt9h28M67kUwavG3p1y +5xvDei5Fr8MflqFTBMN6LsVZu9eXTt6LYFjPpdD3WXO6qEskw3ouRdvoZ+cc +3CIZ1nMpdkbU84d3j2JYz6WYwPeo6OoexbCeS1Ftvah4VK9ohvVcil0NR3s7 +ekQzrOdSKHbOUsr6xTCs51K4djnxwtUzhmE9lyI65tHl7UMYhvVcilbeqRo7 +X4ZhPZdiE/9Mz4zusQzruRTnW3HTndfFMqznUrz2HBxt+TSWYT03/K7c1msU +P5ZhPZfiAK+2pUm/OIb1XIrtVm8Cpm2NY1jPpYb7+Qy7X8UxrOdSvLyzVvpe +GMewnksxY3VseluTeIb1XIqKQRP7u9jHM6znUuz9vq119/fxDOu5FH7v9oTF +qOMZ1nMpHJdmb7w6LoFhPZfhjofrwN2OCQwb+DJsP/x74rbPCQzruQzpE7VJ +x34kMKznMvTa7tHae2Yiw3ouw/g/H0005xMZ1nMZJk60XL0mLpFhPZfBPfv7 +t7xWSQzruQzFkqSHh5YkMaznMgzYa95x+J0khvVchtMZ4zf8zEliWM9lOPPV +/L28ezLDei5D6qdhE2vWJzOs5zL0FB4Z0e9FMsN6bphPwn/ftguSGdZzGQqa +zxkSZ5LCsJ7LIJzZ2w37UhjWcxlCypqhJCCFYT2XoVv7qh2Pq1MY1nMZPp3Z +P/bAtFSG9VyGp45nSrY7pzKs5zIMjQrzPhaXyrCey1DubxXp0zqNYT2XYcZI +1daaZWkM67kMNtGihJ1uaQzruQxjE41H6rlpDOu5DFMvVcY975/OsJ7L4L/O +NWevXTrDei5DRMt7rtbv0hnWcxlylXMnbtelM6znMgxbf6eZ6+QMhvXcsH+S +X5N4ZzMY1nM5rhdHtl8Yl8GwnstxydMvIa9NJsN6Lse0CdM9L63MZFjP5cgN +fFW82j2TYT2Xo5s67Nv80kyG9VwOGLU/bzssi2E9l6NfL779nf1ZDOu5HCtV +fSMkIVkM67kcJyMvRmyvz2JYz+UY0P7Exz9zsxnWczlqTg7jxNzMZljP5Tj8 +/txZn9xshvVcDou148X+vXMY1nM5okd+sy3akcOwnsuh/G/bgFHvcxjWczmS +qidf8qzKYVjP5Thj+WB3/wG55Lkc39oeHuI8L5c8l+Ox1PmFbk8ueS5Hn681 +Dcdv55LncpQnOtl3DMolz+UwuzasZXhBLnkuR5T9hfpTP3PJcznG+Nx0tBqQ +R57LYdk3/NH4+XnkuRwnpjbcHLU3jzyX41BxxNlpd/LIczkcNpQ+3BiSR57L +0fJ4QsN9bh55LkdHBxtBcUMeeS7HKaPvB2YOySfPFfBNfZQeaJlPnitg1dbL +dPrBfPJcgQGjeoZy3fLJcwV8ypyf3v6aT54rYOGoaGlTlk+eK5A48M+ISS0L +yHMFehyvGm86qoA8V+BS5IOVk1YVkOcKMJdmh9qcLCDPFXDqXHvvzvMC8lyB +wDYrupfEFZDnCuxre+nYXEUBea6A2Ma+IqpzIXmuwJkn5++unlJIniuQ/kYV +3LC5kDxXYM4+5wsxlwvJcwVsQwPNPN4XkucK/LMwQOeaW0ieKyBJt/375Ech +ea7AtL94GDGQQ54rsDs+TPR9EYc8V8BoZ5Lp8kMc8tzw/rwpnhGPOeS5AhO7 +uZ+fH8UhzxW46Ta1hUTMIc8VuPJpmsOrDlzyXIGWNlc0JyZxyXMFljhxMndv +5pLnCoz8FbP4yBUuea5As/XvXzz255LnhvHcLe7AKeCS5wrM6HyGO+E3lzxX +wjv1/px3JjzyXInpC41vWKzgkedK/OIKalQneeS5Ekn6zLQwTx55rsRWp5cr +X6XwyHMl5q3qnuZbySPPlUjmay+l9Ckiz5XoH6SPabOgiDxXInyLOHPPwSLy +XImshV51Qvci8tzw/KddrjkzReS5Eq725qlTlEXkuRLx3CGdOnfnk+dKbDgw +0MdoNp88V2LzUdfi/nv55LkSwy7Xt7Jx45PnSthNMjvpH8knz5XYlt/34jAZ +nzxXov3KZk5RXYvJc8N4LdaHnbIoJs+VGPBX4rbWvpg8V8KhM7NrtVsxea7E +GIc1149GFZPnSuh671kXKi8mz5XoXDtiXJ/uJeS5ElUHxh3xnlNCnith4nbV +adX+EvJciYPT/f0HPykhz5U4scT3QM/4EvJciUET6vub60rIcyXmXo8f7ti3 +lDxXwa8gSMu1LCXPVdh0s0u53fFS8lyFngN4O//xKiXPVfiw01+qyywlz1U4 +Xa/g6etLyXMVsloHvOs9sow8V2F86/K0fTZl5LkKh4snB4ldyshzFTzaW2Zf +Dyojz1X4PNHV07qsjDxXYcq7gx5LO5ST5yostl428+CMcvJchdTo23/D95aT +5yq02vh8j/mTcvJcBbOGVlWcxHLy3DBed0eTtzXl5Lnh/Sbh1/yGVpDnKiwt +kr/IW1NBnqsw86J1rZlLBXmuQsWwl72/BFeQ5yq46OOeHRJUkOcq/GPSvaVZ +WwF5bhh/rzVnpw0WkOcqvNJant8yXUCeq+C4au+Gf1cLyHMVgpod21jlICDP +VYh9eDZnp4uAPFfhzDR9e42HgDxXoXPYq60PPgnIcxVMLif2XZUhIM8N86kz +emoqEZDnarTzv2I64I+APFcj7L+SEWN7CslzNfp/mqTeMF5InqvR9d3sXK8l +QvJcDRln/kQjOyF5rkbwktFHrp8TkudqjLJ2kZm4C8lzNZqXXakXBArJczWm +FJp0iEwVkudqGMf2vBQqEpLnasw5WRiT/ltInqsxTvV+fPNeIvJcjRlm0bPW +m4vIczUWH+q1NmuZiDw3PG9OYNkuexF5roZ37sFV/VxE5Lkaj3bP7lP7XESe +q3Ek2ThIFyYiz9WYLa+63iFfRJ6r0e1WQ+9lWhF5bvj735MVAW3F5Lkae35y +bSebiMlzNQ7szvpTDjF5rgZ/1bJ1gZvF5Llh/QvvC7xPi8lzNbgtzIdHPxKT +52oszx3u/ydITJ6rMbE25/fuTDF5rkZFtNHbGoWYPFdjdGG/GR+MJOS5Gp7F +uoW3hkrIcw08azXb3CAhzzUYNnDQwIQtEvJcg/lrJvYfdFZCnmvw8PClOr+n +EvJcg/iy3lYbvkjIcw18i1PDpxZIyHMNeDuHKedVSchzDfoF3XQ520VKnmvQ +as7YMcKxUvJcg/OzBj85tVxKnmug2LLmiMUBKXmuwZha9/0TbkvJcw2mrgyY +bfteSp5rcGfIwtcBqVLyXIObbX+snqaQkucaeEmsO9S2kZHnGnzsVOwmGSkj +zzV4PKb+XbslMvJcA93Lzr13O8jIcw1GT935vvamjDzXwHF2v9FR72XkuQZt +T83fH5kuI881+K8hYFaNWkaea6BOrT+1u5OcPNdA8Pp0VZfxcvJcg47mA258 +Xy0nzzXo2ubIP32Oy8lzDW7PdHB2cpeT54bx2G7z/CdcTp5r0AHC7VXFcvJc +A9mXJ287/pWT5xr87tRtm8MQBXmuxbaPXoeMFinIcy268wfFSxwU5LkW4+3C +l7S5qyDPtZh33Vt/KERBnmtx/UGpXx+ugjzXou214k0dGhTkuRbSsWP/Lhis +JM+1aJk16GLiIiV5roX9m2WZdw8oyXMtzG8MLvByU5LnWgzdsvPi369K8lyL +b7xHEW/LleS5Fot72B14aaQiz7Xo3E57QjBGRZ5r8fJh5zBHGxV5rsXTsFtt +1zqryHPDeENGzr3sqyLPteg4J3ZUyywVea7F9pNmtzl1KvJcixOH+w34NVBN +nmthllDyyHGJmjzX4oOk9tGsY2ryXIuqbiFfNr9Qk+davPG4dDknWU2ea3FK +OfP4syo1ea7F5MQZurD+GvJcC0XWskVmSzTkuWH8judC645ryHMtIn6+2dTT +S0OeG+Z/STb3bqaGPNdij1XUik31GvJch7FMh8XnR2jJcx1qbQqy6qy15LkO +OVZ+mxJctOS5Dj3Gl8yQBmnJcx0kPy/e2FSuJc91eLVEM3poJx15rsNN9675 +M2fpyHMdphQ5aLwP6MhzHZYecvfb8FxHnuvQuo17xcYMHXmuQzjzfIxvg448 +14EfdiV18hg9ea7DhAGFbm236slzHX7M2NKi/z09eW4YT8aOkQcYPXmuA3f2 +Zo+qSj15rkN+it+Mdd0qyXMdbjE5JktGV5LnOnQp2fhg5YJK8lyHX2PPme/Z +Ukme6+BStOnAzZOV5LkObwMLrT7fqyTPdRjZbLiz5G0lea6D2bKbq3rEVZLn +OpxcX/F+TnElea7DiYyDrbbVVJLnOoxvlmJ/sGMVea7DUaPBdruGV5HnOlRr +OneaNaeKPNdhxopuzfS2VeS5Dm2edrA+caSKPNfDpq3Ji4QbVeS5HoNPOFYW +vKoiz/VYP2qI/PnXKvJcj/s76l51y6kiz/VYeWFL9mhZFXmuN3yf/JFe+ruK +PNdjoNuOwP7dq8lzPRzqvc9UmFaT53r4tVFa951TTZ7rYTXugip+bTV5rkeX +ZH6HXPtq8lyPD1V7g2aerSbP9Xj0+DrvvzvV5Lkeic/CN5u8qibP9fjuXeEb +HVxNnhveP020q318NXmuR5ufDg95BdXkuR6z68MGjNBXM/8DXdT/WQ== + "]]}, "Charting`Private`Tag#2"]}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + ListPlot, "GroupHighlight" -> False|>|>], + ImageSizeCache->{{4.503599627370496*^15, -4.503599627370496*^15}, { + 4.503599627370496*^15, -4.503599627370496*^15}}]}, + Annotation[ + GraphicsComplex[CompressedData[" 1:eJxE23dczf0bx3EzZCXZmxBZkVV4C0VWUrKVZI8oiaxsFSkrs500lUhaR3vv vddpb2ch8kv35zo//9yPHjid8f1er8vd5zlF33D74V49evQ4NrRHj3///e3R nrlFfxvWZkvOsm7jcf77Wg/JV2PD9MfxOb+unQg9/+QYrNdq6Doo89nvn4X9 @@ -246028,9 +312102,13 @@ P5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+m P5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+m P5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+mP5v+bPqz6c+m P5v+bPqz/x9/9t9BbF6S - "], {{{}, {}, {}, {}, {}, {}, - {RGBColor[1, 0, 0], Opacity[0.2], EdgeForm[None], - GraphicsGroupBox[PolygonBox[CompressedData[" + "], {{{}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{ + Polygon[CompressedData[" 1:eJwl2UMMIFgSANBp27Zt27Zt27Zt27Zt27Ztc6b3dfbw8vNT50opQcN2FdsG /OeffwKwOdj/37//QAQmCEER+ic4IQhJKEIThrCEIzwRiEgkIhOFqEQjOjGI SSxiE4e4xCM+CUhIIhKThKQkIzkpSEkqUpOGtKQjPRnISCYyk4WsZCM7OchJ @@ -246094,9 +312172,14 @@ QXaykZUsZCYTGclAetKRljSkJhUpSUFykpGUJCQmEQlJQHziEZc4xCYWMYlB dKIRlShEJhIRiUB4whGWMIQmFCEJQXCCEZQgBCYQAQnA32PxH3Ptf/zLb37x kx985xtf+cJnPvGRD7znHW95w2te8ZIXPOcZT3nCYx7xkAfc5x53ucNtbvE/ B1TYsw== - "]]]}, {}, {}, {}}, {{}, {}, {}, - {RGBColor[1, 0, 0], PointSize[0.0055000000000000005`], - AbsoluteThickness[1.6], LineBox[CompressedData[" + "]]}]}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" 1:eJwl12O3GIYSBdAb27Zt27Zt27Zt27Zt27bdxmnD5u2s92GvmT9wBokad6jc PlBAQMDtIAEBf2pgtAFBCUZwQhCSUIQmDGEJR3giEJFIRCYKUYlGdGIQk1jE Jg5xiUd8EpCQRCQmCUlJRnJSkJJUpCYNaUlHejKQkUxkJgtZyUZ2cpCTXOQm @@ -246129,9 +312212,14 @@ S1rRmja0pR3t6UBHOtGZLnSlG93pQU960Zs+9KUf/RnAQAYxmCEMZRjDGcFI RjGaMYxlHOOZwEQmMZkpTGUa05nBTGYxmznMZR7zWcBCFrGYJSxlGctZwUpW sZo1rGUd69nARjaxmS1sZRvb2cFOdrGbPexlH/s5wEEOcZgjHOUYxznBSU5x mjOc5RznucBFLnGZK1zlGte5wU1uBfn/n/0/z7/j8A== - "]]}, - {RGBColor[1, 0, 0], PointSize[0.0055000000000000005`], - AbsoluteThickness[1.6], LineBox[CompressedData[" + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" 1:eJwN09OCGAYAALBbbdu2bdu2bdu2bds2ttq2bdtdHvIJSdiofaV2/wQEBNwI HBBwk1vc5g53ucd9HvCQRzzmCU95xnNe8JJXvOYNb3nHez7wkU985gtf+cZ3 fvCTX/zmD38JCBIQ8A+BCEwQghKM4IQgJKEITRjCEo7wRCAikYhMFKISjejE @@ -246164,10 +312252,1133 @@ BCUpRWnKUJZylKcCFalEZapQlWpUpwY1qUVt6lCXetSnAQ1pRGOa0JRmNKcF LWlFa9rQlna0pwMd6URnutCVbnSnBz3pRW/60Jd+9GcAAxnEYIYwlGEMZwQj GcVoxjCWcYxnAhOZxGSmMJVpTGcGM5nFbOYwl3nMZwELWcRilrCUZSxnBStZ xWrWsJZ1rGcDG9nEZrbwP3es92U= - "]]}}}], {{}, {}}}, {{{}, {}, - TagBox[ - {GrayLevel[0], AbsoluteThickness[1.6], Opacity[1.], - LineBox[CompressedData[" + "]]}, "Charting`Private`Tag#2"]}}], <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 1.}, {-1.75, 1.75}}, + "Frame" -> {{True, True}, {True, True}}, "AxesOrigin" -> {0, 0}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> Directive[ + Opacity[0], FontFamily -> "Times", FontSize -> 10], "AspectRatio" -> + GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, + "Primitives" -> {{{}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxEnXlcjF8bxq1JtiSEshVSQkQUbluRaFEiQlLJGiWRLbtChGRtT1qVSNpG ++77v+zTNtO+zIfKm37nP6x+f+cQ08zzn3N/rnHPd1zPPzHq3xYhhw4Z9HjNs +2L+/fwf2Fu0y04MtJWKLXXq4jP9em0LO1bR4s1k8xq9rJ+LOP7MCly26hz3V +eeTnZ8Hj0R0DUyMe47Jk2LYGh/PwWPuCntdp/PcXYf7y5OVHb/IYl1oXKouG +XIHpt5brbnHD/+8ItuZi6/zf8xjq9bqP3M/chHPRCwvyovD9bsM3S1dOXyqP +EaM1foTivbsAZivrVUvw/e/D/mbxx7ENPMbazxkXEjydQaXpY7BbF/6+hyDU +Dz9T+YvHiJ59p10/2gUM29o/vhDhk9//BJ7ciQ+pmsxnvE2ZG25j5QrZrzvc +w6X55PM8hb3JfSPnL+Izqn8O/UJ4YPt7haoyn3y+5yBqpmgoVOMzZi2rlRO5 +4Qa/hSqRVlv55PO+gEznptonu/iM/eZD7wi9rZOSzhvxyed/CYx93qlSh/mM +16+G/sBGVZ0qx2N88n1eQ4df2KNwaz6jMk9o0Oj/Bp4bvJ0WbM8n3+8tFGQZ +m9pe5zNmjDKaqPTpHZza3zdl/D0++b4e4GQj72Xvwmfs++8NwThg1cZoN/z+ +XiDr5zq84C2fsVPVaZMpxwtEn7ZlfPfF6+ENnXLnjB4G8Rkd+v/e0RvGjHiu +pRKB18cH3k7bJBfzlc94cKq5P7DXB7KPMXbNScDr5Qt3ApZPtUjhMxTvTRv8 +Cr5QvTE98mEWXj8/WGIbq+NewGdke2tEcf/4gf1B0Z93yvB6+oPv+R+fjWv4 +jH9XS2rUeyiLvmc3hYXX9z2AmPecz818hli579n1YgFgvlL9wbpOvN4BYPbC ++HZoH58R9O/rin+APzXc7DE/8Pp/gMDn6VI7//AZO/693bRAmKw+TeryCAG5 +H4EQ3aZ/xm2MgDF0uaWDIMol5uub8QJyf4KgQbPzvstkAePf1SuYHwxJZ49Z +n5kmIPcrGJa+HehVnyVgLDZ5nMSXD4H1D3RchXME5P6FwDH12RnecgJG5uC7 +zVwWCnsr4sapLxaQ+xkKhw7vzUlWEjCsXDuvwKow2Oe3zW7dCgG5v2Fgsefg +af/VAsbghxv8yh8hxWne9L9qAnK/P4K18dRCLRAwDAcHz+AthcjOdv6dLQJy +/8Phs/X3mshtAobf4NUr9w2HY8u2VxZrC8h4CAd/w+HrmnQFDN6fkoutCyOg +6eih3e0GAjI+ImBmZZdl414BY+vQAIiAdMk7iXkHBGS8REBDoXFA6GEBY/Dm +/ftCULVH6YDjUQEZP58g5Z6lzLZjAsZ/H+8T3Ji+fMmIkwIynj7B4qpnyRFn +BIyVQ38iIfnqcxkjGwEZX5GwLlLDpttOwLj1PWtwBEXC3HaW8OolARlvkSDF +YLOGXxUwigfv/uA1ga45Uy0vOwrI+PsMcod8PrXdEjBkh97wM8ycp/xD956A +jMfPYHq40zzYWcCwHboBX0Dp+OsFfx4JyPj8ApmSPhYargJGMl9+8A5/AcNZ +srq3nwvIeI2Cg5EDYjHuAobEfx8Qwu0435teC8j4jYIM96PuYh4CxtCny4gC +p7sdkQu8BWQ8f4UZ7Ry1NX4Cxqd33QFp2l9hmOwn3c0BAjK+v8IYlRjJrUEC +xoihL/wVorbYB68PFZDxHg0lry6rLAsXMHb/N2AgVOVyvlSkgIz/aHDmG7z5 +9UXA8Pn3dUuiQePk1KiSaAGZD9/AcaPo+oBYAaPv39vt+wafdn/ZaZOA8+Mb +FF/oGLY6UcDY/G/4V3+DTT1Z5/uScb7EwN93iUkBaQLG0PA9FAPGrNejjDJx +/sTA6+2OBn+yBYwGh383JAZ2dfxNf5eH8ykWdP0nO6gWChjKQwM8FnL6Z93I +Ksb5FQtdTT+4RmUCxg23LYNDJhakjH+11FTgfIsDybdddibVAkbh/KEbDJHX +5cJLa3H+xcHUg9Yh25kCxrx/1b8zDqJ7FK5/YeF8jIfdE2CdNEfAOPdvepyN +h4OLZYVXmnF+xsPUzIak8lYBI/Hf3eXGw8u0WZ+WdOB8TYCsS+PKL3cJGOL/ +TUg4kXhvS2oPzt8EKO8SGTuWK2AMXb6fCVDg5r1mGx/nMwMubpraeF0oYAx9 +vCsMKJmULhX5E+c3A5gqaa3MfgFj2NCf73DEMs9i7ADO9+9wWHnH2yXDhIwP +adqDM/o7GKntebtjhJDM/+8w+njC+aOjhAy9wdk4OEYhfJiYir2IkNSD75Dg +b9tyR1TI+PGPPinfwUy/x/OxmJDUh++wVGW51fPxQobXzH8TIhEU73vpPJ8o +JPUiEdYanDZ9LC5kbB+6wYmwfeatoDsSQlI/EmHu3Sdr7SWFjJ6Afx8wEVR+ +jZlpPk1I6kkiLJg9b99OKSHj5b9Pl5UIx/dX/V02U0jqSyIEb/8lPUlayPg3 +uLTGJ4Ge1OTYVhkhqTdJ4Nd8icOYI2T8qyZFu5JgkoRJgOs8Iak/SVBqGzLu +sKyQ8W+0DA4ZCPZdKiO/QEjqURJ4zb/Q1LFQyBj6ugWDr282nwuVF5L6lAS/ +bn3LPK4gZAy9nUQyrFEQCOctEZJ6lQzuGZdFypSEjKFyZJAMV0QGBu4sE5L6 +lQxzakTalZWFjP/kQzLE3xMWV64QknqWDK8uj02+qiJkDJWD8mQQ0dBNlFkt +JPUtGb6f+lL+TVXIuD5UcFIgWW/kpN1rhaTepYBQWG3dpCZkLBqaQCmQVJ8w +wn6dkNS/FChKFi0YuUHIyB+SFymgY8qrH1RNpB6mwKOlb9QkNgkZ9v+mQ20K +vJMWND/bLCT1MQXCoK578lYhY86/4Tw7FTxvTNj/UENI6mUqFGrNWTpym5CR +/m/4maaCyTxX2wvbhaR+pgJ7d7Jyk5aQYT1UIFNhzt2f1ru1haSepoL63gOr +YncKGdOHJvzg6zbe/bk6QlJf0+CKw9dzN3WFjKGPJ5cGF5ouCZh6QlJv06BY +9afMut1ChuXQnzSYJF/Z9cxASOpvGvh4+1m3GAoZ/8TTYIUF9vq892uNhKQe +p8E3Rvjre3uFjH/V8WJrGix88Fi/aJ+Q1Od04MxwL5yxX8g4NPSG6SB2unbu +oQNCUq/ToeqymYaniZAhMlSg0qHeU1yj9qCQ1O902D8Qt0DqsJDx725IhqWD +VPeiTl1TIann6ZBv+sfr9hEhY0jddafDGPVSzSgzIanvGRAYv5LNPipkDPyb +bsoZoFXndE3cQkjqfQYo+a6atdZSyHj/bzjbZMDqKzcZh44JSf3PgJBXgWdv +WAkZOv99YRjYWLTS+7iQ8CADHs6RmJhwQsgQ/Lsd/Ay4/eb0qIqTQsKHTGDP +r5jVfUrI8Pj3dVUzwfOiivHIM0LCi0yYPGxPoqS1kKE5dAEzIUTk9z7Zs0LC +j0wYOb5Gbtk5IaNrCA+ZsFT05eI1NkLCk0zwD08+ucFWyHjxr7z3Z8L92Mbu +TeeFhC9ZcGremZjNdkLGUHlenwVfDmblb7wgJLzJgruh+irr7IWMofJ6LQt0 +dul2qFwUEv5kwcgvw34rXBIy/it/WSBS7HZstoOQ8CgLcjWUV066LGQMlZcR +2bDjeYvZn8HX//EpG2ZZpPxquSJkDE3fLdnw/PP3gcKrQsKrbPAem2sTfU3I +GJoed7LhfmDO/rfXhYRf2eCR9SLmqqOQ8d/wy4b6VIGbyQ0h4Vk2PN4W0rPm +ppBR+u/2iuZA9rWTeRK3hIRvOSC/s3ZF2+Drq0MFMAf2WXvOY9wWEt7lQHPk +Vg/XO0LGgqEBmANjZPaEHLkrJPzLgeviOrrL7gkZuTlDfyDB0cf51+Dr/3iY +A+LTYo8k3xcy7IYKai6M2Csod3ISEj7mwvCCDz27nIUMmaEBnQvz1WQ+Tnog +JLzMBWXv59PyB1+nDi2vcmHgvqbCw4dCws9c6J58pFPzkZBxeqhA50KF9Nij +fwdf/8fTXFA8s9/li4uQMVSuJPPgbMExm+OPhYSveTB9tbrErCdCxlA5MMqD +oKrSs1mDr//jbR7sPrrc1d5VyPhv+ZUHGQNqF+Y/FRL+5sH727Xzswdfj/9v +woHcc+Grc8+EhMd5sO6Tad3U50LG0HCZmQ9ax1r6vg6+/o/P+TDOxKhqr5uQ +MXQ7TPJB6cIJd/7g6/94nQ/reTVLXF8IGf8tz/JhgdOhdwruQsLvfJhr/7I9 +cfD10NvV54NK0JKZe18KCc8LYGnRF8W2lzjfC0D+Svr8y6+EhO8F8FKxa7jY +a5z/BdBh8zH7xWvkfQFEjXO+Oe8N1oMCONHFlw96g/wvgLDj5onL32J9KIDN +azfqfHmLeqAAbr7tLFR9h/WiAK6fytKJfof6oABilZemr/bA+lEAV9eeWB/p +gXqhAHKDMiOVPLGeFMDEN65L3nuifiiAjfOHB0l7YX0pgKYz+ktdvVBPFMLr +yi+xI72x3hSC1o8Dhue9UV8UwtSIk79Y3lh/CqF355hwXR/UG4XQ5KRtH+OD +9agQREN1d8v6ov4ohLnPF2xx9sX6VAgT0iu1u31RjxRC2X3bM7v9sF4VgkML +LyTSD/VJIZwt3D92ij/Wr0LomPXuzll/1CuD//9tmGyOP9azQriz6ipnwXvU +L4WwurE35+p7rG+FAHk91cXvUc8UwURjY0n5AKx3RVC5VOSiQwDqmyKQ250r +mh2A9a8IBhQcM2Z8QL1TBKJu7dGWH7AeFoFmZ3l1xAfUP0UQOWfsyv4PWB+L +wOvzhu+bA1EPFQGzduWd+4FYL4sg6pbnrZxA1EdF4KGvEj8xCOtnERQmea3U +DUK9VAT+Sx+3PArCeloEX0v8a7OCUD8VAaflrqRIMNbXYpjqWeACwainiqF0 +tYyOfTDW22JIExlpGBqM+qoYGu9J+jQEY/0thsemzLWSIai3iuGeda+0RgjW +42J4V5a383wI6q9i0B/9O8s7BOtzMWxK/vEyNwT1WDFYqW2KFoZgvS6GzcN1 +FOaGoj4rhhS55z2aoVi/i2HRijvjT4WiXhv8fRsfX38civW8GAp/SWtHhKJ+ +KwbdD25nC0OxvpdA0uqDvd2hqOdKYPKWkrzxYVjvS+Dg/H1i8mGo70qgtG2z +/6YwrP8lcOFKu5dxGOq9Enjt5D9gHYY8KIGLnomM22Go/0rg0tJHHPcw5EMJ +nH26+1xgGOrBEoiZaWz+LQx5UQJqEhxGehjqwxJQTVe9UxKG/CgBuWePv9SH +oV4sAQ3Wot2tYciTElirvtakNwz1YwlYa/4sEYYhX0rhQunr+N9hqCdLB/Xe +runDPiJvSkE+ARqHf0R9WQpKma/nj/iI/CmF0Iy7Zf/+/X96sxR2p8kPGwhD +HpVC9mxfr59hqD9L4ez9qd+4YcinUiic8W5HRxjq0cHfp2do1BiGvCqF+KkG +tRVhqE9LQSEjjJkThvwqBdcNDkcYYahXSyEqP9U0PAx5Vgpj9nnWe4ahfi2D +JyBR/ygM+VYGxdcXmTqEoZ4tg1eBnKMWYci7MvBs02vXCUN9WwbqU04KV4ch +/8qg5c1KJ5kw1LtlkBQU4D0iDHlYBq1SSZuaQlH/lkGN/eUTGaHIxzKImpYl +HRiKergMDo/xMb4firwsgzBZ/jzLUNTHZWAjEXlhcyjyswzO7MzcJxOKerkM +NOfJZgpCkKdlsC8uMCMvBPVzOdxevHWffwjytRyajcsvOoSgni6Hm/Kaijoh +yNtyKDt07uzcENTX5XDym6pWbzDytxx0O+yivgej3i6HywEi31yCkcfloPIm +Sf9AMOrvcjC+4nhjYTDyuRy8Radq9QShHi+HbDGDwOgg5HU5pBwa53s9CPV5 +OcTwx6tqBCG/y2FhlZzl2CDU6+WQtHK28r96+R/PK+CydtLLR4HI8wrQeVzx +blcg8rwCZF4s3DQ+EHleAWdlz97K/IA8r4A1ivYWdz4gzyvgXGl/M3xAnldA +/o24cT8DkOcVoJVtVxgegDyvgMAHrLXHApDnFTCmPlZDOgB5XgFqi77x898j +zytg06QnO26+R55XgKcLb+vK98jzCgjoeMlh+SPPKyAtWUnJ1R95XgHxo8yk +N/gjzysh9ycrstUPeV4JjVomvGd+yPNKCOm3r1vnhzyvhLCLdTZsX+R5JWg8 +Wf3pH6//43klBDsseLeM8rwSGqp1VIp9kOeV4JlmeNHOB3leCcsY5cen+SDP +K2FbwoWxUd7I80ooW5m/19AbeV4JSWOv7un1Qp4P/r73M0c+8kKeV8KLno1H +FnkhzyvBXffe2e+eyPNKsAu/pbzPE3leBer3svy6PJDnVZBV2JV1ywN5XgVm +M2/6TfdAnlfBDAfJFUHvkOdVYPRG5bz6O+R5FUCc9cnst8jzKpCK0Jfa/xZ5 +XgWBTeaXm98gz6vgofkKN9s3yPMqcMzfZDnwGnleBWEnZ3Tfe408rwL27A3L +xV8jz6ugwGalgvsr5HkVKLtfrpV+hTyvgp9H3Xd4v0SeV8P5AyI2ci+R59Vw +OETT8L078rwafq0s7V3ojjyvBilHJe33L5Dn1TC7MNNU7gXyvBpEpGVXersh +z6vBcHxEkrQb8rwaRou0TXJ/jjyvhquLtswSf448rwZL7eWce8+Q59XwWEzu +zMBT5Hk1JMU/jrJ9ijyvBvfrjQnNrsjzali91OXeflfkeTXInf4jnvMEeT74 +fd6/O7DuCfK8BmZPqjoW/Bh5XgN2vtKrZzxGntfAxKV5aXdckOc1kKFpNLP3 +EfK8BroNZykfeIQ8r4HqJzfHpTxEntfA1dE9gYoPkec1wHVNG//0AfK8BsTb +j6kInZHnNVDzVmXeAWfkeQ1Ijn9YHO+EPK8BP/sc7TlOyPMamPZrt/P1+8jz +Ghj+4PLjOro+rIHzw7wPrLuHPK8BA3GZ7pd3kee18E1/+w7eHeR5LehvcDit +cwd5XgsBK8caB9xGnteC3fkdE/7eQp7XQu/ip857biHPa2GSxcrCoJvI81qI +n+PYMHADeV4LshsyY/VvIM9rofTxIVNfR+R5LYgUuuRzryPPayE8OGDsluvI +81pIjOZPdL2GPK+FNTdS6muvIs9rQfP6oSuLryLPa8FqzgSO7RXkeR3YJ0rN +jL+MPK+DhG8Zs0ZfRp7XwbDdR9u0HZDndXA7S+mu6yXkeR1MOXCqu/Qi8rwO ++leaLZxxEXleByOu6ysesEee14G56eWBNxeQ53UQK5zjVW2HPK8Druk18Zl2 +yPM6WPalRHfveeR5HYSMMz30zBZ5Xgd+Dibr8myQ53WgIDW6bYwN8rwOfv96 +dmzjOeR5HRxU3/zF/izyvB4auduKQ62R5/WwfnNNIusM8rweRmxfdWPaGeR5 +PZxRvD9Z6zTyvB5kpcbaOpxCntdDE7T7B51EnteDYbVpUOUJ5Hk9uCm8cRxz +AnleD+MuZMmrHEee18OwSbN9D1shz+tBWy+l2+kY8rweej3aJkZaIs/rIfdE +/LBqC+R5PcxbZpU63AJ5Xg+rbKceXGSOPGdCe1ZXuvZRnO9M2FUuLWJN9+eY +ILUtfbrrEZz/TNAql/wTYYq8Z4K3gWJU4WGsB0yY775sS88h5D8Tnj/U8Jtw +COsDE34sfVK5+CDqASYMd1Zu3GqC9YIJ92J2JP7bf/xPHzBB0NVva78f6wcT +vh4z73cxRr3AhFf2Pnv992E9YcKq28X3Y/aifmDCThHJx3lGWF+YIO98/UTD +HtQTTOj5uHwW1xDrDROGLdnkPdIQ9QUT1NIzf0sYYP1hgtFhltK83ag3Bt+v +2VtlqT7WIyZ0WM6erKaH+oMJt3+fSt6qi/WJCd+rvbV1dFCPMOGxTkGg0S6s +V0zY8kWs7uBO1CdMiLtr1nJUG+sXE7z0WjKsdqBeYYLz1+Drp7SwnjFhiX3s +eOvtqF+Y4Gi24OzZbVjfmNB4VBB4VhP1TAOoX1WLsdbAetcAEzN+e53eivqm +ATztth06sQXrXwP8zZPts9iMeqcBZFe9NjHdhPWwATrmfnpnvBH1TwOoytp8 +0Qesjw3QwK733b4B9VADZC0cdnzDeqyXDVCWWDZq5TrURw3Q+t7SfqE61s8G +qKmL+C6lhnqpAS46f2OOXYv1tAGOsK6W/1RF/dQAY1UH/FpWY31tGNQ7a7TK +VqGeGvz9LoopSSpYbxug5UPR1LCVqK8a4FHn4g0vV2D9bYDn85esv6GMemvw +38sVSxxfjvW4Afa2TGfoLkP91QDH7fs2rVqK9bkB5LnGb2YooR4b/H5Pt2b/ +VsR63QBT/AIK6xRQnzUAy8k+jLEY6/fg9Y4POOIpj3pt8HpnK7RfXYT1vAGM +jft2HFiI+q0B1sp0O6ouwPrOAkaj+CMJOdRzLFjySNO6Yz7WexZcmnBtUeo8 +1Hcs+HHS78vbuVj/WdCX5D/Ddg7qPRZowWmD7bORBywQncsxk5ZB/ceC318E +27pnIR9YsOWo84jEmagHWVD2+t5T1xnICxZMZZYITaVQH7JAI23vimXTkR+D +P+/hbfo9FfUiC2ZNerIwQxJ5MvjvG8cxn05B/cgCA7UdZ0wkkC+DP+9WLJSb +jHqSBamtT0Q7JiFvWPBEZp/kp4moL1lQ5XSYd2EC8ocFH9bc/qA2HvUmC5Q3 ++Cz/I4Y8YsGK6OcPE8ai/mTButxVcddEkU8sEAs5xFg/BvUoCxKdm936RyOv +WLD+fQBEj0J9yoJdm+zibEciv1jQ5btg/NIRqFdZcH/mo6XNw5Bng9eDf03W +86+A6NdGsLmb27ZnAM/jG2G77I7b4/4IiJ5tBNt5qd2MfgHhXSP8LZugZPsL +z68bQedVn/qCnwLCv0ZQjNsoXSZEP0MjMJ+k5N4R4HlvI2yy0NJT4QuI/m2E +qJuvfBu4eP7fCOuVrqU/6hMQPdwIVj6M2DW9AsLLRjCWWuDI6sbz8sH/H3de +8kGXgPCzEdwjbS+u6ET/RCOcndYUXNGO58uNIOxzCbvaJiD6uRFEdix3nNeK +foPBfz/xwdyUZgHR041QK3v4sUWTgPC2EZ4ePJkzmoPn842w5erpcr9GAeFv +IxQrzw/fzEK/RiNITtMwqmfieXYj3Pz4JNOhXkD0dyMITiWMnVqH/oZGMPC/ +Oy2sRkD0eCOsfOHVrVEtILxuhNtmSc9rKtEP0Ajyk71H21QICL8bwflBzyaR +cvSHNILy26Nar0rx/JwNOzqDZymWCAjP2bB4jEN0bBH6KdiQo3N1jnahgPCc +DbtHmetV5uN5OxtObKreYZmH/gM2fBe6TOzLERCes8Gse/GbK9noR2HD7NQ9 +PaOzBITnbKhoiZngkiEgPGdD3ZthXMl09G+wYUl3jufrVAHhORu+JqZMmZOC +fh42+CY80PFJQr8DGy4fSNGVSxQQnrPhYNmk6f4M9L+wQVZlop9cgoDwnA03 +NxwS+MQJCM/ZMOfmuwlzY9Evwgb/nVatb74JCM/ZkB1k7DQtGv1DbDCyHNf5 +OAr9FWyYPGqapOgXAeE5G1ISxQeuRaLfhg1Sz12DeBECwnM2XJNTmnE8XEB4 +zgaR/AtaNWHoT2HDCq9h6jqhAsJzNiTsPtCZEIx+JTZY7JpmujQI/RxseObF +ePr2g4DwnA2zRrFvjw1Afw8bqksmrrbzFxCec8BEIsu/3ldAeM4BCxOP4u0+ +6IfhgHOPBCPcS0B4zoGQgNBj0z3RH8WBC9y+nCvv0D/CgZxqiy7mGwHhOQdW +/L6XveU1+ok4sOhG5VH/lwLCcw5cWVAZNdpdQHjOgTfLRqWYu6H/hgOZZ8qc +k54JCM85sGdNlticp+jH4kCW+b4tDk/Qr8KB8bt+rCxxERCec+BM+pyaJdS/ +xIE4uS3qtx8ICM85sEGpVK/KSUB4zoFAmW3Sy+6j34cDE/xE3928KyA850BE +zb2iktvo/+JAk45y3IJb6I/hQH6rtbHdDQHhOQdmDw8NTL6OfikOfNfSCxG/ +JiA858CtMoapyRUB4TkHPkqapr13QH8RB5wyntV0XxQQnnOgemycj6o9+s0G +79/GNVLX7NCPwwHpuZbrkm0FhOccKPH3njjGBv1ZTaAyRcNF66yA8LwJZNo/ +xDifERCeN0Fep+yzrFPoZ2qCMVPHTR97UkB43gQzn0RoaB5Hf1sTdDCsZt88 +hv6fJuhc4egVZyEgPG+CP14a2fyj6Adrgq3NozyUzASE503wY6SolLmpgPC8 +CaQk3Ne8OoT+qSaQ0PgxkGMiIDxvgsNs6xN/96OfrgnGbtvosNwY/UZNsDA/ +UMl0r4DwvAnWc9puuexB/1kTeHLhSqyBgPC8CUKONE5t1hcQng++3+MJepP1 +0K/VBIw83mI1HQHheRPomeX6HNmJ/r0m+HI+89u9HehvaoLtU2ecCdkuIDxv +Au8VbQn5muh3awJjN6vg3q0CwvMmSKqKXyGxRUB43gS3rCcbKm9Cf1gTLHK+ +P1EXBITnTfBkgeaRk+vRL9gEZv0ntO+qo5+qCXZdm5rjuRZ53gxbS46wvqoi +z5shsOD4o7xVyPNmMOjamtO4EnneDC5aoh5CZeR5M/x4lTZcbDnyvBl2Lnki +mLkUed4Ms2psLissQZ43g8UEh8drFJDnzSDzPkxZQx553gxvxkw/orcQed4M +LWO+Su+XQ543w8j2x0fN5iPPm+H3AS+V43OR583wx4LtcmY28rwZ1E/vOWcj +jTxvhqxFbVXnZyLPm0HvxYssOynkeTME/dYGu2nI82awqvqhaiuJPG+GVY33 +I60lkOfNMEfIDj8hjjxvhqSZ3KXmE5HnzbDX+sUSk/HI82Z4r/Dxw24x5Hkz +FLnM9NkmijxvBnHWp+nqIsjzwc9vqSuqNAp53gxb9FJsZEYgz5vhJoO1Z/ww +5HkzdH2+GP7zD/qLm0FeTP8Gp59PeN4Cp6xWpuf/5BOet8ABYDtGC9EP3AI+ +sXKhnnw+4XkL3Iz/tOMOl0943gLL87fvO97LJzxvgYmzPEq0u/mE5y2QfMQy +YUknn/C8BZR69CXHt/MJz1tgoduE0tYWPuH54M/dN/9NbeITnrdAdeALVy82 +n/C8BdY0BjtfYqE/uwVY4XNb9Zh8wvMWmJT7OHhhHZ/wvAUOr3xS8Ksa/dQt +4Dz1i1FuJZ/wvAUq8x+t8yjnE563wKVaf8fTpeh/Hvz+ObfnqRfzCc8H//+K +MJkxhXzC8xZY6ultW5iHfvMW6HhSNe91Dp/wvAVajxUsPJLFJzxvAS1jgePC +DD7heQusNotd2pbKJzxvgQg156UhyXzC88Gfb8+8diqRT3jeArJPqmQUGehH +bwF+4Cyxljg+4XkLbI3gbPKN4ROet8Az1UfJJtHoH2+BhlVmjySj+ITnrTB6 +4OO7rEg+4XkrFCrG912LQL93K/S7ZTsrf+QTnrdC1gYpc1YIn/C8Fe5urb7i +GsQnPG+FT4Xbijd84BOet8LwCQ8t2vz5hOetMGFsofJzXz7heSuURKqtXufN +Jzxvhd7U5rMsDz7heSu4RvTW333LJzxvBUXXUzcWv0b/fSv0TT1mkOXOJzxv +hQG7NoPjbnzC81bYsP+Xo8gz9Mu3wsSc5xXeT/iE563wev6nfeoufMLzVujo +3vq36AH2G7TCl861WVZOfMLzVshttf/6+y6f8LwVTD/Vp7jcxv6CVqgcvrNn +9k0+4Xkr2J1wVQ29zic8b4Unm669XHuVT3jeCm+cq8RTHPiE562Q0nDMY+dF +PuF5K+hYNmwotuMTng/+f7/+7r222G/QCh+um3+sOssnPG+F/JnJDgfO8AnP +Bz9f0lfdqpPYH9AG10qqFfce5xOet8HJwMTxRZZ8wvM2uH6Z173DnE943gbJ +Oj+KEo/wCc/bYE2FVuTqw3zC8zaINj/kEmjCJzwffH+G75GZ+/mE522gL+Wo +4LSXT3jeBtta9Zv4hnzC8zZQrrF+arqbT3jeBm8/yi/J1OUTnreB/azk8GW7 ++ITnbaD35arM8x3YX9EGS0pcrAXb+ITnbfDbVs7PSINPeN4GoVLm0Z83Yz9E +G2SFnwgU38gnPG+DBPud50+s5xOet8GHcslpSWrYX9IGaTvSHkxfwyc8b4OW +9fuKTqziE563wZlq36bYFdhP0gZqFadSxZbzCc/bQFb+zMm9SnzC8zaQnLy/ +1FuBT3jeBoJJVX9bF/EJz9tAK96ledkCPuF5GxyNmORkO59PeN4G+63amZ/n +YD9JG7xcENDOleYTnrfBzPIE/+Uz+YTn7aD/w2/cyenY/9EOkeeTZvhK8gnP +20HgdCGnYjKf8LwdWgOnzR0/iU943g5/D3SJrx/PJzxvh5uz4c3JsXzC83Y4 +tW1jrLsIn/C8HdrYs08njuQTnrfD3Xd/QlqG8QnP22H0E96FCQM8wvN2YK6Y +nL6sn0d43g6XVhq90v3BIzxvh07D2NZTfB7heTt46Sh9vtfHIzxvh5NBj3ie +3TzC88Hf5/Pp/ZcOHuF5O0z9a5mW0cojPG8He+5x3comHuF5O6j2n1nf3Mgj +PG+HpCiZh31MHuF5O+SlT1btr+URnrfD5dCqtcOreYTn7bDcq//xqAoe4Xk7 +rDvdrjK6lEd43g4rdkvKjSziEZ63g0RF8b6BPB7heTusDnPIE2TzCM/bYeyd +nY4dGTzC83Z42XL9eH0qj/B88HrM3HAnP4lHeN4Ou7p88uIYPMLzDtjhGbcx +II5HeN4BKWEPyly+8QjPOyAvdsQD2yge4XkHXD0oYrwnkkd43gFxv0+pq4Tz +CM87YHzYwBLxUB7heQfsGWcn3xrIIzzvgJgJl+UZ73mE5x3woDlk0VNfHuF5 +B+ysc59r5sUjPO+AJrmYCcve8QjPO0A06mbrj1fY79YBSUv1wxgveITnHWAZ +aGd06xmP8LwD4l8ur9nyBPvfOuCp76e1wx/xCM87IMxR+nisE4/wvAO2FV8z +t7mL/XAd8GNM1YKFt3iE5x2guWJ2QNl1HuF5B+S2KbBuXcH+uA6YvTS/QOkS +j/C8A0zXZZ4qseMRnncA41LaR3sbHuF5B2TpHn023ZpHeD54PScpTv58kkd4 +3gEVpe7zd1nxCM87oPS0RCLLnEd43gF31vRxzh/hEZ53QITEVeeRh3iE5x2g +v745wGU/j/C8AxZtM1CdtpdHeN4JJcJC5dcGPMLzTnBYZ/Zkph6P8LwThFN7 +td138gjPO6H4vvFBcS0e4XknMF3M4+9o8AjPOyFILNNcuIlHeN4Jn+IUd1ps +4BGed4KT2pxT+Wo8wvNOSGyWjV+lyiM874T4ngDVlyt5hOedYLBtTIlgGY/w +vBNWez9w1l/CIzzvBMmLpww+yPMIzzth67j8hf1yPMLzTqg3S/utNY9HeN4J +4oYGuc9leITnnbD9rvmT6hk8wvNOmHKpbP3saTzC804Qc7bNNZHgEZ53wvFf +3NXuE3mE553gx/1zLleMR3jeCcudF1z4K8IjPO8E9/gONaWRPMLzTog5n/zV +6C+X8LwT2s+PYV/u5xKed4Le7lFf3wq5hOed8GUvd9E3LpfwvBNeHO1XKuzm +Ep53QtrX5d/Z7VzC806IjX5eym3mEp4P/vzlVIuBRi7heRcsbH9kMYrJJTzv +AuaMlNzRNVzC8y4IiT7+fEQFl/C8CyaHzQz/VcwlPO+COAWT6V35XMLzLgi3 +ePO9JptLeN4FOhJLfNLSuYTnXbDjluan4GQu4XkXmJ8c3fyAwSU874LxCkdX +H4vlEp53wS+X1S/Wf+USnnfBhN9Lf0+M5BKed4FmRv7B6jAu4XkXvEk8Ee4T +xCU874I+vxmt5u+5hOddsNMk6vc8Hy7heRfIZBXWV77jEp53gUrdh7sPX3EJ +z7sgync6Z60bl/C8Cza0OHU1POESnnfB2bGtbrcfcgnPB6/H4bu58+5zCc+7 +wMh7+L1vt7iE510wtk0+Uvs6l/C8C5ZtzIcKBy7heRd41j2dcvgCl/C8C7qO +NkxinuMSnneB0quD4gdOcwnPu2CRtkFrvhWX8LwL0vqjLDaYcwnPu0Cr2mnr ++8NcwvNu6Dh/YtWYA1zC826YFT+ixNSIS3jeDT+0md6f9LmE592wVHr8QP9O +LuF5N6jvPzxj3XYu4Xk3zGga2XRuC5fwvBusp3j+fbOBS3jeDTeHjbwfvZZL +eN4NfuLavSkqXMLzbths++5D/DIu4Xk3bDXVc3qnwCU874ZV25SaTRZwCc+7 +4du4kqTuOVzC826oEH6duXkml/C8GwSJ5rrLJLmE591gWC337PgELuF5Nxxc +VzN16mgu4Xk37Bnu8mh+Xx99vf5nlMzC7j7678f8sHpaXtxH3++ZKuuoWFLf +/3+fT71ffHgf/TwpL6MPyHn20c/77Pnd8r8P++j3Ceo99lHNoY9+30lpleMK +LPvo9dBaeq0taXcfvV7+Y1oNZm7oo9fT6qfPpXr5Pnq9Z7uahklP6aP343SV +MKvmdy+9XzrXTPIUmnrp/XxsyvecnN9L7/fexfOa33ztpeNh7vlzPcWevXS8 +GIrKvU2+10vH0xj3cQbnrXvpeFu7a/KwbqNeOh77OiZOWLehl47Xs6Pnmh1d +0EvH87Jh6ZanxvfS8X4++9SoQ9weOh/s9tYHbqjqofNFUfu+rmRiD51Pi4Yt +uMIO6KHzLSCsROuzSw+djzcq9p+8b9dD5+svpcvKFiY9dD5PqjZ+orOlh853 +J0a+3HaFHloPitL91+6Z3EPrRdn6A696e7ppPfHMNl10ktFN641wrckIaZdu +Wo+Wy5S4ih7spvWqMupWhsqSblrPohlvlvj1d9F6JzLGrd44u4vWwx2n3fz3 +vemi9XJVhVWHz8kuWk/vu4kXqa3rovXWc3uHwvwJXbQes39cv7e/rpPWa8ll +1Ws5HztpPc/X8t+ffKOT1nueYXEu36CT8kCJMW7b1YWdlBcWWnG79v/soDyZ +6Ni08VFOB+VNzI/3+6d5dVAeuZ+7HMm37aC8asnV1lDc3kF5ppKytitKuoPy +7kKrmu3L3nbKw/evHG/mp7VTXvZOjvhy4G075WkQm/dsnU075a1icvWzc9vb +KY/Pn5kl82t2O+X1YTtF51J+G+X5+A3fF4/MbaO8F41YtPGmXxvVA+5RTqK7 +r7RRvfDu6cSoc4ZtVE9MHNt5nrmkjeqNbZJmJ9+NbqN65Fv5s5iAulaqV+ab +HLk+8LWV6hnle3OLvVxbqd6xfK+d8+hkK9VDI3PnXE/RaKV6iaOkNLBlbivV +U6J3qvaP62+heuvukxr/GWUtVI9tuuvTfTqiheq1ZWbR28c8aqF6bkrlnCS2 +VQvVe4dCvE6P1mihevD3hMmHrOa1UL3Y9OVFwPiBZqonx0HD4d6qZqo3FYwO +eUyNbqZ61FnN6spFt2aqV8XHWE+dYdtM9ex4ZZl7Ar1mqneZ3va9k5Y1Uz3c +nvHzgvmEZqqX//aHruO2N1E9LXph84nYrCaqt8+tn6UQF9hE9bjC6iOBvPtN +VK93vZsoZW7VRPX88yU/P4zd3kT1fsiEKlf2oia6HvBiG4zjjWmi64X7okI9 +1RYOXU88nLfVOzSDQ9cbq3VC1xsFcuh6ZAnP7cRyZw5dr7SY6Furn+TQ9czV +dXNfXNjJoeudURuUljQoceh6aNbH+zccJnHoeqn8iGzrpl42XU/5VWVEry5m +0/VWUq2U1r4vbLoee3rGke/vzqbrtc36K6TnOLDpek529pzZySZsut7z4HUc +cgU2XQ96VHVtdZrPputFhZJZa4NGs+l6sj5+dAC3pZGuN1fw8n+b5zTS9ejO +ggXBfz420vVq2QjlBfHPGul6dmzJY6aPfSNd71bqau8JO9BI18MnzXP/1EEj +XS9b/CgzUpFrpOvp9QN29aGijXS9PdmpX0q7k0XX4+ube++OK2LR9bp1msTH +rigWXc8/M18/g/eGRdf7PgWndGfdYNH9AIkNYbVHLVl0v2Db6em7c7VZdD9h +rWL8ur3KLLrfsLQtcNnw6Sy6H7HBriQh63cD3a+Q+D7NMZLVQPczVpXIjYvN +aKD7HcNrb/1khjXQ/ZDFBjea5Nwa6H5J+HYF67uXG+h+SlPp5hWjzRrofov4 +h/UFXtsb6H6M9KeV7fuWNdD9mqi/1QuVpjXQ/ZyxwbfkZf4w6X7PDv5od3k2 +k+4Hyd1MmambzaT7RROjPA8++cSk+0mXVLvFOl4x6X7T96cO+UduMOl+1Mdh +Nsa9Vky6X3VO99i+13pMup/l2al51WQNk+53rZqu76A6l0n3w6bKTRmpKMqk ++2U3uhNfnmbW0/20etl3Ul/C6+l+m9p1A57ijXq6H7ejovltoX493a8bJRd9 +x39+Pd3Ps3c7JxfAraP7fYr9o3pLU+rofuAo4zcWyi/q6H5hRrzzQPSxOrqf +uM1AW+3U2jq637jqw6lXO8bV0f3IzyseeBjU1tL9yldimnl3P9bS/cwzVSof +G2/U0v3OZSJ1mccNa+l+aK5I6AepRbV0v9T+Z0t5988aup8adCSY05VTQ/db +p8mUH5nqVUP3Y/ffn1RnZltD92v9iz92lmnW0P3cjXeTFpybWUP3e+cs/ymt +3FVN94PPb/c7OS2pmu4Xn1oTHDz3RTXdT5ZzvX1R90Q13W/uPbnU2mdDNd2P +nshbuHTGlGq6X90lZbEnsrmK7mcvsdK/ezauiu53W01kHNVzraL74TIDbNfd +llV0vzxafW/UBfUqup8upjPsYpx4Fd1vP1Q087psUyXdjzdbqWgXHFtJ9+tl +b/4cZehaSffzD5x9UCV9rJLu9+87Odt39PpKeh6QVDZvwsQplfS84IGlcsaq +1gp6nnDQfdKdK4wKet6Qu9WL3+BWQc8jok0acyxOVdDzCumP3QljtlTQ84y0 +yk7H9BkV9Lxjk+6UTL+ecnoecvDiOx3P9HJ6XpLanZMZ5VFOz1N+lTG5bXbl +9LxlzVaJe+q7yul5jE/G4w0f5Mrpec3aiZfKlv8uo+c5ox9VjSstLqPnPcP2 +Br59HlxGz4MW/UrYZn2rjJ4Xbb9YmmN+oIyeJ400vNVxfmUZPW+69UnVynNc +GT2Puu+6egS7sZSeV62Y7HZ1c1wpPc9SLVzlEfO8lJ53jT6SKr/zdCk9DzNP +imIJNErpeZnqADyNmV1Kz9PYWUYDL4Ql9Lxt6tbQrgcFJfQ8zigyTPFVYAk9 +r9tw/Mq1hJsl9Dwv631beP+BEnred+nF1Ud6q0roeWCjoWV93MQSel54XNTR +ZmNLMT1PDBuza0p1YjE9b7w4kefy8E0xPY9kOK6PNLQrpueVjrFPdFbqFtPz +TEnb3mXyi4vpeWfK7D8LV44spueh6udaRhrWFtHzUt/aK+7OX4voearM4mmR +Za5F9LxVS9RLfs2pInoe65fxLCtMs4ie114YLTipNq+InueOtzJkVvUX0vPe +kbbJ/Y/LCul5sNW+mqfGEYX0vPh0VYyD6sNCep58fnX//cXHCul5s+bM6GfL +NhfS8+glvvfPackU0vPqOMtrPy/8KKDn2Yp3ZEdGFxfQ8+66lIuW4z8W0PPw +GV+5/bbOBfS8/DnnytsuiwJ6nv5N9My8K5sK6Hn7Jc0n5tIyBfQ8PrVPxdGj +N5+e17f+PSSzODCfnufHL/pmVGGaT8/71XcvawyWyqd+gDOBlx18C/KoX4Br +J1uacD+P+glkxM6H/NmYR/0GdrHXYw7/zKV+BJ22mTHsiNz/+xWmV1o+PJFL +/QwwWuyqkWwu9TtMbo/6trkmh/ohCsI8q/TccqhfQnX5Gg9HnRzqp3D08E8u +HJND/RZ3q2LFtiZmUz9GFvvXynKHbOrXkN37cNgDlWzq5yho3bjicFcW9XvE +jHR5YPAhi/pBgvc8yD9mlkX9IqsdexLfSGdRP4lSisSi7rJM6jcxjGclH3HN +pH6UtYvaDnK1M6lfpS5YK9ZXJJP6WebHRfnYJGZQv4v7uUvVh69kUD/Mp0sn +lE6qZlC/zGSxXtPnfenUTxNROwyqQ9Op36ZBTcoVjqdTP07x8A3zEuXSqV/n +YfLfb4eZadTPM63BeuGst2nU75PxSXYFd28a9QNd+qoc2DwljfqF7LOX7fuR +n0r9RDLHlMcveJhK/UZV7NSnp7enUj+SW57gW+GoVOpXWrFCU08/MYX6mZb9 +CZHruJpC/U7TD78S8VFLoX6orBWdqTbCZOqXOnzm94pDn5Opn+rhqwezzc8l +U7/VuR15xreXJlM/lv8Hi6iE9iTq1zomOCQyJTCJ+rnq56yQvmGZRP1ea/W+ +Z4nKJVE/2LuHuzmBDYnUL5Zn+BLMPROpn+yw1vtQ1YOJ1G92spw3Um5WIvWj +ebcemr648jv1q3l7zI3XdP9O/WxXR5VlXdnznfrd9ldempY95Tv1w43yyegw +82NQv1x8wrObh+cxqJ/uwaTzbx94JFC/XcuRda1NsxKoH+9o/1mpc6/iqV+v +z0CjavH0eOrnW175ql7cLY76/Y7E/KxcMCWO+gFF419etnKNpX7B7hn69hWT +Yqmf0MHM29HOJYb6DaefCdKGCTHUjxiuq/Ro1cNv1K/Ys9vp195x36ifEYbH +aL13jqZ+x+1L9yjPFYumfkjBOCerVKev1C8ZqTEzwG3sV+qnLJ5v5v/EKYr6 +LceF75SOGhtF/ZiF3Uapo52/UL+m4+RH+6+LfaF+zmUf77+Y/+Az9XtOkLBW +6R73mfpBV1/t7mY/jKR+0Zxrm+6MnBhJ/aTG22Qjdjz+RP2mq8dULI8R/0T9 +qKN3L0zSfxpB/ap/7hnJTpaMoH5WcQ3lKT/cwqnf9XPpHz0RqXDqh31hNnyt +vcpH6pedwOOeXLY2jPppj4zaHqeyIZT6bZ1Cj4bc3RJC/bhXPd4w5LSCqV93 +osZyh4m6QdTPWz9c+8iWPYHU77vGsv9X0oEP1A/8+rJK3kOzAOoXbpHMMvM6 +/p76ibnyZzb9OetP/caaq8O4Phf9qB95YnjPSFdHX+pX7rK1+ZN234f6md9u +33pqq6s39TvLW2yvFX3tRf3QdsNN4xZpelK/tEVp76/Mfe+on7pnT9lz4ck3 +1G+dZ6gU9PH6K+rH1kzzNul95k792g+ULfJjP7hRP/fR5YqLJiY8o37vn3/k +nJuLXakffPjiX+BS8pj6xYdH+svuG/GQ+sn7wk9JKirfp35zk+nbT4w+cpv6 +0WMthjtyXB2pX925ztDy2b1L1M+udlUhw7TzHPW7D+guLz8cZE798LXl7swO +oQb1yyt/u2zEMTQA9NP7pLYv7MmzAvTba5SoJiUKbQH9+PcO3bsx4+5lQL/+ +bd2fqzY53QD081/pcsy+rnMH0O/vMNx3bJaEE2A/gL3dygvzyh4C9gtoHt6Z +tWXnE8B+Ai+r1r6BJU8B+w3GjNzE2zzhOWA/Qgz3wkPxTjfAfgU7/p2LVjnu +gP0MzmJbzmsHvwLsd0hMMVWLcXoD2A+heMvm9pdj7wD7Jca/7xbboOEJ2E9x +y+TNZgVXL8B+i2qtjJIr170B+zFORL+a5n7aB7BfY9zAfLNLB3wB+zmsFXvF +5bT8APs9hjmPv+K+2h+wH0Rr6q/+Ytn3gP0i43viWirFAwD7SURLy18F/gkA +7De5d0vHckvbB8B+lJRFAX4BZYGA/SprGO1BZUlBgP0srIvBeQVhwYD9Lq6T +f5u/eB0C2A+jv+Ry0qK7oYD9Mn3vddXvnAsD7KcpbVOQ/WjyEbDf5qe0f1OR +bDhgP87s3+ppKq/CAft1Ii7eNb46MQKwn+d27sXMkFsRgP0+CYH5G5J/RAD2 +AznkibO+n/4E2C8UF/Sg2p/1CbCfKJxvbGCzNxKw30hrm+m5hTmRgP1IGh91 +DiZt/AzYr/R0h/cGzS+fAfuZFpR7rfu0+Atgv5Om5tFrIh5fAPuhDHflKGyR +iALslxrHf2RldTcKsJ/KcNHiQxd+RQH2W71Q71I+feYrYD9W0I0/Y3exvgL2 +axlmbxs/zSgasJ/rOM/lUEZmNGC/15PjlgvN138D7AcLDl3p1Br+DbBfrKt2 +acg+uRjAfrIQlaOfI9xjAPvNyp0/pvHEYgH70aAibcz8a7GA/WovPi1/u7Y3 +FrCfbUW21gd18zjAfrcPJ7fqyZfHAfbDyffKR//Vigfsl4vVLBuZFBcP2E+3 +99CIvdbLEgD77bI/qJWO8UkA7Md79ZDt/UCSAdivF1a9nvnrLgOwn2/c9oOR +e34yAPv9Pp6cMSdv1XfAfsBUhRT95jPfAfsFpRqH3RIEfAfsJ3zZNjGln/kd +sN9wiuyshcIZiYD9iNeVN8a07E4E7Ff89vmua8GDRMB+xurLnLiwlETAfsdJ +cZt23fqTCNgPydOwNtBZnQTYL3k7ZjlzknUSYD/lS4bqqIyAJMB+y86VCwou +MJMA+zFTzJwMpWckA/ZrTlJle0frJwP2c6qf8c/Qdk4G7Pd0ylpSWZqUDNgP +Okojq9GoPxmwX1QCEn7krUwB7Cd9F7lWfsOpFMB+U+axQzf9/FIA+1FzZsH0 +EbUpgP2q02LqBUZTUwH7WevurVL23ZUK2O8qYriorOlOKmA/rNz7R/x5CamA +/bJzOld4GgpSAftpL4cWs64tTQPst938cXaBl2UaYD9ukOKXCzEeaYD9uoa/ +FJqyy9IA+3n1EkSWlk5MB+z3jZdIOlimmQ7YD6zwNfN2/rV0wH7hd7FXP32P +SgfsJzYJURYEdqUD9hsfiV9y+MHCDMB+ZCd+4G/zQxmA/cpXjCtqV7/IAOxn +1p9WPXl4XgZgvzM7ODMgeXQmYD/0z2nhYdfWZwL2S4v1u6xaYZcJ2E/dkH1A +uy4kE7Dfulsw7O9NdiZgP/bR8YcOz5XOAuzXFmnZdjnKIAuwn7ui8v4RjQdZ +gP3ednnMublJWYD94FvtR2fs+pUF2C9+1MbHIl05G7Cf/ISNsaja8WzAfvNW +i6QEf69swH70ZI+T7mIV2YD96pt+VnpZTcoB7GcXGenUytDMAex3T5/Xfl38 +Wg5gP3zRt+M2+7/kAPbLnzK0yH/XkQPYT/83dZV/lWwuYL/9TEOFEZMP5AL2 +42+tduJtfJoL2K8fynvqfCIzF7Cf/8OsK0WPhuUB9vuLFttWBanmAeYB7M7y +Ck88kweYF5A+Yv7RIv88wDwBHd7EYbU1eYB5A8s/2bs1TMkHzCNInGShzNyR +D5hXUJJS0VBxIx8wz2Du09zP2dH5gHkHlyxUo6O78wHzEIQJw//oTikAzEtg +bxuf8n1pAWCegvp5xeilOwoA8xYWaO1kvrIoAMxjmB63b8uIGwWAeQ2vU1Rb +jr0tAMxzGHMmtzTrawFg3sOG1l8SisUFgHkQ2zw83t/vKgDMi+ha/9y9cWwh +YJ6EUfHnDvUFhYB5E9dLy0JcNxYC5lGkrcmrYx8oBMyr2ON4ymmVfSFgnsXz +fMfIW08LAfMuXPrLj+aHFgLmYWi/XectlVkImJexaLa9zWF2IWCexsLiXXW+ +fwsB8zbURg2ucmcWAeZx3B9R77VgdRFgXkfgGunRR/WLAPM8mtX7Z3ucKgLM ++7CuXcMvu1cEmAfyUP2JywTfIsC8kIKimN5NCUWAeSI7Hp9dcL6yCDBvJLT2 +2HI/XhFgHsnVuD0ziiYVA+aVrMntbPqjUAyYZ3LTguW5SLMYMO9khxd7h+6R +YsA8FMEZv67zV4oB81KeBBW4vnQvBsxT6ZSbrh7zqRgwb6Xhm1xvZW4xYB7L +CVP/GGFLMWBeS4v6Vo8po0oA81z0QoN9lOaUAOa9nDS/k6ehVgKYBzPlnIO8 +yZ4SwLwYe8OVX8+eLQHMk7GU2Hfj1oMSwLwZz81PHj1/XwKYR7Ng/i2Wb2IJ +YF6Nl1/53YiaEsA8G8YdlRvxwhLAvJuA/Rsr0iVKAfNwLH29nxYolQLm5djV +DESVby8FzNNZ/2RAu/ZoKWDeTpDVtn0N10oB83hyNzqyGl+VAub1XFut18v+ +XAqY5yMRd+gBO78UMO9nbpDBV1ZbKWAe0Hb98sv1o8sA84IkHj6tr5pbBpgn +VFGwtLVEvQwwbyh2i5FnrlEZYB5RYEakaOq5MsC8ogtTuYqxD8sA84xGp3yf +FB5QBph3dNE8K8Y3qQwwD+nG44SVL2rLAPOSYn7svHHvRxlgntKUGwuD7KeU +A+YtGWj9+Wy5tBwwjyks5fYHQ61ywLwm6+xzDzaZlwPmOUWFOpgrXS8HzHvS +1tyrJvW6HDAP6tGExCkjvpQD5kWdW2IrbMsvB8yTevdYoaOorRwwb+rFL/8f +30ZXAOZRSc56L+s1twIwr+rg207bO+oVgHlWmWqbeo4bVQDmXZmUH/Pcda4C +MA/rygOF+8sfVgDmZSXGGUZIBFQA5mk1Lf88j5dYAZi3VT5vBrOkpgIwj6tK +34j7WVgBmNdVP2eT2XOJSsA8r6Y3ESttlSoB874ev7l5Rn97JWAeWEqe64xl +RysB88IelTDUx1+rBMwT+6vbU93yshIwb2zfn7HDUyMrAfPIVqULIrzyKgHz +yoTVvvzLrZWAeWY7k4cXG42qAsw7q7Adpa88pwowD03yuO+FcWpVgHlp275V +7uAYVgHmqX1SfF+SYF0FmLfWpDNu1kvnKsA8tq2PJy04518FmNfmtyv+p9bg +vMI8N1XBfK/51VWAeW/O0w3m9POrAPPg4i+ZXysWrwbMi+taZZMSrFgNmCdX +mPvmxy3NasC8uUtbRs83OVINmEd3QLl8m8qVasC8OgfWhnPj3asB8+wqTpzx +Z0dUA+bdjf/+tj0upxowD29O0Whtt8E6iXl5bn5tWadH1ADm6UUfc7XRlKkB +zNuTeaqhNWdNDWAe3+Ffe41+7K4BzOuT7p3tXXi6BjDPT+WAYHnw/RrAvD/1 +HJhwx7cGMA9Qzdts/eGEGsC8wJBZORlrK2sA8wS/XumOkuTVAOYNfpurOaln +kHuYRzjhjW5l9uJawLxCmSJX6Q9bawHzDBmzXjFvH64FzDssMf6xxMyhFjAP +EaZrjd3oVguYlyjlr3h1dngtYJ7i+jXbnvzJqgXMW5Qfs2NHLacWMI9xtlXr +p/hhdYB5jYHdDYUes+oA8xytHwvDHFfXAeY9Gnzh6x/VrwPMg/xz4mOS5qk6 +wLzIULu/ov90FOZJ2lzIWCLuUweYNxklxl8liKsDzKNU6bRfUlteB5hXuXH6 +TqnUvjrAPMtLrPPDwybUA+ZdNigMdLvL1wPmYRqc+91+c0s9YF7msYGHf84c +qgfM04wdXbbE5FI9YN5maKbIzR3P6wHzOB0Ye0erfawHzOusjBRjKGTVA+Z5 +/ug+8VWaUw+Y93n9o2X/mEFdjnmgP40zNt+cwATMC52WMXH1n5lMwDzR6Xts +Ky8Orgswb/SOg4oyfxUTMI90Ltttk80WJmBe6YTbzIk9ekzAPFPtALN3Zw4x +AfNOLcXO9naeZALmoZ7vOjLpzCUmYF6q4VL3/q67TMA81dWG52POPmcC5q2e ++HhUj+vNBMxjvXKiNPHCRyZgXutrb5jSP7jOwzxXzUuztRyzmIB5r/tX8Y6J +VDAB82AN9LTOPxpcZ2Je7O+nITZTuUzAPNnDSyKPeQxrAMybnZz1Y6/84DoX +82hLw+dsj5zVAJhX61pasA4WNwDm2X4QxKrlrG4AzLv1+eKuuX9rA2Ae7rqI +eRat+g2AebklnrPfXDrcAJinq3Jif6fY6QbAvN1EzQ+m7xwaAPN4JUpZP5ff +bwDM6+1Y2xGf6tYAmOfrrx4WccC3ATDvl39oZlVfeANgHvDKUQrrHiQ0AOYF +i9ypq5HLaQDME762e1Mio7IBMG84dIp514HmBsA84tAU0+M/eQ2AecULyoxV +X45gAeYZb93lcHCNOAsw73gLm8+slGEB5iHvVxybfkWRBZiXrBn0U3LeWhZg +nvLOEdMK0jRZgHnLGeJRf04bsgDzmDUl1H2nmbEA85qV0ialfbdmAeY5c59+ +OHnqKgsw77kv6NarGQ9YgHnQmcqL92S8ZAHmRZuaKfpdfM8CzJO++WXhQ4XP +LMC86fljkyTqElmAedTrMsLWP8tnAeZVW04JlNhRywLMsx7VZu8+op0FmHe9 +2rCzMO4HCzAP2+ZRU/pFkUbAvOzKZTuvr5ZsBMzT1jCVGODPawTM257Rv2bH +12WNgHncxYnZxx3WNwLmdVs4scxAuxEwz/vQcW91EeNGwLzvhvY1v/IsGwHz +wG02NPm9Ot8ImBf+7ih3k+XNRsA8cUeLr+UqTxoB88aXO704NdqjETCPXPLW +iDEVwY2AeeVyIQ6hId8aAfPM5615aHkrvREw7zz9VNEak9JGwDz035UCBdXG +RsC8dJFnEVskexsB89RzrDKduAONgHnrCxdIjiodzwbMY9dKv/AteiYbMK9d +P5ET7iHPBsxzb1tt0Xt3NRsw733J+rE3z21lA+bBB8wSHD+0mw2YF//BxTR8 +lykbME8+VczFBM6wAfPmg4eX2q28wgbMo98i/26kgjMbMK9+ecLhCbIv2YB5 +9sFlAa9nv2cD5t3n+UhESn9mA+bh68XrHpJJYgPm5Sfaz/ObW8AGzNPftPjg +w4V1bMC8/cpr7bOXdbAB8/j35WYcVfvFBszrbzEffXS7KAcwz39TU6qc8TQO +YN7/21dbIk7JcQCfB3A6PUf81goO4PMC2BKp2m83cgCfJ7CnzP9EtA4H8HkD +9jcG7MpNOIDPIwj9ef7CzxMcwOcVrP2den72JQ7g8wwe30y9pHmPA/i8gzj5 +wy7n3DiAz0MQuL2I9fTlAD4v4VTzPbHCCA7g8xQMZKxuj/7OAXzegpHznRXr +8ziAz2Ow0tg++2INB/B5DaIeK/Sj2jiAz3OY+ayhRPiDA/i8B72dEyLXjWkC +fB7EthdO/NtTmwCfF3HwiOb7AtkmwOdJmG7Ry5+zognweRMyvwscbDY2AT6P +ouf3pMgMnSbA51WYcW1uzj/YBPg8i3aja9zrJ5sAn3fx42epeMOlJsDnYWzw +WM/RuP+/nu7+H+tDj+O4biRhydSoKaS56zEVlZvO3pRYdE1jSTVTtEI3xNE0 +3cyp0ylK9ig7NKnDVQ8NxWrdu8vWis3ZMbp1lKbr+72+1933onKT7Pz0Pj9+ +Hp/P5/F4/QdPFehlZB8uyjr/jQr0NB56GbqmnlGB3sbpS59Pzb2oAj0O24oQ +vz8bVaDX8XvVSMgXv6lAz+NDy+kRfV0q0Pvw3Lk6Nl2nAj2QObU5u/tfq0Av +xHRbzY29EwTQE2k41zfT0l4AvZHueyW3il0F0CMxT3JTzl0ggF5JznfvdzQH +C6Bn8ipnZ3xilAB6J11pr2MmxAugh9LxbttP1akC6KW8TA+9vHavAHoqZplt +Cy3yBNBbyXa1i6kvFkCPZV+K1iWzQgC9FlPnqMr51wXQc8no/Wq4/44Aei/P +Mjtn1t8XQA/GZNGfc3JVAujF+Ny6PO/TlwLoyTw5Z+o7b6wIejPed11WWL0t +gh5N48r9OTonEfRqLG1/fdU2RwQ9m4Sj3cpaiKB3E77mibLqIxH0cGpXOZqV +xYqgl5Nntajz5BYR9HQUJubzS7JE0NvpsStxVuaIoMcTa/vJ5QtFIuj1zBq5 +PaqxXAQ9H+cf7k95cEXE/72fXVVmL2+LoAe0tOCK6p17IugFWWvO3w58LoKe +0P2mf15PeSGC3lDlvqYO5Rg16BHZZbV5PrVRg17Rf5p++M3JWQ16Rg89ax4k +zVWD3lGBV2zM1UA16CGtG3Nug9UKNeglBVR12iTFqUFPycvr28yWbWrQW7Js +6qnw2aMGPab33OyblEfUoNfUNmaofdpJNeg5KVZWDRRVqkHvqetN/dIZN9Wg +BzUc69Ze0aIGvaiI8ctu4rEa9KSSc/umPJbUoDflE7X5ze4hNehRiaWJh9wm +SKBXVVc+reORvQR6ViGVXq+Pu0ugd5Uf0zpllZ8EeljRM8uWOC2TQC9rdVvX +yb4YCfS04BiwuDVRAr2tyL+NKGoyJdDj2m9t8qT4oAR6XUu/rnj760IJ9Lyq +vzO1yCuXQO/rZ//qnmNXJdADS3mgbyi9I4FemP3eiobrDyTQE9NuzDLtFCXQ +GyuxUNaMG5RAj2zsBkfR31wDemX1y8/+kmmvAT2zT9wq9zW4a0DvLPzKtnk2 +/hrQQzPx7B/ZGqYBvbRXGU7W7Ws0oKd2vbP0SPBmDeit/bXZ+nR9lgb02I5r +hdSlhzWg1zat6JjHvWIN6LlF7ssY2F6lAb034y3dOPs6DejBCXMT9rW0akAv +7tNZy4sPPdGAntzpAwuzo2QN6M3N//hevPsoLejRhWaZplvYaEGvLvGjBz2D +zlrQszu1oEz7wlsLencb3zJrGA7Wgh7eF/rhgknRWtDL6zn47Kz3Ji3o6cW9 +84/ZCZla0NvzeO4bf/qQFvT4Lu7+fb/mhBb0+o4fam0LrtSCnt8HGxSHK2u1 +oPeXmuHV5/xvLegBRq9xCi9/qgW9wNGa8w8X9WpBT3DYPVr1dIwO9Ab3zP72 ++vHJOtAj9LNwKI1x1YFeYZ62QprtpwM9w4P+63omhetA7zDI7mK3WawO9BBH +PAsXTkzRgV5id3vhX9yydaCnONwjK6KO6UBv8dRQ5U/5Z3Sgx9hS0Dy2+4oO +9BpXmK/ZFtysAz1HZVJp4o1OHeg9flkSGhti0IEeZGx92rXno/SgFzlDGNYV +2epBT7KuqjglwVUPepN/7JpyZbG/HvQob/anBPkq9KBXqbj0Xn7wOj3oWQbm +JLsnputB7/JC49rCsgN60MOsSVds7S/Sg15mzg7LDzZU6UFPc6Wv51mpQQ96 +m34vI0Nz2/WgxxnWeOJuiKgHvU4PTc9jh2E96HmmhS3xmWRtAL1Pd4eEfCcX +A+iB7nAfdTnC1wB6od66jenFyw2gJzrnpvHU+PUG0Bs1u6N8tyDDAHqkqzx+ +bl+cYwC9UtfZR+tsThlAz9Tg2fnY9JIB9E7Dq00CHO4aQA81KrGkf3WXAfRS +F0/+JqC2zwB6qutbxzkqx8qgt9qVGOq6Z6IMeqz2esf1W6fKoNf65bKy/Wmz +ZNBzzQuzi8qbI4Pea2BQR2FjgAx6sK7nnd+3CpVBL7bPsGhge6QMerIDiu2S +HCuD3qyrYq1ZbpIMerSFz0PigzJk0Ku1GrzpODlbBj3bHZ8F7jI7IoPe7fI3 +i3+0L5JBD9fh0dp1ijMy6OVeqLjTVlYjg56uSUXojel1MujtBkgxj+qbZdDj +Tb0xaHvovgx6vddq5cxdPTLo+boH3w4qNsqg9ztuWW6XNCKDHvC17UUDyZZG +0Aueuik7a8ZUI+gJ29xJLrFwM4LesP/WAMO8BUbQI+4PeBFXFGwEveKYu23R +S6KMoGfsN+ZF4/x4I+gdu3ikee3YbgQ95M/jIjQTso2gl/ysa9Dldb4R9JSP +pUjTI/5lBL1lfbNqs/X3RtBjlleORliTEfSaT5b+0WHewf6D2Dl0YXycwN4D +aCiPnBQ7xL79cPqx+Oy0t3pBL3rinv+euOrcC3rSyUc+/HiNby/oTV/d4qP0 +jugFPWq/+MYtOZt6Qa86rP7vAU3Z/E/FV78c3ZxZwvtE2Fm13K+q434dRqzz +FnzWw3kFTlePbj1j24f/AcRisJg= + "]]}}]}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJw923VYFF0bBnATO19QxFZsQRHsuG3FALExUbEDFRG7C1RCUWxapFYUA0Uc +CenubliWVHdnxsRvwfN8/OO1FzC7M3PO/btxnx241Wz59hbNmjXLadmsWeO/ +v72+pizdugxz0tqPsPki5/49NkHc6Yjgrb0V3M8zez4cub0LNnMMNztNVbDv +H8STm5dXmKxWcCdVJQuKTxyB7eKjy5z3088fw6CxYWO3XVBwx2VDddr6nkLP +i2MN59yh3z8Hc9P20zyeKriphYY3HQ9cwKHAoUkJb+h4l/Buh335t88K7r1+ +xxajrl4BtuoWTkyj41/DOmlX26BiBTf5VdTRj07W0Kt47nOnjp7vBkQj/wPZ +PxVcYL/L1UaBNlhZVf38rgrPnt8OdpeDfXO68dyj8AH+h3fZI/ZBjaN/H569 +nltYE/at5aBhPJf7o+kJcd3897iJOjx7fQ5ou3XUSnEKz/Uek6+pcv4Ofot6 +Abvm8uz13kW0dUW+3VKeW2fadER8lXUJPbKaZ6//Hri1Lp/VN/Pcg/tNX5g5 +0SDn3E6enc8D1LhLbvqb8Vx2grii1OMhHFY86uFjybPze4SkGGMT87M816vV +6s5aLx9j37pv/3W8yrPzfQKrw8OdLW14bu2/A8LYc/zMwDt0/s4Y7G7fPOkR +zy2ZaDXLpNwZbW9VRX1yo+vhglrNQ6tvePNcjVHjEV3QpoWDvt4Luj6ueNRj +lub7tzx3fZ/0l9dXV8Tu5Jb2/0jXyw2XPceqbQ/nuVFXeyhPwQ25MyMDbsTQ +9XPHaPMgA8cknot1mfdG/scdlhvb/ricQdfTA25Hvr8yzuO5xqul3uopMgKv +WvxXQtf3KdDepf8rKc+1z3Q7OL29J0x1p16fVkvX2xNb7xpf8vvGc96Np9v1 +Gf7kyWPbfKfr/wxeDpHqS/7w3KLGw/XwQrepPdRPthDY/fBCYJXRgTttBK7p +cvfxxhub928fdhTY/fFG8fzaazbdBK7x6iUN8kHowZ1mB3oI7H75QPtRw9ep +vQVuxAbbUH64L6ZfN7AX+wvs/vli59R+US6aAhetPJrGGD+syfrQYeoIgd1P +P2zavCYuTEvgdtnXnsJ4Cda6L7CYNk5g91eC7as27veYIHDKF6c85ecItxrY +8+8Ugd3v5zAzVkvWh8CtVC4e5S1FQG01f3mOwO6/P16ZfcoLWCBw7sqrl+nm +j51jFmanLhbYevCHx8rm0yoMBU7xJ+2YbOgLVGzbtLx6hcDWxwtoZNftKF0j +cHObFsALRKpeDklYL7D18gLFycaefpsFTnnzGk8IOau01p/bJrD18xLhV3f0 +XbBT4P69vJc433Ps6BZ7BbaeXmJEzu2wFwcETrfpKwBhpx36rj4ssPUVgGkB +8w7XWwjcxU8xyhUUgAHVJeLp4wJbbwFQ58pKmp8WuFTl3VdeE9T1V9tx8pzA +1t8raG5yfVl1UeAGNx3wFTQG6nw3vCqw9fgKJptrTX2sBc686Qa8htbuB0P+ +3BTY+nyNaFXX7fPsBS6MH668w6+xsvdgw0sOAluvb7AxoKH9e0eB6/7vBcLf +ovxTxQOBrd83iHLc5tj+icA1vbqoN7C6UhMwxEVg6/ktelWXT5nkLnAvH9d7 +Rix+i2aDXxrO9hTY+n6LNnrvVed6C1yLphN+izdzLH2m+wlsvQci7f5JvTH+ +Arf834KBn97JRPUAga3/QFjzKx7+fC1wro2nmxaIeXvV3qQFCmw/vMO5mW2n +ewYJ3LfGw619h5fLXy85/JH2xzukHq1pNiFE4GY3Lv/cd5j1JebItzDaL+/x +93FIqGeEwDUt303vYVzyoNXqaNo/7/Fg4bkVf2IFrvhE4w15j6U1fyMfJ9B+ +CoKhR7cTE5MFTqdpgQch7lfv8zGptL+CUFfxXb46Q+DO35mjXDJBUDf+WZmX +RfvtA1Qf1VlsyBW45EFNNxgBZzX90/Np/32A2kYz34VFAjewMf1rPyDwy8iz +r0toPwZjeSdM61MucIcat8fBYGwcMVg8JaX9GQy16OLQTJnAhTTeXXkw7kX0 +fjm6hvbrR8Qc75B5sk7guv7bkNgTcnXO5y+0fz8is06lXTu5wDVdvh8fkXTH +ZdICnvYzh2Oz1ErPigLX9PJOcUjrEqke8IP2N4civQhZ0S+Ba9b09QlbdiRs +b9dA+/0TNussejS6mcg9i1is3NGfsHrKqkeLWohs/39C690fj2xrJXLLlLtR +uUbh36y9nqWKyPLgEz56mFdebity3xv1Cf+ErUZfnGzbiywfPkFbb+wuh44i +56zRuCFCMOqas4FDZ5HlRQgmr9hvYttV5BY23eAQLNS46H25u8jyIwQDrthN +tlQVuS+ejS8wBHo/22iY9hBZnoRgSL+Ba5eoi9y9xlcXE4Ld63L+jtEQWb6E +wGfhzz5d+ohc4+LS7xiKZerdgmR9RZY3oXCXHi/n+otcY5qkLA1Fl+4bPO0H +iix/QpFu7tth82CRa1wtyiUDHzftvsOHiCyPQuE86GhFzVCRazrdJOXjC9JD +fsNFlk+h+HnxXfTukSLXdLjuYZg0UhAHjhZZXoXBMeqkSoaWyDXF0YownFJp +aLg8RmT5FYb+eSrVOjoi968+hCH4qpiaPU5keRaG+yfbhZ3WE7mmOMgMg8o8 +w5C+E0SWb2H4tO915ruJIne2KXDCEbasZZflk0WWd+EQxVyziikiN6xpA4Uj +tPBjC8tpIsu/cKSEtU1qOUPkEpvqRTgMTBSFytbE8jAcN7UfTuk+S+QsG7dD +fjge9xGkt2eLLB/DIUFBfbe5Ite/cTn3+wyn853W3Zgnsrz8jGT9/totF4hc +ZOPyM/mMDQPtzY8uFFl+fkbZ8jCdCn2RM2sKyM/of+WH2fLFIsvTz5i6Zv34 +oCUi17NpwysfVymuDTAQWb5G4NSJt4cuGIpc08vTjMDRiuNC0TKR5W0EUif+ +6DttucjtaPqKQJfh2XW3V4gsfyPg6uJuVrlS5BrLkzJhUTY94enk1SLL4wi8 +4/wfXF0jco3peEwWgaHXbY1S1oosnyNR3ssxudc6kdvUdMBItN+fP2DTepHl +dSRyTm6d57RB5FSaAioShU5d5+VvFFl+R2Jdw4ch6ptFrvFuqEoioV4/rNbQ +RGR5HolEkz/Ol7aIXFO7q49Em6np899sFVm+R8ErWLesbJvINTRuN50o6BdY +nem6XWR5HwUtt/G9J+8QuaeNy/lwFCacusBt2imy/I+C732vg+d3iZzBvxNG +w8wUXZfdIvMgCjf6d+/8cY/ICY23g4/CpYf7W2XtFZkP0SgblNW7fp/IPWk8 +3YnRcDqmZ9zygMi8iEa3ZqtCVM1Ebn7TBYyGr8rvtYMPisyPaLTsmKc55pDI +1TXxEA3ttvdGTDosMk+i4eEftneGucjdbYz3X9G4FlRaP+uIyHyJwb6BB97P +thC5pnieHoPXG2MSZx4VmTcxuOJnpDfNUuSa4vVMDAyWGtboHROZPzFo+brZ +75HHRe5f/MVAJfXOzn4nROZRDOLn6eh2OSlyTfHSIhaLHCq3/lE+/udTLHpv +D/9ZeUrkmrbvnFg4vPrUkHxaZF7FwqVd/OHAMyLXtD0ux+KaV9y6R2dF5lcs +nsTcfX/6nMj9W36xKPws3NlwXmSexcJ2ge+XSRdELr3x9raNQ+yZvQndL4rM +tzgMX5I/rkr5+HRTAMZhrZnTQO6SyLyLgzRg7hP7yyI3pGkBxqFN31W+W66I +zL84nO1qYDjmqsjFxzV94eM5V+ufysf/PIxD1x5BW8KuiZxFU6DGo8UaIdPK +SmQ+xqN50rMvS61Frm/Tgo7HoCl9n3e5LjIv46Hj4tAjUfn4c9OfV/FouDZ/ +5I0bIvMzHvXdttTOvyly+5sCOh5Zfdpt+6t8/M/TeIw6sM7mtY3INcWVagIO +Ju08vNtWZL4moOeEqd1724lcUxysToB3TvrBGOXjf94mYPm2sfaW9iL378+v +BEQ1TDk66JbI/E3A00v5g2KVjzv+23DQdBDvH7otMo8TMO2lSYGag8g1LReN +ROjvrPz2Vvn4n8+J6LBhdc6aOyLXdDs2JELr6B5HXvn4n9eJmK7IG21/V+T+ +/XmWiCFWmx6PdBSZ34kYYHmvOkT5uOlwhYnQ8x6tseaeyDxPgnbK61FV92i/ +J2H4qchBJ++LzPck3BtV17z9A9r/Sag5/Dz27gPyPglvOlhfGPiQ8iAJe+r4 +4d4Pyf8kSHabhox9RPmQhNmTZxq8fkR9IAkXHtUmT3xMeZGEs/tiDAIfUz9I +QpCOduSEJ5QfSTg9ec/0gCfUF5IQ7x0doOVEeZKEzg/tRz91ov6QhJmDmnv3 +caZ8SULFASNte2fqE8l4kP06qKUL5U0y9L+vX3nEhfpFMtRe7P1Z4kL5k4yv +S9r4G7pS30hGhdViy/eulEfJaOtnuHywG/WPZAxwGDLH2o3yKRmdIrMX17tR +H0lGxjXzA8vdKa+ScaJS4RvgTv0kGQeT17X7z4PyKxk1vR9fPuhBfUX5+48k +g+M8KM+ScXn86fIhT6m/JGNC6de4008p35KBhC+5qU+pz6Sgs7Gx6nBPyrsU +ZGurHDvhSf0mBZrL49vGelL+paBh5LmoXs+o76Sg7Z3qwB3PKA9TML82M/fF +M+o/KQjo30731zPKxxQ4v5rxabYX9aEUFOXrXr7mRXmZgjcXnS7GeVE/SsET +I73gzt6UnylIDnXWNfSmvpQCD23bypvelKcpeJvmkR/jTf0pBeWVV1RVfChf +U6HmlGQDH+pTqUif0NfA0ofyNhURKi1X+vlQv0pF6VVV12Ifyt9U2JoUTVb1 +pb6ViqtmX/vM86U8TsXjjIQlR3ypf6XCqPXvGBdfyudUzAr7fi/el/pYKnZN +mRUo+lJep2J2c4ORA/yon6UiXNPhy3w/yu9UDBt3ueM+P+pryuebaXvW1o/y +PBXJP/ssfuFH/S0Vhs/uHEz2o3xPQ+iEjV/r/ajPpaHbnLSEjhLK+zRsHLS2 +/XAJ9bs0pFfN9pglofxPw9FT1c7GEup7aXhg5dFgJiEP0nDMKYS7JKH+l4bj +2jfLHSXkQxoO3lp+yEtCfTAN7zWMTd9JyIs0TOlezkVKqB+mYWLkxMtpEvIj +DZq3bV8XSqgvpmFeybDlMgl5kobJUydv+Cqh/pgGs/k/0kQJ+ZKOo+kPgn9L +qE+mK/ve0p7NnpM36Rj+EaXNn1O/TIdW9INBLZ6TP+nwi7qS0fjz//pmOpZH +DG/WICGP0hHbz835h4T6ZzoOXlN7J5eQT+lI7vV4UY2E+qjy+ZatXF0qIa/S +Eay2Ij9LQv00HSOjJEVxEvIrHfYzTmzhJNRX0/Em8bOJv4Q8S0ebtU6FThLq +rxmwQ/fCmxLyLQOpZ4eZnJBQn83Afa/ybdsl5F0GnKqWVRtIqN9mYOp/e8UJ +EvIvA5UPda36SqjvZiDU29OlhYQ8zIBMPXRWhR/13wzkWZ7cE+VHPmbgTY+Y +Pl5+1IczsLmNq/E1P/IyA5LB/MAdftSPM3C4e8DR2X7kZwYOLIle29eP+nIG +5g8cHC34kqcZWPvBKyrBl/pzJi6NmLvWw5d8zYTUOPPYCV/q05m4MHz+KANf +8jYTGZsOHRzgS/06E3vfTdT/6kP+ZsKwxuLNJx/q25k46anyzsaHPM6E3sNQ +o/U+1L8zYXzq3PmhPuRzJlzaqul/8aY+nonY9iu8Ar3J60yEb+rgdtab+nkm +3vMdJ87zJr8zMTRHc0c7b+rrmQjV7afTmJf/PM/CycWh9256kedZMLDNerzU +izzPQt+7Q2d19CLPs3Bw8MGL0c/I8yxMGmW5/fIz8jwLh9J/SfGMPM9C4vkP +HX54kudZ0I+1SPb3JM+z4HW9ZPJOT/I8C20Kg+b18STPszBl2Ds+8Sl5noVZ +XewWXXhKnmfByUYxV/cpeZ4Fz5p75SUe5HkWIsK0tOw9yPMsBLfa2meGB3me +jfgfJQEyd/I8G6X6GxS33cnzbPj+siyY5k6eZ0NyrOBwmRt5no15dhNeNnr9 +z/Ns+JwY8njM/z3PRnGugV6qK3meDaeIlccsXMnzbIzhMnf3cCXPs7Hg49F2 +b1zI82xk6CauWelCnmcjtN3pVV+dyXPl8z3VaHnTmTzPxt0vM7cMcybPs+Fo +ePXgJyfyPBsW/hd11jqR5zmYejXGve4JeZ6DmOS6mItPyPMcbNW44N7zCXme +g14nVMd5PybPc7D6od6RqY/J8xzgg9ne2EfkeQ7UXxipr3tEnufAq8L0pPQh +eZ6DG6bj7pg/JM9zcC5x1o6GB+R5DiR7e9VffUCe56Cs34yxXR+Q5zlIOqw7 +0vE+eZ4DHceT+X3uk+c5+LHNcZHLPfI8F0fWqxzWvEee52Kz7/yVTx3J81z8 +1E3/OtSRPM+F+jmtxU/vkue56JccbaJ5lzzPhUqfwboud8jzXKzs+CK0zx3y +PBetVaq6ODqQ57k4PWxO764O5HkudiweW371NnmeC9v2mgcabpHnuQgNtn1j +fos8z4Xj2dKPUnvyPBcTtG2urrMnz3Ohuf9P1zg78lx5Pk8fr59mR57noV+X +nJ0+tuR5Hizc+kzoZUue56GzdkLEZRvyPA9R81drfL1JnuehfmVvnfU3yfM8 +5Npd6BB+gzzPw+nWX7xG3SDP8yC3j+h46zp5noeu1Tv1RGvyPA95j/QGrrcm +z/Og2vFGarAVeZ4Hd8u4xf2tyPM89Pi53PrsNfI8D82vn7Qt+P/fh3k40sxl +/bSr5HkeVnTtW3/vCnmej3dGCxcpLpPn+TCacWK/wWXyPB+euu2MPS+R5/mw +OLKo09+L5Hk+vo64Zb3qInmejy7bdZO9L5Dn+Qjuf6644Tx5no/BM6KDjM6T +5/lIt91k4naOPM+HSrJNovwseZ4Pfx/PdnPOkuf5CAnkO9ufIc/zMel8eGH+ +afI8H/PPbjo14jR5no9d/TuVm58izwtgGaKuEXySPC/Ax3dRvVufJM8L0Gz5 +tqrFJ8jzAlyK0bpif5w8L8B/6/fVpx8jzwvwS3fr0F7HyPMCtDhrNGq9JXle +AFOTkw0Pj5LnBQgS+zvnWpDnBZCbnOmqYUGeF2DM6zTDNUfI8wL4djDZdNuc +PC+A+4kN0xIOk+cFGKneuqrNYfK8AL9/3t458xB5XoCNU2e/tjxInheiVL4g +1c+MPC/E9Nl5ISUHyPNCtFg4/nyPA+R5IQ6MutZNfz95XojB6u3MT+wjzwtR +gWoP773keSFW5pp4Z+8hzwtxZ+TDc232kOeF6HA0ZrjebvK8EM269HPbvIs8 +L8TiZeH1VjvJ80J8fVLVOWAHeV6I+D3BzXK3k+eFGDhm1+fm28nzQow3V9s4 +zJQ8L0J1TF3k4m2034uwNLOPitn//3+uCOoLInvab6H9XwT9TNU/L0zI+yK4 +rBj1Jnkz5UERBjmOmfNlE/lfBIcb89w7baJ8KMJ3bbvsERupDxShubVO6dwN +lBdFuPp+UUjj/z/+6wdFEOp+mVuuo/wowtudpr9sjKkvFOG+pesaj7WUJ0UY +fyn12vs11B+KsERF1TZhNeVLEYZbn91TvIr6RBG+PB/bW76S8qYIzUbPcmm5 +kvpFEaZERv/uvoLypwirN5doDVxOfUN5PKmLnrYR5VERanb06zZlGfWPIlz6 +vS9sriHlUxE+5bosNjCgPlIEW4Mkr9VLKa+KMOd1+4KNS6ifFOHDla2V2xZT +fhXBeVll1K5F1FeKYP3W5+w+fcqzIoy2DOpotpD6SxHObR1y8OACyrcilG4T +vA7Opz5TjKmnp7w3m0d5V4zOUb+d98+lflMMJ4sFm/bMofwrxt+Ewd+2z6a+ +U4zB4x9sMJlFeViMmgEvHxvPpP5TjImDD782AuVjMYrLCt0WzqA+VIyYoc12 +z5hOeVmMjJCMVrrTqB8VQ/Z0h+XQqZSfxcgrePFJfQr1pWIcs35X1G4y5Wkx +tpSczvwxkfpTMdpNbHCvnED5WqzsO5P0M8ZTn1I+v82o8FA9yttiVD5LUZPo +Ur8qxs3aETPujaP8LYbDoNHTz+tQ31L+vGZq991jKY+LsaayJ2c4hvpXMXZb +fps1XpvyuRjD5cYPe2lRH1Oe3625sb9HUV4X4z93z+SCkdTPilFiZSnhRlB+ +K693sOcWp+HU15TXO3Zk9elhlOfFMDb+tmj9UOpvxZjct/7cxCGU7yXgSrve +7K5Jfa4Eo2/ON6sZRHlfguOdzgz7PJD6XQm+73V//WgA5X8JvoV69DLvT32v +BPrYv2JhP/KgBG0HlG/t05f6Xwl+vxYW1PcmH0owZ5t1ixAN6oMlyHhw9ZZ9 +L/KiBGpFaaKJOvXDEsyLWDNuTE/yQ/n9L4pZv9WoL5agdxe7oVGq5Iny50s7 +FN36j/pjCVZMWXRgQ3fyRfn9+lHJmt2oT5bgs8yubU0X8qYEdn3Xqr7sTP2y +BDlWmxVHO5E/JXg26dKzKR2pb5ZAZ4br2D/tyaMSjAt0uPGxHfXPEkyLH//h +TFvyqQTtfTdx09tQHy1BiLX0zq/W5FUJpj/1RGAr6qclWDrL4oN5S/KrBHVu +Qzpqt6C+WoJrGje1pc3IM+X14M8MdvorsP5aisNX4qtWNdD78aVYOHjRpQ5/ +BNZnS2E+8HM990tg3pXib0YnLfOf9P51KQzuf5s65IfA/CvFqA8z+2SINM9Q +iiK78PjLAr3fW4pZ2/WX6fEC67+leHPhvluxnN7/L8V0rTORN78JrA+XYpcr +FzTpq8C8LIWx+pBzJfX0frny9z8cUb1eJzA/S+EYYH5sXC3NT5TiYI8Kn6xq +en+5FOI3G8npKoH151KoLBp7bqCM5g2UP9/5+oBwqcD6dCnyB2+23V4hMG9L +cWvj3rjW5fT+fCnmnN6f6V4qMH9LkaozyH92Cc1rlEK1x7zVhUX0fnYpLjy3 +iz5RKLD+XQph38d2agU031CKFR5XekjyBNbHS6F717l+Xq7AvC7Fpa2hDnnZ +NA9QiuHdXFofzhKY36Wwvv5llkomzYeUQufRNv376fT+eRkW1fr0HpUmMM/L +MKLNicCgFJqnKEOcwen+i5MF5nkZlrcyXZadSO+3l2HPrNxFOxJo/qAMn0Sb +zt/iBOZ5GbbWj3h4KpbmUcrQ7/OqL61jBOZ5GbIq33eyiRKY52UoeNhMrhpJ +8xtlGF0f5/Tgs8A8L8PbkPD/+ofTPE8Z3D5eN3ANpXmHMpxcH26oGSIwz8uw +MaNLTw+O5l/KMFivs7vmR4F5XoYLMzYJrh8E5nkZ+l943GlAEM2LlMFjyS7Z +w3cC87wMsd7GVj0CaX6oDKt3dKi1fUPzFWXo1qqHatvXAvO8DOEhXRvOBNC8 +TRnUHey9FS8E5nkZzmhq9drtLzDPy6CSeFQ/T0LzKWUY59xsqoGfwDwvw8fl +62s/+tC8Uhm2L+1hou1N8xxluO3M3Xr0TGCel6F3q7JL7TxpvqcMuWmdJ1h4 +CMzzcmzoHuNR6CYwz8uxfcOT1IWuNA9TDusv3Tl/Z4F5Xg5fT7+dPZ1oPqoc +R+Xf4k49pvmRcsTlbq8reigwz8sx7vfV2DkPaJ6oHMPOZ2/zuCcwz8txakj2 +m9aOAvO8HA/HtAo3vUPzN+WIPpBhHXpbYJ6XY9WkmPb9b9E8VjliTNfOOWFH +8yrl6Lj0u26ajcA8L8eByP55o/8/v1SOD5pzpl66LjDPyzFDK31ZjpXAPC+H +V98FfcZco3mfcnRyb/v4whWBeV6OF3lXU9Iu0fxXOSoMdD4MuUjzMeVIlJkZ +W5wXmOfl6NfczyvsLM1LleOT/jLfrmcE5nk5LmZwJhtOCczzcjxXNYl4eoLm +i8phFXU7r/6YwDwvR267D64TLWneTHn/Zk5SP2NB8zjl6DNgx7Qwc4F5Xo40 +D5fObQ7TfFYF9P6bZ6N/UGCeV6Bv9bP31gcE5nkFEmoH347ZR/NMFWij1qFn +u70C87wCGnYv5s3fTfNtFajhdvW7sJPmfypQO+6c84ftAvO8An+c58Xy22ge +rAJzpa2eaG0VmOcV+N6yrbqpicA8r4B6d8dJ9zfR/FQFus/73hC3QWCeV2Bz +mdmev+tonq4C7RbMPDHWmOaNKjA00UvLZI3APK/A9PKqizaraP6sAk5ynApa +ITDPK+C7pVRNaiQwz5XHs+20rNsymteqAJegGDHFQGCeV2DZ1njXLUtofq8C +r49Ev7u6iOabKrBQrdcB34UC87wCLuOqPibOp3m3Chjf2eXzda7APK9AaE7w +uO5zBOZ5BS6adVupM4vmwyowzPpaZ0MIzPMK2A2Zv2XvdJoXrMDWX3sWX5lK +81QVWHpGLc5pMnkuxdy0LSVvJ5LnUngl7b6ZMJ48l2JF3dy4Ul3yXAob/bZP +RB3yXIrv9yOatx9LnkuxZLSdoKFNnkvRO+/wyZGjyXMptnc6YTtpJHkuRd+n +Ep15w8lzKR626bll2VDyXIrKNm/7rNMkz6VoWW27besg8lyK3+ud9XYPIM+l ++LO9zOZAP/Jciqn7Vx063Ic8lyJmWFXOEQ3yXIpld+/GWKiT51J4/14Mix7k +uRS7cr5PNFclz6UYX3otwKw7eS5Ff7HMf09X8lyKUA25tmln8lyKNWZ3R2/o +SJ5L8XTk82fL25PnUqTYaLguaEueS9G15GXPqSrkufL17zBsq9WKPJdizrLw +w31bkOdSXOBKVnVsRp5LUffqmP+PPzRfLMXw9kbny3/xzPNK7NulG5n4g2ee +V2I9ys4FijQPXAnXIE0/J55nnlfiQvDLRZflPPO8EmMTF67d/ZVnnleic+8n +aYvreeZ5JcK27Pg4upZnnldC64uRasdqnnleiaF3OqXLKnnmufL7jrP/fq7g +meeVyPW6a+9cxjPPKzGp1Mf6eAnNZ1eixH+AbFkRzzyvRJd4W5+hBTzzvBKb +de2SfubSPHUlrNVer47P5pnnlchOvDntSSbPPK/E8XyPc/vTaf5Zef5xlwZO +TeWZ58rfHyfp2yaZZ55XQtvJxTw5gebNK1FjlzPwQRzPPK+EbGfS0C0xPPO8 +EvrGwrmhUTzzvBITtgZpV33mmeeVeDHFWts3jGeeK7+/MPrMvhCeeV6JwXY5 +fUdxNI9eCd6rd/vKDzzzvBJzX5TPcnvPM88rcXvizbANgTQ/Xoni8Vtvqr7h +mecytG54/jgmgGeey5A8KvjbmRc07y3Drzux1jrPeea5DDEz1E1LfHnmuQxX +5uaesvfmmecyvExekDrjGc88l6F5pxvbqzx45rkMndol6zi48cxzGdICpkyY +5sIzz2X4+ll6sOQJzzyXwf7F18Irj3jmuQyj7PedH/GA5u9l+Ka2c0WMI888 +l6HBomrF7js881yGGet+nlO5TfPyMnSOc8hyseOZ5zI8GPRy7VQbnnkuQ039 +3L8p1+nzBjK8rp0cs8uKZ57LEC+zfPv7Cs88l8HkZWG4zSX6fIEM2c2XfOl3 +gWeey2Cxx36i31meeS6D3awz9yaf5pnnMjy0zukafoJnnssQXrzzyZJjPPNc +BoMdxTNSLXjmufL33X/VrzGnzxvI8Oys6fOcgzzzXIZEjbAT6w/wzHPl6wt9 +a5izlz4fUIUzabmj1uzmmedV2OsV0jFlB888r8LZk4r6RaY887wKYQbfU0K2 +8MzzKkzK0g+YsJlnnlch0HSTjdcGnnmuPD7ntkVjHc88r4KR+rmRVmt45nkV +FsiMKviVPPO8Cjp5ZrdMlvPM8yo8ej58dLQhzzyvgmXvMP8xS3nmeRWWvT7d +12ERfb6iCqPTbMyEBTzzvAq/zTXdV8/jmedV8FM3DXw1mz4PUYUY/z1eXWfy +zPMqfLRccmTPdJ55XoVnmao9QqfQ50uqELEo4nrPSTzzvAqV09em7BnPM8+r +cCDXrSJoHH2epApTsvZ9bj+WZ55XYfDwA3vXaPHM8yqodluX7jKSZ55XQeiS +81c2jGeeV0E/2EY6ZgjPPK/CthddrMwH8czzKqzbVV30qj99nqQK94Z4Vsv7 +8MzzKmhkfvQYq8Ezz6th9N29w96e9PmPagQcCe3lpsozz6shWB2Ny+rGM8+r +IfPqMaBjF555Xo2/6+u6Tu/IM8+rcaEfHu5txzPPq7FvwcwgRxWeeV6NqrJ+ ++0Na8szzalx5/Me3shnPPK9GazvF0U4NCuZ5NYrGdYsc80vBPK/Gcd3V9w2/ +K5jn1ahdGSTbxyuY59VwNtB6dfWbgnlejb3eNxVO9QrmufL5XF8+fV2jYJ5X +Q+3vjogomYJ5Xg1L+W7D7AoF87waE38dmC4tVTDPqxH6pu+Nb0UK5nk1EiK7 +TfyVr2CeV+OkX87k5rkK5nk1xjr/sm2VpWCeV2Pa/mq91ukK5nk1xi1X1WyZ +omCeV6N7VurahgQF87waEyQnEoRYBfO8Gu0uLzlXE6VgnlfjXuXZ3YWfFcxz +5fXQmHE5MVTBPK/G0jrXhA+cgnleg0VOH2Z6flAwz2sQLrmeYfNOwTyvQUJQ +i+vmbxTM8xqc3qhivCpAwTyvwYff+6bq+SuY5zXoKGkY3dVPwTyvwaoOFsNl +XgrmeQ3edzo5nHuqYJ7X4LrUd9gtNwXzvAZLChwHbHVWMM9rUKH5vtOYxwrm +eQ3avrkg+36fPu9Wg1BtIwl3V8E8r8EOL4vVF28rmOc1CL43Nm+OHX3+rQa3 +3F5Obn5TwTyvgeRcn91BVgrmeQ0WpJ4xPXyFPg9Xg+9tcoYMvahgntdg/rh+ +nhlnFczzGsRXjSy5eIo+H1eDftqJSVrHFczzGphMi96XZqFgnteAOx7x3PKw +gnlegxjDbbd7mimY58rr2WVUt1d7FczzGmSlOw5aukvBPK9B+v7uISWmCuZ5 +DS5P+lZ+ZIuCeV6DF91PW7fcpGCe18BoutTTZp2CeV6DYQtWTOyxRsE8r0Wa +mKzzYIWCeV6LE9O22mksUzDPayGqfV3suETBPK9F6jXjjV31FczzWhTZmAZf +nqdgntfCu320qThLwTyvxcsPo5Zsn6FgntfCakr/fYlTFMzzWoRIBwePn6hg +ntci+IvnxHu6CuZ5LVYsaJMmjFEwz2sxweW6tdFoBfO8FqrH9q14NlzBPK/F +3A6JQ39pKpjntSjcGvFbf6CCeV6LritXxDv0VTDPa7Hwiqldbi8F87wW/x3P +mN6vh4J5Xov21ubxG7ormOe12P1TPsGxs4J5Xgt3+Z9D8e0VzPNajLUecvSv +ioJ5XgvH4JopWi0VzPNavD8S9nb1XznzvBbVR9qUnfwlZ57XYtnyVm8fiXLm +eS1er5EPeyeXM89rcXfbL63kejnzvBYRb8d+KquWM89rERTokC6Xypnnyu/f +U9veUCpnntdhaPXN7a2K5MzzOhT1Co9vnSdnntfBN3C3Q4ssOfO8Dt0kGv4/ +U+XM8zp8GLmhZ12inHleB//tDz/lxcqZ53Uw6D7aNSJSzjyvw6KL81/6hMmZ +53Uw3dtaep2TM8/r0HHktgk7g+TM8zr8tJlwd/pbOfO8Dp1+a//uHCBnntdh +flTixlyJnHleh4che/xdveXM8zp8c+8lM30qZ57XYcmGN78HusqZ53XoG5Nc +mP1Yzjyvg17Bsys37suZ53V449azfPIdOfO8DjMqreqK7eTM8zocbCe7c+mG +nHmuvB6br8QPvCZnntdhtUvzq+8uypnndWhXNTxg8Vk587wOY2YmIuuEnHle +B6eCW/9tPipnntehbltxl6JDcuZ5HbTub+y6fr+ceV6HYYtXyBJ3yZnndYj4 +9Wb7DFM587wO+rlWc59uljPP61FzZM/4NuvlzPN69A5ukWayWs48r8f3xUUu +L43kzPN6aPfp2PBriZx5Xo+p6zb3mrZQzjyvR6+KlhWH5siZ5/Uw+8/p78MZ +cuZ5PS40a3ktcLKceV4P966Lv4bryZnn9Zht/vhZ8Bg587wec02WWT0eKWee +12P8Ai3phiFy5nk93nVIC63vL2ee1yNLfKsxW0POPK+HEGJqOEZVzjyvx8pc +zdu7O8mZ5/XYOC1PTa21nHlej1XNbW4O+vaN+x8vAbPd + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJw113dcjf0fBnAre8ZjbyGysgmXGdmU7BWSLTNkZJMVQg+ShpWWSFp3e+86 +53SaZ+/RRMLzO3V/fuefXr063ec7r/d1htgdWbunRbNmzYpbNmvW+PP3+6r8 +FXar4RXcItuvRw3Y33fgb9d7U7dJqvHrwv6oE48c0LtTBi8gppr+fhSXMu8f +cPKsxrkegYuFZ09gKXPNIsHl/+93wgy7uIO391bjjHKEeduPzgg/ONl30qr/ +//8l7L+7ZM2m6dWwqFh19+nhy+hyoezf8KH/f95VDEl88aZf52pEWHVsYXbj +OmLfre229VcVPf8mzvwKartdXoUZn1NPxby6jZfeYk47ThV93h1UrmuBpQlV +CB94Tb0m/B506bIDXT9V0ec/wKMjqoGrXlfhReLg4GMObhCV15s0PKii8TzE +nu2r1O1dqlBS3/SBMBl9bPwpxyoa32PMaFkbN8WuCv3Gl5m0dnHHhrR82wXW +VTTeJ/hhUbvdY2EVNu1ueiJmHrLQT5z6//E/g3Hqfs8OplX416Pphb57Xc4N +6vv/+fyLb44eP/d3rAI/+4e12O85Wlu5lqv+VtL8XmDUwuR5L6oq0aeVbeex +n17iW3Slk7OkkubriaOR9T1u8SqxgX0gLFQbipn0Spq/F5r5L44cGFOJ5dNu +zdsh9UKQf2q+T0glrcdrDCjevGOFXyU0axqf+BrL/8xP7ONRSevjjVPb5jq3 +uVsJ14PyhvdV3uhUHzX4H5dKWi8fPJNa2s07WQmzGz0NU/DByBWb27juq6T1 +88XPFY6qyq2VyHi9KKzmjy9q9LN+Oq6tpPX0w8jAoeM6La5E42r1bvUGc+dx +nsVZVNL6vsG9pb2t702oRHuez9HZ7d/irJXP1WPDK2m936KPbvDOQ30r8aFx +ul3fodxh8cgLXSpp/d9hZ3brwb6tKrG08XE932P+P08somv0tB/vYe3g+WNj +uR5Ny93/A5YFN7MYkKan/fkAvVlpidFnPRpXL3eoP0aOuR9j/EpP++WP9aNT +Cuff1mPUlvvxdaYf0SbVt7/7ST3t30dMiKp61XanHmmGp/UdH4BJWvvjL5br +aT8DcGpU87BV0/VwcNM6Y0ogRg3Y9WCIiZ72NxDHli6Y3K2rHobBGaYchNFq +ScmA3zra7yAsjfs3zVKhg43h8Bi2FDPq1i52LdTR/gdj3XSzN6pYHXwNq8fz +CcbtUx3n7A7Q0XkIRsjxFYd+eOhQ+6fQSTkiBEFxm5/5XNfR+QjB3Nv7Rzkc +12Fh0wEIwYrPIx4s3KGj8xKCqB9H5k1foYNh8xonBLFzz6/zZ+ro/HxCTMCL +I7tG6sAO7xMGyX9rPXro6Dx9wlbm2Ddpcx0mNb1CcdZz8VZLvZbOVyh893k7 +RJZqcSU23XCCQrG63abDC9O1dN5CkeGe3kr4VYsCw+4b1gSvfn1MeuCnpfP3 +Gb8llSusH2kxrOmBnyEsfDbb1EVL5/Ez/po9m9bliBbHmzbgC+b1DhW22aql +8/kFN2fukHRbpkVCnalhh7/gnsZfNWaGls5rGGZ0GOC9YaQWxuwAcWHM84jH +/2jp/Ibh9yhbmaClFk2jSw1DC3Ugf1a1hs7zV9huGrLsnUCDTy/1b5OXfcXR +k+NrhuZo6Hx/xZzdK+58jNagRdOEv+Lxrez8hR81dN7DEXq+4Kr6Xw3WsgcG +o6XT7bxuaej8h2N7rxtjdjlp4N043cJwSG6K3kzaq6H78A2ndb/du9lqUN34 +uA3fYN+5TezvhRq6H9/waqqPpnaSBvMbj3/JNzisLJLUD9XQfYnA4nNGxzsY +a9B0fLdFYMoart2o5hq6PxHwuj7NxbpSDeHZxg2JwJbhy1/cqlDTfYqE3HzX +lYxsNcybDngkquK1rfvEqOl+RWLtlZM/HQPUcHFfYDgykejn8Wg094Wa7lsU +HmvkRxfdUSNvaNMG40R6Vy/mnJruXxQiSr3vLjygxpDG9NdG4fvJIV0LN6np +PkajmdmPv4eWquHYeD2ORmPZ18MTjWeq6X5Gw8b045XYUWrENe5uTTSY5W8y +nfqo6b7GoNXuwYqZ7dToyl5IeHbwDWldr6L7GwON/TmjUoUKTctXH4M+F/1j +I4pUdJ8ZHCnSxXqnqtA0PGcGKTODJY/CVXS/GQR/MOpw750KzZpesVjk5t/d +7ZmK7nssrnZtVvHipgrvkpcZbnQs1l7+uyLESUX3PxYYbDE/20GF1YbbaDij +2Jhf/rJmg4ryIBa2w3wWDLFS4WejPomxeLAhu+f6GSrKh1hYfhzf8HiUCl59 +Gy9EHGLe9eMU91FRXsRB4e1wy7S9CkuaNjgOk60P/Dn/S0n5EYf9rjU9S1RK +VL5tHGAcVrW1ikKJkvIkDr+3mhb6ZyjxrHF06XEo/8MsGhSlpHyJw4p1AT89 +PirReLisOsYjv+Wvwn4vlZQ38Rhh2ifB964SjWmSvyIeHRMk/pMvKCl/4jF+ +/HOnjMNKNJ4Ww5GBRUCp8b7tSsqjeOxo+X53p9VKNE03Nx7u47duCJ+rpHyK +B98spGifuRJNjzNOQF7Cl9whQ5WUVwnofS7fTGCsRFMcWSfg45UEjm9LJeVX +AngJTyOO1CrA1ocEdFUHJs+VKijPErDI/ausF1eBpjjgJaC1c0CbumQF5VsC +hn7h9Sz6qsDFpsBJxPC/yc3j3iko7xKxtYdNWJCHAiObLlAiJL09h/reVlD+ +JWJFs3ZTPM8pkNNULxJxr9Os0pcHFZSHiYheP7iNz1YFTjdeh7JELNtU4Ruw +UkH5mIhd94W+0VBgUONxHpiEjj2yvudPUFBeJiFu3dXb2iEKpDQevx1JmJRm +srpTdwXlZxIq3htNn9hKgSNNAZmEyfFhE7fUySlPk9Bs1n8TXGVy9Gq68EkQ +OZUOY3hyytdknKy+9PtHqhxNwzNJhtFQ649TIuSUt8m4ckRj4uQvh33TKxku +I3tvYF7IKX+T0cYpf1qHe3I0lidDwqLu+OKwzRfllMfJ4PTPTwo+KkdjOjop +k1F+rGFDezs55XMKvt8+Y+dgLce2pgem4PaHcZz0hXLK6xS022fqaz5VjtZN +AZUCIdcz/sVIOeV3CmLf1wzr2EeOxt3oEZgCo8MhkRfbyynPUzAh+vb5Hw0y +NLU7fQrMzmzcelwro3xPxZKOA1fVlMvwt/G6maeiIOCv5elcGeV9Knr4W874 +L06GN43H+VgqvD7v6esaKqP8TwV/vL68r58MK9kJw+WOx7nAJzLyIBVzPGdL +F92U4XvjdtSl4mc9p6vwjIx8SIPa9kLNxQMyeDZOd1oa7GqOXR66VUZepKHy +d5fQ1JUyWDYtYBoG/M49e2yujPxIw44Fq3MGTZRB18RDGrbutHyTO0xGnqRh +8ZNbdVf/keFJY7w3pGH18k6hs9rIyJd09H0kLPzxU4qmeJ6djraeE9eEqaTk +TTocFi0Z6FQqRVO8XkiH7e1rE2dnS8mfdFgPcLhqFCsFG3/pOCi/0T4vREoe +peO7+5PIVz5SNl5aZCDKdPs9R3cp+ZSB+5eTzljekKLp+i7IwIzfSScGnpGS +VxkIqD9xqn6/FE3X41oGTrv8PcnbIiW/MrCO67c/fKUU7PHLgMQ4admLuVLy +LAOHUjK7XpkoBadxe9tm4oXHgpCDJlLyLRPzZEkmG3tKcb5phJlQ7DbataSt +lLzLxIas1F0zf0kwvOkAZoJ/QT1wvEZC/hn+f9TWOyPKJcjKbHoh7vQQ38G5 +EvIwE6ujV20bEC/ByaZAzUK2t3Fo/88S8jEL/ty3/w58I8GApgOdhQkx2zsN +eyYhL7OwwPRly9G3JUhq+npleH9zzslJzhLyMwtJ7e9twWEJDjUFdBbe3dsR +vGKHhDzNwtt+3/dtWytBU1z1yMaY2e0uOy6UkK/ZUE3dU3V9qgRNcWCbjTVx +0mBPUwl5mw2rlFPfwvtKwH79ysaI4T1acTpKyN9sZDqk3ar5K0ZH9sKh9aOQ +BT2qxORxNn7zv4+eJhaj6bj0zUHKwfzpWzhi8jkHQ6bfsb+SIkbTdmzJgcnH +swEfv4nJ6xz0uNKiTZG/GOzXsxxMuPXkoJGnmPzOwaU9X3mTH4jR9LiKHLzc +VTPP/rKYPM/FsTkyX48TYrrvuRCqp//KtheT77nYtu+1ReuNYrr/udhzS2SH +ZWLyPhcFcRn7zs4WUx7kok/D9KVfx4vJ/1ws2mH8t26ImPIh15AHyy9O7SGm +PmD4/LuyFKfWYsqLXEy10eZF/RRRP8hFK9Xppy3UIsqPXNh3f2+8tExEfSEX +s1IDZz/KEVGe5GJou3jj8jgR9YdcXP4y4s7ozyLKl1zssDPzdXojoj6RhzTz +UetSn4kob/JQ/eGKRx9XEfWLPNQ8fHfg4HkR5U8exiZ3SY49IqK+kQdLYwuf +nnYiyqM8pHYN+3PIRkT9Iw/LW/TMTbYUUT4Z3v+hvseQGSLqI3nYZNYuxdlM +RHmVhwWSOgF/gIj6SR4Wrji7dXpXEeVXHoZzN0571kJEfSUPAYk79tXXCinP +DL93363bLBdSf8nDhbXz4hi+kPItD62vlZeaZAqpz+RjUqvRs1xjhJR3+ajb +1re4OlhI/SYffhaBIZt9hJR/+dDM0EQnuQup7+TDmCOqn3BTSHmYjzjLdzte +nhVS/8nH5P2btO0PCSkf81H4auDzM9uF1IfyMStkyB7lGiHlZT68vzy13LRQ +SP0oH+++R87MnCqk/MyHGyd3FkYJqS/lgxM8aEloPyHlaT66pf9cb9pZSP0p +H9vHhO71bCakfC3A74cfj/1TI6A+VQDr1VYn7koFlLcF2DSldm/rIgH1qwJY +nhlodSldQPlbgH9fo3tDlID6VgGc93PiTgUJKI8LsD9o1+qa1wLqXwWG83wi +4uhjAeVzAWzGPW3QXRdQHyvACd3OLofPCCivC2Df/miV9oCA+lkBlr21e3l4 +m4DyuwCdrgo6V64WUF8rwGCJ+7xjCwSU5wW4dnayed0UAfW3AvRad5zvZCqg +fC9Ez9TOU//0FVCfK0T9xtT5lzsJKO8LcTHIvqFNMwH1u0L81O//2l9aQflf +CH5oe2Z0egX1vUKcZdYbzQyqIA8KEZDW+vLSxxXU/woRacQds+VMBflQiL1/ +7/w5vK2C+mAhrB1/qy8vqCAvCiEc/Vf/1LSC+mEhzohONA/sVEF+FGJur+W9 +k6rLqS8WYrL29JgyXjl5Uoiw9nVTvkeVU38sxLFTqWO6epeTLxwEnPyvrdmN +cuqTHPzZHxRvebCcvOHA+kvdml1ryqlfcnDk/o/AS1PLyR8O3uuFeZ79yqlv +cjDQQfkpulk5ecSBaZulS8ukZdQ/OZg9ffGDP+ll5BMHvf3Mzg8MLqM+ygF6 +WbWb615GXnFQuPHnGLuzZdRPOWD6eQiubi8jvzgYkO/W/93CMuqrHHR6voqf +MaqMPOPg22DLLpWdy6i/cvHVWR/Wo7aUfOPiY7/M1Bn8UuqzXMx8bTd7e0wp +eceFRSY6XfMppX7LxeTN3yf43ywl/7joXzXwdd6hUuq7XGz/td7259pS8pCL +AQ8XWQ2aXkr9l4vwvW7HLAeUko9cuPuq0g+1KKU+zMWgfKNl7vIS8pKLjrEv +1FGZJdSPuSjaf9hPElJCfnJxVjTHsePTEurLXGw25y2e7FxCnnJxZoHR0C07 +S6g/85CX9fznFcsS8pUH3ZRjif5mJdSneYg+s/tCQdcS8paH272sBzXUFVO/ +5mHa96FeQ0uKyV8efFdE11vFFlPf5mHh/S7DHf2KyWMeZCs79Ht2u5j6Nw+f +zN4UxhwpJp95WPyNv1RqU0x9nIce+3xOdZhZTF7zUHS81RrzQcXUz3lYntC8 +wLZVMfnNw48Sn7pzSj71dR6mpHwP8crmk+dF2PCnXfOkUD55XoT/VlWWKJ7x +yfMi3C1kLDpe4JPnRUjMduszfhefPC/C/eeXD69ZwifPiyB7HjLp+Fg+eV6E +ikHz7B4b88nzIhSvsa35/KOIPC8Cb0gfQWFpEXlu+P8Jn4fUxhWR50WIi7IJ +MX5bRJ4Xwdl19M0Jd4rI8yJs4e19tcKxiDwvQtrMeZX7bIvI8yJsfaE9fs2i +iDwvQo9+b4Z5GebFes7Hk19+P78ZFZHnfLy8P1qTr+KR53w4jjn+Q5XDI8/5 +uNsprnuLLzzynI9llutn9v6XR57zERZwdvfYizzynI8jGY6u83bzyHM+AhOv +vrOx4pHnfFhb/flsP45HnvPR3WXEh9PdeeQ5HxE/l7vc+Mklz/lwuR8z6UkZ +lzznw2l3eoRPPJc858MoMbZLsOGesJ7zceqfGrPIO1zynI/3qaFtkxy55Hkx +IhfYvsoy3FPW82IU5Y5TFlpwyfNiGN95WFE8mEueF2PJGt65CiMueV6MwR+s +v4pUHPLc8P6oba6SHA55XowLU1dXST5zyPNiZM29JBJ7cMjzYnxwWLxBeIFD +nhdj9oO/y8p2ccjzYpws/RvGW8Ihz4th7/P6Ye5YDnlejLeb5halGHPI82Iw +1ya7RP8oJM+L4eXLux5SWkiel2D40Csin7hC8rwEr+Y/uPv4TSF5XgJ74w0u +VwzusJ6X4LTNpK9HjxaS5yXo7njWdMu6QvK8BAd2X8teNLOQPC/B6gB/77GD +CsnzEigsFnp2b1VInpdg/w6/iB+KAvK8BMJvJlX8rALyvARak14WEZ8KyPMS +PPiQ6/bsaQF5XoLvh311J5wLyPMSLPWSLF21s4A8L8HlPaJXIy0LyPMSTM/S +yv6MLiDPS3E+al2f/C4F5HkpAsr2TvCtzSfPS7H0/tHhJ/j55HkpcvMjqubF +5JPnpbhj8eBeJ5988rwUR8qm13Fv5JPnpZBbNAz0PJhPnpfi/fT+RrvW5JPn +pbjZosJr+NR88rwUM1tdU0v75pPnpRhRsKLc57888rwUIweePrZdkkeel2LZ +i1mve6flkeeluNfA25UTkEeel+JxzqXQKw/zyPNSrLt08NaU03nkeRmSp2eX +SzbnkedluMjhfnSbm0eel8G24LPGYngeeV4G3ezHT8Xt8sjzMiz29HxzU5dL +npdhjvKXsVlBLnlehjaHszjpX3PJ8zL8mzhNsfdFLnlucDhqw4IWLrnkeRmG +Wy0XeOzJJc/LYHHCLHzc0lzyvAySxR0TY8flkudl+BHT/M+q7rnkeRnO7JkW +Hq7PIc/LMPhh1ueM8BzyvByFiUXCIpcc8rwccV32mAuW5pDn5Zjw6bS7sHsO +eV6OlbWdm5WVZpPnht7SYuiufL9s8rwca9O9guMOZ5Pn5WhbcLz4w7Rs8rwc +7/o5599tlk2elyOg9uHt/WlZ5Hk5Fpbcqp37MIs8L0dfm9Etum3OIs/L8V/S +FL/iYVnkeTkO2uzJeanJJM/Lkf9t37FNXzLJc8P4hqgvdr2QSZ6Xo3XLW0rG +MpM8r8C8er6XQ5dM8rwCCZ4HnrYvyiDPK6DcEx/j55VBnldg/7GNbWfuyyDP +K7DrmPeeFPMM8rwCC08bpa74lU6eV+BktmBwVnw6eV6BIv7NnYtc08nzCrRW +LD4XZp1Onhue13Hb9sH908nzCui/N/vvsiSNPK+AMGPzsvKPaeR5Bdo33Jsy +8WQaeV6B+p7BgRdmp5HnFZD4p71NMEojzwVY07OkW/PsVLrvAjhvLCqb+iSV +fDd8b6l7/3v3tlS6/wLsjB6z3XVEKnkvwJaP5t/f61IoDwR4GXn+U2xYCvkv +wOivaVdzLqRQPggQbRy/lWuZQn1AgNUxrcdxOqdQXghg82u0LIObTP1AgA9m +X05FeCZTfggwP2hgrpd9MvUFAc4FFIgujEumPBFgkHbiK5vvSdQfBDB5c7du +SEwS5YsArW1GcmXXkqhPCFB+Y4q5z4okyhsBekZUfLf9J4n6hQCZ/dCrRVki +5Y8Agr3bLvv6JlLfMMw3dIbpnIOJlEcCGCPmZ/akROofArRalC62bUigfDKs +Z/oYPic+gfqIABaH/VKX3U6gvBKgyzTJ6/A1CdRPBEi0u2XTv08C5ZcA2knD +c08J4qmvCPCMmdYq9W085ZkAVyMmCLociaf+IkDtoiPWK6fGU74Znh81b8WV +P3HUZ4QoOSeNCkyMo7wT4tvn6265rnHUb4S4aD43QrE2jvJPiO7D+o340SeO ++o4Qz1SdExsEsZSHQvQWN7vy/W0s9R8hkkYnrpEfjqV8FCLoQJ9B2VNiqQ8J +0WHJ1tB19QzlpRCBJbMFv64z1I+E8Lgjee3ag6H8FCLj3UxOG+8Y6ktCrN/W +Yv2R8TGUp0JEWnJbxkdFU38SwrTKNPw/q2jKVyHeHVi42pQXRX1KiIkZVu8s +dkdR3grx5NOEFzOqIqlfCYGi5DZDL0RS/grBux2UXNs+kvqWEB8n7/oc8jSC +8lgIXdm4jxtMIqh/CeEfMOmWMvgb5bMQD/bZj9g9+xv1MSH21d7blpoWTnkt +hE3G4o49bcOpnwnxweVPuxWir5TfhvFZ6MwPHf5Kfc3w/pGjtp36FUZ5bljP +ursODtfDqL8Z/r4ic/QC4zDKdxEsLXddaO35hfqcCMN5XrM+jfpCeS/Cw6Wv +51h++Uz9ToRFQSu3xs/9TPkvgtXiHY4jMkOp74kQXLfR+tj6UPJAhKgPriV+ +ok/U/0Q4m91VFHvoE/kgQsz7nDkJP0OoD4pwNcsp7eOVEPJChBCn6xvPdw6h +fijCwN8WyZM9gskPEer7+8nyhwVTXxSBoxo9LGhLEHkiQvWbVRbXHAOpP4qw +Zsy5+JHXA8gXEdy6/d795N+P1CdFEDn5Z+cG+pM3Ikxn1B+48R+oX4qQOPKt +71vue/JHhBtXVtovUL2jvilCWw7P4/2ft+SRCB0roxT8rm+pfxrW659fDQXD +3pBPIjS73dH56VQ/6qMiHDGr6mpi5UteidDh71C7M5t9qJ+KsD/co+fTQ97k +lwglVqmFzhdfU18V4cqW5/NHu3mRZ4bPf6NvP2fRK+qvYphdOXb1y96X5JsY +cYk7Zkbcek59Vozb7RecWObvQd6JcbLumpND5lPqt2JE1Jy601XrTv6J0abl +vNr5nR5T3xXDy0FZ/XfMQ/JQDMvty9MXLH9A/VeM0ycnnRrCvUM+inG2uU+7 +dONb1IfFcNZdyri48hp5KcbVVfVT5t1yoX4sxo1tN1z6XD9HfoqxqHBafNyP +49SXxfBOUo+ozHYgT8Uw/3bOVmpjTf1ZjDLeU4HmxyKG9VWMv6sm8LZ/2M2w +fVqMmedHp+7QOjKst4b1KLexf3TjDMP2azEi9zS/JHW7xLD+irGl15L9Rjuv +MmzfFqM6+GAPM/ObDOuxGM1D/YZtaHGHYfu34fdRv3Cv8D7D+ixG/R+T2/IC +N4bt42LsmmA2snPMI4b1WgxX8z05ke/cGbafG9Yz+fWWqkdPGdZvMbJtxn4I +uujBsH1djMp13Mc/DjxnWM8l2MOp+pW24SXDei7ByeY7okZavmJYzyUw3bOk +rO2/XgzruQQvliw8uNDtNcN6LoHu+LE/yTe9GdZzCToHV7Z0u+TDsJ5LYDk1 +sMbbyZdhPZegxvTwvD9H/RjWcwkUPdLtvPa9YVjPJfj33OTsO3ZvGdZzCabb +N/yK3/yOYT2XoKL5sp0L1r1nWM8Nn7dowtnOqz4wrOcSnPd8zphY+TOs5xLc +Ctj18fqCjwzruQQ7Wy2JmjwngGE9l6BTbc2B8TMCGdZzCZ7YNZ9xenIQw3ou +wWfOn9WtewczrOcSdF1k3v2nezDDei7Bnxu2w7r1CGFYzyUwWjsifs3DEIb1 +XIKpbYomRHT9xLCeS7Bx8bCQpfc/MaznEmRemHetZedQhvXc8P7zer3kTijD +em4Yn/GRyfoOnxnWcwnGB918MtT1M8N6LsGlbnc3XWz/hWE9lyBPb5tkdPsL +w3ouQYfg5f3D2oUxrOcSFAy183twK4xhPZcidFHft+7tvjKs51J873DLIenW +V4b1XIol49aZD24fzrCeS4HmEVZvboczrOdSVK699Wt9h28M67kUwavG3p1y +5xvDei5Fr8MflqFTBMN6LsVZu9eXTt6LYFjPpdD3WXO6qEskw3ouRdvoZ+cc +3CIZ1nMpdkbU84d3j2JYz6WYwPeo6OoexbCeS1Ftvah4VK9ohvVcil0NR3s7 +ekQzrOdSKHbOUsr6xTCs51K4djnxwtUzhmE9lyI65tHl7UMYhvVcilbeqRo7 +X4ZhPZdiE/9Mz4zusQzruRTnW3HTndfFMqznUrz2HBxt+TSWYT03/K7c1msU +P5ZhPZfiAK+2pUm/OIb1XIrtVm8Cpm2NY1jPpYb7+Qy7X8UxrOdSvLyzVvpe +GMewnksxY3VseluTeIb1XIqKQRP7u9jHM6znUuz9vq119/fxDOu5FH7v9oTF +qOMZ1nMpHJdmb7w6LoFhPZfhjofrwN2OCQwb+DJsP/x74rbPCQzruQzpE7VJ +x34kMKznMvTa7tHae2Yiw3ouw/g/H0005xMZ1nMZJk60XL0mLpFhPZfBPfv7 +t7xWSQzruQzFkqSHh5YkMaznMgzYa95x+J0khvVchtMZ4zf8zEliWM9lOPPV +/L28ezLDei5D6qdhE2vWJzOs5zL0FB4Z0e9FMsN6bphPwn/ftguSGdZzGQqa +zxkSZ5LCsJ7LIJzZ2w37UhjWcxlCypqhJCCFYT2XoVv7qh2Pq1MY1nMZPp3Z +P/bAtFSG9VyGp45nSrY7pzKs5zIMjQrzPhaXyrCey1DubxXp0zqNYT2XYcZI +1daaZWkM67kMNtGihJ1uaQzruQxjE41H6rlpDOu5DFMvVcY975/OsJ7L4L/O +NWevXTrDei5DRMt7rtbv0hnWcxlylXMnbtelM6znMgxbf6eZ6+QMhvXcsH+S +X5N4ZzMY1nM5rhdHtl8Yl8GwnstxydMvIa9NJsN6Lse0CdM9L63MZFjP5cgN +fFW82j2TYT2Xo5s67Nv80kyG9VwOGLU/bzssi2E9l6NfL779nf1ZDOu5HCtV +fSMkIVkM67kcJyMvRmyvz2JYz+UY0P7Exz9zsxnWczlqTg7jxNzMZljP5Tj8 +/txZn9xshvVcDou148X+vXMY1nM5okd+sy3akcOwnsuh/G/bgFHvcxjWczmS +qidf8qzKYVjP5Thj+WB3/wG55Lkc39oeHuI8L5c8l+Ox1PmFbk8ueS5Hn681 +Dcdv55LncpQnOtl3DMolz+UwuzasZXhBLnkuR5T9hfpTP3PJcznG+Nx0tBqQ +R57LYdk3/NH4+XnkuRwnpjbcHLU3jzyX41BxxNlpd/LIczkcNpQ+3BiSR57L +0fJ4QsN9bh55LkdHBxtBcUMeeS7HKaPvB2YOySfPFfBNfZQeaJlPnitg1dbL +dPrBfPJcgQGjeoZy3fLJcwV8ypyf3v6aT54rYOGoaGlTlk+eK5A48M+ISS0L +yHMFehyvGm86qoA8V+BS5IOVk1YVkOcKMJdmh9qcLCDPFXDqXHvvzvMC8lyB +wDYrupfEFZDnCuxre+nYXEUBea6A2Ma+IqpzIXmuwJkn5++unlJIniuQ/kYV +3LC5kDxXYM4+5wsxlwvJcwVsQwPNPN4XkucK/LMwQOeaW0ieKyBJt/375Ech +ea7AtL94GDGQQ54rsDs+TPR9EYc8V8BoZ5Lp8kMc8tzw/rwpnhGPOeS5AhO7 +uZ+fH8UhzxW46Ta1hUTMIc8VuPJpmsOrDlzyXIGWNlc0JyZxyXMFljhxMndv +5pLnCoz8FbP4yBUuea5As/XvXzz255LnhvHcLe7AKeCS5wrM6HyGO+E3lzxX +wjv1/px3JjzyXInpC41vWKzgkedK/OIKalQneeS5Ekn6zLQwTx55rsRWp5cr +X6XwyHMl5q3qnuZbySPPlUjmay+l9Ckiz5XoH6SPabOgiDxXInyLOHPPwSLy +XImshV51Qvci8tzw/KddrjkzReS5Eq725qlTlEXkuRLx3CGdOnfnk+dKbDgw +0MdoNp88V2LzUdfi/nv55LkSwy7Xt7Jx45PnSthNMjvpH8knz5XYlt/34jAZ +nzxXov3KZk5RXYvJc8N4LdaHnbIoJs+VGPBX4rbWvpg8V8KhM7NrtVsxea7E +GIc1149GFZPnSuh671kXKi8mz5XoXDtiXJ/uJeS5ElUHxh3xnlNCnith4nbV +adX+EvJciYPT/f0HPykhz5U4scT3QM/4EvJciUET6vub60rIcyXmXo8f7ti3 +lDxXwa8gSMu1LCXPVdh0s0u53fFS8lyFngN4O//xKiXPVfiw01+qyywlz1U4 +Xa/g6etLyXMVsloHvOs9sow8V2F86/K0fTZl5LkKh4snB4ldyshzFTzaW2Zf +Dyojz1X4PNHV07qsjDxXYcq7gx5LO5ST5yostl428+CMcvJchdTo23/D95aT +5yq02vh8j/mTcvJcBbOGVlWcxHLy3DBed0eTtzXl5Lnh/Sbh1/yGVpDnKiwt +kr/IW1NBnqsw86J1rZlLBXmuQsWwl72/BFeQ5yq46OOeHRJUkOcq/GPSvaVZ +WwF5bhh/rzVnpw0WkOcqvNJant8yXUCeq+C4au+Gf1cLyHMVgpod21jlICDP +VYh9eDZnp4uAPFfhzDR9e42HgDxXoXPYq60PPgnIcxVMLif2XZUhIM8N86kz +emoqEZDnarTzv2I64I+APFcj7L+SEWN7CslzNfp/mqTeMF5InqvR9d3sXK8l +QvJcDRln/kQjOyF5rkbwktFHrp8TkudqjLJ2kZm4C8lzNZqXXakXBArJczWm +FJp0iEwVkudqGMf2vBQqEpLnasw5WRiT/ltInqsxTvV+fPNeIvJcjRlm0bPW +m4vIczUWH+q1NmuZiDw3PG9OYNkuexF5roZ37sFV/VxE5Lkaj3bP7lP7XESe +q3Ek2ThIFyYiz9WYLa+63iFfRJ6r0e1WQ+9lWhF5bvj735MVAW3F5Lkae35y +bSebiMlzNQ7szvpTDjF5rgZ/1bJ1gZvF5Llh/QvvC7xPi8lzNbgtzIdHPxKT +52oszx3u/ydITJ6rMbE25/fuTDF5rkZFtNHbGoWYPFdjdGG/GR+MJOS5Gp7F +uoW3hkrIcw08azXb3CAhzzUYNnDQwIQtEvJcg/lrJvYfdFZCnmvw8PClOr+n +EvJcg/iy3lYbvkjIcw18i1PDpxZIyHMNeDuHKedVSchzDfoF3XQ520VKnmvQ +as7YMcKxUvJcg/OzBj85tVxKnmug2LLmiMUBKXmuwZha9/0TbkvJcw2mrgyY +bfteSp5rcGfIwtcBqVLyXIObbX+snqaQkucaeEmsO9S2kZHnGnzsVOwmGSkj +zzV4PKb+XbslMvJcA93Lzr13O8jIcw1GT935vvamjDzXwHF2v9FR72XkuQZt +T83fH5kuI881+K8hYFaNWkaea6BOrT+1u5OcPNdA8Pp0VZfxcvJcg47mA258 +Xy0nzzXo2ubIP32Oy8lzDW7PdHB2cpeT54bx2G7z/CdcTp5r0AHC7VXFcvJc +A9mXJ287/pWT5xr87tRtm8MQBXmuxbaPXoeMFinIcy268wfFSxwU5LkW4+3C +l7S5qyDPtZh33Vt/KERBnmtx/UGpXx+ugjzXou214k0dGhTkuRbSsWP/Lhis +JM+1aJk16GLiIiV5roX9m2WZdw8oyXMtzG8MLvByU5LnWgzdsvPi369K8lyL +b7xHEW/LleS5Fot72B14aaQiz7Xo3E57QjBGRZ5r8fJh5zBHGxV5rsXTsFtt +1zqryHPDeENGzr3sqyLPteg4J3ZUyywVea7F9pNmtzl1KvJcixOH+w34NVBN +nmthllDyyHGJmjzX4oOk9tGsY2ryXIuqbiFfNr9Qk+davPG4dDknWU2ea3FK +OfP4syo1ea7F5MQZurD+GvJcC0XWskVmSzTkuWH8judC645ryHMtIn6+2dTT +S0OeG+Z/STb3bqaGPNdij1XUik31GvJch7FMh8XnR2jJcx1qbQqy6qy15LkO +OVZ+mxJctOS5Dj3Gl8yQBmnJcx0kPy/e2FSuJc91eLVEM3poJx15rsNN9675 +M2fpyHMdphQ5aLwP6MhzHZYecvfb8FxHnuvQuo17xcYMHXmuQzjzfIxvg448 +14EfdiV18hg9ea7DhAGFbm236slzHX7M2NKi/z09eW4YT8aOkQcYPXmuA3f2 +Zo+qSj15rkN+it+Mdd0qyXMdbjE5JktGV5LnOnQp2fhg5YJK8lyHX2PPme/Z +Ukme6+BStOnAzZOV5LkObwMLrT7fqyTPdRjZbLiz5G0lea6D2bKbq3rEVZLn +OpxcX/F+TnElea7DiYyDrbbVVJLnOoxvlmJ/sGMVea7DUaPBdruGV5HnOlRr +OneaNaeKPNdhxopuzfS2VeS5Dm2edrA+caSKPNfDpq3Ji4QbVeS5HoNPOFYW +vKoiz/VYP2qI/PnXKvJcj/s76l51y6kiz/VYeWFL9mhZFXmuN3yf/JFe+ruK +PNdjoNuOwP7dq8lzPRzqvc9UmFaT53r4tVFa951TTZ7rYTXugip+bTV5rkeX +ZH6HXPtq8lyPD1V7g2aerSbP9Xj0+DrvvzvV5Lkeic/CN5u8qibP9fjuXeEb +HVxNnhveP020q318NXmuR5ufDg95BdXkuR6z68MGjNBXM/8DXdT/WQ== + "]]}, "Charting`Private`Tag#2"]}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + ListPlot, "GroupHighlight" -> False|>|>, + "DynamicHighlight"]], {{}, {}}}, + InterpretationBox[{ + TagBox[{{{}, {}, + TagBox[ + {GrayLevel[0], AbsoluteThickness[2], Opacity[1.], + LineBox[CompressedData[" +1:eJwV13c4lW0YAHCr8qHBhxBJRilpfCJKt01WdsmIVEYpRVbDKKWyihRSQpIy +kpX1CCHrnIOQnVFkHM7hfaXie85f5/pd57re+37W/dyP1KmLFmc42NjYLrGz +sbF+Dc+M06omrA8LRCWTSq0LiEtbzGtQVAnm4id+STIW0H/Pnzx9L6oDMv7v +JlrZCDQqLhPiJ2cKz/i4uhm8BDJq6cpPFrWC3WNhyppCBJKJkHi5ImkL8Zmx +/i4SBPpj6PLYWc4RLuVX3c6TIdDPWx21zvHOcDhVncN+J4GOnSYrHoqehlgJ +oeg7ewlUqy1WXPP0LDiWeN43VCHQHmn1vHlJd1Ai/i4VHiIQ93Boqo3cBXhx +NaS4SI9ApUNtLTVWXuA6tzTjYEQgL7PThvbxl8B6bKyp7SiB+nff0Y4U9QHG +OmaL6nECxT0XqZK1uwJfxbOklOwJZLg+61DlU1/gOZDTxOdEoKKZ5v10yQDY +q57c6eJKoKhsge3mcjdgjZFX4bAPgXQl0tMnXIPgt1BXOZ8/gW7UJW74aBUM +Q0uN36SvEkhJWSHSNj4ETljuKJUIIVCK0NHb90RvQdgWTpXjEQS62vK41qb0 +Flwf1oziiiaQTdgQh7RdGOy6ungh/QGB+BYuBZU9vQ2DYDJbFU8g/444/ynJ +uyC5m30+IIVA5rE9HqZyUfDKfjupnk8gBSPp12L1UbD/ltUXywI8P5znf3x3 +jQYXwqb5VBGBTn5YfoesYuDwZdOO86UEEpKPUTwe/wBSVWYuKVcTKIT7/ba7 +onGgoGSXVU0l0A79B+H5XnFw/Wb4vrQ2ArWFXZzorY+DYdlHHjc68PpyKrxR +9H0EaUxlT9luAtX9TVfsaIsHNbYSHtVBnD8zfr9kRALE9T5LjpwiUOHeK/EG +Iwmw/5GzotwMgRy9LMlLaomQ4iLHUUYnUO70+g+144mQ2V9S9pVBIIvx8EMe +uk/h9UiizfQigZ70B2oXLj8DNy7TiEAuEmmK26YPWD+HqofUloFVJPp5QmUV +d/ZzMPALPqyxhkSbpwJcsnelwGDjj56lf0h00V1Zlq0vBbSX6bvM1pNI4HRu +5ssDqfBB5GHbTVESHbd9kTs7lw6yn8WfGSiQiN5rxZMq9hIubYp6aL6LRLcd +uc9Yar+EcOOjjOOKJHrvclGsKO4lJET4rnLZQ6J1F9TDrqpkwBdfytxpJRJ9 +Cv16YvX1V8AuctBJ+hCJ9r3lXy3OnQWHnh/clmZIos+Kn5xa9mRBxGvUFGxE +Iqd3/mU3bLMg8uG9Iw7GJIosGvT6lpUFJrZHewVMSTReld2bYfIGZr0nk73M +SfTsy5F3e2PfQp723oLFYyTiXQmx19+cC12vaVsNT5PoifsxRwW9XIgLCUrm +PEMimQ4FJ/4LuSAxEaBejn34dZdLb0Uu1HQkMne4kujD6/jCYsU8CP7t5rLs +TqJpy6lyo9Y8+OE89eTGRRJZZz5u8l6bD4rb/qmcDiDRU4dQ47NS+eC/xywh +KpBEwwKeLcf354Nn6tpaxat4vq9rUdQd8iGPrsXneY1Edy2m29Zk54PcqHXY +txskqvij1ZNk/B54LaeXs26SSNZ8ZrzmfgHsynTKq4sgkViQvprriwL42mNg +cDKSRBuyU+7zFBdALpnyH4m9xG2paD5cADNr0rllo0lErSr2HjhQCEo1gfX+ +D0gUuCdkeXGsEHzg+nn2eBK1rhcUVNQoBmL87PXkZySqUfc8Q7MuBo9iMYOt +z0lUcq6uyOdcMThT/oRkYKfV+9uWxRfDg5XDetkpJPIP6n9mMF0MXds5ZQtS +SbSVniHvklgC0o2B3a8ySOTXqgpP5j9AU8xY7s4cvB8UC8c+c5cCEJ+Ix9jp +kXsifouXwkjUHRpnLoloxtu+OuqWgrtTiE4P9s4mQW/Z+FIQRiMKoe9INFhP +z8hXKQOlpcPulQUk0v/4cl1LYDlUZF6/MllKooEtUoXLUeXwylaT16iMRD7B +T+32pJWD/N5fmlnYLyA2M7apHALyimNdy0n0uyJY64R4BQgtnm/rryBRbqmd +74+KCgi1fMrzoYpEGwv4B9jZEXQx84oU6khkH/HbalQYAf8BG8lw7NTTY011 +CgiCfr6RGcFWEPrw4f5xBGvMxlY/qSeRlq/TI6E8BDdzO/7720Ai5afHMuJ2 +V0G6S0lQYROJnB0zHDIHqkAtY1hjiEqiW4abEhImqmA4031qN41EGcoxHffm +q2Dx+ykIwp5aF2jkyfMRyojBPvE2EvkiE9V9yh9hn7PVsmU7iSK2LAiWR36E +rq+i4vlfSFQ0rN1MOVQNq5L2vd/dQ6JOg5C5SP1qSE63fOyNTeRUChtbVAOF +dl+xGFvlqqpzg2s1uHPs9VPvxev/726i6mE1VLrU++n14fOgI7Ylf7waLK0p +boYDJCrPmPWOi6uBA7e7ozYNk6iPTzHB4nkN5Bx4qW2D/efyucoNWTUg8tE1 +PwZbHb5zR6IaiLWNr+QaIVFlV29y2M8aOF7O8XgCG3HX1/tq1MKxyZuXM8ZI +VO2RvMl2qha2hJiJzYzj+jJx7pwjUQvKq3V5JCbw/nFTK3Nh+wSlh42qjbDD +znaduCD4CUa5hO9nYhuc4k+8eegTyHvY3zz5k0QU2zCRnIhPoCozsrNmEudr +4CnEuasOrH3CDp+aIVFL/cEz3Cp1cJBQ9rqHXanHU7hWsw4MlX555mM/13ll +JWJdB8Y75VrZ6SQ6pfEtdtf1OigIsZF5hj2uYi1wvKUOdpvqa7bMkmhBTn19 +tmc97HS+zbaaievLsFb9Pf96+HrQ89MO7NvJBkFuN+vBl83G/Ci2sKAVXTqh +Hrq35FU+xlZmP0dJrK2HI3sFmLLz+Lz1PokO39QApMb5AZUFPL/R8+tPf24A +DdeJ+H0kiXSMluo1Oxog9ky2vxl2xGq2YMnBBmjm15G9gC1xnXe2Z74Bbtw9 +MZiJfdhjK9V8y2d4HHmoZ9MiiYJ0zGLA7zPEvmJwLGJz/HqzYZNsI3ALD/bc +XSLRphYtJuxuhFAZ08rn2Eovvn45rdoIJ/10fQuxzxxZk5Rj0ghXV8RPD2E3 +JJyS1fJtBJeqJFWl3ySKVhNVc69vhCujZF87dua6PPEoWiO4m8sI/MCuGtZb +ye9thObjGySWsBn3fGr/0BvBxO1ygeQfEtn0UkxjRJpgluI26YYtfu22S7F7 +E/QWKd1jYu83k9Dr88b/e3HJcP0lkalMwXb2G03wSaMkThA7qPnbtOHDJlhN +E/ithD0sru4/UNoEmmU3+r2xX1cwI1bxNUOcjQX3T+zqB/cu7hBuhvGqcJFF +7J4zUhZHtzSDs00R2+plEvGtO7oxQakZ5u6o2kphezlmvVBwaIaLijkKNtjK +K05FljnNQDpEnSvBNjapCtUtaYZFgZGGGmyXJMmjKtXNkN9RxEbBjlEZ+CHW +2QwX7gmzjWFPXLQTHf7bDFYi5rB+hURslaVj7WtaQH36wGVRbGE+sfxP/C0Q +cVswWBpbK7Pb8LVsC/S4DCmoYD8dsr7mZdIC4GfbbI+dr1hocOpYCwwEXug+ +g91wTVDIyrkFZl+PowvYCyLt2SpXWiDNQEcqGNvU3Gxw+WkLaBKbdr/AZq82 +1IqcagGfO3CzD1tkQ9a6IKIFtBOFjEewFR3/6fVia4UIhR1zE9gnluq9rQRb +YTBQm0pgF+zTfbnpUCts/5o+so5tETUGp19aq9cKG2z6owWxh1o5D68cbYWf +fuUiYthrz1V3Dru0Qu3QiyoZ7LNpwJ11vxVcdD09DmBfm3vWkfSoFWYPwN9D +2LGwnBL5vBUcNkp4amJX9ZarXnrfCn9OKY4ewe6UF1/tUtEKL5MCJ02wp/yu +tlnVt4KqDRfVHFtUUO3cgd5WqL7IvtcWe8+pBJUdY60wrhWZbY+tl7fIKU5v +Bf/gO2ucsC8bFz9d4aDAz7ek5Vns8ERh9zk+CuwrDdNzx34+fmX/iDAFGi7k +C5/Hbr6l1Fq3gwIn4jeaXcJWW9/E8WA/BRqfmEx5Y2cmOKvYaVCA/Y62ky+2 +sMziOVkjCty9yP3WH/tmTlQK3ZoCryzeUAKxZw/IfvngRAH7rQebrmE71pT9 +c+scBUKq657dYMUzsThs6kuBLm4Hw2BWvO7xyyIhFBCu4GsNYcU7FfRq+D4F +yCej8jdZ8aaF+t7GU8DcgOlwC/uW39sNfi8osMVd3yMMe45dW1fzLQVi7tNN +b7PiRXwN4C2mwOZ9S7x3WPGEvXK+fKRAIu3KC5bVXqweed5MASWa1/pwVryd +yRs9uiiQfO+XNcvCRf8ZKw3j8RpuvsLyLY3G4OUpCmw3nT7PMqPRqbCBpEBg +g486y3lZv32OsFNBqL/6O+v7P+h60TmrqdCbOubG8ub9D1//y0eFK9KTNaz8 +rAL7a/z5qXDN7hvJyv8+2j7QL0wFT7VOLparuXwWtcSpUJ/a9ZM1/sUjSCBT +igobPZivQ7F3R/PsWruNChy2Klqs+TrbYa1/WYEKDjvfvQ/CThZ94dy1lwq7 +Yi4sX8fucJy6ekiFCjXy17ey1kNrIjRvtRYV1HVrCT/sAMXWxnP6VEhr3vjq +Cms83qJjVGMqzMQy9rDWf/NyrmjSMWwXb5ontrX27//YHKgQuU5p3AM7IlzP +9MwpKnCpv+t2xf4l0B+625MKTsNC+s6s/Xp8e3LcZSqYJ2z85MDKN9m7+Jcf +FUyseCROsPLbxjNVE0qFLhpxwoIV/5CK9fEnVOjZbb2BdV5+hIRerEjG+aYY +nGSdp831LXe3plFBris2XIUV3/x05VQ2FabFTnvswnY7+2BbSA0V+Fcbnd/I +2u9v+jS/N1CBXGMfzc86b7Pb7I1aqSD+ZnskL7bO1coYoa9UEJ6UFV3B9UAy +ZvLX6xkqNF1d5TOKXekc9DqfSYWpkrIf/diO//1rW7ZIhR2+lge7WPWsU62k +mYMGoGIa+plVfyTu+dKFaTB45UbMW2yBrO1MJaCBqZ940Xnsd1fLU9V1aDC3 +64zCaWwzEzMLvSM0MO+Su2mHHT3r9+6YJQ1eNqq2HcHmU6m/GOhKg9mVZFIG +e1XtmSkUTQMxUV3XL7gepz/6ldQQR4O97+wnGrF1XCONaAk0sJy5ZlyFHcpT +mDWcRgMNjaXCLOxlMy73VSU0SOfuFb6BvdifOmY4RIPb/e83SmJPkoMDX/a0 +QX+OgZkhvl+KXKOrDZXboMZvK0UNO7jrcAY62AZey207dmILFyd7vtZrA1Ob +IX/ev6z+0u7PNfs2+FNY7dWI77/E+U5RmfA2eBeu3q2JbTDXYnV5sA0ceTg/ +C+P79F/n6wd+jLaB6Af/BQ7sAaqCuP3PNjA+srAyg+9rn7z7I7oLbVA6Lpdb +h/384pHLorzt8MjljJ8PNjFdG12l3A6bMleKPv/C8/GzrHFdVDtE2CUp2uF+ +4cLjo9Iese24fzqbrI2tojNy9dOTdvjQEzi1E7sxmWfXtbR2EBtO2PkH9x9z +ZrbREyXtoLw1XDGJ1Y8UE5a1I+1gM/TapJ0g0debe/sDVDvAu6RbWgH3O+sk +XtFHRzvgWF9cfsgciTRsbvaPTHTAxrbRO6exL0efbBqe6QCtQ5u09bE72UVe +DS12QJn20XN82M++hzv28X2BH0KONx/h/kwxz6OlXekLEPa2nam4fzPRVnxT +fesLnK3gk8+axv2Te+HZFJlOMN8qFXQe95M/NbLDeXd0glNeHF0XW1/kZZbv +7k6wGsnQksTmqI+bMVbrhKW6shga7lcDZHx8fx3thBJX5RYl7LOD+25bXO0E +6OtsWviO3+vWeS+52jrhZJoAx6lRPL8ab0bdgrpABxxsRnF/7bOvtkC4phuu +WDq5+uH+fjCn9j5NqgfcSrYSZz/h94tHR5J8YC/4zVPLZwrxe0lzzfLZsj4g +B/ktefH7Sz9VyvXdxgH4PPnvR4jF7zGJk1u/2Q+CaW1s4qFg/F6ZsubtMhmC +/VbHN6qdx/tj/Sx37dIQyFW/0VmyIhEXSsqVfvoNItyc2dvUSXROqezrP0bD +gPiC68SkSfTmyKmUSmIYhOtc7yutwf3DpNiG7/EjUBGrFZMxSaBCS33OOJ1R +qLuQxM/bTKAgg7DnBd9HYazdzL73LYGk+g2708LGgNKYypkeQSA1lVtxovu+ +g796nlSVK4HsN1N+sbd/h6ozv3I8tAm00Bg57BXyA8YYHKatogR6wuXoYyEz +DlG1rfSi2QX070BhKkftOLhCSfuOugW06nqIleqlCTgkFX9J+9ECYnvtmDss +8BMK/m58/Pn0ArqcmBspUP0T+OdXB7QrLKCKneHc/Bcm4UhDQNbfuXnEb3hZ +IXTdFMimWw/JF8+jvl3U9oDyKRDJ+eB86fI8ynsGMqOO05DxK9BkWmEeOeQq +80ssT8PhTR66U8NMFBz2IqQvawaiJF/pzN9jorV+awyds2eg2+8Qb9JdJkp0 +8xT4njsDarsdTTTDmei9kWoavWAGdBQeakWEMdF3flotB5qB2p8nRzcFM5HR +MzZu+fYZUN2wL0nqChPXg5NRV37PgFeVdW+1IxOlZX6yWfo7Axr1ozzHHZho +T+JOySA2OvD9y506ZcdER66TueGr6GCZ9g+noC0TXdOOpiWtp0P63jeX7CyZ +aJhSKVgtTQd+ebPvTfpMlD0ukbTOmA4/+Dwfy+1hovDkMKcBUzqor9xo8Fdk +otMW07I55nTYXCY99lmBicTLy/NMjtEhI9633k2eie5F29VFnKLDSd09Lk+2 +MpGbcsIcTwAdWvb5pBQJMpHOJFtxz1U6OGt9dmQKMJFkitu1rBt0aNVrOabI +z0Sd/xxYY3iLDvJ2AVnP1jKRbn+n+N1onF/QKp2zq5lo6y1BgzUZdFDaLIN8 +SAZaPnBtbVcmHbzb3TnvLDDQ1+mRtow3dNhXRGV/xGSgmGPvHfTe0SE0fHNf +Kp2BVnZYeIeV4/H3zgcG/mCgnsEPB6wRHX4poB0nxxioKE5qWaaaDvf3Cr08 +OMJAF5Znw2vr6fDHUDime4CB+mjRzzjb6TD8NGxH6BcGKrlNurR/ocPDz0lu +q9oZKO7gSfm0bjrkPqZl+lAZyOilYoHWAB0UZzmreJoYSO5EfIDANzqo3dIL +l29gII71y4eHR+hgqshdKP2JgUr9Wz6HTNBBSoC2834lA8Xv2h9tPkWHJING +1eFSBro0/NRKik4HQ/WaY2NFDGT8mEtsbo4O+uXe2oH5DLTd+Pxg1Twd1jer +TPplMxAXe0d6DInXj4Pv2+NXDDRUeNDDaYkOOyz6dU1TGKjcI233nr90UNiq +ATvjGeixJO/Cygod/qsPdP8ewED/A63ijO8= + "]]}, + Annotation[#, "Charting`Private`Tag#1"]& ]}, {}}, + {"WolframDynamicHighlight", <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>}], + DynamicBox[(Charting`HighlightActionBox["DynamicHighlight", {}, + Slot["HighlightElements"], + Slot["LayoutOptions"], + Slot["Meta"], + Charting`HighlightActionFunction["DynamicHighlight", {{{{}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" 1:eJwV13c4lW0YAHCr8qHBhxBJRilpfCJKt01WdsmIVEYpRVbDKKWyihRSQpIy kpX1CCHrnIOQnVFkHM7hfaXie85f5/pd57re+37W/dyP1KmLFmc42NjYLrGz sbF+Dc+M06omrA8LRCWTSq0LiEtbzGtQVAnm4id+STIW0H/Pnzx9L6oDMv7v @@ -246290,8 +313501,210 @@ l29gII71y4eHR+hgqshdKP2JgUr9Wz6HTNBBSoC2834lA8Xv2h9tPkWHJING 1eFSBro0/NRKik4HQ/WaY2NFDGT8mEtsbo4O+uXe2oH5DLTd+Pxg1Twd1jer TPplMxAXe0d6DInXj4Pv2+NXDDRUeNDDaYkOOyz6dU1TGKjcI233nr90UNiq ATvjGeixJO/Cygod/qsPdP8ewED/A63ijO8= - "]]}, - Annotation[#, "Charting`Private`Tag$515664#1"]& ]}, {}}}, + "]]}, "Charting`Private`Tag#1"]}}, {}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0, + 1}, {-1.5001301360067603`, -1.1547607536599116`}}, + "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {0, -1.1355735657517556`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), + "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, "Primitives" -> {}, "GCFlag" -> + False|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>]]& )[<| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0, + 1}, {-1.5001301360067603`, -1.1547607536599116`}}, + "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {0, -1.1355735657517556`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), + "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, "Primitives" -> {}, "GCFlag" -> False|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>], + ImageSizeCache->{{4.503599627370496*^15, -4.503599627370496*^15}, { + 4.503599627370496*^15, -4.503599627370496*^15}}]}, + Annotation[{{{{}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJwV13c4lW0YAHCr8qHBhxBJRilpfCJKt01WdsmIVEYpRVbDKKWyihRSQpIy +kpX1CCHrnIOQnVFkHM7hfaXie85f5/pd57re+37W/dyP1KmLFmc42NjYLrGz +sbF+Dc+M06omrA8LRCWTSq0LiEtbzGtQVAnm4id+STIW0H/Pnzx9L6oDMv7v +JlrZCDQqLhPiJ2cKz/i4uhm8BDJq6cpPFrWC3WNhyppCBJKJkHi5ImkL8Zmx +/i4SBPpj6PLYWc4RLuVX3c6TIdDPWx21zvHOcDhVncN+J4GOnSYrHoqehlgJ +oeg7ewlUqy1WXPP0LDiWeN43VCHQHmn1vHlJd1Ai/i4VHiIQ93Boqo3cBXhx +NaS4SI9ApUNtLTVWXuA6tzTjYEQgL7PThvbxl8B6bKyp7SiB+nff0Y4U9QHG +OmaL6nECxT0XqZK1uwJfxbOklOwJZLg+61DlU1/gOZDTxOdEoKKZ5v10yQDY +q57c6eJKoKhsge3mcjdgjZFX4bAPgXQl0tMnXIPgt1BXOZ8/gW7UJW74aBUM +Q0uN36SvEkhJWSHSNj4ETljuKJUIIVCK0NHb90RvQdgWTpXjEQS62vK41qb0 +Flwf1oziiiaQTdgQh7RdGOy6ungh/QGB+BYuBZU9vQ2DYDJbFU8g/444/ynJ +uyC5m30+IIVA5rE9HqZyUfDKfjupnk8gBSPp12L1UbD/ltUXywI8P5znf3x3 +jQYXwqb5VBGBTn5YfoesYuDwZdOO86UEEpKPUTwe/wBSVWYuKVcTKIT7/ba7 +onGgoGSXVU0l0A79B+H5XnFw/Wb4vrQ2ArWFXZzorY+DYdlHHjc68PpyKrxR +9H0EaUxlT9luAtX9TVfsaIsHNbYSHtVBnD8zfr9kRALE9T5LjpwiUOHeK/EG +Iwmw/5GzotwMgRy9LMlLaomQ4iLHUUYnUO70+g+144mQ2V9S9pVBIIvx8EMe +uk/h9UiizfQigZ70B2oXLj8DNy7TiEAuEmmK26YPWD+HqofUloFVJPp5QmUV +d/ZzMPALPqyxhkSbpwJcsnelwGDjj56lf0h00V1Zlq0vBbSX6bvM1pNI4HRu +5ssDqfBB5GHbTVESHbd9kTs7lw6yn8WfGSiQiN5rxZMq9hIubYp6aL6LRLcd +uc9Yar+EcOOjjOOKJHrvclGsKO4lJET4rnLZQ6J1F9TDrqpkwBdfytxpJRJ9 +Cv16YvX1V8AuctBJ+hCJ9r3lXy3OnQWHnh/clmZIos+Kn5xa9mRBxGvUFGxE +Iqd3/mU3bLMg8uG9Iw7GJIosGvT6lpUFJrZHewVMSTReld2bYfIGZr0nk73M +SfTsy5F3e2PfQp723oLFYyTiXQmx19+cC12vaVsNT5PoifsxRwW9XIgLCUrm +PEMimQ4FJ/4LuSAxEaBejn34dZdLb0Uu1HQkMne4kujD6/jCYsU8CP7t5rLs +TqJpy6lyo9Y8+OE89eTGRRJZZz5u8l6bD4rb/qmcDiDRU4dQ47NS+eC/xywh +KpBEwwKeLcf354Nn6tpaxat4vq9rUdQd8iGPrsXneY1Edy2m29Zk54PcqHXY +txskqvij1ZNk/B54LaeXs26SSNZ8ZrzmfgHsynTKq4sgkViQvprriwL42mNg +cDKSRBuyU+7zFBdALpnyH4m9xG2paD5cADNr0rllo0lErSr2HjhQCEo1gfX+ +D0gUuCdkeXGsEHzg+nn2eBK1rhcUVNQoBmL87PXkZySqUfc8Q7MuBo9iMYOt +z0lUcq6uyOdcMThT/oRkYKfV+9uWxRfDg5XDetkpJPIP6n9mMF0MXds5ZQtS +SbSVniHvklgC0o2B3a8ySOTXqgpP5j9AU8xY7s4cvB8UC8c+c5cCEJ+Ix9jp +kXsifouXwkjUHRpnLoloxtu+OuqWgrtTiE4P9s4mQW/Z+FIQRiMKoe9INFhP +z8hXKQOlpcPulQUk0v/4cl1LYDlUZF6/MllKooEtUoXLUeXwylaT16iMRD7B +T+32pJWD/N5fmlnYLyA2M7apHALyimNdy0n0uyJY64R4BQgtnm/rryBRbqmd +74+KCgi1fMrzoYpEGwv4B9jZEXQx84oU6khkH/HbalQYAf8BG8lw7NTTY011 +CgiCfr6RGcFWEPrw4f5xBGvMxlY/qSeRlq/TI6E8BDdzO/7720Ai5afHMuJ2 +V0G6S0lQYROJnB0zHDIHqkAtY1hjiEqiW4abEhImqmA4031qN41EGcoxHffm +q2Dx+ykIwp5aF2jkyfMRyojBPvE2EvkiE9V9yh9hn7PVsmU7iSK2LAiWR36E +rq+i4vlfSFQ0rN1MOVQNq5L2vd/dQ6JOg5C5SP1qSE63fOyNTeRUChtbVAOF +dl+xGFvlqqpzg2s1uHPs9VPvxev/726i6mE1VLrU++n14fOgI7Ylf7waLK0p +boYDJCrPmPWOi6uBA7e7ozYNk6iPTzHB4nkN5Bx4qW2D/efyucoNWTUg8tE1 +PwZbHb5zR6IaiLWNr+QaIVFlV29y2M8aOF7O8XgCG3HX1/tq1MKxyZuXM8ZI +VO2RvMl2qha2hJiJzYzj+jJx7pwjUQvKq3V5JCbw/nFTK3Nh+wSlh42qjbDD +znaduCD4CUa5hO9nYhuc4k+8eegTyHvY3zz5k0QU2zCRnIhPoCozsrNmEudr +4CnEuasOrH3CDp+aIVFL/cEz3Cp1cJBQ9rqHXanHU7hWsw4MlX555mM/13ll +JWJdB8Y75VrZ6SQ6pfEtdtf1OigIsZF5hj2uYi1wvKUOdpvqa7bMkmhBTn19 +tmc97HS+zbaaievLsFb9Pf96+HrQ89MO7NvJBkFuN+vBl83G/Ci2sKAVXTqh +Hrq35FU+xlZmP0dJrK2HI3sFmLLz+Lz1PokO39QApMb5AZUFPL/R8+tPf24A +DdeJ+H0kiXSMluo1Oxog9ky2vxl2xGq2YMnBBmjm15G9gC1xnXe2Z74Bbtw9 +MZiJfdhjK9V8y2d4HHmoZ9MiiYJ0zGLA7zPEvmJwLGJz/HqzYZNsI3ALD/bc +XSLRphYtJuxuhFAZ08rn2Eovvn45rdoIJ/10fQuxzxxZk5Rj0ghXV8RPD2E3 +JJyS1fJtBJeqJFWl3ySKVhNVc69vhCujZF87dua6PPEoWiO4m8sI/MCuGtZb +ye9thObjGySWsBn3fGr/0BvBxO1ygeQfEtn0UkxjRJpgluI26YYtfu22S7F7 +E/QWKd1jYu83k9Dr88b/e3HJcP0lkalMwXb2G03wSaMkThA7qPnbtOHDJlhN +E/ithD0sru4/UNoEmmU3+r2xX1cwI1bxNUOcjQX3T+zqB/cu7hBuhvGqcJFF +7J4zUhZHtzSDs00R2+plEvGtO7oxQakZ5u6o2kphezlmvVBwaIaLijkKNtjK +K05FljnNQDpEnSvBNjapCtUtaYZFgZGGGmyXJMmjKtXNkN9RxEbBjlEZ+CHW +2QwX7gmzjWFPXLQTHf7bDFYi5rB+hURslaVj7WtaQH36wGVRbGE+sfxP/C0Q +cVswWBpbK7Pb8LVsC/S4DCmoYD8dsr7mZdIC4GfbbI+dr1hocOpYCwwEXug+ +g91wTVDIyrkFZl+PowvYCyLt2SpXWiDNQEcqGNvU3Gxw+WkLaBKbdr/AZq82 +1IqcagGfO3CzD1tkQ9a6IKIFtBOFjEewFR3/6fVia4UIhR1zE9gnluq9rQRb +YTBQm0pgF+zTfbnpUCts/5o+so5tETUGp19aq9cKG2z6owWxh1o5D68cbYWf +fuUiYthrz1V3Dru0Qu3QiyoZ7LNpwJ11vxVcdD09DmBfm3vWkfSoFWYPwN9D +2LGwnBL5vBUcNkp4amJX9ZarXnrfCn9OKY4ewe6UF1/tUtEKL5MCJ02wp/yu +tlnVt4KqDRfVHFtUUO3cgd5WqL7IvtcWe8+pBJUdY60wrhWZbY+tl7fIKU5v +Bf/gO2ucsC8bFz9d4aDAz7ek5Vns8ERh9zk+CuwrDdNzx34+fmX/iDAFGi7k +C5/Hbr6l1Fq3gwIn4jeaXcJWW9/E8WA/BRqfmEx5Y2cmOKvYaVCA/Y62ky+2 +sMziOVkjCty9yP3WH/tmTlQK3ZoCryzeUAKxZw/IfvngRAH7rQebrmE71pT9 +c+scBUKq657dYMUzsThs6kuBLm4Hw2BWvO7xyyIhFBCu4GsNYcU7FfRq+D4F +yCej8jdZ8aaF+t7GU8DcgOlwC/uW39sNfi8osMVd3yMMe45dW1fzLQVi7tNN +b7PiRXwN4C2mwOZ9S7x3WPGEvXK+fKRAIu3KC5bVXqweed5MASWa1/pwVryd +yRs9uiiQfO+XNcvCRf8ZKw3j8RpuvsLyLY3G4OUpCmw3nT7PMqPRqbCBpEBg +g486y3lZv32OsFNBqL/6O+v7P+h60TmrqdCbOubG8ub9D1//y0eFK9KTNaz8 +rAL7a/z5qXDN7hvJyv8+2j7QL0wFT7VOLparuXwWtcSpUJ/a9ZM1/sUjSCBT +igobPZivQ7F3R/PsWruNChy2Klqs+TrbYa1/WYEKDjvfvQ/CThZ94dy1lwq7 +Yi4sX8fucJy6ekiFCjXy17ey1kNrIjRvtRYV1HVrCT/sAMXWxnP6VEhr3vjq +Cms83qJjVGMqzMQy9rDWf/NyrmjSMWwXb5ontrX27//YHKgQuU5p3AM7IlzP +9MwpKnCpv+t2xf4l0B+625MKTsNC+s6s/Xp8e3LcZSqYJ2z85MDKN9m7+Jcf +FUyseCROsPLbxjNVE0qFLhpxwoIV/5CK9fEnVOjZbb2BdV5+hIRerEjG+aYY +nGSdp831LXe3plFBris2XIUV3/x05VQ2FabFTnvswnY7+2BbSA0V+Fcbnd/I +2u9v+jS/N1CBXGMfzc86b7Pb7I1aqSD+ZnskL7bO1coYoa9UEJ6UFV3B9UAy +ZvLX6xkqNF1d5TOKXekc9DqfSYWpkrIf/diO//1rW7ZIhR2+lge7WPWsU62k +mYMGoGIa+plVfyTu+dKFaTB45UbMW2yBrO1MJaCBqZ940Xnsd1fLU9V1aDC3 +64zCaWwzEzMLvSM0MO+Su2mHHT3r9+6YJQ1eNqq2HcHmU6m/GOhKg9mVZFIG +e1XtmSkUTQMxUV3XL7gepz/6ldQQR4O97+wnGrF1XCONaAk0sJy5ZlyFHcpT +mDWcRgMNjaXCLOxlMy73VSU0SOfuFb6BvdifOmY4RIPb/e83SmJPkoMDX/a0 +QX+OgZkhvl+KXKOrDZXboMZvK0UNO7jrcAY62AZey207dmILFyd7vtZrA1Ob +IX/ev6z+0u7PNfs2+FNY7dWI77/E+U5RmfA2eBeu3q2JbTDXYnV5sA0ceTg/ +C+P79F/n6wd+jLaB6Af/BQ7sAaqCuP3PNjA+srAyg+9rn7z7I7oLbVA6Lpdb +h/384pHLorzt8MjljJ8PNjFdG12l3A6bMleKPv/C8/GzrHFdVDtE2CUp2uF+ +4cLjo9Iese24fzqbrI2tojNy9dOTdvjQEzi1E7sxmWfXtbR2EBtO2PkH9x9z +ZrbREyXtoLw1XDGJ1Y8UE5a1I+1gM/TapJ0g0debe/sDVDvAu6RbWgH3O+sk +XtFHRzvgWF9cfsgciTRsbvaPTHTAxrbRO6exL0efbBqe6QCtQ5u09bE72UVe +DS12QJn20XN82M++hzv28X2BH0KONx/h/kwxz6OlXekLEPa2nam4fzPRVnxT +fesLnK3gk8+axv2Te+HZFJlOMN8qFXQe95M/NbLDeXd0glNeHF0XW1/kZZbv +7k6wGsnQksTmqI+bMVbrhKW6shga7lcDZHx8fx3thBJX5RYl7LOD+25bXO0E +6OtsWviO3+vWeS+52jrhZJoAx6lRPL8ab0bdgrpABxxsRnF/7bOvtkC4phuu +WDq5+uH+fjCn9j5NqgfcSrYSZz/h94tHR5J8YC/4zVPLZwrxe0lzzfLZsj4g +B/ktefH7Sz9VyvXdxgH4PPnvR4jF7zGJk1u/2Q+CaW1s4qFg/F6ZsubtMhmC +/VbHN6qdx/tj/Sx37dIQyFW/0VmyIhEXSsqVfvoNItyc2dvUSXROqezrP0bD +gPiC68SkSfTmyKmUSmIYhOtc7yutwf3DpNiG7/EjUBGrFZMxSaBCS33OOJ1R +qLuQxM/bTKAgg7DnBd9HYazdzL73LYGk+g2708LGgNKYypkeQSA1lVtxovu+ +g796nlSVK4HsN1N+sbd/h6ozv3I8tAm00Bg57BXyA8YYHKatogR6wuXoYyEz +DlG1rfSi2QX070BhKkftOLhCSfuOugW06nqIleqlCTgkFX9J+9ECYnvtmDss +8BMK/m58/Pn0ArqcmBspUP0T+OdXB7QrLKCKneHc/Bcm4UhDQNbfuXnEb3hZ +IXTdFMimWw/JF8+jvl3U9oDyKRDJ+eB86fI8ynsGMqOO05DxK9BkWmEeOeQq +80ssT8PhTR66U8NMFBz2IqQvawaiJF/pzN9jorV+awyds2eg2+8Qb9JdJkp0 +8xT4njsDarsdTTTDmei9kWoavWAGdBQeakWEMdF3flotB5qB2p8nRzcFM5HR +MzZu+fYZUN2wL0nqChPXg5NRV37PgFeVdW+1IxOlZX6yWfo7Axr1ozzHHZho +T+JOySA2OvD9y506ZcdER66TueGr6GCZ9g+noC0TXdOOpiWtp0P63jeX7CyZ +aJhSKVgtTQd+ebPvTfpMlD0ukbTOmA4/+Dwfy+1hovDkMKcBUzqor9xo8Fdk +otMW07I55nTYXCY99lmBicTLy/NMjtEhI9633k2eie5F29VFnKLDSd09Lk+2 +MpGbcsIcTwAdWvb5pBQJMpHOJFtxz1U6OGt9dmQKMJFkitu1rBt0aNVrOabI +z0Sd/xxYY3iLDvJ2AVnP1jKRbn+n+N1onF/QKp2zq5lo6y1BgzUZdFDaLIN8 +SAZaPnBtbVcmHbzb3TnvLDDQ1+mRtow3dNhXRGV/xGSgmGPvHfTe0SE0fHNf +Kp2BVnZYeIeV4/H3zgcG/mCgnsEPB6wRHX4poB0nxxioKE5qWaaaDvf3Cr08 +OMJAF5Znw2vr6fDHUDime4CB+mjRzzjb6TD8NGxH6BcGKrlNurR/ocPDz0lu +q9oZKO7gSfm0bjrkPqZl+lAZyOilYoHWAB0UZzmreJoYSO5EfIDANzqo3dIL +l29gII71y4eHR+hgqshdKP2JgUr9Wz6HTNBBSoC2834lA8Xv2h9tPkWHJING +1eFSBro0/NRKik4HQ/WaY2NFDGT8mEtsbo4O+uXe2oH5DLTd+Pxg1Twd1jer +TPplMxAXe0d6DInXj4Pv2+NXDDRUeNDDaYkOOyz6dU1TGKjcI233nr90UNiq +ATvjGeixJO/Cygod/qsPdP8ewED/A63ijO8= + "]]}, "Charting`Private`Tag#1"]}}, {}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0, 1}, {-1.5001301360067603`, -1.1547607536599116`}}, + "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {0, -1.1355735657517556`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, "Primitives" -> {}, "GCFlag" -> False|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>, "DynamicHighlight"]]}, AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], Axes->{True, True}, AxesLabel->{None, None}, @@ -246333,51 +313746,52 @@ ATvjGeixJO/Cygod/qsPdP8ewED/A63ijO8= AbsolutePointSize[6], "DefaultPlotStyle" -> { Directive[ RGBColor[0.368417, 0.506779, 0.709798], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.880722, 0.611041, 0.142051], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.560181, 0.691569, 0.194885], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.922526, 0.385626, 0.209179], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.528488, 0.470624, 0.701351], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.772079, 0.431554, 0.102387], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.363898, 0.618501, 0.782349], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[1, 0.75, 0], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.647624, 0.37816, 0.614037], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.571589, 0.586483, 0.], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.915, 0.3325, 0.2125], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.40082222609352647`, 0.5220066643438841, 0.85], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.9728288904374106, 0.621644452187053, 0.07336199581899142], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.736782672705901, 0.358, 0.5030266573755369], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.28026441037696703`, 0.715, 0.4292089322474965], - AbsoluteThickness[1.6]]}, "DomainPadding" -> Scaled[0.02], + AbsoluteThickness[2]]}, "DomainPadding" -> Scaled[0.02], "PointSizeFunction" -> "SmallPointSize", "RangePadding" -> Scaled[0.05], - "OptimizePlotMarkers" -> True, "OptimizePlotMarkers" -> True, + "OptimizePlotMarkers" -> True, "IncludeHighlighting" -> "CurrentSet", + "HighlightStyle" -> Automatic, "OptimizePlotMarkers" -> True, "CoordinatesToolOptions" -> {"DisplayFunction" -> ({ Identity[ Part[#, 1]], @@ -246395,9 +313809,8 @@ ATvjGeixJO/Cygod/qsPdP8ewED/A63ijO8= 3.895639523308592*^9, 3.895639548116578*^9}, 3.895639604409791*^9, 3.895639635587175*^9, {3.895639740590926*^9, 3.895639755510391*^9}, { 3.89564157278372*^9, 3.895641581964878*^9}, 3.89564463023643*^9, - 3.8956447488743362`*^9, 3.8956453858095837`*^9}, - CellLabel-> - "Out[505]=",ExpressionUUID->"6638434b-078d-4dfb-90eb-434be61e4366"] + 3.8956447488743362`*^9, 3.8956453858095837`*^9, 3.901787287148493*^9}, + CellLabel->"Out[99]=",ExpressionUUID->"99953025-c98f-4700-bf4d-a279916a22ca"] }, Open ]], Cell[CellGroupData[{ @@ -246451,7 +313864,7 @@ Cell[BoxData[ RowBox[{"WorkingPrecision", "->", "20"}]}], "]"}]}]], "Input", CellChangeTimes->{{3.8958949151155367`*^9, 3.895895030582053*^9}}, CellLabel-> - "In[1125]:=",ExpressionUUID->"8a69c59b-0607-4c39-9cf6-7f67e654459e"], + "In[100]:=",ExpressionUUID->"8a69c59b-0607-4c39-9cf6-7f67e654459e"], Cell[BoxData[ RowBox[{"{", @@ -246462,9 +313875,10 @@ Cell[BoxData[ RowBox[{"x", "\[Rule]", "0.7695162257591819626353419166025892104`20."}]}], "}"}]], "Output", CellChangeTimes->{ - 3.895894940446252*^9, {3.89589498900383*^9, 3.8958950310356894`*^9}}, + 3.895894940446252*^9, {3.89589498900383*^9, 3.8958950310356894`*^9}, + 3.9017872871862087`*^9}, CellLabel-> - "Out[1125]=",ExpressionUUID->"daed1656-dc7c-492b-a6b4-cfab467d8c9c"] + "Out[100]=",ExpressionUUID->"72ace3f9-2e59-4010-ae4a-2bab32af81d3"] }, Open ]], Cell[CellGroupData[{ @@ -246492,16 +313906,16 @@ Cell[BoxData[ RowBox[{"WorkingPrecision", "->", "10"}]}], "]"}]}]], "Input", CellChangeTimes->{{3.895895025213305*^9, 3.895895046141938*^9}}, CellLabel-> - "In[1126]:=",ExpressionUUID->"3c95a34a-c958-4662-aa36-0682b9bd9448"], + "In[101]:=",ExpressionUUID->"3c95a34a-c958-4662-aa36-0682b9bd9448"], Cell[BoxData[ RowBox[{"{", RowBox[{ "\[Lambda]", "\[Rule]", "0.96082668209443000242890955143761354122`10."}], "}"}]], "Output", - CellChangeTimes->{3.895895046520287*^9}, + CellChangeTimes->{3.895895046520287*^9, 3.901787287237414*^9}, CellLabel-> - "Out[1126]=",ExpressionUUID->"abbabfab-3868-4e22-bbc9-2da221c83319"] + "Out[101]=",ExpressionUUID->"d90b1bf9-7bbd-464c-b4ac-27599024b958"] }, Open ]], Cell[CellGroupData[{ @@ -246519,7 +313933,12 @@ Cell[BoxData[ RowBox[{"{", RowBox[{"#1", ",", RowBox[{"Max", "[", - RowBox[{"#2", ",", + RowBox[{ + RowBox[{"If", "[", + RowBox[{ + RowBox[{"0.75", "<", "#1", "<", "0.9"}], ",", + SuperscriptBox["10", + RowBox[{"-", "10"}]], ",", "#2"}], "]"}], ",", SuperscriptBox["10", RowBox[{"-", "10"}]]}], "]"}]}], "}"}], "&"}], "@@@", "rangeLowerNew"}], ",", "rangeTable14upper", ",", @@ -246532,13 +313951,8 @@ Cell[BoxData[ RowBox[{"solsEndTrimmed", "[", RowBox[{"[", "1", "]"}], "]"}]}], ")"}], "<", RowBox[{"#", "[", - RowBox[{"[", "1", "]"}], "]"}], "<", - RowBox[{"(", - RowBox[{"\[Lambda]", "/.", - RowBox[{"solsEndTrimmed", "[", - RowBox[{"[", - RowBox[{"-", "1"}], "]"}], "]"}]}], ")"}]}], "&"}]}], "]"}]}], - "}"}], ",", + RowBox[{"[", "1", "]"}], "]"}], "<", "0.9"}], "&"}]}], "]"}]}], + "}"}], ",", RowBox[{"PlotStyle", "->", RowBox[{"{", RowBox[{"Red", ",", "Red", ",", @@ -246676,20 +314090,17 @@ Cell[BoxData[ RowBox[{"-", "5.5"}]}], "}"}]}], "]"}]}], "}"}]}]}], "\[IndentingNewLine]", "]"}]}]], "Input", - CellChangeTimes->{{3.8956358175501337`*^9, 3.895635873443342*^9}, { - 3.895636013868052*^9, 3.895636016735467*^9}, {3.8956362151275578`*^9, - 3.89563629041756*^9}, {3.895636343820773*^9, 3.89563636011504*^9}, { - 3.8956368140032377`*^9, 3.895636850513671*^9}, {3.8956379294236727`*^9, - 3.895638024019326*^9}, {3.8956382334840117`*^9, 3.895638233561193*^9}, { - 3.8956387115695267`*^9, 3.8956387187282343`*^9}, {3.895639008431754*^9, - 3.895639009363532*^9}, {3.895641602882132*^9, 3.8956416877908573`*^9}, { - 3.895644614659382*^9, 3.8956446148388033`*^9}, {3.8956447525873947`*^9, - 3.895644752765921*^9}, {3.895894892820153*^9, 3.8958948986828136`*^9}, { - 3.895895057126574*^9, 3.895895128232451*^9}, {3.895895165658784*^9, - 3.8958951990579233`*^9}, {3.895895266677494*^9, 3.895895586004541*^9}, { - 3.8958956482790127`*^9, 3.8958957120387487`*^9}}, + CellChangeTimes->CompressedData[" +1:eJxTTMoPSmViYGAQA2IQvWmW4dtQtreOd/T1voBo0eO7WcOA9KcOcTYQ/UXk +iRyIXh7jqwGijzn/0gPRGeuYDUF0m9SBTBD9Lz8gD0Tv6tP9CqKD5jEwhgNp +tlp9aRDt/dUQTE+w/BQGojf5Xg8H0e8u8NaAaL5F2mA61yrmQASQ3uL38xSI +XiF51SIKSFddvwWmP5wW8gLRuU0SYHqT3azcK0B6zs3QPBD9lEelCUTbFrB3 +g+hDIlv7QXTTrYeTQTTHutA5INpY1eEIiF7zluMMiM6zZbwCojMWbr5oIPTW +8es6jcsg+knDrBsgep3tKjB9wGnZKxBtZr/gLYg+/VRkiSGIL39+KYh2qXq7 +CkQrXWNZA6IB0juf/A== + "], CellLabel-> - "In[1157]:=",ExpressionUUID->"d77f283f-ec11-41a1-8d0d-562d4bc39099"], + "In[323]:=",ExpressionUUID->"d77f283f-ec11-41a1-8d0d-562d4bc39099"], Cell[BoxData[ TemplateBox[{ @@ -246703,68 +314114,38 @@ RowBox[{\\\"(\\\", RowBox[{RowBox[{\\\"-\\\", FractionBox[\\\"1029\\\", \ \\\"200\\\"]}], \\\"+\\\", RowBox[{\\\"Times\\\", \\\"[\\\", RowBox[{\\\"\ \[LeftSkeleton]\\\", \\\"2\\\", \\\"\[RightSkeleton]\\\"}], \\\"]\\\"}]}], \\\ \")\\\"}]}]]}], \\\"125000\\\"]}], \\\")\\\"}]}], \\\"2960629\\\"], \ -\\\"-\\\", FractionBox[RowBox[{\\\"37020866013872049065859751649\\\", \\\" \\\ -\", SqrtBox[FractionBox[RowBox[{RowBox[{\\\"-\\\", \ -FractionBox[\\\"37927853575400390625\\\", \\\"9514307042095766339\\\"]}], \ -\\\"-\\\", FractionBox[RowBox[{\\\"1221217420488281250000\\\", \\\" \\\", \ -RowBox[{\\\"(\\\", RowBox[{RowBox[{\\\"-\\\", FractionBox[RowBox[{\\\"2\\\", \ -RowBox[{\\\"\[LeftSkeleton]\\\", \\\"7\\\", \\\"\[RightSkeleton]\\\"}], \ -\\\"31\\\"}], RowBox[{\\\"42\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \\\"5\\\", \ -\\\"\[RightSkeleton]\\\"}], \\\"00\\\"}]]}], \\\"+\\\", RowBox[{\\\"\ -\[LeftSkeleton]\\\", \\\"1\\\", \\\"\[RightSkeleton]\\\"}]}], \\\")\\\"}]}], \ -\\\"466201045062692550611\\\"], \\\"-\\\", \ -FractionBox[RowBox[{\\\"1847567812500\\\", \\\" \\\", RowBox[{\\\"\ -\[LeftSkeleton]\\\", \\\"1\\\", \\\"\[RightSkeleton]\\\"}]}], \ -\\\"3478154408437\\\"], \\\"-\\\", \ -FractionBox[RowBox[{\\\"662432337599453736802734375\\\", \\\" \\\", \ -RowBox[{\\\"(\\\", RowBox[{RowBox[{\\\"Log\\\", \\\"[\\\", RowBox[{\\\"\ -\[LeftSkeleton]\\\", \\\"1\\\", \\\"\[RightSkeleton]\\\"}], \\\"]\\\"}], \ -\\\"+\\\", RowBox[{\\\"Times\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \ -\\\"2\\\", \\\"\[RightSkeleton]\\\"}], \\\"]\\\"}]}], \\\")\\\"}]}], \ -\\\"142937706617266598709883211\\\"]}], \\\"608116783282\\\"]], \\\" \\\", \ -RowBox[{\\\"(\\\", RowBox[{FractionBox[RowBox[{\\\"1847567812500\\\", \\\" \\\ -\", SqrtBox[RowBox[{FractionBox[RowBox[{\\\"5132132812500\\\", \\\" \\\", \ -RowBox[{\\\"Plus\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \\\"2\\\", \ -\\\"\[RightSkeleton]\\\"}], \\\"]\\\"}]}], \\\"2226755069539\\\"], \\\"+\\\", \ -FractionBox[RowBox[{\\\"81414494699218750\\\", \\\" \\\", \ +\\\"+\\\", FractionBox[RowBox[{RowBox[{\\\"-\\\", \ +FractionBox[RowBox[{\\\"246342375\\\", \\\" \\\", RowBox[{\\\"Log\\\", \ +\\\"[\\\", FractionBox[\\\"1184\\\", \\\"449\\\"], \\\"]\\\"}]}], \ +\\\"79409659\\\"]}], \\\"-\\\", \ +SqrtBox[RowBox[{FractionBox[RowBox[{\\\"60684565720640625\\\", \\\" \\\", \ +RowBox[{\\\"Power\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \\\"2\\\", \ +\\\"\[RightSkeleton]\\\"}], \\\"]\\\"}]}], \\\"6305893942496281\\\"], \\\"+\\\ +\", RowBox[{RowBox[{\\\"Plus\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \ +\\\"2\\\", \\\"\[RightSkeleton]\\\"}], \\\"]\\\"}], \\\" \\\", \ RowBox[{\\\"Plus\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \\\"2\\\", \ -\\\"\[RightSkeleton]\\\"}], \\\"]\\\"}], \\\" \\\", RowBox[{\\\"Plus\\\", \ -\\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \\\"2\\\", \\\"\[RightSkeleton]\\\ -\"}], \\\"]\\\"}]}], \\\"10643824760646077\\\"], \\\"+\\\", \ -FractionBox[RowBox[{\\\"60684565720640625\\\", \\\" \\\", RowBox[{\\\"Power\\\ -\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \\\"2\\\", \\\"\ -\[RightSkeleton]\\\"}], \\\"]\\\"}]}], \\\"100894303079940496\\\"]}]]}], \ -\\\"3478154408437\\\"], \\\"-\\\", \ -FractionBox[RowBox[{\\\"113783560726201171875\\\", \\\" \\\", RowBox[{\\\"(\\\ -\", RowBox[{RowBox[{\\\"Log\\\", \\\"[\\\", FractionBox[\\\"3143\\\", \ -\\\"2000\\\"], \\\"]\\\"}], \\\"-\\\", RowBox[{\\\"Log\\\", \\\"[\\\", \ -FractionBox[\\\"518\\\", \\\"125\\\"], \\\"]\\\"}]}], \\\")\\\"}]}], \ -\\\"276199055523328892983\\\"]}], \\\")\\\"}]}], \ -RowBox[{\\\"64953555908203125000000\\\", \\\" \\\", RowBox[{\\\"(\\\", \ -RowBox[{RowBox[{\\\"-\\\", FractionBox[\\\"2027890431\\\", \ -\\\"425292800\\\"]}], \\\"-\\\", FractionBox[RowBox[{\\\"6194032677\\\", \\\" \ -\\\", RowBox[{\\\"(\\\", RowBox[{RowBox[{\\\"Log\\\", \\\"[\\\", FractionBox[\ -\\\"3143\\\", \\\"2000\\\"], \\\"]\\\"}], \\\"-\\\", RowBox[{\\\"Log\\\", \ -\\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \\\"1\\\", \\\"\[RightSkeleton]\\\ -\"}], \\\"]\\\"}]}], \\\")\\\"}]}], \\\"1226404000\\\"]}], \ -\\\")\\\"}]}]]}]\\) attempted.\"", 2, 1157, 681, 31992412955784148511, - "Local"}, +\\\"\[RightSkeleton]\\\"}], \\\"]\\\"}]}]}]]}], \ +SqrtBox[RowBox[{FractionBox[RowBox[{\\\"3641073943238437500000\\\", \\\" \ +\\\", RowBox[{\\\"Log\\\", \\\"[\\\", FractionBox[\\\"1184\\\", \\\"449\\\"], \ +\\\"]\\\"}]}], \\\"276199055523328892983\\\"], \\\"-\\\", \ +FractionBox[RowBox[{\\\"46561584209343750000000\\\", \\\" \\\", RowBox[{\\\"(\ +\\\", RowBox[{RowBox[{\\\"-\\\", FractionBox[\\\"2027890431\\\", \ +\\\"106323200\\\"]}], \\\"+\\\", FractionBox[RowBox[{\\\"6194032677\\\", \\\" \ +\\\", RowBox[{\\\"Log\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \ +\\\"1\\\", \\\"\[RightSkeleton]\\\"}], \\\"]\\\"}]}], \\\"306601000\\\"]}], \ +\\\")\\\"}]}], \\\"3263407315438847854277\\\"], \\\"+\\\", \ +FractionBox[RowBox[{\\\"14780542500000\\\", \\\" \\\", \ +SqrtBox[RowBox[{RowBox[{\\\"Times\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\ +\\\", \\\"2\\\", \\\"\[RightSkeleton]\\\"}], \\\"]\\\"}], \\\"+\\\", RowBox[{\ +\\\"Times\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \\\"2\\\", \\\"\ +\[RightSkeleton]\\\"}], \\\"]\\\"}]}]]}], \\\"3478154408437\\\"]}]]]}]\\) \ +attempted.\"", 2, 323, 205, 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{{3.895636281336906*^9, 3.8956362910408373`*^9}, { - 3.895636336948195*^9, 3.895636360727571*^9}, {3.895636821373563*^9, - 3.895636851090234*^9}, 3.8956369290038157`*^9, 3.895638024718192*^9, - 3.895638234264614*^9, {3.895638712372163*^9, 3.8956387193666067`*^9}, - 3.8956387542912607`*^9, 3.895639010049358*^9, 3.895641634328264*^9, { - 3.895641665000174*^9, 3.895641688531328*^9}, 3.895644616103725*^9, - 3.895644691277766*^9, 3.8956447534122343`*^9, {3.8958950773599787`*^9, - 3.8958951288437634`*^9}, {3.895895167091565*^9, 3.89589519984301*^9}, { - 3.895895268365348*^9, 3.895895272680183*^9}, 3.8958953261591253`*^9, { - 3.895895359744862*^9, 3.895895378130393*^9}, {3.895895470560444*^9, - 3.895895533361394*^9}, {3.895895566342873*^9, 3.895895587178656*^9}, { - 3.8958956696276484`*^9, 3.895895712842017*^9}}, + CellChangeTimes->{ + 3.901852978993024*^9, {3.901853018448647*^9, 3.901853024919857*^9}}, CellLabel-> "During evaluation of \ -In[1157]:=",ExpressionUUID->"5c002725-8083-477c-b2ff-decd4d464d3a"], +In[323]:=",ExpressionUUID->"268abdf5-7eba-4c3d-860d-33952cdfbe73"], Cell[BoxData[ TemplateBox[{ @@ -246778,65 +314159,38 @@ FractionBox[RowBox[{\\\"5577\\\", \\\" \\\", SqrtBox[RowBox[{\\\"741\\\", \ FractionBox[\\\"249\\\", \\\"50\\\"]}], \\\"+\\\", RowBox[{\\\"Times\\\", \ \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \\\"2\\\", \\\"\[RightSkeleton]\\\ \"}], \\\"]\\\"}]}], \\\")\\\"}]}]]}], \\\"62500\\\"]}], \\\")\\\"}]}], \ -\\\"1425177\\\"], \\\"-\\\", \ -FractionBox[RowBox[{\\\"224713058083124822534920311\\\", \\\" \\\", \ -SqrtBox[FractionBox[RowBox[{RowBox[{\\\"-\\\", \ -FractionBox[\\\"1903700943623046875\\\", \\\"479500509943004407\\\"]}], \\\"-\ -\\\", FractionBox[RowBox[{\\\"12384504165039062500\\\", \\\" \\\", RowBox[{\\\ -\"(\\\", RowBox[{RowBox[{\\\"-\\\", FractionBox[\\\"4022429\\\", \\\"904800\\\ -\"]}], \\\"+\\\", RowBox[{\\\"Times\\\", \\\"[\\\", RowBox[{\\\"\ -\[LeftSkeleton]\\\", \\\"2\\\", \\\"\[RightSkeleton]\\\"}], \\\"]\\\"}]}], \\\ -\")\\\"}]}], \\\"4315504589487039663\\\"], \\\"-\\\", \ -FractionBox[RowBox[{\\\"628504531250\\\", \\\" \\\", SqrtBox[RowBox[{\\\"Plus\ -\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \\\"3\\\", \\\"\ -\[RightSkeleton]\\\"}], \\\"]\\\"}]]}], \\\"1234137069997\\\"], \\\"-\\\", \ -FractionBox[RowBox[{\\\"53124545503040251953125\\\", \\\" \\\", RowBox[{\\\"(\ -\\\", RowBox[{RowBox[{\\\"Log\\\", \\\"[\\\", \ -RowBox[{\\\"\[LeftSkeleton]\\\", \\\"1\\\", \\\"\[RightSkeleton]\\\"}], \\\"]\ -\\\"}], \\\"+\\\", RowBox[{\\\"Times\\\", \\\"[\\\", RowBox[{\\\"\ -\[LeftSkeleton]\\\", \\\"2\\\", \\\"\[RightSkeleton]\\\"}], \\\"]\\\"}]}], \\\ -\")\\\"}]}], \\\"11364641586159147450307\\\"]}], \\\"281633004242\\\"]], \\\" \ -\\\", RowBox[{\\\"(\\\", RowBox[{FractionBox[RowBox[{\\\"628504531250\\\", \\\ -\" \\\", SqrtBox[RowBox[{FractionBox[RowBox[{\\\"378617187500\\\", \\\" \\\", \ -RowBox[{\\\"Plus\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \\\"2\\\", \ -\\\"\[RightSkeleton]\\\"}], \\\"]\\\"}]}], \\\"154239424911\\\"], \\\"+\\\", \ -FractionBox[RowBox[{\\\"119368714843750\\\", \\\" \\\", RowBox[{\\\"Plus\\\", \ -\\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \\\"2\\\", \\\"\[RightSkeleton]\\\ -\"}], \\\"]\\\"}], \\\" \\\", RowBox[{\\\"Plus\\\", \\\"[\\\", RowBox[{\\\"\ -\[LeftSkeleton]\\\", \\\"2\\\", \\\"\[RightSkeleton]\\\"}], \\\"]\\\"}]}], \\\ -\"14006239884351\\\"], \\\"+\\\", FractionBox[RowBox[{\\\"36697849515625\\\", \ -\\\" \\\", RowBox[{\\\"Power\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \ +\\\"1425177\\\"], \\\"+\\\", FractionBox[RowBox[{RowBox[{\\\"-\\\", \ +FractionBox[RowBox[{\\\"12115750\\\", \\\" \\\", RowBox[{\\\"Log\\\", \\\"[\\\ +\", FractionBox[\\\"676\\\", \\\"261\\\"], \\\"]\\\"}]}], \\\"4005469\\\"]}], \ +\\\"-\\\", SqrtBox[RowBox[{FractionBox[RowBox[{\\\"146791398062500\\\", \\\" \ +\\\", RowBox[{\\\"Power\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \ \\\"2\\\", \\\"\[RightSkeleton]\\\"}], \\\"]\\\"}]}], \ -\\\"64175127639844\\\"]}]]}], \\\"1234137069997\\\"], \\\"-\\\", \ -FractionBox[RowBox[{\\\"1903700943623046875\\\", \\\" \\\", \ -RowBox[{\\\"(\\\", RowBox[{RowBox[{\\\"Log\\\", \\\"[\\\", \ -FractionBox[\\\"783\\\", \\\"500\\\"], \\\"]\\\"}], \\\"-\\\", \ -RowBox[{\\\"Log\\\", \\\"[\\\", FractionBox[\\\"507\\\", \\\"125\\\"], \ -\\\"]\\\"}]}], \\\")\\\"}]}], \\\"4943297775623813593\\\"]}], \\\")\\\"}]}], \ -RowBox[{\\\"613773956298828125000\\\", \\\" \\\", RowBox[{\\\"(\\\", \ -RowBox[{RowBox[{\\\"-\\\", FractionBox[\\\"4022429\\\", \\\"904800\\\"]}], \\\ -\"-\\\", FractionBox[RowBox[{\\\"113839587\\\", \\\" \\\", RowBox[{\\\"(\\\", \ -RowBox[{RowBox[{\\\"Log\\\", \\\"[\\\", FractionBox[\\\"783\\\", \ -\\\"500\\\"], \\\"]\\\"}], \\\"-\\\", RowBox[{\\\"Log\\\", \\\"[\\\", \ -RowBox[{\\\"\[LeftSkeleton]\\\", \\\"1\\\", \\\"\[RightSkeleton]\\\"}], \\\"]\ -\\\"}]}], \\\")\\\"}]}], \\\"23701000\\\"]}], \\\")\\\"}]}]]}]\\) \ -attempted.\"", 2, 1157, 682, 31992412955784148511, "Local"}, +\\\"16043781909961\\\"], \\\"+\\\", RowBox[{RowBox[{\\\"Plus\\\", \\\"[\\\", \ +RowBox[{\\\"\[LeftSkeleton]\\\", \\\"2\\\", \\\"\[RightSkeleton]\\\"}], \\\"]\ +\\\"}], \\\" \\\", RowBox[{\\\"Plus\\\", \\\"[\\\", RowBox[{\\\"\ +\[LeftSkeleton]\\\", \\\"2\\\", \\\"\[RightSkeleton]\\\"}], \ +\\\"]\\\"}]}]}]]}], \ +SqrtBox[RowBox[{FractionBox[RowBox[{\\\"60918430195937500000\\\", \\\" \\\", \ +RowBox[{\\\"Log\\\", \\\"[\\\", FractionBox[\\\"676\\\", \\\"261\\\"], \ +\\\"]\\\"}]}], \\\"4943297775623813593\\\"], \\\"-\\\", \ +FractionBox[RowBox[{\\\"68244478507812500000\\\", \\\" \\\", \ +RowBox[{\\\"(\\\", RowBox[{RowBox[{\\\"-\\\", FractionBox[\\\"4022429\\\", \\\ +\"226200\\\"]}], \\\"+\\\", FractionBox[RowBox[{\\\"113839587\\\", \\\" \\\", \ +RowBox[{\\\"Log\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \\\"1\\\", \ +\\\"\[RightSkeleton]\\\"}], \\\"]\\\"}]}], \\\"5925250\\\"]}], \\\")\\\"}]}], \ +\\\"4315504589487039663\\\"], \\\"+\\\", \ +FractionBox[RowBox[{\\\"5028036250000\\\", \\\" \\\", \ +SqrtBox[RowBox[{RowBox[{\\\"Times\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\ +\\\", \\\"2\\\", \\\"\[RightSkeleton]\\\"}], \\\"]\\\"}], \\\"+\\\", RowBox[{\ +\\\"Times\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \\\"2\\\", \\\"\ +\[RightSkeleton]\\\"}], \\\"]\\\"}]}]]}], \\\"1234137069997\\\"]}]]]}]\\) \ +attempted.\"", 2, 323, 206, 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{{3.895636281336906*^9, 3.8956362910408373`*^9}, { - 3.895636336948195*^9, 3.895636360727571*^9}, {3.895636821373563*^9, - 3.895636851090234*^9}, 3.8956369290038157`*^9, 3.895638024718192*^9, - 3.895638234264614*^9, {3.895638712372163*^9, 3.8956387193666067`*^9}, - 3.8956387542912607`*^9, 3.895639010049358*^9, 3.895641634328264*^9, { - 3.895641665000174*^9, 3.895641688531328*^9}, 3.895644616103725*^9, - 3.895644691277766*^9, 3.8956447534122343`*^9, {3.8958950773599787`*^9, - 3.8958951288437634`*^9}, {3.895895167091565*^9, 3.89589519984301*^9}, { - 3.895895268365348*^9, 3.895895272680183*^9}, 3.8958953261591253`*^9, { - 3.895895359744862*^9, 3.895895378130393*^9}, {3.895895470560444*^9, - 3.895895533361394*^9}, {3.895895566342873*^9, 3.895895587178656*^9}, { - 3.8958956696276484`*^9, 3.895895712862763*^9}}, + CellChangeTimes->{ + 3.901852978993024*^9, {3.901853018448647*^9, 3.901853024953739*^9}}, CellLabel-> "During evaluation of \ -In[1157]:=",ExpressionUUID->"106d4bd3-81a4-40ff-8888-d595bac86d13"], +In[323]:=",ExpressionUUID->"570b7dd6-098d-4a04-9fed-dd09f743c5f4"], Cell[BoxData[ TemplateBox[{ @@ -246850,911 +314204,4776 @@ FractionBox[RowBox[{\\\"341\\\", \\\" \\\", SqrtBox[RowBox[{\\\"10879\\\", \\\ FractionBox[\\\"963\\\", \\\"200\\\"]}], \\\"+\\\", RowBox[{\\\"Times\\\", \\\ \"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \\\"2\\\", \ \\\"\[RightSkeleton]\\\"}], \\\"]\\\"}]}], \\\")\\\"}]}]]}], \ -\\\"15625\\\"]}], \\\")\\\"}]}], \\\"342767\\\"], \\\"-\\\", \ -FractionBox[RowBox[{\\\"483741588894546232594799595758596\\\", \\\" \\\", \ -SqrtBox[FractionBox[RowBox[{\\\"2\\\", \\\" \\\", RowBox[{\\\"(\\\", \ -RowBox[{RowBox[{\\\"-\\\", FractionBox[\\\"67884186539453194951171875\\\", \\\ -\"17153011546459591589127616\\\"]}], \\\"-\\\", \ -FractionBox[RowBox[{RowBox[{\\\"3393742992\\\", RowBox[{\\\"\[LeftSkeleton]\\\ -\", \\\"5\\\", \\\"\[RightSkeleton]\\\"}], \\\"2587890625\\\"}], \\\" \\\", \ -RowBox[{\\\"\[LeftSkeleton]\\\", \\\"1\\\", \\\"\[RightSkeleton]\\\"}]}], \ -\\\"1072063221653724474320476\\\"], \\\"-\\\", \ -RowBox[{\\\"\[LeftSkeleton]\\\", \\\"1\\\", \\\"\[RightSkeleton]\\\"}], \\\"-\ -\\\", FractionBox[RowBox[{\\\"1179196897366970130235365158203125\\\", \\\" \\\ -\", RowBox[{\\\"(\\\", RowBox[{RowBox[{\\\"Log\\\", \\\"[\\\", RowBox[{\\\"\ -\[LeftSkeleton]\\\", \\\"1\\\", \\\"\[RightSkeleton]\\\"}], \\\"]\\\"}], \ -\\\"+\\\", RowBox[{\\\"Times\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \ -\\\"2\\\", \\\"\[RightSkeleton]\\\"}], \\\"]\\\"}]}], \\\")\\\"}]}], \ -\\\"249673078139120636177961081681856\\\"]}], \\\")\\\"}]}], \ -\\\"50787299763364201\\\"]], \\\" \\\", RowBox[{\\\"(\\\", \ -RowBox[{FractionBox[RowBox[{\\\"6392010229453125\\\", \\\" \\\", \ -SqrtBox[RowBox[{FractionBox[RowBox[{\\\"165940037109375\\\", \\\" \\\", \ -RowBox[{\\\"Plus\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \\\"2\\\", \ -\\\"\[RightSkeleton]\\\"}], \\\"]\\\"}]}], \\\"63170088606064\\\"], \ -\\\"+\\\", FractionBox[RowBox[{\\\"5638617641373046875\\\", \\\" \\\", \ -RowBox[{\\\"Plus\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \\\"2\\\", \ -\\\"\[RightSkeleton]\\\"}], \\\"]\\\"}], \\\" \\\", RowBox[{\\\"Plus\\\", \ -\\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \\\"2\\\", \\\"\[RightSkeleton]\\\ -\"}], \\\"]\\\"}]}], \\\"589222128604971488\\\"], \\\"+\\\", \ -FractionBox[RowBox[{\\\"112787848871365640625\\\", \\\" \\\", \ +\\\"15625\\\"]}], \\\")\\\"}]}], \\\"342767\\\"], \\\"+\\\", \ +FractionBox[RowBox[{RowBox[{\\\"-\\\", \ +FractionBox[RowBox[{\\\"10620162375\\\", \\\" \\\", RowBox[{\\\"Log\\\", \ +\\\"[\\\", FractionBox[\\\"7936\\\", \\\"3121\\\"], \\\"]\\\"}]}], \ +\\\"3609798968\\\"]}], \\\"-\\\", \ +SqrtBox[RowBox[{FractionBox[RowBox[{\\\"112787848871365640625\\\", \\\" \\\", \ RowBox[{\\\"Power\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \\\"2\\\", \ -\\\"\[RightSkeleton]\\\"}], \\\"]\\\"}]}], \ -\\\"208490377429981840384\\\"]}]]}], \\\"13135734465094622\\\"], \\\"-\\\", \ -FractionBox[RowBox[{\\\"67884186539453194951171875\\\", \\\" \\\", RowBox[{\\\ -\"(\\\", RowBox[{RowBox[{\\\"Log\\\", \\\"[\\\", FractionBox[\\\"3121\\\", \\\ -\"2000\\\"], \\\"]\\\"}], \\\"-\\\", RowBox[{\\\"Log\\\", \\\"[\\\", \ -FractionBox[\\\"496\\\", \\\"125\\\"], \\\"]\\\"}]}], \\\")\\\"}]}], \ -\\\"189669442864082394071800384\\\"]}], \\\")\\\"}]}], \ -RowBox[{\\\"4681648117275238037109375\\\", \\\" \\\", RowBox[{\\\"(\\\", \ -RowBox[{RowBox[{\\\"-\\\", FractionBox[\\\"81817730937\\\", \\\"19814604800\\\ -\"]}], \\\"-\\\", FractionBox[RowBox[{\\\"265164214179\\\", \\\" \\\", \ -RowBox[{\\\"(\\\", RowBox[{RowBox[{\\\"Log\\\", \\\"[\\\", \ -FractionBox[\\\"3121\\\", \\\"2000\\\"], \\\"]\\\"}], \\\"-\\\", \ -RowBox[{\\\"Log\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \\\"1\\\", \ -\\\"\[RightSkeleton]\\\"}], \\\"]\\\"}]}], \\\")\\\"}]}], \ -\\\"58222564000\\\"]}], \\\")\\\"}]}]]}]\\) attempted.\"", 2, 1157, 683, - 31992412955784148511, "Local"}, +\\\"\[RightSkeleton]\\\"}], \\\"]\\\"}]}], \\\"13030648589373865024\\\"], \ +\\\"+\\\", RowBox[{RowBox[{\\\"Plus\\\", \\\"[\\\", RowBox[{\\\"\ +\[LeftSkeleton]\\\", \\\"2\\\", \\\"\[RightSkeleton]\\\"}], \\\"]\\\"}], \\\" \ +\\\", RowBox[{\\\"Plus\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \\\"2\ +\\\", \\\"\[RightSkeleton]\\\"}], \\\"]\\\"}]}]}]]}], \ +SqrtBox[RowBox[{FractionBox[RowBox[{\\\"67884186539453194951171875\\\", \\\" \ +\\\", RowBox[{\\\"Log\\\", \\\"[\\\", FractionBox[\\\"7936\\\", \ +\\\"3121\\\"], \\\"]\\\"}]}], \\\"5927170089502574814743762\\\"], \\\"-\\\", \ +FractionBox[RowBox[{\\\"4732463325915555175781250\\\", \\\" \\\", \ +RowBox[{\\\"(\\\", RowBox[{RowBox[{\\\"-\\\", \ +FractionBox[\\\"81817730937\\\", \\\"4953651200\\\"]}], \\\"+\\\", \ +FractionBox[RowBox[{\\\"265164214179\\\", \\\" \\\", RowBox[{\\\"Log\\\", \ +\\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \\\"1\\\", \\\"\[RightSkeleton]\\\ +\"}], \\\"]\\\"}]}], \\\"14555641000\\\"]}], \\\")\\\"}]}], \ +\\\"268015805413431118580119\\\"], \\\"+\\\", \ +FractionBox[RowBox[{\\\"25568040917812500\\\", \\\" \\\", \ +SqrtBox[RowBox[{RowBox[{\\\"Times\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\ +\\\", \\\"2\\\", \\\"\[RightSkeleton]\\\"}], \\\"]\\\"}], \\\"+\\\", RowBox[{\ +\\\"Times\\\", \\\"[\\\", RowBox[{\\\"\[LeftSkeleton]\\\", \\\"2\\\", \\\"\ +\[RightSkeleton]\\\"}], \\\"]\\\"}]}]]}], \\\"6567867232547311\\\"]}]]]}]\\) \ +attempted.\"", 2, 323, 207, 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{{3.895636281336906*^9, 3.8956362910408373`*^9}, { - 3.895636336948195*^9, 3.895636360727571*^9}, {3.895636821373563*^9, - 3.895636851090234*^9}, 3.8956369290038157`*^9, 3.895638024718192*^9, - 3.895638234264614*^9, {3.895638712372163*^9, 3.8956387193666067`*^9}, - 3.8956387542912607`*^9, 3.895639010049358*^9, 3.895641634328264*^9, { - 3.895641665000174*^9, 3.895641688531328*^9}, 3.895644616103725*^9, - 3.895644691277766*^9, 3.8956447534122343`*^9, {3.8958950773599787`*^9, - 3.8958951288437634`*^9}, {3.895895167091565*^9, 3.89589519984301*^9}, { - 3.895895268365348*^9, 3.895895272680183*^9}, 3.8958953261591253`*^9, { - 3.895895359744862*^9, 3.895895378130393*^9}, {3.895895470560444*^9, - 3.895895533361394*^9}, {3.895895566342873*^9, 3.895895587178656*^9}, { - 3.8958956696276484`*^9, 3.895895712922421*^9}}, + CellChangeTimes->{ + 3.901852978993024*^9, {3.901853018448647*^9, 3.901853024958894*^9}}, CellLabel-> "During evaluation of \ -In[1157]:=",ExpressionUUID->"ca09e332-6ca4-44b0-8335-8c4461eee97a"], +In[323]:=",ExpressionUUID->"ab6651ef-89db-4342-9d0e-9768c2cc1e16"], Cell[BoxData[ TemplateBox[{ "General", "stop", "\"Further output of \\!\\(\\*StyleBox[RowBox[{\\\"Max\\\", \\\"::\\\", \\\ \"nord\\\"}], \\\"MessageName\\\"]\\) will be suppressed during this \ -calculation.\"", 2, 1157, 684, 31992412955784148511, "Local"}, +calculation.\"", 2, 323, 208, 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{{3.895636281336906*^9, 3.8956362910408373`*^9}, { - 3.895636336948195*^9, 3.895636360727571*^9}, {3.895636821373563*^9, - 3.895636851090234*^9}, 3.8956369290038157`*^9, 3.895638024718192*^9, - 3.895638234264614*^9, {3.895638712372163*^9, 3.8956387193666067`*^9}, - 3.8956387542912607`*^9, 3.895639010049358*^9, 3.895641634328264*^9, { - 3.895641665000174*^9, 3.895641688531328*^9}, 3.895644616103725*^9, - 3.895644691277766*^9, 3.8956447534122343`*^9, {3.8958950773599787`*^9, - 3.8958951288437634`*^9}, {3.895895167091565*^9, 3.89589519984301*^9}, { - 3.895895268365348*^9, 3.895895272680183*^9}, 3.8958953261591253`*^9, { - 3.895895359744862*^9, 3.895895378130393*^9}, {3.895895470560444*^9, - 3.895895533361394*^9}, {3.895895566342873*^9, 3.895895587178656*^9}, { - 3.8958956696276484`*^9, 3.8958957129768057`*^9}}, + CellChangeTimes->{ + 3.901852978993024*^9, {3.901853018448647*^9, 3.901853024965225*^9}}, CellLabel-> "During evaluation of \ -In[1157]:=",ExpressionUUID->"7f9b61cf-d4d0-46a8-acec-829850af9187"], +In[323]:=",ExpressionUUID->"abd8bd9d-9131-4cbe-9cbf-df033a136e16"], Cell[BoxData[ - GraphicsBox[{{}, GraphicsComplexBox[CompressedData[" -1:eJzs3Xc8lu3fB3DZe28hKZWSKO1829pp0tCeGrT3UNFEqaRplNLQQGWkLkJS -tpDtWriQBkWlnus8z+O4j+Of3z/P393/PK/36/497muc5/H5nud58LFa4TV7 -tayMjMwuAxkZ5v/+vvulYPoKV5j8Z3HLyP4yPM7L4FDuLvXPXjK8nwc9X2w/ -vw60l71R6ojA/94brjyzO7w5R4a3T/+hS+3e7bA1MuuzZhv+3+8G8zUJm8ca -d+HtabBxUH6wH0JaF6RXDe2C/v8Pww0jbXsvty68EdUz/S9tPgJetm/nzt3e -Bf28YyDpOSOgKLALL3Gyumzf434QdGRvS497XdDPPwG/RsY92vy6C29YXObO -l6GnwPyXclBBOf7vnYGQwaesN3zrwou38G2cFR8Aep7bxs1QlUX//bNQO7Pb -kIBusrxrad0eb113DtZ1ztwyfLAsej1BIOs1uueyqbK88g72PwjHHqx9qrpM -Fr2+C9Cncojy+O2yPDP7yh6KPhfhs61Mlv4JWfR6g8HRNj/s2FVZ3sJV7E+E -ib/K5115KItefwiYJGR9X5Qiy7tymf0HWoeLPucUyqL3cwVEcYt7tYtkeR9z -fswRRF6F2IxUhYofsuj9XYOf47YGHlSR45nIz9e0i7kOWVOObBSYyqH3ewNa -Jia2avST47lzPxD4PwRDu4ySQ+8/DDps01V50+V404acHLNMFAaFP3/JTV8i -hz6PcHCqbTp9b7Mcr2kW8xPDoUFSX1F2UA59PhFgPOW+ck2AHO/0xrpfd79E -QHSdy++kG3Lo87oJVSZhR70fyvH6HjeUvoWbkKzuNe1vshz6/G7BvsO/69dn -y/HehU949q3zFhhZHyl4UiGHPs9IOFA+fUNpoxyP+bSM5W/DsrS7MtU/5dDn -exumjT/3PkNFnqdactN7lOodKAkZ9uOssTz6vO/AojHbQpx7yfPuMW9XOwq2 -fa2Pz3OSR59/FDQajp4zabw8bwrz4wzvwhB3i3m3Z8uj7+MupDkahTUuk+ex -H3fXe3B48DFVEy959P3cA1/nKcvsD8jzmE8vr/t9yAz3X2l/Wh59X/eBZ+mW -bXJZntdncWBqW+8HoGjYd0bLbXn0/T2Av4svBDyOk+e9lf40U/tomNDllv2S -VHn0fUZD76Y179pz5XnrzjXvB6eH0MNrdtvhSnn0/T6Egaejhv+QyPOkL076 -lh9BwNOi7ovb5dH3/QiqMlLsHyso8OZKDx7pVwp3H/Wp+qargL7/x6B2oDqy -VzcF3i3pp1dy8zEcsdX/OdVOAR0Pj2HUk+DJy4cr8Fo7i3Y32DwB10Stv2td -FNDx8QTcZg7zWTZXgTeePQCewOIK3YFTlyug4+UJdGbrbO+9WYEn/fKYNwR/ -tGaGte9VQMdPDGjde6L94rgCj3t5MWAYa2i27YICOp5iYFLOTl2LcAXeQPaf -WChbpuKSHK2Ajq9YkLm/WnFWogLvKC9LegTFgkdj+NWPGQroeIuF24+9Z7oV -KvAKpd++9DOB6XtHrsuqVkDHXxzMzBhjO7BJgWfN/sA4qLxzp+RcuwI6HuPA -RebSY7G8Im8b+wU8hYgtrt8cdBTR8fkUsrqNqdhmrsh73dZb+g0/hVsLDW8/ -6KOIjtdnYGifd7LCSZGny71ASNAtfCk3VhEdv8+gM7h9b/cZijz21WU+A0+X -0K9DFyqi4/k5eCUkuLusUeTFXG+5kzH1OSwtr6iavlURHd/PYVDA9KfTDiry -ZNk3/BzkLmqojT+liI73eDAU1mkMClbkzeYOGOi7YL+ka4QiOv7j4ahoyvs/ -0Yq8CObtFsVDi8PLyo8Jiuh8SIBtaU6THqYr8r4yP849AS481Bq0P18RnR8J -0JH1JHNcpSJvLHP4l0v//dmgfgoNiuh8SQR9nzG+vFZFHnv4LkmE7kO9fuyQ -UULnTyJ4dmu73VNdiVe7l/lCEmFmYcWzXCMldD4lwZ1eaydvt1biObAHeBLk -fdM9rGevhM6vJOjwu7kvergSz+fiOOkhkwQrK20Wj52ohM63F6B7/tfogllK -vPzu7BcMg0KKJ3l4KKHz7wV43Ms8J1inxLNiVv/mF7Dl4rhhq7crofMxGQzA -cjH/kBJvC3N6eCeD5aEbhotOK6HzMxnOvNl2LDdYiZfCfLvfkmHMjXQeRCih -8/UlpEgcxfejlXja3AkJX0xKNPUTlND5+xLyTWbM3Z2mxGM/vo6XIGsfn1ua -q4TO51dQHZl82alcice+vP2v4M2yoKwAsRI6v19Bzwkde4RflHgy7D88iD/h -8mpwpxI633lwoGbtE19lZV5UxlTpGc2D80GVa/L1lNH5z4M5G9+0mVgq81yl -Z6P0GIXS6/xtS22V0XrAA7P9/t/DnZR57Uz6pPEgyjYrqHa0MlofeKDQf4yH -xTRlXpgpc0KkAK9unKe7mzJaL1JArjMnO3CFMm8S+wWnwN2oM1fTNimj9SMF -LnYUi7/vVuZ9vsO8wBTo/ich1eaYMlpPUmBLZduYuYHKvBDm1WWlgEu1zK5D -V5TR+pICQ1aqbI+KVOYxB9dk9VSIffNjSu5jZbTepELMGG+N1iRlHrOaFExP -BZ/IoveGb5TR+pMKk6wmXhlSoMxjjhbpIQOihtt+8yuV0XqUCqfKrkZsq1fm -sW83LxUeKgX8CfimjNanVMic8OBe1B9lHvvjdF/DhFtNz3gqKmi9eg12lRoD -S/RVeOxyNOc11CaE9G+yVEHr12tw31Qb/9dWhceND6/BSahcqzNYBa1nr8FW -7c/T7mNUeOxyUPIaGtQOTXScpoLWt9cg42MaPtpNhXeIXXDSQCF8QNH0FSpo -vUuDcctbmxdsUuH1Yk+gNJhwduPvVbtV0PqXBkH12zW8jqrwctnxIg1em73q -vztABa2HaTC9uHDT4csqvF3M6VCZBs4v9EqP31JB62MaGC2N3xvwSIVnyRzO -FulgZfdhxYVEFbRepsOkhe7XL6er8N4wh9+ydBCkqg25kaeC1s902Jt5cWRE -uQrPi10g0yGr997ESLEKWk/TYViuaXLUFxWeEXvCp0N9WLzr/d8qaH3NgNPj -tvtEK6ny2JfXIwOGVM9Y9EhXFa23GTC13Fby2FyVt4b9JwPuBL8fFtNbFa2/ -GTDJrHxe7EBVHjM8SVdY2JtdMznOWRWtxxnwynCa1dPJqjxmddzdkAEB6t51 -T+eqovX5Dajp+d55tlSVt4T9gW+gat/djc89VdF6/QaGWvHHxO9Q5SmyC9Qb -GNJp6phwWBWt328gObnXpMTTqjzm29B/+Aby56YFJAWrovX8DdzzvaidHK7K -Y6e7ljfgt7lP6csHqmh9z4QZWu0tvOeqvD/M6eaQCc7ZK1e8TlVF630mnP2W -MCwjW5V3mzmct2bCFIeBh9+WqqL1PxMm6rdCtkCVN4N7w3DcR+No/idVlAeZ -UGd7YE5xhyrvO/N1tGXCNNn+SeXyaigf3sKMe+2va7XUeDeYtzvkLZwQ3txV -b6qG8uItBHYKq1t6qvEmsh/gWwgbvEyxfYAayo+30MvubqvMSDXeJzYe3oLp -6HFxqi5qKE/ewrhv4TMMZqvxgpnl/ddbOO3aJ7ubhxrKlyyY6NJ/mN06NR67 -PI/KgpsqP64O36aG8iYLhq5L+jPpoBqPXV4PZkFW30hP95NqKH+yYOqmvPp1 -F9R43PKXBU5NU4/sDVVDeZQFtitNRvvfU+Oxy4vsO1Apt+sb/lQN5dM7WPd7 -y7RnPDUee/qOewdBS1MfvH+nhvLqHciUt8wVFqvx2NPD9x1syns1vbNWDeXX -O9i1uynUqFmNxx1+76C1X8+5A9vVUJ69A/4TzW2ucuq8D8zXq/weTA6MU/DS -VEf59h6Sfs/RCzRR5x1gF8D3sLYiI/JxD3WUd+8BJpukF9qr83qyB+B7eDPo -1Z724eoo/95DWLD1e4uJ6rzs9+w/4BgdlTZxljrKw/fgPCpqg/didd4OdkHN -hs15295eXauO8jEbSr7OFWduVeeZswd0Npz18s/6cUAd5WU2TDjjdrD3SXVe -Ont5lQ3icWKVRRfUUX5mw9rDq3cEhqrzNrELdDbcmvMzM/2eOspT6b+3f63+ -56k6j12u9HPgUtfSSUNT1FG+5sDFL1N8t79X57HLwfwcKDneKyumRB3lbQ7E -fN5m+Y2vzuMuv3Jg090B/k6f1FH+Sv//c9zN9nao89S5Ew4uz+Dn8+Q1UB7n -QLLZy3gVbQ0ee7iY5sJcj9KSuWYaKJ9zYXmY8ZAIGw0e+3UszgU/pRVVXxw0 -UF7nwsq95z+MG6XB4y7PcmFy0fEeIZM0UH7ngqeuYeGnORo89sdV58JqH50G -l6UaKM/zwFUyefNNTw10vueBw6vTnl12aqB8z4PWiWGi5T4a6PzPg5LM6XVp -ZzRQ3ufByuduu2xDNNB6kAeVZQevB93UQPmfB+P3+SzvfKiB1oc8KDtp9s4z -UQPNA3kwNEuRX5augdaLPPj982f09HwNNB/kQWdn9ODXFRpo/ciDXjJFR0fU -a6B5IQ+EYZbXn33TQOtJHtiajD016K8Gmh/yoO+KxtlPVTXR+pIHYYuy/gw1 -1ETzRD6kfDlz8ZWVJlpv8qFubI3FZDtNNF/kQ16ad8SHoZpo/cmH0PWSXqvH -a6J5Ix8OpLU/+zFTE61H+fDbzsXNf5Emmj/ywbr+sLbNWk20PuXD8LGzJClb -NdE8kg9TX6xsWnZQE61X+bDOdpWF3ClNNJ9I/3slf45HXdRE61c+ZETVDJgV -ronmlXz46vy8W+cDTbSe5cNbE4elD+I10fySD2cSOr8tSdNE61s+fOZli/Xz -NNE8UwAZhnMgp1wTrXcFsE5pjMLpOk003xRA5+ppo6d+00TrXwEERPdt1/yr -ieadAhBbXRpUrKqF1sMCmPN5UmeYoRaafwrA0bVzgVd3LbQ+FsCSm1tnj+mv -heahAtgevP6T4XAttF4WQNvoSKfPE7TQfFQAYyY02mfP0kLrZwHs+ywnivbQ -QvNSAUxemLg4aL0WWk8LYNuxiht7d2ih+akAvPaOiF3jo4XW10LI9b4fPt9f -C81ThRAklNs65bIWWm8LYUOiZb+xkVpovioEgUdlyagnWmj9LYTdNRYHRiVr -oXmrEC4bZ1mPeauF1uNCCOdlFk76oIXmr0J4flH54txaLbQ+F0LUzn1eq5q1 -0DxWCDFHjDbs7tBC63UhdL/W6JIpq43ms0IofVuxtVhZG63fhfDFcHmiWFMb -zWuFcCR6f/cOfW20nhfC2R6DH2mYaaP5rRBWBAav6mGljdb3Inh/5u7EUb20 -0TxXBIfmH1jgbqeN1vsicMvrHr5joDaa74rg7qVoq4vDtNH6XwRBuoOqn4E2 -mveKYOqh3MqyCdooD4pgv9w1qy7TtNH8VwQXk+If9pmtjfKhCPpkuZyc666N -5sEimLV281OfJdooL4pA+/PcIU9WaaP5sAhetNnoCTy1UX4Uwa7petONtmij -ebEIwiynNUzfpY3ypAg8fig2+R3QRvNjEZgW7F+QelQb5csH6GUvcZI5pY3m -yQ/gYhnoO/qsNsqbD7By7qNpR4O10Xz5Afo7BAVmXtNG+fMBPpUema19UxvN -mx9gGORdXnhXG+XRB8gbkr3+ziNtNH9+AB+/vIzvT7VRPn0A/ZZBTye90Ebz -6AeYNmHBsOup2iivPsDX/WFurZnaaD79AK0JW/Rn5Gqj/PoA55YN3X7vgzaa -Vz9ASM9V+1QqtFGefYDnFuv7b+Bro/m1GKoKXp3LrddG+VYMj6eI7g5u0Ubz -bDFoWi3aF9amjfKuGGLO31HV+K2N5ttiqD4/b/F+WR2Uf8XQo6R1+ydlHTTv -FsOIepMFK7V0UB4WQ/uQJRrlBjpo/i2G2f2XBc/rqoPysRh8RrxuL+iug+bh -YhjVYD9sTh8dlJfFoNg0Z3GxvQ6aj4vh4iD+msWDdVB+FsOKLuc9RCN10Lxc -DM6frSdsGaeD8rQY9LTdu8tM0UHzcwkkfv3Rcc5VB+VrCXx+mZ9n46aD5ukS -WDM24f5LDx2Ut9J/L3cicMEqHTRfl0DpPHOfH546KH9LYMK6Jb4hW3TQvF0C -eYsGh4/crYPyuAT6zw3+KDiog+bvEuhyesfAAF8dlM8l8H5FfuyIMzpoHi8B -hb3RS5uCdFBel8Dsl3ojwy7roPm8BPiH9Ge4hemg/C6B1mMZV3Tu6KB5vQR2 -mbv0yo3WQXleCrWDE9sD43RQnpeCufcU/blJOijPSyHars8hs1QdlOel0Bwd -4CzO1EF5Xgq+5olucbk6KM9L4e/9L7m+xTooz0shIOzIg4WVOijPS0HGK+Wr -o1AH5XkpFL9XidJs1EF5XgqBGS/zm7/ooDwvBZsPU3bkteugPC+FJ98sQ579 -1UF5Xgqjnj6HMEVdlOfSn7fw/BZ/DV2U56WQ1DBi4EF9XZTnpZA7ZuyprWa6 -KM8/gtMg2O/ZXRfl+UewulKouaaPLsrzj8DfEOWyeoAuyvOPYFm6ud+6Iboo -zz/CpEU1qZuddVGeS13yUnvPBF2U5x9hxf0is+PTdFGef4Q571sbQuboojz/ -CPcqfh5+uFAX5flHWDjhnfjNcl2U5x/Bf8YcK9E6XZTnH+Hh0JODFb11UZ5/ -hOfzNvbru0sX5flHeNVdQWXuQV2U5x+Bt3x1wWFfXZTn0v/ewKiAJ2d0UZ6X -wWPHr+PE53VRnpdBxZkt7RZXdVGel4HEYknMoghdlOdl0nzo2HXtri7K8zKQ -9QycWftYF+V5GawfsQNs43VRnpeBZrTqjF2vdFGel8Hh8ASfzAxdlOdl8MP1 -e415ji7K8zKwXQNbd33QRXleBrvNLEZ+qNBFeV4Gy9xtJgwR6qI8L4PJud/P -X2/URXleBiFmw+yVv+miPC8D65pw810/dVGel8Mn0efVki56KM/LYcKIL5or -VPRQnpeDzZw9llXaeijPy0G754YbS4z1UJ6Xg+n0uCCBpR7K83LY22+G3KZe -eijPy2G+qvOPn/31UJ6Xg4zTxR3+g/VQnpdDoPUW3x7OeijPy6Hg0i873gQ9 -lOflEMfz3Llsuh7K83L4vrjLGoV5eijPy8G9SlP+0WI9lOflYOvf4uaxSg/l -eTk4P1Bfr71RD+V5Obher3DO3KaH8rwCChQENcf26aE8rwC71sfzJx7VQ3le -ARsVRHfUT+uhPK+Apaf7VpQE6aE8rwD7WOdfd67ooTyvgDUPxOoHIvRQnlfA -trwOY7d7eijPKyA8a3GvwTF6KM8r4DxPdrRpoh7K8woYvK/ZUy5VD+V5BTie -tXv85a0eyvMKqD3NNxLl66E8r4BMsxH3Kj/qoTyvgEvDdniV1+qhPK+Ae/Or -vKoa9FCeV4DlicJY8Rc9lOeV4B2bMq61Qw/leSWEJfXsrSSrj/K8Etoenllv -qaqP8rwSprad1x6lq4/yvBK+H2zoucxUH+V5JYxrnRpzors+yvNK8BedevnM -Vh/leSX8+OU3R+Koj/K8EpJsHfdaj9BHeV4JvWsDR6wYp4/yvBJGjHoadnuq -PsrzShA5v435PEcf5Xkl/Pwlv2/0Yn2U55WQUxQrG7xKH+V5JRy6O3DOl436 -KM+roFOrbcfsHfooz6vg+al92xMO6KM8rwI9noe7jZ8+yvMqCIqZ0PdygD7K -8ypI7/j8XeeSPsrzKmj7NSD9bKg+yvMqCOndGGoQpY/yvAouDDQ5F/ZYH+V5 -FYyf9TbCIUEf5XkVuG2zqM5M0Ud5XgX7/Vxd12Tpozyvgk+PH8ioFuqjPK+C -vHMXf8aW66M8rwJvn6OTVgn1UZ5XwfoC+TbTZn2U51UQo/dStbRNH+V5NZye -43nh6h99lOfVsGZl+bXVSgYoz6shuevP/oO1DVCeV0PZ7Yx5GiYGKM+r4U6y -e9dGKwOU59Xg3VHtn2trgPK8GuKMbj1NHGiA8rwavo9XCH0w0gDleTVErt0/ -L3KCAcrzatDYeFV4a4YByvNqWHlOw/2+mwHK82r4+vxSUvwyA5Tn1bDksbFZ -9noDlOfVYHjm5JH6rQYoz6vh86fOTtX9BijPa6B1+a3Lg3wN0PleA4vvZCxf -HWCA8r0GSrSyVly/ZIDO/xqQy7e/WxFmgPK+BoZ5XBxjfc8ArQc1cONF3NAt -sQYo/2sgoG7V1YwXBmh9kP787ld2WmcYoHmgBnYsXFNyPNcArRc10HisI+tb -qQGaD2pg5Z/wJWv5Bmj9qIFTTe9D+Y0GaF6ogZYwxWur2wzQelIDXp0/l33+ -Y4DmhxqwKdvx+4iyIVpfaqBh48r95rqGaJ6Q/vyYvE+vzAzRelMDb0sbl3v2 -NETzRY10fZQXdrU3ROtPDZTL+x8tGWqI5o0a2DfYZOaVsYZoPaqBkUeWzVs9 -zRDNHzVQeHJU5ND5hmh9qoF7aQ+n6y8zRPNIDXz8XuzRvt4QrVc1oHSvUyjc -Zojmkxpwigr8+vGAIVq/auDgbsvgkuOGaF6pgdLK1dWV5wzRelYDQrexVU1X -DdH8UgNZkUkR8rcN0fpWAyOmyozq+dgQzTO1cMVobfKMREO03tWC+yOvQT5p -hmi+qYXzRq9jXuQYovWvFv6OGzS5y0dDNO/UQs87POWZAkO0HtaCfPpZ2dvN -hmj+qQXbzHYXxXZDtD7WwjCTPRIvWSM0D9WCQsfLX3x1I7Re1oKzeaT/UiMj -NB/VAm/moBiRlRFaP2shWPvWvh39jNC8VAtJwxf91hxihNbTWoi6/3lizBgj -ND/VQkpz381Lpxmh9bUWokUKvoZuRmieqoU7R+7eKFluhNbbWqjZsj0nYqMR -mq9qoaPoR6/du4zQ+lsLt3+1v3Q7YoTmrVq41m3rnTH+Rmg9roXEPufbnEKM -0PxVC3c3pCYPummE1udaqPC+ru/80AjNY7Vgk9ahOTvBCK3XtSB4KUrxTjNC -81ktZC+8O/ZKrhFav6Xfl9Kb2zllRmheq4V1x37LaYqN0HpeC1bJ47zdvxih -+a0WSu4Xdnn42wit73wY42yVq6lsjOY5PiyHmk979YzRes+HvRPkd3+1MEbz -HR+W3Erx2WFrjNZ/PsCqAGv5wcZo3uOD0ijrHTfGGKM84MP64P0B46cbo/mP -D4/PlB//7m6M8oEP+39m7IlbZYzmQT5sP65+4KC3McoLPnR7kXJv7n5jNB/y -od10QtchJ4xRfvAh2xkae14wRvMiH2L/3HSwCjNGecKHfZdiVfo8MEbzIx8+ -l/3yHxVvjPJF6lULPi5JM0bzJB98i9sV/fOMUd7woaeRu2NmhTGaL/kw7JrL -Lu0GY5Q/fDB53vhldZsxmjf5cKMh+WVmFxOUR3zYNibg51BNEzR/8mFG4rHU -Z6YmKJ/4MP5O90Fje5mgeZQPC6zHbyofaILyig+7T1qdOTLaBM2nfNBpdX00 -ZLoJyi/p+0t70/ZzgQmaV/kwz7Hi4Ps1JijP+KAvmOj5YJsJml8F0H6yR+mV -wyYo3wRw4eyP+kv+JmieFUC/zLvJN6+YoLwTgE6PgF0v75ig+VYAMw6sGFof -Z4LyTwBpS2d1t0o1QfOuADRqv7mtzzVBeSiAhT5zfvMqTND8K4Djhk2OvSUm -KB8F8CIl2jL0hwmahwXwtuWPuKeCKcpLAVxb9TL6ha4pmo8FoDx7wuWV3UxR -fgrAYe/6XNP+pmheFkCbx6Gd/BGmKE8FcHrX4qeJk03R/CyAdJ8FsTfdTFG+ -CmD0+7kh11ebonlaAAXX4s5EbTNFeSuAOzfWJ6T5mKL5WgALln5x+RpoivJX -AGvK3Fc43DBF87YADujBwCMPTFEeC6D+VYlImGiK5m8BRPxtjlzw1hTlswA8 -bepCa0pM0TwugMUX02X2ik1RXgtga2Tf7z3bTNF8LoBDN5c8FMqZofwWgErV -ZPdnumZoXhfA+XQf66tWZijPhVBt6TL6/AAzlOdCuDfjVPUNMEN5LoSd9z45 -vpxhhvJcCH++ZG/84mGG8lwIlTkjeEM3maE8F4KJt8ryC/vNUJ4Lof+It0fl -z5ihPBfC6AsFHievmqE8F4Ltywk9re+boTwXglWhlk5BohnKcyGEFn9yD84y -Q3kuhHW1O5y8y8xQngth9TqdmqUSM5TnQugTqnZ+9U8zlOdCUPpx9qSPaleU -50LwS4r7G2vaFeW59H+fvcOx07YrynPp+933eqnHiK4oz4UAh45kFE3tivJc -CD0Mg26vXNwV5bkQVo7OH626qSvKcyHodO9RknmgK8pzIeSf2PMgNKArynMh -TLiUJx8Y2hXluRCWXXLueelxV5TnQmhpbZ0Tn9IV5bkQLi93Kf1a0BXluRBe -WMf8nCjsivJcCIHnauSftnVFeS59v4mxY0YpmaM8F8L3kZM7q43NUZ4LwWCu -rO91W3OU5yLw0zzda+dIc5TnIgji1Q7ynGGO8lwEO+4u+bNnmTnKcxH8zksq -jtxqjvJcBH8szHU/HTNHeS6C0IfGinMumaM8F8HRpa9UPtw1R3kuAuM7kTu2 -vzBHeS6CEbeabjrkmqM8F0HErqmf1fnmKM9F4CUwjldsM0d5LoLzg+YttFS2 -QHkuguu744a4mVmgPBfBO9m7MQ/7W6A8F8HEcZvtbcZaoDwXwclvevov51mg -PBeB09WTmTvXW6A8F8EJ73vPXA9YoDyXvr9Lk6dMO2eB8lwEcRnOX9ZHWqA8 -F8HcqdvM7iVYoDwXwVafluEaORYoz0XgH1v/+jzfAuW5CJq9cvs5/7BAeS6C -z3OXfFJXt0R5LoLdC+4elbGyRHkugtXBdp4mgy1Rnks/3+sP1p1ba4nyWwRR -qTnvyzItUV6LYeSeZ8enG3dD+SwGjVe361pndkN5LIaDbbsOG53phvJXDO8b -o69EZHdDeSuGdYYbHUoNrFC+imGAjkb7/jVWKE/FUDjn4U3bVCuUn2Jos5g8 -raVfd5SXYuhZNEk2Mro7ykcxvJm/arzrLGuUh2I4W7rHpMKpB8o/MXge1x1k -zOvJ+7cf999+3H/7cf/tx/23H/ffftx/+3H/7cf9tx/3337cf/tx/+3H/bcf -999+3H/7cf/tx/23H/ffftx/+3H/7cf9tx/3337cf/tx/+3H/bcf999+3H/7 -cf/tx/23H/ffftx/+3H/7cf9tx/3337cf/tx/+3H/bcf999+3H/7cf/tx/23 -H/ffftx/+3H///txubwTw6J7EbY3FIegfCPm8oyYyy9iLq/q/jOXT8RcHhFz -+UPM5Q0xly/EXJ4Qc/lBzOUFMZcPxFweEHPrPzG33hNz6zsxt54Tc+t3/X/m -1mtibn0m5tZjYm79JebWW2JufSXm1lNibv0k5tZLYm7ekfxnbr4h5uYZYm5+ -IebmFWJuPmn8z9w8QszNH8TcvEHMzRfE3DxBzM0PxNy8QMzNB8TcPEDM5T8x -l/fEXL4Tc3lOzB1exFxeE3P53PSfuTwm5vKXmMtbYi5fibk8Jebyk5jLS2Iu -H4m5PCTm8o+YyztiLt/+t88d/DXcO4d43gDbu3p5xCZ8d6Pn+cRV54/7Liwk -5vKSeM0P4fLwYmLmp40vJf60cKxz/UfiWPUtD06XE3N5SzzCO+dEQRXxX6vO -7ztqiF8X9l1twic+IX11LwTEXF4Tazc8fyRXR1x0RWx+p544ZJrBmSkSYo8/ -4342NxJzeU8skr7bQS3E7Nv9TLwp/U/Mvq/EjrvsrCxbibl5gTix7FTn6h/E -B88kbFDpIGY+vQc/iZU+G05y/U3MzRvEgXO297j0l3iOws2g4V2a/7P0y5Wp -kiWuWCfj5SNPzM0rxKvee0zNVCLuzb5A4ib7pF5aasRPahsuxqgTc/MO8bAJ -Lls7tIiZb/eaDnFK1K0Zo/WI/ZivV5+Ym5eINV8OuGxrTFzgtVQpx4Q42Cpg -xxYz4kXMjzMn5uYtYsFgU96ibsR36if1/2tFvOHKrmsR1sQDpt1WndiTmJvX -iOOlR9+Z3sT7lztKTzni0XrLpYc0sUJ6oMMuO2Ju3iP2792s8XIA8awyM+mP -JGaOZvlBxB9H7XGPciLm5kXiFczhPJTYhj1giKU/TGfwSOKHz1Yc+jiKmJs3 -iYcwH98Y4l/vPr19PZb41QHzoWvHEx+1Zz5AYm5eJVY/f/fIrMnEzNnWOoX4 -wnfFpSHTiKVvNnvEDGJu3iWuVWN+IHFkcoqRzRzi9V6ffd/OJWbO3o3zibl5 -mfjZsf15sQuI9w6+7+y2iJg9fRcTy15RNruxhJibt4lPS1cD4XLimY8urD6x -kpg9XFYTl+h+HZO7hpib14mX7ZxpYehJ3IM9gYnZl7eJWLo4S1cEYm7eJ3Zq -GSo9g4k7wtbGSrYRJ89mzjhiH3nmgCbmrheIVdd137h7L3GOCXNEEwe9OzTp -1QHi+QeYI5CYu94grq5hvmBiZvWbfox47XjmGybu+/1S5fnjxNz1CnHcgraE -slPEu9WYT5B4JPuGiZnVND2QmLveIT5ZUCV9hcTTj2lIv2Ji6ckm/YnEH+o8 -pS+RmLteIl4iXZ1HXSXuzi6oxOKHPYVHbxDfWzZ3dq8wYu56i3hg2pP+m28S -/2CW50hiZrV/epv4ELvAEHPXa8TKo67OC71P/J5ZDqKJz4a1O4gfEjOv7uRj -Yu56j7jyKXPCEYczh99T4tXsAEPc5532m8R4Yu56kThG+m67vCDeybzdZOLh -7AFIzI4HPGLuepP4+B23LMc04qkL/IYWpxMzn96eN8RsHL0l5q5XiRd3G/Nl -5XvibmzAEUu/3Ox7ucTs8pdPzF3vEjtI0/JiEXHbFOYFEif8Pt5aXkLMnm4f -ibnrZWJFXX3IqCDOkn6766uImfTVqCFmv95aYu56m7icGYeExKEj+0lHNuKV -7EBJzP64BmLu/jvxYzZ+ibc/NZBGEvFQdkEg/m28TbqkEnPX/8S+zHLfRjy5 -PzOhEmvU2EkPaeL8c4uZQ+Y/c/f/iRcyy0snsQU7cBCz47jMp//MTBs7ZIm5 -+xHE9szhrED8zZI5YIjZ8U+FeB8bwMTc8wdieebj0yDODEkKPqtFzI4bOsSu -7AdIzN0fIf64lAkk4us6TAITs/FmQix9s9LEJOaefxA/LGV+IPHWUwHCr5bE -7HJqRcycvcOsibn7NcRHZ02+ftiG2EWOWfGJ2dO3D3HuGmaFJuaevxC7S1eD -J/2Ju7IDIDF7uDgQ36p+qXltIDF3/4jYblzXRv5g4i+tzAlMzL684cR72IGI -mHv+879tdf7MNV/K8l3HXrxCuf7WD/9HlN/ZRfulUebmQ+IgMN79ifKOzGxv -OWfiBbOOrjemPLJs6Ao7yt3YE55YrunmHDfK4u0Lpm2knNWpOcGHMvPqgimf -02ImNOLtIfb2PMpuVqJeHyiPuHelm4SyxUBXk7+UZV8oSFdAYtH4JNU+lNm3 -S/nBfJvfsykHVpe3rqXMzdPE879MFJ+jPHzv76rblM3lYkqSKMucWZuXR1ko -TRcR5TfXC1J+Ur5vcyJRazRxwKNRsT0obxn67f4wyvPYCzTioVOWXF9JuWuh -XvBuyn8XvQ3wp8wXHjweQTlj06DDzynf/d6w+z1l/0OhW2opeyvP8/xOec45 -1ZVqY4i56w9i05s75jpR/tO37/QplGvjaiYspZwuPVq2U+bu3xGfntllwA3K -XqXPesdSnr18o1Um5cESK9NKyiZMvFD+/euMmtJYaj04NlZ6yhG/1mj/PYDy -neDotgmUT1kyJwjxpijjOi/Ksxxyqo9RHpR4tPQyZeNxw/IfUuau14ir5t5K -LaWcWrkgqZly5BqtONlxxCdb0h4YUZYO95H9KLsyHx/lgadEwfMpG+pdDdxA -+edV1xOHKXP3F4lTopP23KN8a/CWra8oH39ls6GI8oZJFSsbKM9gA5rYcaHL -PL3xxAaC39N7U27fEDNxFOUK6dk7mzJ3fUt8U7FwwH7KfoEn+pyj7Gns3P02 -5enh30yTKLO35yjrxy5RF1H+MUJf4Sfl8rS30hWQyrfph75bU2Yv9yn7LpXU -Tae8rj60ZgXlaVvmfdxF2f6nasEZytzzDOLvajtfP6P88ULfF+8oJ5szZxxx -2G3mgKbyl70fQLw2vkuoJeUpY55fGkS5f9bGs5Mp68zpfnIJ5bbyEp9tlEtX -+e89QVl6MG+7Tjl0J/MNEx/5G73qDeU1J1Z6VFCerGMiXaKJ+13JmaHoQqxt -fczFjDKzmg6gXMJ8HZQTk285LKR8feJCWy/KPrla0p9IvMo93ewyZe7+CXFf -zwEarylrfhMplFL+uu/qnybKH+Rn/egyiTjBX/GzIeVrhi/q+1I+zCzPlFf2 -7lU2j/LEJxUFnpRt2QsqYumLS7tA+cvUzhd3KRcVxTx9Sfm5x7qHhZSvMrdz -KR/0KgztpLyi/USI7mRi6XBwrhfl3qqtJ0dS5u43EbeYLd23hnLhLf3t+yg/ -s2MOQOIrzw6tjqTMPQ8gXpYpmZ9LefyssJlCysyn10FZTZpumlOIPzHjK+X8 -7Tsdh1J+2skEHHGIX631Csr7tS513UV5KXsDnHislaxmOGWbe88Vn1FWGbjp -bxbl5qTu7dWUuftzxLHZ/g0qU4kvzR/Ht6C8t7q9bCDlJczXS5m5+vWg3HOv -SfpWyspyucnHKTeePvbsGuVc/eGPnlDmnj8SB9tEhpVT3vNo4eXPlBcP1Q5S -mEY8OiX9lCll6ynMFRSxErPcU5YsYiZU4mzh1U2bKT/ZNGvNUcrc/Uzi3Yde -uEVTXqS81TWVMkiP5hLK3aXTRhNl9vb/dOKGvpMGGlJ+H8ccMMSPmfGP8vmM -debzKLO3EykvLC3UPER51PKTShcoW0mcZe5Slt/W2p5MmdtvRvzuGBNIxA81 -mAQmDgrOKteZQbzD8nCRDWXu/i/xSIfGdFfKlolhL1dTlhs3//leyuJ3ao8D -KWfNZQZe4ujKneEJlM+uYVZ84u0ttUECym67L51upzyiC3PHj9jilOyB7pS7 -6MXvGEJZxBwulDN7WK9dTvlBNHMBTBw4OMD9NGXpMCRdYYjnS1eXp5SZ6S+L -Mne/nFhGYDKolbJgQ24/FVfiN63HelpQvndguPQSiTiAiQ/KWwIjtTwozzNm -jmjioeHaXY5TNrPN6LhK+W/Mvq+PKfNHMF8wcUaaWFBG+e70axUtlM8Uz5JG -HLE387iI8pz6Fxn9KQ9hxknKpj97xbtT7jxS+XgTZe75ArE03CIuUY4y/3Pl -AeXTt2PPp1D2sl8vfYnE3H4BYqcxRQdkZhObZJ3caUBZenHpZUu5hrm8pPx6 -FXMHmPh289IF6ymfkv60g5SZ1f485VnSaTyK8iD2hjWx0ZXGQQWUf3UPt6uj -XHWfuQAmTh2kbqkzh5h7HkN8YuIu7RGUNzKHH+WZ7vwuqykPrL30cw9l6WL1 -LYDyz6+yTTcpV+6LF8ZT5slvrsymfMvfuphPmdvPQLwhNOCN+lziGb3H86wo -OzzpiB9M2YCJI8rtqcwXQlwxlbliJX5VlHv1FOUID98LoZT9xMP94yhzz6+I -p7dHHqyiPMBn0a5vlPVUdaSX1MQ/gpgFn5h9vEj5JTOeUma+3cWUfaXpu4Xy -OunVkR9l9nEFZftZyU6PKeuWbe2fTvn7CmagJP7YWGnZQpnbP0Uc1jlJOpET -H/X7o9Kf8lrmcpXylJD1v9woc8/7iHXuFTX5UG51ZC5YiUuTQHpIEyeNbyvm -UQ7Nvpf7gfKR+cwESLy62iDlL+XJ694l6LsR9/tyOKYPZW32ASPxN+Zwplx8 -OvzaOsqJ+m4XD1C+fl09IIiyj02q3x3Kqx7tkk4cxC5D7XbnU+6bwvcWU9Zk -P0Bi7vko8YdFcot6UmbOtuGUrzHxRpm5Wl1FeSX7gIl4ojKTcMS258bb36Ss -wS7QxJ8jHnXLplzEbB+h/DzOVPcH5auj8lTVFxAfzPCVs6K8fOaI306UJzDj -LuXey5kVlVidHQCJW7bqVJ+kXPAro+QGZe55MvFlDce3mZQPBNelVFJeZnk9 -8Svl8VGzY5UWEnP7j4hV2QtM4k/M5S/l/He9gxdRfjq3KsCbckglsyGIWHpx -dPgK5aUtf3Y/ojx2d9yWNMo2XTw9P1JWYW9gETfpMkcMcZ509TOmzEyndpQv -MbfDKHPP34k9Xi0bspHymEmG0iWQuAf7homVF/pY3afcyGc2hBDnbmBeIXFM -a7iahLL0ZJP/S3mPokan3mJibr8j8Wjm9jhla3ZBJVa0FVSvpSyJCSndT5l5 -2neO8pM0uazblC9MT0hNoryreHNSHuVFS3vEiShz+xWIu7MDG7HCz/E3elCu -P/IzeBjl98z4TJndvkD5vLmZz27KO2/n7fGnvNDeb2sE5VHxIzY8p8w+DqUs -L323tZSZNPpO+Z30akFtCfHDVW8mdqPM/T4L8Q7mcpqy+9+6AUspjzxxvc92 -ypbsDSdibn8Hsbj7S71Yym/vb1PPpBw9qI9CJeWzyVWdXyhvn8hcERK75U5u -MaM8nH2BxBbsDW1i9nSjLPrKPBAkztz3IesY5fvyp19fphzoP/rFQ8pbDb/H -vaY8P/S+9AqEeBi7ABJ3fWIYKruMWGb4+0tGlAWpPmf7Ueb2wxCz8UvZ3yNi -7wbKW8Ru2w5TnuulsfEi5aHsgEXMHH2vKP+VTgdFlPnSq7cGyszdtT+U7zLb -05YTn7GTl75lYu9nCQ6jKM9hxnHKg9kLOGJTdgMXcefHQP1zlGtXTNC4TTmt -8adCEuU72x//yaXM7R8i3uxn9rmD8myt/HrNFcRO7A0iYmMr5gkcMbc/mbja -8c67FZRfJy1O20X59njd5DOUT2W/eRpOeRO7gYfYlf2BxIPYG9DERl+uh7RR -/rWHeeJDXCXLJsh/Tjn98sggypHM7TrK7OlLeaNN9cZtlLn9VsSO7AMuYkPp -tBZDmbmafkO5ssBzUgVl5mnUF8q3hB+GKa4iPr7ptKMZZc/vo/sOoDyDHYiI -uf3TxAbnlht4UW43MdI8Rrk84r3iZcqv+jJ3tIkj4oZIzxBiv1HNn0sor8+I -aGiiPI294CIeUKpRbkiZ259G/IN5nEeZHe8pJ/8SJHtSDj92+dkhyuz2KMrr -guWj7lKeyt7QIbaP8rpcSFnXoWdQPWV2uwXlj2PPHtVdQ/zi3YT9vSiHzf21 -fSTlo8zlPmXu9zWIp7A3jImZ6fksZZ0ux10jKTN3nxMpc/v5iJOu3hkupCwN -34EdlI9E6/bTXEu8ml1giCexD6SI+zG3/yizywHlbwtuKO2iLL34lTlDOXGD -SkcY5eutL788pXz4wHZJFuVV7ABD7MI+8Cbua3yxSGUdsWb4lGwLyl/6yGQM -pPyBeRxAmR0PKHP7H4kPTS+OOk55BXuBRDxx6ZgrTyj3qf8uvQIm1mC2q1OW -LqbHPlMuOmJ0QGE98XO17B2mlK9cOLLZnvJB5vEgZfZygfIE6dXMZsq9491n -HaXMPA0IodzCbKejXDB7z4hUys/K+w8qoXx5lbBfE+X9zZd7dvEk5vaLEo/7 -K2/Ul3IvZrsAZfb2AWX2x1Hmft+BOO7+2a/nKYcMmtgYRXkfuyAQL2UfIBGP -ZTfcEPd075rzm7JKbX6GzgbipvXME2DivK8jpYcMcSxzO5Eyu7xQ3ssOHMQe -7ANq4jGhmedvUeb21xIrPRnom0O5cVjDAQHlnNQbO9spx0xlJhhi5uzoTnkP -e0FDvJjdAEPMjhuUrdurp+ykzP1+FbFEZerIMMrZQTJOTyk/NmOecBFfuLVB -mpjE7K83UF70rNhIZROxM5zRtqBslTlGZSBlBeZxI2VuPzLx+xUrvm2h/KjR -qMmPctB25okK8U72hiwxu/2S8iitTzlllLuF3HzTQlneagFPfjNx3V3NBBPK -7HYuyg+Z7QeU2dsRlHewD3yI3eczKwIx9/vyxJbrFPweUJb9kngwhbJ4j7f0 -Eo74rayNdyNlbv828Vl9ZkAg3sY+UCZ2Y7YjUWZvT1JmlyvKXVK6jjpIWTi5 -wOk85cyC4/2jKN9fNKpXMuVAIXMBQryV3bBCLL140/lNedgh5g4lcVdl5h0T -y7C/0ETMPq6gzI4vlO/1DRXtoewfx9zgIOb2uxPPzXiVG095yExmBxuxWalt -Cp/yn2U1CT8o85lfh9lCnL516n0rynd/ydwaTPkM8/iSMns5Q3kO+wstxIMt -S/xOUTaNOnMolHLnAOYODDHz6t5STmO261JmpvtvlE/PZR7QEDN3x80pM08v -HSlzvx9AzN7eoPybebuUq08ukF7xE6fqavW+Spn7fUjikz2YB8DEm6Ltdcso -uw4WqbZQHvTqipz8NmKjScwOVeKfeQptdpSrmO1NlNnbnZQjmeWP8olWZoMJ -8YYD5/KCKc9UdJFe8hM7Bv5O4VE2NI5J/ECZ+30K4oo+5g/+UubFFNzS3058 -cwRzRUN8nNnuSJl9/EGZHYcoOyxdIh3ZiPXr9fYEUW6XHi13KHO/r0n8Snq1 -lU85Qo254UnMPK34RXm9+bzp2juI2V+fojzAnuc8nDL7OJQye3lEuextTe89 -lLnfPyEOL2ceqBAfW8U8YSVe2/xMOrERT925UZ5Pmd3eTVn3REmb2k7iNm3/ -T90of7w8ts6J8gtmewRl9nYJ5aPMx0d5TbJx1knKUyYyEwKxXe7RpFjK3O+H -E7fWfHpQSblk/a3Ir5STvjIDPvGNfcyGEGLu93WIVzPbpSizt08p92OWU8rM -2etLmZmurlAull79PqLM3J1Mo3x9KrPhjJh9uk95lUfFRLndxC7ic8wO4/9s -68U8sSDWZLZPUmYfp1D+wIxXlOODmBuUxFfNmA2txIdunVC/T3mFnbMCjzL3 -+03EfYDZ0Uqsnrmk5Q/lz67MGUdc+JE5oImfM9upKbOPVykfZC63KC/vDH29 -n/J4v3kvzlHuzf4CCrFaCC86iXJLN+aOLHHBXSYwiZ86Mg9YiS8zvw6wl3j/ -+GnSJZp4WXaXI8Mos9stKLO3Xyhzvw9GzNyN8Kecv4cZyImZp3nPKTO7Ld5T -5n6/mpjZrfyd8lgbk2Fq+4h7Pspx6EZZZegxWyfKTcz2K8rs7VjKsczyTDl4 -0UKNk5T3CrUUb1D2YH9hmFj64n68odzj0IDPFZSVlMX1Xyg3nr1aq7ifmPv9 -OeInEcwGVOKLzHZMyuzjGcqLmXGNMmRUPD1GufvMoIeXKSuWutx5SLlhGXMA -Emc3MA8siLm/50B84Zf5KdkDxLuOFUqvqImZT68fZWdmezZl9nEtZQXm8o1y -/QAm4IiZp6sXKT8amzXzHmXu9w2Jd85ldlwQL6iUSK/giEeuCXP8Q7lby7y+ -egeJ2V8foVwnwwzQxOz2DcoPmds5lM8xXy9l5re7z1J2j57WHkl5xGDmioHY -8tXzhlzKspM28YWUub/HRfx2AbOhi/gB3/+9NeWzG8alD6XMbueizP1+JvFw -ZrmnzP29kU9wu3ns8Wvq9ujvUXyC5CnV6pOH2qG/P/IJlhyOS0pO7ov+PsUn -eCa7INp/vy36eySf4K/vodofK/qgv1fRAgnfj9bbrumN/j5JCyRWxTmrHOqF -/n5FC7g8jte7FmWD/l5JC9gGX9gxuron+nsWLTC/d3+H/t16or9f0gKl6n9d -7db3QH/fogWy72mbrI63Rn/PpAXMdar7DFO3RvvRW8A3Qv+33cruaH9hC9Sl -nM7JTbJC+0VaIDN4hsslfSv0/K8FAheLnNI3dEP3c1tANG716dkHLdH1eQsM -4JVv8DKyQPNWC2yS048dc7krOn9aYFDtgFdxX/Df02qBj7+eOXz+aoz+PkoL -7N0bEqDzXf+//sXn78N5n4Z8Aty/6OU3wdfD5hPg/sWthtvWKxvjf+8Nx+aH -n1yi9glw/2JZaVxy2t9mwP2Ljuv6HGlsbQbcv+jiFn/zpqQZcP/ig0KdtTk1 -zYD7F2XfeeyaX9IMuH/xZavrzH45zYD7Fx26rRs2Ph3//BMwW3OVxcUXzYD7 -F90ePUszisP/vTMwShwzK+N+M+D+xdpNHV+v3sT//bPglOUffuFqM+D+xesJ -ZW0PzuPXEwSfDw5X4p9uBty/aHf0+ByHY/j1XYCDgrUPQvY3A+5fzJue1ttw -B369wdCz1zyTqE3NgPsXv3Y98nfaGvz6Q2BcHyU/uaXNgPsXxV9+7M1yw+/n -CpyW/5AV5toMuH/x78Y9+30n4/d3DWz4cdG7xjYD7l8cUul9ducI/H5vgNHm -iet8BjUD7l/0Hut94pIdfv9hkHtNeXa8TTPg/sXIMX6OAkv8eYRDqGRThJFJ -M+D+xQfv7XvM08WfTwSETbMdd1WtGXD/4sYR74Ma5PHndROCWvKj4U8T4P5F -PY/LTtd/NAHuX7T8cjK/y5cmwP2Lufv9+2+SNAHuX1xZd+lPlaAJcP+iZ+KI -9vmVTYD7F28KJxcXFTcB7l+UTN/n5p7XBLh/0UHV2rn2bRPg/sWFPepner1u -Aty/ONXCb55schPg/sUfy8+bXnnWBLh/sVfHtE2DHzcB7l8s/bHGouRuE+D+ -xRSjWpn9N5sA9y+K9aobelxvAty/eGvZscf5wU2A+xd/1RfYHTnbBLh/Mf7o -mZGDTzUB7l/cUGGY0Hy0CXD/Yvj55T5RB5oA9y++XJazfc2uJsD9i6EKZtt7 -bWkC3L+4sGHa8kbPJsD9i5aDbljGrGoC3L/4e/LG8/uWNAHuX9Tw6xXh4t4E -uH9xs+l4R8PZTYD7F+f97tu3bmoT4P7FH+kOOxInNAHuX+zcc6wjEJoA9y8u -M+wRsXZYE+D+xd7i4gVjBjYB7l9UPWqpbm7XBLh/ceSegZE/bZoA9y+O9Pmq -U9atCXD/oun4KkgybQLcv2gUpmt+Q78JcP+i4SrnkCOaTYD7FzftuRa2Thkf -b7Hwd1tzT1fZJsD9i5d8thgP+90IuH8x9Ql/nfX3RsD9i8POeilrfW4E3L/4 -R+1Z/a+GRsD9i3Nuv/zVIGgE3L8YJPwx8GNlI+D+xUsyjsfeljQC7l98UHil -OjG/EXD/4sj2hGHR7xoB9y+WWmzyC0tvBNy/6HJemHDhVSPg/sXUT6GZJxMa -Afcv+rZ63jkU2wi4f9EmeOHUndGNgPsX15ln3d10pxFw/2LvPe7xq8MbAfcv -Hrkv2rjkaiPg/sVubmeT3S42Au5flPWMDZsV2Ai4f7FFIFCfdrIRcP/isOef -2icebQTcv2iZWrxo7IFGwP2LN6oL+jnvagTcv7hAc9rK4VsaAfcvrnpQ/n3w -hkbA/YulGZOrB65uBNy/OGD/aX2HpY2A+xdneKcE9F/QCLh/ceOswRP7zWkE -3L/4a6PHQNvpjYD7F2/OyZ/R26URcP/irdOzz9mMaQTcv/jJNeNbjxGNgPsX -bVY4eVk7NQLuX1Qoy/ptZd8IuH9xv37hxW59GgH3L/68kTjQ0roRcP/ivIEa -eebmjYD7F5tebF/V1agRcP/i6LXxElOdRsD9iz+eJi02UWsE3L+4N/JAnJFC -I+D+RaUioyaDvxLA/YvpMcmdeh0SwP2Lq18mCXS+SQD3L5q82hmi1SwB3L94 -QGuDoUadBHD/ooOkr7tqrQRw/+LL5BXuSuUSwP2LV/xydOQ/SAD3L8rZjzsk -kysB3L+4qjXq6u9MCeD+RcOCX8vbUyWA+xeHfJmS/e2FBHD/opd5fOmnZxLA -/YsrnHcdangsAdy/ePVD9gvBPQng/sUPh3XPVN6SAO5fXHH4QX3xDQng/kXf -MXo5uSESwP2LkU3ZQzKDJID7F61/bLXinZEA7l9Mib/j99xPArh/cYZ8t5UP -D0sA9y/Gvb4ec2uvBHD/otalz95XtksA9y+m72y7HLhZArh/8ax7cJ9j6ySA -+xcHl7w1271CArh/UaMyaOOGxRLA/YttrjLGS+ZLAPcvlqX3M3V1lQDuXwzu -5+w1ZooEcP+i9YnVeo7jJYD7F3/erJLt7iwB3L8Y9r5lmM5QCeD+xaGnGuL+ -OkgA9y/qe9h4N/eVAO5fdNivuq6spwRw/+Lpi3KXMywlgPsXh5498feJiQRw -/+JgV5OQa3oSwP2Lkn3OS/00JID7FweOOznLS0kCuH9Rq/f5DW5dJID7Fx9m -dt51/tUAuH9R5/5WlZ5tDYD7Fz+p3PRTbWkA3L+oedPFoqW+AXD/Yqlft+wC -fgPg/sWhDa2BTysaAPcvPvoWtPJScQPg/sVLIbnjd+c1AO5fPL7Wb4B7VgPg -/sW8FZeth6Q1AO5f1C7+bGrwsgFw/+I7u316X583AO5f/JvbTzXnSQPg/sX4 -tr+/ou43AO5fPOPbKjoS2QC4f1GxRSt9UWgD4P5FiaJH8MDLDYD7FxcLG+er -nm8A3L/44WW+XM2ZBsD9izXve1+J82sA3L94z1rb6MThBsD9i+Mv3d+1cG8D -4P7F2KvWCX23NwDuXzxvE1n6a1MD4P7F4HPLC7PWNgDuX/Q5EHA7ZHkD4P7F -709Xz1y9qAFw/2KiQc93A+Y1AO5ffLxB0+jXjAbA/Ysrl60dkDapAXD/osXi -PfpnxjYA7l8M3nSON3tkA+D+RVMHGUfjwQ2A+xfnxZusqbBvANy/eGyr08LQ -Pg2A+xf7GTxUW27dALh/cZ5v7S4r8wbA/YtOKQOu1Rg2AO5fnJr1d8cN7QbA -/YvfAp/KLlJtANy/uKIsCQzlGwD3L2oMPmSb11kPuH9xd/Vm3okf9YD7F70f -/f4DX+oB9y+eU7ouaJPUA+5fnPQqY8M9YT3g/kUrnW8XPKrqAfcvep64vlir -tB5w/+KwlnG8V/n1gPsX00auSd78rh5w/6LSMb9ZXdPrAfcvJvB77818WQ+4 -f/G6d+GgbfH1gPsX1e01D3WNqQfcv/ixfcX8tPv1gPsXt2z1iPeMrAfcvzjx -56kIrdB6wP2LRy7v1Y0NqQfcv/ij9YXCvKB6wP2Ls5yFe9pO1wPuXzzS89jG -C771gPsXD2Q5lDscqgfcv6jx6Ojr7N31gPsX1e7qWK/bWg+4fzG6aPsPmY31 -gPsXux53cQ5ZXQ+4f3HsmJ5tdkvrAfcvvoq4Z5LqXg+4f9HCY8fdubPrAfcv -9u7VI0I0tR5w/6L/4/lddkyoB9y/ePJidJos1APuX6yendUQMLQecP/it2Ez -N5s41gPuX1RcXT0zom894P7FqPsWZ/r0rAfcvzjv0pNujyzqAfcv9nIfpTbQ -uB5w/2JWwtrJT3XqAfcvHjAuKXVSqwfcvzhxvuPzOPl6wP2LU/O68R3+1AHu -Xxwzd4pb9I86wP2LyoZu+r2+1AHuX1wX2WIYKqkD3L/YJHix2EBYB7h/Me/n -WsHJyjrA/Yu2s65E/S6uA9y/aHCk8+6mvDrA/YtKc0aIKt7WAe5fHHGv023K -6zrA/YsTfT/9ffqiDnD/4qOW52WWz+oA9y/Gd8iJjz+qA9y/2P/RfstPUXWA -+xeXa74/NjuiDnD/Yve/V/WeXq0D3L/4aXLwW4OLdYD7F7sGrrq1PaAOcP9i -H+fH4fnH6wD3L1r6d3/Vz6cOcP+igveqn7576wD3L64/azGrclsd4P5FmQkd -PMdNdYD7FxecvObit6YOcP9icNsjfsnSOsD9izOelQX2WlAHuH9xzbHX03bM -rgPcv6hzXdsoZWod4P7FDwlrm1Qn1AHuX7yuvDlrtnMd4P7FJuvMhyFD6gD3 -L8qqmV6qGFAHuH/RzlTJx8K2DnD/oq6co+cS6zrA/Yv66SNdr3WtA9y/mBSZ -OaDUoA5w/2LfPjtVdLXqAPcvPlMVlUxWrgPcv7jYKe7yoS51gPsXt7zwmRb7 -Uwy4f3FCkaRZ+E0MuH+xJOv0Pv1mMeD+xVMyMt/GiMWA+xe/1NTO2lQtBty/ -eLM862JwqRhw/6KWx5wXyfliwP2L89/ovuZniQH3L07aEHpHIU0MuH9xkk/A -WptkMeD+xSndt8tMeCYG3L9YMFrgtfyRGHD/olLEpth9UWLA/YtxxTczz4eL -AfcvbhhkEH33ihhw/2JwzswlyefFgPsXw71qPuacEQPuXzQLmm1e5SsG3L/4 -acEAm8aDYsD9iz7+sl/bdokB9y92BLge/OMtBty/uH1eWKa8pxhw/yKs2Zmj -vFIMuH+xR848f9XFYsD9i7dNebKq88SA+xfnzXHqpzRDDLh/UePbQCVZFzHg -/sWZtUPP/QQx4P7FCxtevfk8VAy4f/GS85gooYMYcP/i06WDBxTbigH3L4KN -gUe6tRhw/2L+oNn9YrqKAfcvxm1ddvWagRhw/6KDwpM7xzTFgPsXv06KneGp -JAbcv7jwXZH/dBkx4P5FyTifFf07RID7F4P8u2epfxUB7l80COuWWi8RAe5f -XHWy1iVVIALcv9ix5q375QoR4P5F+6XDv276IALcvxh25YLO6BwR4P7F3aMG -x2m9EQHuX8xdtq+k4pUIcP9ip9rL3XfiRYD7Fxvt5l/0eiIC3L+4OviyrdM9 -EeD+xfUt5U7tESLA/Yubnm2Oi78qAty/+Ngp/ObOCyLA/Yt7D/BkHP1FgPsX -F3sYvZX4igD3L+7y+NQRdlAEuH/xyfPTZ+fuEgHuX2x0HXhKwVsEuH9xWIyN -MHadCHD/orps5NWly0WA+xdXdkqilReKAPcvSj47Gj+aLQLcv2gWE1cye6oI -cP/ispDIhm/jRID7F3vsHDo1aKQIcP8i/9z1DjsnEeD+xSGhsl8z7ESA+xeF -z4McPGxEgPsX383d+fSzhQhw/2LdxMK9PkYiwP2LLo/fH9TSFgHuX2z5feTl -FWUR4P7F1HK9IdZdRID7F1cOuSKK6hAC7l+Us+//pu9XIeD+xSFaotL7EiHg -/sWkKflGfQRCwP2LQ7bJ+twsF8J//YsOvjpmRULA/Yu7R6/MCHwvBNy/uGj5 -zWtd0oWA+xdj3Sef804WAu5fTBPOC694KgTcv3jqWcbbCQ+FgPsXB3gGKz24 -LQTcv3j50As3rVAh4P7F1Nl2z70uCQH3Lw7QL+ueHSgE3L/okvL4Uq8TQsD9 -i6Fdr+keOiwE3L84+fbRs4W7hYD7F1UvTNXsuUUIuH8x4G3RiW3rhYD7F/3z -fv9+uVwIuH9RnHd9rdJCIeD+xdgTAenTZgsB9y+OHx+hFzhFCLh/0bQ4akbO -WCHg/sWygM3bVEcIAfcvdnN6cXDcQCHg/sVFnfM37ekrBNy/GOAjM/KBtRBw -/6Jqws66cjMh4P5F88/bNirrCwH3L0a9evjGQV0IuH8xXr6szU1eCLh/Ubn0 -1re9vwWA+xe9fBOTr7QKAPcvTj2a6vq8SQC4f7Fs/bK7eUIB4P5FH8nANHGF -AHD/ouKb0usdRQLA/YsJmWJHlWwB4P7F4OdfDhmkCwD3L06YddLHIlkAuH+x -++hhg3o8FQDuX7TWPXnBJloAuH/xif3vGz0jBYD7F7cX6LhaXRcA7l/U/T3+ -lslFAeD+xfcb1UM0/QWA+xe7XSnrJeMrANy/OC3S3PXTfgHg/sXEWaDxcbsA -cP+i569bi3gbBYD7F0f7Pxpya5UAcP/iiF1J148tFgDuX7x5q9up5XMFgPsX -Lbc6dgyfJgDcv/j0JtRpjxcA7l9MWhjkKhghANy/GKPm7RAzUAC4f1Fru4nf -gb4CwP2LDYFZUyZYCwD3L14ITNynYiYA3L/olG1mkKUrANy/2CfVTO+4qgBw -/+La3/wto2UFgPsXs/Qu2n3v4APuXxwe6jo26gsfcP9ibh/7B/Mb+ID7F/N6 -TVjbpZYPuH8xvDHcK6qUD7h/MfjKzJSpeXzA/YsxVnPcG9/wAfcvTrZ9MOD4 -Kz7g/sXzmvMmWj7nA+5fNMsZezH2IR9w/6KB7GqT8bf5gPsX7+dH5uRd5wPu -XzQXNzxecJEPuH8xZ4n2i6ozfMD9i8PTvzYuPcYH3L+YI7N6ZOU+PuD+xYgp -Tnfnb+MD7l+0tOrX/70nH3D/ot8I9TejVvAB9y/OORDkdX8BH3D/YkDDDmuD -WXzA/Yt//6+9u/yLqtsCOI6JnShiUQICBiiCYiy7O7ALE8Vu7G7EzkdRVEJR -UOw4hxIEFJDuASQGEKVUVOTOPjPLvV7eP2Befj/3Xi8KzDpnzZz9O78s3Wlk -FmB/0fZ6i2upkAXYX7zSuv0MW5sswP6idrVBk3PdsgD7i5W9xBdyoyzA/uL2 -FYfs+nbIAuwvDm5aU3ZEKwuwv/ho7ItJnxpmAfYXbcrM3bVrZQH2F8evikmZ -8SsTsL/o7GtQeL4kE7C/uMXqUNTH/EzA/mLX5EWHa8kyAfuLDfLbNrRKyATs -Lzb7Xmv6go+ZgP3FPrK1S48EZwL2F12f3be6/zoTsL944vHv1+GPMwH7i+MN -rv7K9coE7C9qzPLPr7qZCdhf1PX8b1+zy5mA/cXq4TMCO7pkAvYXmw1tcbfz -4UzA/mIjpxyjbrsyAfuLBds/9+++KROwvxh4sK3c3DETsL+4xvG4QadFmYD9 -xdYne+Zoz8oE7C/ufa3ZRXNSJmB/0c66oqRkRCZgf7HViUzLxAGZgP3Fn2e9 -cl/0ygTsL16fbtzwYpdMwP7i+ZqGV9YYZgL2F+9HLXUe0jYTsL/Y8c/DrBbN -MwH7i8fv+Z1O08wE7C8GZhhccPsrA+wvrljoWrC4QgbYX5z7IOyofpEMsL/4 -Pdd6dVKWDLC/2OzVujMnkmSA/cV8u+pvtlEywP6i/4TZBz6/kwH2F6e7/Bx+ -9I0MsL9oOGxQT1M/GWB/cVHohSFBXjLA/mLZyUnrZ92UAfYXS5r4vyi8KAPs -L+o+Mdfa6iwD7C/qv/u4vfqADLC/uGJ8esHe7TLA/mLTRgdnV6+TAfYXB878 -HLJluQywv3ijtZZZ4TwZYH9x0nmDHTOnyQD7i3F7tJ4HjJEB9hevhH5OMB4s -A+wvLjl6IO5gbxlgf3HZ92SvjG4ywP6i3uDgSVZGMsD+4u9DrYP2t5MB9hcf -Pbz4+0NzGWB/ceF8zdIWij8H+4sfHvUcfOdPBmB/sTi6pHZbeQZgfzHV5bDu -gbgMwP7i42YdD2b7ZwD2F7cWhXburfh7Y39Rt+6hqr1XMgD7i0WT+38XD2UA -9hcnuYt1KtZnAPYXO+8L79hxfgZgf3FxQk2rforvE/YXDZLLbSbYZAD2F3Xe -tekw3TADsL942ONj3JSmGYD9xVGd508d9jsdsL94btzvY+Z56YD9xfiRr1fW -jUkH7C9q9nHLj3ubDthfHFdyqeyyVzpgf/Hnm1W7Jl9IB+wvhndOcqremw7Y -Xzz1eluy66p0wP6iVmiss/XMdMD+4kg9u6Pi0HTA/qKQOeHVAIt0wP7iHstf -rXzbpQP2F8v/rD+mrZkO2F8c8OJN/fWlaYD9RR/9wANiWhpgf9GlYmJRzfdp -gP3Fhk90LPv4pQH2F+fN9Ruy6EYaYH+xR6drWnuPpQH2F017WJw7sykNsL94 -Zc7jRxcVr4PYX1yaPmaBy5g0wP5iddNaJ3dYpwH2F906Bneeo58G2F88GbDA -sHujNMD+4oLyQ44V31MB+4v3b4aVPchMBewvOi1w9ZitmAPYX8zXn+f0+2kq -YH+xINt2hvPNVMD+4o+F4y21TqQC9hdTg1zKT2xOBewvrp2U7PJzQSpgf3FN -6+xyuzGpgP1Fs8uDm3r0SgXsL47cu+ZjoW4qYH9xyxBBz6BBKmB/sSpsSfno -8hTA/uJYg002S9NTAPuLDm3TEjaEpgD2F4eWrAjc8CgFsL+Y9z00b8m1FMD+ -4l7LXT1GH0oB7C8O1Sh20VubAthfbP9m+rf8mSmA/cXuc+P7uymuU7C/qNNs -wdIJXVMA+4u10oPtvrROAewvLhz6+PcOjRTA/uK6aO9Bf+XJgP1Fp7dardbF -JAP2F28d0bOPe50M2F90OpKlZX43GbC/2P/IUJ31ius67C+enlFvttfWZMD+ -onxRSHDMwmTA/uKFgaVDi0cnA/YXrQZFBVb2TAbsL0bW2t31u+K6FPuLy6tL -HLPrJAP2F2s0K3X0L04C7C92rtGhjUtCEmB/Ma11zowJYhJgf7H8nItOtUcS -YH8xeGifoTdOJwH2F8dUhgd0d0oC7C+eaF5vt499EmB/cXjlLnv9MUmA/cUq -97N2+3omAfYXzTs6DY1plwTYX3wps26lVTsJsL94Y/1136FFiYD9Rb+IqTWX -KO5bsL94MSbi68bXiYD9RSF8hP3G24mA/cU94bGDFp1IBOwv9jafuHnQxkTA -/uKyMvu8JnMSAfuLISP37QgfkgjYXxwXX9x+i3kiYH9Rp5ffw+YtEwH7i70n -e7S7+isBsL/Y/9OKES2zEgD7ix7rF+s4vU8A7C82dHi3JdInAbC/mCEcHdny -UgJgf7G57+p1w3YnAPYXjf/+TliyNAGwv1hj+K6FG8clAPYXzWZolq21SgDs -L7odXLVudrsEwP6i5dfR/j1rJgD2FxtG/3lXmR8P2F8sCL+11DsyHrC/uNe8 -44HxT+MB+4utzJrXSrsWD9hfPGqZ/m7G/njA/uJcHfdH/g7xgP3FmvZjPbUn -xgP2F3uscjw5yzoesL9o+9h+0LH28YD9xXUVw93v1owH7C+uW1Xk+jA/DrC/ -OLhS1vTOxzjA/uLEoY5xh/ziAPuLXb84f5p6JQ6wv1jDo0Z+kz1xgP3FS3Ot -vvstiQPsLzYaMS5t+Jg4wP5icZ3OO4Ms4gD7i8XPpz7p2joOsL+Y2Eh7yb7f -sYD9RfmoJSsDZLGA/cX1c/PufgmOBewvumRUVNS6FwvYX2zX6rJ1HZdYwP7i -0S+Hh5dsjAXsL2b/Ma4VOjMWsL94aN3hUUcHxAL2F/3rLP1uZRgL2F/s8sU0 -N0wzFrC/6Pkmu3x0UQxgf7Fyyti/flExgP3FoMeBafWexAD2F3WzJ6wefjkG -sL842P/qsVU7YwD7ixcTKxrtWhgD2F9MNZ8QuWVYDGB/cdjfPvdmmcYA9hd9 -L+RvM24cA9hfPFdlrpXy7RNgf9HzyfrJW2M/AfYXF64JafT32SfA/uLjp5Ft -Ha9+AuwvHrwaNiVg1yfA/mLfqaecNew/AfYX3ZKP3zQe9gmwv5i9L9DeqvMn -wP7i0hMf3E0bfgLsL165HzOsbnE0YH/x+FmX5mFR0YD9xTyH8RkbH0cD9hc9 -R+bsq3shGrC/2NjrReSurdGA/UW3mJeX0mZFA/YXPfss9DHoHw3YX9RKuZ8/ -TjcasL/odsGw5fwa0YD9xblbIzXssqMA+4tPq/vt6xEcBdhfLJ67bVn53SjA -/qL3/WZ7rh6JAuwvXmrf6KrJiijA/mJYu2+HLo2JAuwvZm942by4SxRgf/H5 -fFm9zk2iAPuLqywurz+RHgnYX/zs8zLdxTUSsL+42zfoyxz7SMD+4oc9vay/ -GUYC9her2y0dNzrnI2B/cVrRwZAVdz8C9hdD116wmbTsI2B/8WDM0u5VJh8B -+4tGK0/B6vwPgP3F5carfrh5fFDN8xyold0+57/lHwB7jLUebD4/u/MH1TzP -AdPieudS8yIA+4zNtrRcqeceoZrnudD14D4346URgL1G/5vyoOJOEap5nguw -ete0rdnhgP3GJLuWyQE3w1XzPBe2mbeI/zA/HLDnuO365vBLHcJV8zwXfD1C -bQ1TwgD7jjM+tXZzvBSmmue5cHL+t70bp4UB9h6jFsyfYtsiTDXPcyHsTtbp -Nx/fA/YfHc4se1p17L1qnufCirghvX8Nfw/Yg3So7nbyUc33qnmeC41+9TU1 -fhsK2IdcNe/TrqnbQlXzPBcqPN3/9rMKBexFxk18rp1RHKKa57mwwXugja1n -CGA/Mi38Sei4RSGqeZ4Lx5q97aXTIQSwJ6n7wjT3avw71TzPBTObQog79Q6w -Lxlo39Q9aOQ71TzPhX4Hn+x0qPEOsDdpYKvZKfBFsGqe50KhkcXPqHXBgP3J -Hnun2Z0xDVbN81zYt+XkpbqZQYA9yhkz4lt3vRSkmud5EHK5sUmdCUGAfco0 -r9z2znWCVPM8D9aezxwV8ioQsFc5cNWgqofrAlXzPA9yjtc8MMIkELBfGXsx -qvPJ1ADVPM+DGdP+9NxzOgCwZ1l/4LpC3eEBqnmeB/aFXmdW/vIH7Ft2MPVa -Yf/AXzXP8+BKmdy3lr0/YO9yfLupt8e38lfNc8XX79dr++BQEbB/6TO856p0 -J1E1z/Ng7pN+D/S7ioA9zAZxNTJavxVU8zwP3q0OeNtLXwDsYx5JD518ff9b -1TzPA7d1U4rG57wB7GVmmzyNHzb8jWqe54GdEUzfe/c1YD9z74G23tV1Xqvm -eR48PdSrubD4FWBPc3N7/advAl6q5nkeDAsoC6nUfQnY19znbea4bscL1TxX -fD1DjvkbJD4H7G32TqjKaNjzuWqe54G+++ZEs5PPAPubh7TD/HbmPlXN8zyY -bXBqS014CtjjbPDzV7tnF56o5nk+rAt/ff7yFz/APmfXgMQo9yF+qnmeDydq -7/PNuPQYsNc5N6esJXx5pJrn+TC5/eiIwIGPAPudYxrOuLvyrK9qnueDab3d -S6xyfAB7nm/c9ge1s/ZRzfN8iI0ILBjQ6CFg37PJ94GDnby8VfM8H2rvHhb5 -efh9wN7n3uVlb7dleqnmeT6M2LPM3MrJE7D/aXvArl3T5h6qeZ4P1hHue+vc -vQvYA53u0Lh/iz53VPM8H3zvXta2CHMD7IMOTJj0bubMW6p5ng9lc9/mH811 -Vc3zfKjw+dpsc/Z11TzPh/U+9paRFVdV8zwfwg13F7asfVk1z/MhJqHP+95N -LqjmeT5M9Izt1EPrrGqeK/73R5NMylu5qOZ5Pmyf2uFcjvEx1TzPhys2Ia5D -dA6o5nk+pOya0z2mzg7VPM8H/dR7AT+d16jmeT7Uv5i5bvvLMap5ng9eTd9n -RsYtFJTzXA6rflzNmTd/k6Cc53K4ZnZKNCrbIyjnuRx+1n++oBQOC8p5Loem -8lljLC+cFJTzXA49Vpo5Vf86LSjnuRzWhDc2ed39vKCc53JwDJ6wdK7DJUE5 -z+UQ0kLfqtT9qqCc53KwiFkbdbTwuqCc53JI8B0g02l7U1DOc4WH9c6vFm8J -ynnO/vP9DXavuC0o57kcBpi7bb7R+q6gnOdyiI5pv88h2F1QznM5BG1c6Jmz -2VNQznM5bCp44dLe/J6gnOdyOO/Ut4521n1BOc/lkD8psCrt6gNBOc/lUPH4 -z7j+XXwE5TyXw9iD3q1/N/QVlPNcDlVexq27fvEVlPNcDjpfr+olRj4SlPNc -DpUFMfo1/B4Lynmu+Pr/vungfdlPUM5zOeyOa2SZueeJoJzniv///+JO33J4 -KijnuRzmGFm5fJ/yTFDOczkcrC67kAXPBeU8l8OhggFdHLu+EJTzXA63XSeW -Xmr/UlDOczmsH2XhsbrxK0E5zwtgt7DGrKz6laB8wS+ArMxvG7qUvxaU87wA -Vpv5XtcreCMo53kBNA2++jku862gnOcF4LfE3nVyiiAo53kBTD/VzX38SlFQ -zvMCmPbm+fB0uSgo53kBuLlouMx28BeU87wAGnZe+/293F9QzvMCWGlrptF1 -ZYCgnOcFIF47OfzIlwBBOc8LoLn5m80ZawMF5TwvgEroMtm6IlBQzvMC8M7v -/tDFKUhQznPF11/n/LZSjWBBOc8LYMiRvo/nHwkWlPO8AEbVfbYxtdk7QTnP -CwA+lX9xvPJOUM7zAvBIylvayihEUM7zAvi723Zgsk+IoJznBXDjad1yoX+o -gL1gOHuudXh4qKCc5wUQ4aE7XWP2ewH7wWYr3fYsLnwvKOd5AVzJf+D0Z0eY -gD3hgyYDR39oGi4o53kB2G13b5HqFi5gX7jMeEPrbn0iBOWPcwEMcF/0PDQy -QsDecHFthx2+yz4IynleAFtPe9Yr1vgoYH/40ZYzxgeufhSU87wQ6jmXBm6z -iRSwR3w7Tbc8NjZSUM7zQkgyP/fzQ58oAfvEme0OOxccjRKU87wQnow9sjcw -OUrAXvGFpXu6zOkSLSjneSHM2TNZ4/muaAH7xakrzh1Ijo4WlPO8EGYMGz8j -xOiTgD3jbz7+PfY5fRKU87wQzlT1/twk6pOAfePkh+l264xjBOU8L4QGQf+d -8t4ZI2DvWL9Nw4chcTGCcp4XwizHGaWB3WIF7B+HrJnkd/dIrKCc54XwZuqi -1RuzYwXsIZuvffjCEuIE5TwvhFOrTCdmX40TsI+83tO/sXNlnKCc54VgPfZa -ifWMeAF7yREh03Szn8ULynleCI0XT5NdbJMgYD/54Klud2Y5JQjKeV4IV/ya -a1qmJQjYU54zb9x7vUGJgnKeF8LgA7Lk7ncTBewrj5B1nLG4UZKgnOeF0GTQ -8hNBG5IE7C0vSigrs0tNEpTzvAgGOPv0NxieLGB/+eWJvuO6+iYLynleBCkt -ivvt7pAiYI85przWAt3jKYJynhfB6kapQ1v9ShGwzxzYeOqz5StSBeU8LwKT -IYMC9VNTBew1l0RoPhs5IU1QzvMiKGg32Tg3ME3AfvOmbQVFNW3TBeU8L4II -5+eWHr7pAvacv/z57JBhliEo53kR1LU1mvPoToaAfefmmjpnWjWXCcp5XgS5 -l3q1M5soE7D3nD43zrK9i0xQzvMi6PnfndUlUTIB+8/nA32vPWyRKSjneRHo -R/z3as60TAF70JV5f2tUX8oUlPO8CNYMic24lpYpYB86WK5fo79hlqCc50XQ -5svDibkOWQL2ojN0v/264ZslKOd5EWxMbLRu3a8sAXvRt5cYOtoPzRaw9yw2 -9v7mdCpbwF7zBg+dyYEp2QL2ljvp3rAeavpZwF7ykAWDrtbd+lnA3nHLS60T -W4Z+FrBXPOZj+O1NOjkC9oYTZq3uYO2YI2AveEH1rpD5Yo6Avd8+8VMuVrXK -FbDXG/f4Y0XbVbkC9nYvNln9LjA4V8BebmBNYVVtvTwBe7f3LtgkyrfnCdir -da3es3dvUp6AvdlGU213JPXOF7AXK4vp56RxJV/A3msL/5VxdaryBey1jnZv -e7lyoVzA3urLr61OFIXKBeylRjyd1febZYGAvdPKpifqaP9XIPzrlfbvZbKx -QaGAvdG1GfKQdk6FAvZCV0bY1TMsKhSw9xmlM6O564IiAXud87+bdfJKKBKw -txkyNPbezElfBOxl+u1NmBD64YuAvcurjnPqNR9XLGCvUrNXTs+JkcUC9iYf -3H75wG3qVwF7kaYlNkVmaV8F7D02ndTi6H6rbwL2GnO7dz7edcc3AXuLssnT -vt4J/iZgL7H3g4Y9NJqXCNg7HOfXqWjivBIBe4WOb53yvL1LBOwNTm86t1e3 -6hIBe4GNm7QcUTC5VMDeX/EWr3p/PEoF7PX1PDv1764aZQL29g5puy52mVMm -YC+vRWL+lAkvywTs2z10XpSX2rZcwF6Rdk7f5XN2lQvYn6i/f9zOss/lAp4n -7mSgvSBsfIWA58N+G3JTu9HrCgHP++ur3eNlbpfvAp7f1DL649PjN78LeB5H -1MUXJhZtfwj4fPXoY1O7N774QzXPi2F9apuFC9r8VM3zYrhg7NHb8fpP1Twv -hmUbVtzcalqpmufF4Lbt8emYF5WqeV4M5d+03kRP+KWa58WQ226efWThL9U8 -L4YkR5mtpfNv1TwvBtfOv08vsfmjmufF0Mo19GRO3h/VPC+G4e1s/KxdqwR8 -PtsyofWrogV/BXw+29tV8+k9s2oBn8+eGmD+yuxvtYDPZz/8lHuq3WqNf89n -dwvJ7peapfHv+Wyb4yeik+fW+Pd89sRB/x3zzqjx7/nsenGBx2o41Pz3fLbx -bDNL1181/z2fHW1l02Pz+Vr/ns9+fvmMzX+2tf89nz1mmklfh8La/57P7pMZ -cHK7Z51/z2dfDVwYlr657r/nszPfnXC0tNP893z2LPn4m/LR9f49n12n/M2U -kJn1/z2f7bGlKOzm0Qb/ns++t3Fz97+fG/57Ptsttd/IWzsb/3s+Ozqyysx+ -c9N/z2f/t2bB3kSt5v+ez55m7JnebL2WiPutNIvhXd8s0BVxv7X9x5bmozbr -irjfsuozyO7PcV0R91vtb3c5lHBTV8T91oNjl7qlPNMVcb9VOzYsQ/Ojroj7 -rWbX63Sd91lXxP2Ww+Vr99N/6Yq43xp+ts6foHp6Iu63Nl2vY2ehpSfifsv6 -jJ2fr66eiPut2XWeLx9lrififiv1rfe7H9Z6Iu63UgpOGL4ZrCfifutNxNH4 -y+P1RNxv/SwL7u08S0/E/dbWynl3rizVE3G/lRm+c5uwXk/E/Zbl6aVaVbv0 -RNxvBXQ6mjDluJ6I+63s3meMgy7qibjfCjXcuWz8bT0R91sV8+/WLPPRE3G/ -5Rmabv/ojZ6I+60NV3dXnAjTE3G/5Thyt9n+BD0R91tj2h3eeP6znoj7rRqh -9UcFleiJ//ZbubP0mlXribjfCl0WsmlbI30R91unWz8M0WirL+J+K9DkxsW7 -Jvoi7rcGl65asbKXvoj7rdcJ00MnDNEXcb81e22H/lMn6Yu437JpYDhj23x9 -Efdb951P3xdW6Yu43yqUbY022aEv4n5rQFjZwkfH9EXcbxUfHVc1/7K+iPut -Sl3TEZYe+iLut5xWeuUZP9MXcb+lZXPz+KB3+iLutx5MePp5bxyeb5AHewKv -eOZ/xvMN8uCaQ58Lm8v1RdxvjYotWNmltoGI+62cT/dKGmgZiLjfuu5lV96i -k4GI+62GFhnDh1kZiLjfCiw+HXBrqIGI+62+p+xGdJlmIOJ+69ODildZSwxE -3G89X2jfJGSzgYj7rc1XNK2SDxuIuN9yfqZj1uGygYj7rRE7iipcvAxE3G8t -FcbdsX5tIOJ+S1MvZ3zTjwYi7re2al9u0V5mIOJ+61hwpc68UgMR91ueqb6X -4msbirjf+tv2b8QhbUMR91sGIeYaa80MRdxvuft0PHy2v6GI+y1XyAgonWgo -4n6ru5ml/qnFhiLutwZv7NlhxVZDEfdb1SsbDDx4wlDE/Vb3Jef0s1wNRdxv -ndc0c9j3xFDE/VYv7ZUfl4UZirjf0nvcpu25DEMR91svO/41rl9hKOJ+6+MQ -eVZog04i7rce9/abEKfXScT9lpb1wgMWNp1E3G+lHx/9IHVcJxH3W9ljl7dI -XdxJxP3W+vPeTSx3dBJxv6W/f3e79LOdRNxv/TYf+SHnXicR91t1DTrHjw7q -JOJ+67bde81maZ1E3G9d3GM3rff3TiLut6r3XIl719RIxP3WdY8xwW9NjUTc -b+laHj5qONRIxP3WdWHvo5/zjETcbzWcuf7vICcjEfdbPolv5tS6YCTifmvY -c4eSgY+MRNxvJcSktan+aCTifsv2Y9XKwUVGIu63opLdgpo2MBZxv+Xz5/Bg -x87GIu63rEa7Tpw7wljE/Zb3rnr38pcai7jfGmy8d4nWYWMR91ur614uzXU3 -FnG/VVfvgvmy98Yi7rc+J1nPvFZoLOJ+S/v2sv7OTUxE3G+1iWrccVgPExH3 -WxnnG0e/tzMRcb91bsOz90Y7TETcb93dHmWy6JaJiPutF3/XhZ54byLifivv -hubMByUmIu63dP0Ex9i2nUXcb82xiz7XaFhnEfdbWw802bFkbWcR91uTX0+o -+/VaZxH3W0c0F218GdZZxP3WvotjTiZXdhZxvxWYFvZhkZmpiPutRqn2Nxzn -mIq435q2afilxi6mIu63cvVrv1sYbCrifuvkLJM6h/+YirjfOt73v+XXe5mJ -uN+62H6xX8RaMxH3Wx0san2zfWAm4n4rIuB4a+1iMxH3W3+WXCw8Z2Eu4n7r -3niN6uJN5iLut+6s7VG07K25iPutN5dG5Y5p0EXE/dZ1hxEepTO7iLjfqp08 -S+7v3UXE/VZa1fu9FnW7irjf2unovjtsUVcR91sd6x44ZB3SVcT9VkCvAxr9 -LbuJuN/q8/VMYfStbiLut4p1z+4w6NBdxP3WnK89Tnrc7C7ifuu9ht1hQx0L -scMpHY8T5PyeGm0iXW8Sf3ZlB3Zzh5iywCP3vUdfj8mInfve2V9BvD5o1o4G -e7jZ6YC6xH3ig1dZUav2W+4ZHzbMnm4hprxr7+8j5P5zm8+pdrdiiv+5YN2m -ebZT+X+/Pcs5kj9PyjsQZ7PjHolZfewIsdc+FgjnPtlQc/Aj4rXnXtuGEE/t -sL5nKrHNXZMuJcTKn3/uv8/YAXTcmQPZiXvcwSzvSizlXohPsONfidcs7li5 -n3jyl5iSS8TWm48WeBPrVA/IDiCuOswOuOSWNWNFBu6gy/M/1NjH7W7Q6l1r -4mP3wt6aE69muWdiKf9E3IsdB00s/bgR/57ODtDlzpCx4Bp3gAMrAHDfLd18 -IIaYnSaWT8xOe6wiVs4fbnZaugmx9o2aC/oRSzk44nR2PDyxPzsujpjVlF2I -j4xhvxHcK2OHWL0knjC3skskcY/cB0afiVtJ32Duyh8sAMCduocFY7nF+gfr -9SaW8pDEUi6CeAU7PpJ4XNfZhceJLZ82U7wEcGtJB8Zx/whhgRHulIksSM39 -Nin3XTnxLftrQv2D3MrrP26HjexEbe6xLBdLLOVjiFtKB1Jyf7/IAkbcyXos -eM/9RvGn+RKz093eER949XhzCjE7Hfkb8ZgPHZfXOcTN6iJtiZtLB95yV7B8 -NLGUkyJ+zY6XJpZ+/Ij3HW/V6xLxUq3wrt7Eihcr4wDirsbsxGDuZtKB2tzl -NizAyJ0g2tVvTYz7C/R1lpMnlvJyxEvYcfPE0vFzxObSN4S7qXRgP3epJgu8 -cse7PA/5RPxCZ7WYR3ztluGLP8R7zJN8mx/hXuTn7GVMPKL/ULe+xFJukriJ -FAThLmHHURKz7+4p4ufyyF23idlpqC+Id/+2XfuR2P7At+XZxKz28pPYVDpA -kpyfJwWHuJXXS9xSfpZYytEQX2HH0xLvDL/W7TjxwqmTTVyJh6Zp6j0hNpEO -qOVuKAXNuIu3sKIy9ycNVmjhfnL07O8OxJdbjCrvQbyD5aiJpTwV8RB2XDWx -9ONM3EAKJnJ/GXEs1Ic4Kgr8g4n9ZrIiCPfFLC/FFQv39pUL7tU+zj2vvNVt -HeJB0j8gt/J+hVvK1REXsePriaXxRvzYlBVjuS88arTuPjGrO/gTzw3aYh9P -PFB6gebuJAU8uDWl4DM3q7+bEX9cW2sAEEv5SuLzLGdBLB1vSTz7XJLiFZUb -pAtIbgMpEMRdVwrKc8ufPayVRxwxcMmf38Q+79uyI5f/+ezkqC9GxFtSDuba -Eiv3BdwDpANlufWlABl37erZ752J8w83D3AjDm8W8vI58cPLOx5/ID5j0ON+ -FvGme3m3fxDPtGIFRu5+0oHV3HpS4JBbylsTS7kr4jB2/DWx9OtBfLr07Iob -xKyG5Ec8XfoLc/eVDsTn7igFVLlr3tBVfIXcOSZxAzoQv/c5ZtOD+D7L3RPj -vhu9gR2HTyyNS2JbKbjB3UEKNHMrLnZrBxN//mFdlUQcsqeoopj4Xv1bxbVc -uJ3PTM9rQ7yuXWNZV+Jp0gUbt5TDJG4vBeC5pctn4uyQS68uEL+bON7vHrFn -Ui1vkfik/Ys7ccRrC1dfLyCeKh0Ay20jBcO42TQyJf7bhBXvuaU8LrHy/ppb -Oj6XmNWpzhCveRU1x514snTANLe1FCTk1rErGZ5L/Cf9Lvwmli1jhXXuwG/N -LY2I3bexO0puKZdNvFr6ArknSQfYc0u/bsRtjKfUcSP+/aCe4paLO8Pm7fcI -4gBxw9dM4jujWCGI++indMUdCLej9ALIPVEKZHD3lILK3FJOj1i53+KWxi+x -v0uc93VixTC6+5j48K2BN0KJV0oXWNzjpQAPdw8p2M7N7t7aE1eOj3CyJE5N -2LthOLGU1yR2Y7kNYulynHiFdAPHPU4KfHFbNA4cEUSsdWHrwCTiHx279Skm -TnHPtqx1nvutxWWzNsQ3X4w37Ep8cHDt9oOJpdwu8VgpIMit3C9zt1ya/Pc8 -8ffiUz+8iJO2DPsmEL/R+J0fS+x61CdTTnygBfsDuZdJB85zj5YCpdzdvA8F -9SeW8tvEFSzHRSwdz00s/foS35jZwvUu8b4s9oLFvVQKWnCPkgLI3Oxu+hdx -s7qsKMDN6hOdiBO066/qQ8zqbeOJr7M8H/Fedlw/Mb4/ih4pBda5zceNHvSM -uGl8dZ8I4tJ57AR77ri8FYrfEO4Xa/U6NbzEfa0yrr0e8R7phot7kRTk4pZy -ncRmLN9BLF3eE5d0Y4VD7thnEfJHxM8Hsu8I99X3NimpxLukhQ63vRT84x62 -eEZwO2LTL40VvyLcjTYHPh1GLOV7iWNYzodYut0nVu5juXdKB8RzL5CCotxD -rV7uTyRmp81/IW443GhTzSvcrNakTcxqel2In8iGzR9ELOW8iXdIAQpuaf1H -PIS9HBAbn4y29SJW3Pz2FIi/XO/XJZY4yqS0k5zYz8e9w1/ii33YBQy3kxS4 -4Z4nBdG5B8Xu1JhMbMRyf8TS8f/E0uUBsfL9EO5He+qnviS+UJ/dIHFvkwJa -3HPasTtg7oG3M942ucateDF9Zkis+XS0T2/iggEanuOIP7L8J7Evy4EQS7cL -xFulQB832zY9JYaN7I6L26Dq+yYZMatnVRDLm9gva/AfN6vP6hL76H2YYUV8 -1pMteLmlHDDxLJYHIpbWB8T67I8jVr4fyZ2fHmgUQhy+bFvHVOIH39gLAveZ -bSwYwb1JCgxzzzw+oYYFcT8tVmjmlvLgxLVYLoxYWicSh7GXF2LFxanigoPb -ZRQL0nBvlALm3NNn+wpFxH0/L31e4wZ3x1WsCMxd43u0pzlxzi52BcPNfjum -Ed9n+UBi6e0J4g3scoPYzrzlLk9i/DwNukP/XWtiiFltMp/483hWtOAOSbiu -mJjcXgvYwoTbWc6Cetzr1gtDJhFPYzlRYikvQizdfhBXnz9vfIc4qyMrnnK/ -c9doE0nsacFeoblPvGDBTu61g/VrNrnJPSU8/pcBsc3UE2U2xG3TBhWNJf7L -8sLEmSw3RCytI4g92JdHfPwoCwJzK9+/4558tfeLDOJenYp9y4l1vN28FLdw -//yn10y3jsQyxatVT2J2NTmSWMqNEx9j+TFiaT1JPIm9XBGzupwvcZuddRze -Ef+u82phCnG689pZ34gDtNkNCPcdVxao4j5qygqC3I6PhiteYrgn9GV/Y+6e -LEdILL1dQfyLXb4Qp8371MabWMxjCw5u5fvn3IcrS2sWEa/c5/Fb4zb3+IZs -I8Ztea7lFzPiVh3e5wDxzzu70qcSp7I8KbGUKyF2Y7czxIfeT/X3IHaY3ODl -G+JxKcKjT8QWi9kGhltL8dX9If6hmB7N73Czq3tj4reH2Rs03Gw7PpH4IMsV -E0v5ImJpvUHcnf11iVkt+wXx92GDZ38kVn5+hfv1dBZ84XaVsUA4936HNgMM -iJeVfrC2IR69fX/3scTdWL6cuDnLmRFL607iRPbyR/zKhAWluG/4BNUKI97X -x0lxy8+9JKB7RRnxqDE5X+q5cysurnI7EDebywrh3GU5dRS3NNzxq9kdDfdL -ljcklt7+IN7LLoeIF585/diHeGS7EfeDic0VPy3JxPj5S3Sp4m6rtgc324bp -EL8IYUFM7msTj+wZQszqXjOIF7HcKbH0diixGbs9Im5c1XLOfeJvB9kvCHds -E/aGCveziyy4y31Vj12xcSuGpU0r4oU9plmYEQ971cAUiDsPFfWnEjdi+WNi -KYdEHMPWJcRP2T8f8eVvY6peE+/cxq4QuBfUfFacS6z8vAe3iZaBrJkXd4P/ -EhKNiIuN2AU+d/QDFoDifsJy6MRSHo1YWp8Sz2cvp8Tst/c5sbHi6uoDMbv7 -zSL+UsEWCNxRu1hgjpu9u69PfNGlqZM1MathjiGed8tp5QLiQSyXSCy9nUJc -j11eERcGswUld+R4FrDkfpTwCtKJzy9Y17uMWPl5K+4561nBknvg79MGPYgN -D4xQ/MZx15V+oLkLWD6VWHp7ldiX3W4Rn7OI+f6QeOuLI1+DiGcPHpCfRDwg -vExWTGwwlW1kuetIA5NbvoS9wcodUcwC3NwPt+xWvERzn2U5ZWLp4xbEs9j6 -hVj6dhCzbYRIXFu6IOdm7+YVEIe/Nd9XTaz8vCM3q5OaEm+aOdZxAPGMrBpL -phD3Y3l1Yim3RlxLuuHnzmUvz8TvnU8OfE3srT2kTzSxi+tPy1zijaYPzH4T -T3+0yLDZQ27bvjrtjYg7Bn3UsiWuIS0UuXPiWHCSW8ovEktvzxCfYpdrxOsr -m8qfEdvtC86MIO7TcHtyJnEH6QeQW0N6w4IbP6+PDunGPgHD7fWsruKOmttZ -8a83n3gdy7ESS2/XEvdmt2/E7aQBx11dxN4Q5WaffkkjZjXCUmLPw8s3avpy -s5p3e+K1l2OWWBJPMTg6bzix9b0BiltC7rbSBTS39PEN4ky2ziGWvr3EHtNZ -gZv7uGy3eRLxagd2x8A9ubSgfa1H3IqbrVZtiJWfD+T+c4J9oIs7o5V/tR1x -IMu1E0sf5yI+xta7xNLLPfHEgLGKK1RuqzE1Y2OJtaUFIPfvOSwQyZ2eY/C2 -5WNu/9WJTzsT31H8NPcnZlcbk4kd67PCMLeUcyTuKf3AcLeW3mDgZp9GekWc -9uTAjihiVu/NIb4d8nXVL2LFxfPSpn7cK5Jmze9ErPx8LrelNJC4W0lvYHJL -eVdi6e1fYoHdDhLfujipyzPiQ3qaRhHEDp6vO2QSj+uxvvV3Ygvpgpe7pfQB -Ce4fEWcUr/jcydNGVo4ifpteVTKP+CbLPRNLHwchXs7WQ8TSjwtxd+mGmruF -9AEs7opr5W9LiJOM2CsMN3t1aUfsqrj6syBWfj6em21PZxGPZvl3YunjYcTN -pQ+IckvjgzixouHmQOJXu9hPNPd1zS3LvhDvc+nCLgH/eYlO1gxt4lHSN5i7 -i/SGAHdTKRjLXdbved+VxNLHTYlfsrePiKXLSeI9C5w7CsSL5UMUv8LcI6QB -wm0uveHI3UQKUnOXNmr7y4Q47nxkaT9ifL4Ljc93ofH5rnFtNuw859NDxOe7 -lsVY1p4oWqj7m+r+prq/qe5vqvub6v6mur+p7m+q+5vq/qa6v6nub6r7m+r+ -prq/qe5vqvub6v6mur+p7m+q+5vq/qa6v6nub6r7m+r+prq/qe5vqvub6v6m -ur+p7m+q+5vq/qa6v6nub6r7m+r+prq/qe5vqvub6v6mur+p7m+q+5vq/qa6 -v6nub6r7m+r+prq/qe5vqvub6v6mur+p7m+q+5vq/qa6v6nub6r7m+r+prq/ -qe5vqvub6v6mur+p7m+q+5vq/qa6v/l/9Ddxv1WcO+jQy+UWIu639DWvXxvo -byHifquhp/leDSNLEfdbA9Ye/jbyoqWI+y29iEZr9Tv0EHG/ZTetOu3N2x4i -7rfSAyLzPx/rKeJ+64nHyKobrlYi7re0rqc3LJxm/a+/eXrA/Xyb+7bi/wBh -xM9l - "], {{{}, {}, {}, {}, {}, {}, {}, {}, - {RGBColor[1, 0, 0], Opacity[0.2], EdgeForm[None], - GraphicsGroupBox[PolygonBox[CompressedData[" -1:eJwl2VMQILgSBdAd27Zt27Zt27Zt27a1Y9u2bXvnnan3cSqV/Ka6cruToGG7 -im0D/vPPPwFYHfT/6999IAITBMf/BCM4IQhJKEIThrCEIzwRiEgkIhOFqEQj -OjGISSxiE4e4xCM+CUhIIhKThKQkIzkpSEkqUpOGtKQjPRnISCYyk4WsZCM7 -OchJLnKTh7zkIz8FKEghClOEohSjOCUoSSlKU4aylKM8FahIJSpThapUozo1 -qEktalOHutSjPg1oSCMa04SmNKM5LWhJK1rThra0oz0d6EgnOtOFrnSjOz3o -SS9604e+9KM/AxjIIAYzhKEMYzgjGMkoRjOGsYxjPBOYyCQmM4WpTGM6M5jJ -LGYzh7nMYz4LWMgiFrOEpSxjOStYySpWs4a1rGM9G9jIJjazha1sYzs7+Jed -7GI3e9jLPvZzgIMc4jBHOMoxjnOCk5ziNGc4yznOc4GLXOIyV7jKNa5zg5vc -4jZ3uMs97vOAhzziMU94yjOe84KXvOI1b3jLO97zgY984jNf+Mo3vvODn/zi -N//xh7/FH4CABCIwQQhKMIITgpCEIjRhCEs4whOBiEQiMlGISjSiE4OYxCI2 -cYhLPOKTgIQkIjFJSEoykpOClKQiNWlISzrSk4GMZCIzWchKNrKTg5zkIjd5 -yEs+8lOAghSiMEUoSjGKU4KSlKI0ZShLOcpTgYpUojJVqEo1qlODmtSiNnWo -Sz3q04CGNKIxTWhKM5rTgpa0ojVtaEs72tOBjnSiM13oSje604Oe9KI3fehL -P/ozgIEMYjBDGMowhjOCkYxiNGMYyzjGM4GJTGIyU5jKNKYzg5nMYjZzmMs8 -5rOAhSxiMUtYyjKWs4KVrGI1a1jLOtazgY1sYjNb2Mo2trODf9nJLnazh73s -Yz8HOMghDnOEoxzjOCc4ySlOc4aznOM8F7jIJS5zhatc4zo3uMktbnOHu9zj -Pg94yCMe84SnPOM5L3jJK17zhre84z0f+MgnPvOFr3zjOz/4yS9+8x9/+Pvw -ByAggQhMEIISjOCEICShCE0YwhKO8EQgIpGITBSiEo3oxCAmsYhNHOISj/gk -ICGJSEwSkpKM5KQgJalITRrSko70ZCAjmchMFrKSjezkICe5yE0e8pKP/BSg -IIUoTBGKUozilKAkpShNGcpSjvJUoCKVqEwVqlKN6tSgJrWoTR3qUo/6NKAh -jWhME5rSjOa0oCWtaE0b/ga4drSnAx3pRGe60JVudKcHPelFb/rQl370ZwAD -GcRghjCUYQxnBCMZxWjGMJZxjGcCE5nEZKYwlWlMZwYzmcVs5jCXecxnAQtZ -xGKWsJRlLGcFK1nFatawlnWsZwMb2cRmtrCVbWxnx997FlgLsFOY3cVu9rCX -feznAAc5xGGOcJRjHOcEJznFac5wlnOcZ5VgvJIVLGcZS1nCYhaxkAXMZx5z -mcNsZjGTGUxnGlOZwmQmMZEJjGccYxnDaEYxkhEMZxhDGcJgBjGQAfSnH33p -Q2960ZMedKcbXelCZzrRkQ60px1taUNrWtGSFjSnGU1pQmMa0ZAG1KcedalD -bWpRkxpUpxpVqUJlKlGRCpSnHGUpQ2lKUZISFKcYRSlCYQpRkALkJx95yUNu -cpGTHGQnG1nJQmYykZEMpCcdaUlDalKRkhQkJxlJSUJiEpGQBMQnHnGJQ2xi -EZMYRCcaUYlCZCIRkQiEJxxhCUNoQhGSEAQnGEEJQmACEZAA/G3i/mjm/uM3 -v/jJD77zja984TOf+MgH3vOOt7zhNa94yQue84ynPOExj3jIA+5zj7vc4Ta3 -uMkNrnONq1zhMpe4yAXOc46znOE0pzjJCY5zjKMc4TCHOMgB9rOPvexhN7vY -yb/sYDvb2MoWNrOJjWxgPetYyxpWs4qVrGA5y1jKEhaziIUsYD7zmMscZjOL -mcxgOtOYyhQmM4mJTGA84xjLGEYzipGMYDjDGMoQBjOIgQygP/3oSx9604ue -9KA73ehKFzrTiY50oD3taEsbWtOKlrSgOc1oShMa04iGNKA+9ahLHWpTi5rU -oDrVqEoVKlOJilSgPOUoSxlKU4qSlKA4xShKEQpTiIIUID/5yEsecpOLnOQg -O9nIShYyk4mMZCA96UhLGlKTipSkIDnJSEoSEpOIhCQgPvGISxxiE4uYxCA6 -0YhKFCITiYhEIDzhCEsYQhOKkIQgOMEIShACE4iABODvIOdPKPXPb37xkx98 -5xtf+cJnPvGRD7znHW95w2te8ZIXPOcZT3nCYx7xkAfc5x53ucNtbnGTG1zn -Gle5wmUucZELnOccZznDaU5xkhMc5xhHOcJhDnGQA+xnH3vZw252sZN/2cF2 -trGVLWxmExvZwHrWsZY1rGYVK1nBcpaxlCUsZhELWcB85jGXOcxmFjOZwXSm -MZUpTGYSE5nAeMYxljGMZhQjGcFwhjGUIQxmEAMZQH/60Zc+9KYXPelBd7rR -lS50phMd6UB72tGWNrSmFS1pQXOa0ZQmNKYRDWlAfepRlzrUphY1qUF1qlGV -KlSmEhWpQHnKUZYylKYUJSlBcYpRlCIUphAFKUB+8pGXPOQmFznJQXaykZUs -ZCYTGclAetKRljSkJhUpSUFykpGUJCQmEQlJQHziEZc4xCYWMYlBdKIRlShE -JhIRiUB4whGWMIQmFCEJQXCCEZQgBCYQAQnA32Hun5Dqn9/84ic/+M43vvKF -z3ziIx94zzve8obXvOIlL3jOM57yhMc84iEPuM897nKH29ziJje4zjWucoXL -XOIiFzjPOc5yhtOc4iQnOM4xjnKEwxziIAfYzz72sofd7GIn/7KD7WxjK1vY -zCY2soH1rGMta1jNKlayguUsYylLWMwiFrKA+cxjLnOYzSxmMoPpTGMqU5jM -JCYygfGMYyxjGM0oRjKC4QxjKEMYzCAGMoD+9KMvfehNL3rSg+50oytd6Ewn -OtKB9rSjLW1oTSta0oLmNKMpTWhMIxrSgPrUoy51qE0talKD6lSjKlWoTCUq -UoHylKMsZShNKUpSguIUoyhFKEwhClKA/OQjL3nITS5ykoPsZCMrWchMJjKS -gfSkIy1pSE0qUpKC5CQjKUlITCISkoD4xCMucYhNLGISg+hEIypRiEwkIhKB -8IQjLGEITShCEoLgBCMoQQhMIAISgL8fOn/0rP/xm1/85Aff+cZXvvCZT3zk -A+95x1ve8JpXvOQFz3nGU57wmEc85AH3ucdd7nCbW9zkBte5xlWucJlLXOQC -/wM5wmeH - "]]]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, - {RGBColor[1, 0, 0], PointSize[0.004583333333333334], AbsoluteThickness[ - 1.6], LineBox[CompressedData[" -1:eJwV1mOXGAYQBdCNbTsb27Zt27Zt27ZtG0Vsp42dNjab3v1wz/sBc97MBDbr -XK1TsICAgH3BAwKCUgSEICShCE0YwhKO8EQgIpGITBSiEo3oxCAmsYhNHOIS -j/gkICGJSEwSkhJIMpKTgpSkIjVpSEs60pOBjGQiM1nISjayk4Oc5CI3echL -PvJTgIIUojBFKEoxilOCkpSiNGUoSznKU4GKVKIyVahKNapTg5rUojZ1qEs9 -6tOAhjSiMU1oSjOa04KWtKI1bWhLO9rTgY50ojNd6Eo3utODnvSiN33oSz/6 -M4CBDGIwQxjKMIYzgpGMYjRjGMs4xjOBiUxiMlOYyjSmM4OZzGI2c5jLPOaz -gIUsYjFLWMoylrOClaxiNWtYyzrWs4GNbGIzW9jKNrazg53sYjd72Ms+9nOA -gxziMEc4ym/8zh/8yTGOc4KTnOI0ZzjLOc5zgYtc4jJXuMo1rnODm/zF39zi -Nne4yz3u84CHPOIxT3jKM57zD//ygpe84jVveMs73vOBj3ziM1/4yje+84Of -/McvgsofjOCEICShCE0YwhKO8EQgIpGITBSiEo3oxCAmsYhNHOISj/gkICGJ -SEwSkhJIMpKTgpSkIjVpSEs60pOBjGQiM1nISjayk4Oc5CI3echLPvJTgIIU -ojBFKEoxilOCkpSiNGUoSznKU4GKVKIyVahKNapTg5rUojZ1qEs96tOAhjSi -MU1oSjOa04KWtKI1bWhLO9rTgY4ELe/OdKEr3ehOD3rSi970oS/96M8ABjKI -wQxhKMMYzghGMorRjGEs4xjPBCYyiclMYSrTmM4MZjKL2cxhLvOYzwIWsojF -LGEpy1jOClayitWsYS3rWM8GNrKJzWxhK9vYzg52sovd7GEv+9jPAQ5yiMMc -4Si/8Tt/8CfHOM4JTnKK05zhLOc4zwUuconLXOEq17jODW7yF39zi9vc4S73 -uM8DHvKIxzzhKc94zj/8ywte8orXvOEt73jPBz7yic984Svf+M4PfvIfvwg6 -/MEITghCEorQhCEs4QhPBCISichEISrRiE4MYhKL2MQhLvGITwISkojEJCEp -gSQjOSlISSpSk4a0pCM9GchIJjKThaxkIzs5yEkucpOHvOQjPwUoSCEKU4Si -FKM4JShJKUpThrKUozwVqEglKlOFqlSjOjWoSS1qU4e61KM+DWhIIxrThKY0 -ozktaEkrWtOGtrSjPR3oSCc604WudKM7PehJL3rTh770oz8DGMggBjOEoQxj -OCMYyShGM4axjGM8E5jIJCYzhalMYzozmMksZjOHucxjPgtYyCIWs4SlLGM5 -K1jJKlazhrWsYz0b2MgmNrOFrWxjOzvYyS52s4e9QXP2sP4PCdRHdg== - "]], - LineBox[{3649, 2491, 2492, 2493, 2494, 2495, 2496, 2497, 2498, 2499, - 2500, 2501, 2502, 2503, 2504, 2505, 2506, 2507, 2508, 2509, 2510, - 2511, 2512, 2513}]}, - {RGBColor[1, 0, 0], PointSize[0.004583333333333334], AbsoluteThickness[ - 1.6], LineBox[CompressedData[" -1:eJwN02MDHQQAAMDXbNu2bdu2bdu2bXs126ihZtu2je7D/YRL3KRjlQ5/BAKB -a0EDgXOhA4HzXOAil7jMFa5yjevc4Ca3uM0d7nKP+zzgIY94zBOe8oznvOAl -r3jNG97yjvd84COf+MwXvvKN7/zgJ7/4TSBMIPAHQQhKMIITgpCEIjRhCEs4 -whOBiEQiMlGISjSiE4OYxCI2cYhLPOKTgIQkIjFJSEoykpOClKQiNWlISzrS -k4GMZCIzWchKNrKTg5zkIjd5yEs+8lOAghSiMEUoSjGKU4KSlKI0ZShLOcpT -gYpUojJVqEo1qlODmtSiNnWoSz3q04CGNKIxTWhKM5rTgpa0ojVtaEs72tOB -jnSiM13oSje604Oe9KI3fehLP/ozgIEMYjBDGMowhjOCkYxiNGMYyzjGM4GJ -TGIyU5jKNKYzg5nMYjZzmMs85rOAhSxiMUtYyjKWs4KVrGI1a1jLn/zFOtaz -gY1sYjNb2Mo2trODnexiN3vYyz72c4CDHOIwf/MPRzjKMY7zL/9xgpOc4jRn + GraphicsBox[{{}, + InterpretationBox[{ + TagBox[GraphicsComplexBox[CompressedData[" +1:eJzsm/dfju//xtPee0/JzAxlO4Rk75ERiYyMsskM2bITGREaRBQq6r6bkvbe +de9ZSUUhvuk+z88/8X33i0ePct3XOM/X8zh6XE9bT5/FXvJycnLLdeTk/v37 +O7KlaJ7nQsz6s6Z54jA5pux7DxzPP6D51UeO+fOY98e9N7ZAz+OTSudj+nNf +3H039MTOPDnmYcOXriy/vdj9NPurdjv9/YOw2pSwc6ppL+YhUX8H1RdHENy2 +MqNubC/y/0/gvonucJ8VvZgT6hdcvr3zJHzsPy9durcXOd5piPvNDyy50ouZ +OEtTfvDZM7h+0q+5b1Qvcvxz+DUx7tXOtF7McXFZ+5MfXoDVL9XrRdX08y4h +2OmC3bbWXsx46wDJovhAGHjvmTZfXZ58/lWwFvQeE9hbnnkvvXfM7i3XsKVr +wa7xTvLkfK5D3mdKP4858szqzp4PxOkXm9+qe8iT87uJQbVjVKfvlWdaDK/t +q+x/C1/t5bINz8mT8w3CSPvC0NMh8sxVG3uOiBm/qpfdfSlPzj8YZgnZ31en +yDPv3un5Qvt43te8YnlyPXfBi1szoIMnz6zM+7GE8zQEsZmpSjU/5Mn13UPn +tN1XjqkpMM0Ul2sPfXMf2bNPbueYK5DrfYDmGYltWkMUmG6yA4L9gzO21yQF +cv2h6LTPUGfOU2DOHXPe2YMXiuKfvxTmrVUg9+MRHFnSi1E7FZjSRf+O+Agi +sbCm6pgCuT+PYTr7uWpDoALz4nbBr8iWx4gWuP7+8ECB3K8w1JmFnvJ9qcAc +fNa4+xLCkKzpM/dvkgK5f09w+MRv4dZcBeaXRy7vWruewMTuZNHrGgVyP5/i +WPW8bRUSBea/u2Wq+Awe6ZFy9T8VyP19hrnTr+Vkqiky1cvDfCeph6M8eNyP +q6aK5H6HY43znuDJAxSZUf8uVzcCe74J4wscFcn9j4DEeMqSmdMVmbP/Hc44 +EmPcrJc9W6xInkck0keahEo8FJk9t9syCiecTqub+SiS5xOFgMmzPYYfVWT+ +u3sFfZ4j69HlDcMvKpLn9RxMmxW5ZncUmYPWXEltH/gCysaD5zc/UyTP7wX+ +rrkZGBOnyPzcfTTz4dFw6fVk+NpURfI8ozFQuulLR74ic8u1xiNwfIm+Povb +T9Qqkuf7EqMuRoz/IVZkdp9c9yW/QuDbkj5rOhTJ836FusyU4TFKSsyl3Yun ++5Ei8tWgulZ9JfL8Y6BxtP7pgN5KzCfdd688LAYn7Q1/zhmqRNZDDCa+Dpq1 +frwSs62r5KCo/2ssSNT5u9lViayP11ixYJy/x1Il5vSeBfAaa2r0R81Zr0TW +y2t05ertHbhTidn98P5dEP7oLAjt8FMi6+cNdKJe6348q8SUnd4bGMcaW+y5 +qUTW0xvMzNuvb/1IiTmq5ysWVR5qrknRSmR9xULuuZfyokQl5ilmdvcKioW7 +5FFIZaYSWW+xeBbju2BFsRKzuPvpd98TzPObuCW7XomsvzgsyHS2HyVVYtr1 +HDAOteHh5dc6lMh6jIOr3O0YvqIyc0/PA3iLx7sWtjroKZP1+RbZvZ1r9lgp +M9PaB3Y/4bd4ssr42YtBymS9voPx8ILzNY7KTH3ZCSJBvzhZYaoyWb/v0BXU +4ddnvjKz5+yy3sHb9eG3sauUyXp+D5+EBDfXTcrMN/ebwzPnvMe66pq6ebuV +yfp+j9GB897OPabMlO+54PdQuKWlMf2CMlnv8TDmCrRGBykzF8sWDAavPCK2 +fKxM1n88TvFm5/yJVmY+/ne5JfFodkiurUxQJvshAXvSHWe+zFBmfvt3OLcE +3HypM/pIoTLZHwnozH6dNa1WmTn13/Kv7v751etDlETKZL8kwtDfOYDZpszs +Wb5rE2E71ufHPjkVsn8S4d27/Vk/TRUmy+/fA0nEguKad/kmKmQ/fUD4gM2z +9tqpMB16FvgHFLTqnzAYrkL21wd0nAk7HD1ehel/a1r3kvmADbX910ydoUL2 +20fo3/g1pWiRCrOwT88Dxujgspnu7ipk/32Ee1TWNc4WFabtv+nf+BG7bk0b +57VXhezHJBjBZg37uApz17/t4ZsEm+MPjFdfVCH7MwmXPu05nR+kwkz593Rb +k+D8IIOJxypkvyYjRTyS/zxahakr25D4ZlaubZigQvZvMgrN5i89mK7C7Ll9 +ncmQHx6fX5GvQvYzA/VPk+44Vqswe07vCAOfPK5nB/JVyP5moJ9L5yFuiwpT +rueLifhzrgynLhWy35k42rD5dYCqKjMic073jmbixvXaTYUGqmT/M7Fk+6d2 +MxtV5sLu3di9RlFxn71nnb0qmQdMWBy5/P2Royqz4x990pmIsM++zpqiSuYD +E0rDnN2t56oyQ83/bYgUMAXTvN1WqJJ5kQKFrrzcK56qzJk9DzgFURGXQtJ3 +qJL5kYJbnWX87wdVmV/D/51gCvr8SUjtf1qVzJMU7Kptd156RZUZ/O/sslPg +Wi934PhdVTJfUjBmg9reiKeqzH+La5ZmKmI//ZidH6NK5k33986+Wm0fVJn/ +pknRvFT4Py3JMf6kSuZPKmbazrg7pkiV+W+1dC8Z8ETPziyvVSXzKBUXqkIe +7xGqMnsutyAVL1UC/wS2qpL5lIoslxdREX9UmT2H00+DyxPpO6aaGplXaRha +qzWq3FCN2TOOlqSBnRA8TGqjRuZXGtx2sOL/2qsxZfEhDY5cVZaekxqZZ2mw +1/jzto+zGrNnHJSnQaRxfMbIuWpkvqVBzt/80ZQVaszjPQMnHcqPRpTM81Qj +8y4d09a3Na7cocYc0LOB0uFydfvvjQfVyPxLxw3hXi2fU2rM/J54kY40C8aw +g4FqZB6mY15Z8Y4Td9SYB/5th9p0TP5oUHH2iRqZj+kwXRfvF/hKjWnzbzlb +Z8B2aKnnzUQ1Mi8zMHOV2/07GWrMT/+Wn0cGuKkaYx4UqJH5mQG/rFsTH1er +MX16BmQGsgf6JT7lq5F5moFx+eZJES1qTJOeDZ8BYWj8wue/1ch8zcSlaXv9 +o1XUmT2n1zcTY+rnr36lr07mbSbmVNuLY6zUmZt6vjIRHpQz7s1AdTJ/MzHT +onpZ7Ch15r/w1D1h4ZfbMCtusjqZx5lgGM+1fTtLnflvOh4UZSJQ01fwdqk6 +mc+foGEQEP5unTpzbc8BP6HucOT2997qZF5/whhbtnP8PnWmcs+A6v6+y3xk +wgl1Mr8/ISlpwMzEi+rMf0/D8OUnFC5ND/wQpE7m+Sc8D7ilm/RIndmT7po/ +4czOQRXJL9TJfM/CfJ2OZuZ7deaff9vNIQuTczd4pqWqk3mfhautCeMyc9WZ +z/4t591ZmO0w6sTnCnUy/7PgatiGXI46c77sgnHWX+tUYZM64UEWBPZHl5R1 +qjO//3sc7VmYKz/sQ7WiBuHDZ8yP6khj6WgwH/y73DGfcY4bdkBorkF48RlX +urj1zf00mDN6buBnhDp5KHeM0CD8+IwBQyPb5CZqMJt68PAZ5lOmxam7ahCe +fMa01kfzjRZrMIP+jfdfn3Fx4aDc3u4ahC/ZmOE6bNzQLRrMnvE8KRthaj9C +xu/RILzJxtgtH/7MPKbB7Bmvx7KRPfipt9t5DcKfbMzZUSDcclODKRt/2XCU +zjnp91CD8Cgb9hvMplyO0mD2jBf5L1CrHjr40VsNwqcv2PJ719x3TA1mz/ad +9gXX16W+yPmiQXj1BXLVzUu5ZRrMnu0R8AXbCxjzulgahF9fcOCg9KFJowZT +tvy+oG1Iv6WjOjQIz76A/Vp7z0IFTWbpv8ermgOzo9OUfLQ1Cd9y8OH3EoMr +ZprMoz0DMAebazKfxvTVJLzLAWaZZRQP12T261mAOfg0mnGoY7wm4V8OQoPs +cqxnaDJzc3q+MDI6In3GIk3CwxxMnhSxzXeNJnNfz0DNxc6CPZ9DNmsSPuai +/NtSftZuTaZVz4LOxVWfy9k/jmoSXubC5dKKYwPPazIzeupVLvjT+Gqrb2oS +fuZi8wmvfVceajJ39AzoXDxZ8jMrI0qT8LT758PTNP+81WT2jCvDPNy2rJg5 +NkWT8DUPt1pmB+zN0WT2jIPleSg/OyD7Tbkm4W0e3nzdY9PK1mTK6lcedkSO +uOzYpEn42/3/89ws/Do1mZqyDYc789mFTEUtwuM8JFkkx6vpajF7lot5Ppa6 +V5QvtdAifM7H+lDTMY/7azF7HseafJxR8axrcdAivM7HBr8bpdMmaTFl9Swf +s0rO9g2eqUX4nQ9vfePipiVazJ7D1efDy19P5LpOi/C8AAvFs3aGeWuR/V4A +B8ZF7177tQjfC9A2I5S33l+L7P8ClGfNE6Rf0iK8L8CG9ysO2AdrkXlQgLqq +Y/evh2kR/hdg+mH/9V0vtch8KEDVeYsv3olaJA8UYGy2MrsqQ4vMiwL8/vkz +el6hFskHBejqinZKq9Ei86MAA+RKTk0QapG8UABuqM39d61aZJ4UYLDZ1Auj +/2qR/FCAIZ6SxW/Vtcl8KUDo6uw/Y421SZ4oRGrLpVsMW20ybwohmNpgPWuo +NskXhShI931cOlabzJ9CPNwqHuA1XZvkjUIcTe9492OBNplHhfg91HXF5dXa +JH8Uwk54Qrf/Zm0ynwoxfuoiccpubZJHCjH34wapxzFtMq8KscV+o7XCBW2S +T7o/r/zP2Yhb2mR+FSIzomHEokfaJK8U4tvk9727XmiTeVaIz2YO617Ea5P8 +UohLCV2ta9O1yXwrxFdmLt+wQJvkmSJkGi9BXrU2mXdF2KzirHRRoE3yTRG6 +vOZOmdOqTeZfEQKjB3do/9UmeacIfNvbo8vUdcg8LMKSrzO7Qo11SP4pwsiF +XSt9+uiQ+ViEtWG7FzsP0yF5qAh7g7Y2GY/XIfOyCO1Tnjp+ddEh+agIzi6S +4bmLdMj8LMLhrwq8aHcdkpeKMGtV4prrW3XIPC3CntM1D/z26ZD8VARfvwmx +m/x1yHwtRr7v80fLL+uQPFWM61yF3bPv6JB5W4xtiTZDpj7VIfmqGBz32vJJ +r3XI/C3GwQbro5OSdEjeKsYd02w75886ZB4X4xEzq3hmqQ7JX8V4f0v11lKW +DpnPxYjYf9hnY6MOyWPFiD1psu1gpw6Z18Xoc0/imiWvS/JZMco/1+wuU9Ul +87sYLcbrE/nauiSvFeNk9JE+nYa6ZJ4X42pfp1daFrokvxXD80rQxr62umS+ +lyDnUuSMSQN0SZ4rwfHlR1e6DdUl874EbgV9Hu0bpUvyXQkib0fb3hqnS+Z/ +Ca7rj65/B12S90ow53h+bZWLLuFBCY4o3LPtNVeX5L8S3PoQ/3LQYl3ChxIM +ynY9v9RNl+TBEizavPOt/1pdwosS6H5dOub1Rl2SD0vwsb2/Acdbl/CjBPvn +Gcwz2aVL8mIJQm3miuYd0CU8KYH7D2XpmaO6JD+WwLzoyMrUU7qEL6UYMFzs +KHdBl+TJUrjaXAmYclWX8KYUG5a+mnsqSJfky1IMc7h+JeueLuFPKZoqTi7W +DdMlebMU41BwZ1WkLuFRKQrG5G4Nf6VL8mcp/M8UZH5/q0v4VArD5tFvZ37U +JXm0FHNdVo67n6pLeFWKb0dCV7Rl6ZJ8Woq2hF2G8/N1Cb9Kcc1j7N6oUl2S +V0sR3G/jYbUaXcKzUry33jpsG1uX5Ncy1BUxruULdQnfyhAzmxfp1KxL8mwZ +tG1XHw5t1yW8K8ObG+HqWr91Sb4tQ/2NZWuOyOsR/pWhb3nb3iZVPZJ3yzBB +aLZyg44e4WEZOsas1ao20iP5twyLh3kELbPUI3wsw8kJaR1FffRIHi7DJNHw +cUsG6RFelkFZumRN2XA9ko/LcGs0e9MaJz3CzzJ49rrhzpuoR/JyGSZ/tXPZ +NU2P8LQMBrpufeRm65H8XI7Ebz86ry3UI3wtx9fkwoL+K/RIni7HpqkJz5Pd +9Qhvu3+ucO7Kyo16JF+Xo3yZlf8Pbz3C33K4bFkbELxLj+TtchSsdno08aAe +4XE5hi0NquQc0yP5uxy9Lu4bFRigR/hcjhzPwtgJl/RIHi+Hkl/0Oul1PcLr +cixONpgYekeP5PNysI8bzl8Rqkf4XY6205l39cL1SF4vxwEr1wH50XqE5xVg +OSV2XInTIzyvgJXvbMOlH/QIzysQPXTQcYtUPcLzCjRGB07mZ+kRnlcgwCpx +RVy+HuF5Bf4+b8kPKNMjPK/AldCTL1bV6hGeV0DOJ+XbSK4e4XkFSnPUIrQl +eoTn3b+fmVzY2KJHeF6B/qWz9xV06BGeV+B1q03wu796hOcVmPT2PUKV9QnP +K1C26sauy1r6hOcV+CCaMOqYoT7heQXynade2G2hT3heCcfROOLdR5/wvBK2 +d4u1Nw3SJzyvBHtbhKvXCH3C80pYV+wcsmWMPuF5JWaubkjdOVmf8Lz7+/Jk +3UMu+oTnlfB8XmJxdq4+4XklluS0iYKX6BOeVyKq5ueJl6v0Cc8rscrlC//T +en3C80pcnr/ElrdFn/C8Ei/HnndS9tUnPK/E+2Xbhww+oE94XglGHyW1pcf0 +Cc8rwVzvVXQiQJ/wvPvzRkUEvr6kT3hehZiR36bxb+gTnleh5tKuDusQfcLz +Koit175Z/Vif8Lyqmw+dB+5F6hOeV0He+8oCVow+4XkVtk7YB/t4fcLzKmhH +q88/wNAnPK/CiUcJ/lmZ+oTnVfix8HuDVZ4+4XkV7Ddh94FSfcLzKhy0sJ5Y +WqNPeF4FD7f+LmO4+oTnVZiV//3GfYk+4XkVgi3GDVdt1Sc8r4JdwyOrAz/1 +Cc+r0cT76iXuZUB4Xg2XCS3anmoGhOfV6L/kkE2drgHheTV0+217sNbUgPC8 +Gubz4q5zbAwIz6vhN2S+wo4BBoTn1ViuPvnHz2EGhOfVkHO8te+ykwHheTWu +2O0K6DvZgPC8GkW3fw1luhgQnlcjjum932OeAeF5Nb6v6bVJaZkB4Xk13Oq0 +FV+tMSA8r4b95eYV7hsNCM+rMfmF5lbd7QaE59VYeL9mctYeA8LzGhQpcRpO +HzYgPK/B0LaY5TNOGRCe12C7Ei9c86IB4XkN1l0cXFN+3YDwvAbDYyf/Cr9r +QHheg00v+JpHHxsQntdgT0Gn6YooA8LzGjzKXjPA6Y0B4XkNbjDlp5gnGhCe +18DpcKO3QqoB4XkNRl4dGtPy2YDwvAasi2wTXqEB4XkNsiwmRNVWGhCe1+D2 +uH0+1SwDwvMaRC2v86kTGRCe18DmXHEsv8WA8LwWvrEp09o6DQjPaxH6od9A +FXlDwvNatL+8tNVG3ZDwvBZz2m/oTtI3JDyvxfdjon4e5oaE57WY2jbnzbk+ +hoTntbjMu5D8zt6Q8LwWP36dWSIeaUh4XosP9iP97CYYEp7XYiDrygTPaYaE +57WYMOlt6LM5hoTnteBN/vzm6xJDwvNa/PyleHjKGkPC81rklcTKB200JDyv +xfHIUUtathsSntehS6d93+J9hoTndXh/4fDehKOGhOd1MGC6u/U/Y0h4Xofr +b1wG3wk0JDyvQ0bn1+96tw0Jz+vQ/mtExtWHhoTndbgzUPLQKMKQ8LwON0eZ +XQuNMSQ8r8P0RZ8fOyQYEp7XYcUe6/qsFEPC8zocObNw4aZsQ8LzOjTFvJBT +LzYkPK9DwbVbP2OrDQnP6+Drf2rmRq4h4XkdthYptps3GhKe1+GNQbJ6Rbsh +4Xk9Li7xvhnyx5DwvB6bNlTf81IxIjyvR5Llz2FOukaE5/Woepa5TMvMiPC8 +HuFJbpYSWyPC83r4dtZfzrc3IjyvR5zJk7eJo4wIz+vxfbrSwxcTjQjP6/F0 +85FlT12MCM/robU9hPtkvhHheT02XNNye77CiPC8Ht/e3/4Q72FEeF6PtTGm +FrlbjQjP62F86fxJ4W4jwvN6fG3q6lI/YkR43oC29U/ujA4wIvu9AWvCM9d7 +BRoRvjegXCfb8/5tI7L/G6BQODyyJtSI8L4B49xvOdtFGZF50IAHH+PG7oo1 +IvxvQKBgY0jmRyMyH7qP3+fufrtMI5IHGrBv1abys/lGZF40QHK6M7u1wojk +gwZs+PNo7Wa2EZkfDbggzXnIlhiRvNCA5lDle17tRmSeNMCn66fH1z9GJD80 +oH/Vvt8nVY3JfGmAaPuGI1b6xiRPNMDzTUETw8KYzJsGfK6QrPfuZ0zyRUP3 +fFTkWg43JvOnAdWKl0+VjzUmeaMBh53MFtydakzmUQMmnvRY5jXXmOSPBhSf +n/R07HJjMp8aEJX+cp6hhzHJIw2o/F7m3rHVmMyrBqhEdXG5e4xJPmmAY8SV +b5VHjcn8asCxgzZB5WeNSV5pQEWtV33tNWMyzxrAXTG1ThpiTPJLA7Kffnis ++MyYzLfu85sjN6lfjDHJMyzcNdmcND/RmMw7Ftxe+Yz2Tzcm+YaFGyZpbz7m +GZP5x8LfaaNn9ao0JnmHhb7hTNUFHGMyD1lQzLgq/6zRmOQfFuyzOlyVO4zJ +fGRhnNkhsY+8CclDLCh1Jv9ia5qQecnCZKunl9eZmJB8xAJzweg3PFsTMj9Z +CNJ9cnjfEBOSl1j4MH71b+0xJmSeshDx/OuMN84mJD+xkNo4eOe6uSZkvrIQ +zVMKMF5hQvIUC+EnIx+Urzch85aFhl178x5vNyH5ioXOkh8DDh4wIfOXhWe/ +OpJXnDQheYuFe713hztfNiHzuPt8Bt1odww2IfmLhchtqUmjw0zIfGahxve+ +4eSXJiSPsdA/vVN7cYIJmdcscJJ5Kb7pJiSfsZC7KnLq3XwTMr+7n5fKp2d5 +VSYkr7Gw5fRvBW2+CZnnLNgmTfN1azEh+Y2F8ufFvV7+NiHznQ3nybb52qqm +JM+xsR4NTX4GpmTes+Hnonjwm7UpyXdsrH2S4r/P3pTMfzawMdBO0cmU5D02 +VCbZ7XvgbEp4wMbWoCOB0+eZkvzHRsyl6rPf3UwJH9g48jPzUNxGU5IH2dh7 +VvPoMV9Twgs2en9MiVp6xJTkQzY6zF0sx5wzJfxgI3cyJP1umpK8yEbsnzAH +21BTwhM2Dt+OVRv0wpTkRza+Vv26PCnelPCl+/uNKyvXppuSPMlGQFmH8uUC +U8IbNvqZuI3MqjEl+ZKNcfdcD+iKTAl/2DB7L2nxajcleZONB6Kk5KxeZoRH +bOxxDvw5VtuM5E825iWeTn1nbkb4xMb08D6jpw4wI3mUjZV203dUjzIjvGLj +4HnbSyenmJF8yoZe28JXY+aZEX51X1/6p/afK81IXmVj2ciaYzmbzAjP2DDk +zPB+sceM5FcOOs73rbh7wozwjYObV38Ib182I3mWgyFZkUlhd80I7zjQ6xt4 +IDncjORbDuYf9RwrjDMj/OMgfd2iPrapZiTvcqDFal2xNd+M8JCDVf5LfjNr +zEj+5eCcsXTkQLEZ4SMHH1OibR7+MCN5mIPPzX/4/ZTMCS85uLcxOfqjvjnJ +xxyoLna5s6G3OeEnByP9tuabDzMneZmDdvfj+9kTzAlPObh4YM3bxFnmJD9z +kOG/MjZshTnhKwdTcpYG3/cyJ3mag6J7cZci9pgT3nIQ/mBrQrq/OcnXHKxc +1+L67Yo54S8Hm6rcPB0emJO8zcFRA4w6+cKc8JgDIaOcx000J/mbg8d/G5+u +/GxO+MyBd3/Bw4Zyc5LHOVh9K0POj29OeM3B7qeDv/drNyf5nIPjYWtfchUs +CL85UKub5fZO34LkdQ5uZPjbhdhaEJ5zUW/jOuXGCAvCcy6i5l+ofwALwnMu +9kc1jUyeb0F4zsWfltztLe4WhOdc1OZNYI7dYUF4zoWZr9r6m0csCM+5GDbh +8ynFSxaE51xMuVnkfj7EgvCcC/tkl352zy0Iz7mwLdbRK0q0IDzn4mFZk1tQ +tgXhORdbWPscfassCM+58Nqi17BObEF4zsWghxo3vH5aEJ5zofLj6nl/dUvC +cy7OfIj7G2tuSXje/fu5+0Z22VsSnndf7+G0de4TLAnPucDxk5klcywJz7no +a3z92YY1loTnXGyYUjhFfYcl4TkXen36lmcdtSQ856Lw3KEXDwMtCc+5cLld +oHjloSXhORcetyf3ux1jSXjORXNb25L4FEvCcy7urHet+FZkSXjOxUe7Nz9n +cC0Jz7m4cq1B8W27JeF59/UmxjpPUrEiPOfi+8RZXfWmVoTnXBgtlQ+4b29F +eM7DGe2LA/ZPtCI85+E6kzXae74V4TkP+yLX/jnkYUV4zsPvgg9lT3dbEZ7z +8MfaSr/ptBXhOQ8PX5oqL7ltRXjOw6l1DLXSSCvCcx5Mw5/u2/vRivCchwlP +pGEO+VaE5zw8PjDnqybbivCcBx+OabxyuxXhOQ83Ri9bZaNqTXjOw/2DcWNW +WFgTnvPwRT7yzcth1oTnPMyYtnN4/6nWhOc8nG81MExeZk14zoNjyPms/Vut +Cc95OOcb9W7hUWvC8+7ruz1r9txr1oTnPMRlTm7Z+tSa8JyHpXP2WEQlWBOe +87Dbv3m8Vp414TkPl2OFaTfY1oTnPDT65A+Z/MOa8JyHr0vXNmlq2hCe83Bw +ZeQpOVsbwnMevIKGeps52RCed9/f+y+2XNtsQ/jNQ0RqXk5Vlg3hNR8TD707 +O8+0N+EzH1qMZ4K2Bb0Jj/k41n7ghMml3oS/fORIou8+zu1NeMvHFuPtDhVG +toSvfIzQ0+o4ssmW8JSP4iUvw+xTbQk/+Wi3njW3eUgfwks++pXMlH8a3Yfw +kY9PyzdOX7jIjvCQj6sVh8xqHPsS/vHhfVZ/tCmzH/O/93H/ex/3v/dx/3sf +97/3cf97H/e/93H/ex/3v/dx/3sf97/3cf97H/e/93H/f7yPK/t7ZhOeNU49 +e09zOLn+JiTNrtecNXYoWf9NWHsi7kNS0mAy/5rwTn5l9OUj9oRfTfgbcJz1 +w3MQuV/NSPh+Smi/aSDZL81IrIubrHZ8AJmXzXCNiTe4F9Gf/D2zGfZBN/dN +qe9H7m8zlg8c5jCsdz+yv5pRofl34dCtfcl8bUZulK6ZV7wd4WUzrPTqB43T +tCPPoxkBjw1/D93Qh+zHZghSLublf7Al87gZWUHzXW8b2pK/ZzbjyhqeY8a2 +3uT5NYM3zevi4mM2ZP82YwSzepuPiTWZ383YoWAY63zHkvC5GaNZIxhxLfTv +mc2o/PXO4es3U7Lfm+HnFxyo993wf337fc4jZtOYJtC+vfOMS4B7/ybQvr3b +eM9WVVP6c18ELH90fq1GE2jfrqyIS0r/2wjat0duGXRS0tYI2rddV8SHhYkb +Qfv2i2K9zXkNjaB9W/6L+4Hl5Y2gfTu5beGCIXmNoH3bofeWcdMz6PHPYbH2 +RutbHxtB+/aKV+/STeLo513CJP6bRZnPG0H7NmtH57eQMPr5V+GUffnRzZBG +0L59P6Gq/cUNej7X8fXYeBX2xUbQvj301NklDqfp+d3EMc7mF8FHGkH7dsG8 +9IHG++j5BqHfgGVmETsaQft2q+XJv3M30fMPxtRBKmcU1jWC9m1+yw+/7BX0 +eu7ikmJpdujCRtC+/Xf7oSMBs+j13UM/dlz0gamNoH3bqdb36v4J9HofwGTn +jC3+oxtB+/auqb7nbg+l1x+K/Huqi+P7N4L27SfOZ0ZybOj9eISH4h2PTcwa +Qfv2i5zhfZfp0/vzGKFz7aeFaDSC9u1tE3KuixTp/QrD9ebCaPyRgvZtA/c7 +jvd/SEH7tnXL+cJeLVLQvp135PKwHWIpaN/2FNz+U8eRgvZt78QJHctrpaB9 ++zF3VllJmRS0b4vnHV7hViAF7dsO6naTWZ+loH17VV/hAp80KWjfnmN9Zpl8 +khS0b/9Yf8P87jspaN8e2Dl3h1OMFLRvV/zYZF0eKQXt2ykmLLkjYVLQvs0z +qBf1vS8F7dtPPE7HFAZJQfv2b2HR0JNXpaB9O+HUpYlOF6SgfXtbjXFC4ykp +aN8OvbHeP+KoFLRvMzzy9m46IAXt26FKFnsH7JKC9u3VornrJd5S0L5tM/qB +zZuNUtC+3TVr+43Da6WgfVvrzIDHrm5S0L69w3z6SOPFUtC+vez34MGCOVLQ +vv0jw2FfoosUtG93HTrdeQVS0L7tYdz38eZxUtC+PYhfttJ5lBS0b6ufstG0 +GioF7dsTD416+rO/FLRvT/T/plfVWwrat82n1+GDuRS0bxuH6ls9MJSC9m3j +jZODT2pLQfv2zkP3Qreo0vXW3cf3NvZbKC8F7dtB/rtMx/2WgPbttNfsLXbf +JaB9e+xVH1WdrxLQvt2l8U74SyQB7dtLniX/EnEkoH37BvfHqMpaCWjfDpYb +efpzuQS0b78ovlufWCgB7dsTOxLGRX+RgPbtCusdZ0IzJKB92/UGN+EmQwLa +t1ObHmadT5CA9u3Tbd7hx2MloH27f9CqOfujJaB9e4tVduSOcAlo3x54yC3e +65EEtG+ffM7bvjZEAtq3bVdcTVpxSwLat+W9Y0MXXZGA9u2vHI7m3PMS0L49 +/n1Tx4xTEtC+3Tu1bPXUoxLQvv2wvmjI5AMS0L69SnvuhvG7JKB9e+OL6u9O +2ySgfbsyc1b9KC8JaN8eceSiocM6CWjfnuebEjhspQS0b+9Y5DRjyBIJaN/+ +vd19lP08CWjfDltSOH+gqwS0b4ddXHytv7MEtG83Lcxs7TtBAtq3+3s6+tg5 +SkD7tlJV9m/b4RLQvn3EsPhW70ES0L7d+SBxlI2dBLRvLx+lVWBlJQHt29KP +ezdamkhA+7bz5nixuZ4EtG//ePthjZmGBLRvH3p6NM5ESQLat1VKTKRGf8Wg +fTvzTVKXQacYtG97JX/g6LWKQfu2KWN/sE6jGLRvH9XZZqwlEIP27ZHiwW7q +LDFo305O8nRTqRaD9u27Z/L0FEvFoH1bYfi043L5YtC+vbEtIuR3lhi0bxsX +/VrfkSoG7dtjWmbntn4Ug/ZtX6v4iqZ3YtC+7Tn5wHFRjBi0b4eU5n7kRIlB ++3bpCf1LtU/EoH3b88QLYdkDMWjfDnA2yMsPFoP27SfS3DFZ18Wgfbvvj922 +zEti0L7NjA8/8/6MGLRvz1PsveHlCTFo345Lu//miZ8YtG/r3P7qe3evGLRv +Z+5vv3Nlpxi0b19xCxp0eosYtG87lX+2OOgpBu3b2rXXt29bIwbt2+0L5UzX +LheD9u3KjCHmCxeKQft20JDJPs6zxaB9u885L4OR08WgfftnWJ18n8li0L79 +KKd5nN5YMWjfHntBFPfXQQzatw3d+/s2DhaD9m2HI+pbqvqJQfv2pVsKdzJt +xKB9e+zVc39fm4lB+7bjQrPgewZi0L4tOjx53RktMWjfHjXt/CIfFTFo39YZ +eGPbil5i0L79KqsrcvIvEWjf1nu+W61fuwi0bzerhZ1RbxaB9m3tMFfrZqEI +tG9XnOmdW8QWgfbtMaK2K29rRKB9+1Xr9Q23y0Sgfft2cP70gwUi0L59dvOZ +EW7ZItC+XeB5x25Mugi0b+uVfTU3ShaB9u0vQw8bfHsvAu3bf/OHqOe9FoH2 +7fj2v78inotA+/algDbeyaci0L6t3KyTsfqhCLRvi5Xdg0bdEYH2bXeuZLn6 +DRFo3y5NLlRouCQC7dv1OQPvxp0RgfbtSDtdk3MnRKB92+X28wOr/ESgfTs2 +xC5h8F4RaN++2f9pxa8dItC+feva+uLszSLQvn3yaOCz4PUi0L79/a3XAq/V +ItC+nWDU78uIZSLQvh2zTdvk13wRaN/e6LF5RPpMEWjftllzyPDSVBFo3w7a +cY25eKIItG9bOMiNNHUSgfbt5fFmm2qGi0D79undjqseDhKB9u3BRi811tuJ +QPv2sgDWAVsrEWjfdkwZca/BWATat+dk/933QFcE2rdbr7yVX60uAu3b66s+ +wFhRBNq3NZ2O2xd0CUH79sH6ncxzP4Sgfdvn1e8/aBGC9u2rKvc57WIhaN+e +ycjcFsUVgvZtW73Wm+51QtC+7X3u/hqdCiFo3x7fPI3JKBSC9u2MiZuSdn4R +gvZt5dNnFllmCEH7dgJ7oF9WshC0b9/3LR69J14I2re1hmsft3wjBO3bVR2e +y9OfC0H79q7d7vHeT4WgfXvGzwuPdR4KQfv2yTt++rHBQtC+3dH2UWnZdSFo +3140mXuo/aIQtG+f6nd6+80AIaj/ejTbodrhuBDUf9V6dSot96AQ1H/ViNSz +27JbCOq/vijZ+0NuuxDUf7U86zo52EsI6r9Ode7XPnSdENR/ZT6OMkt1E4L6 +r1bu+yKXLhaC+q+DBvR9zJsjBPVfL8cs77XPRQjqv567FZ0uDyGo/1q/OFsU +OFYI6r+2jluw02ykENR/VfKqX/B4sBDUf418bn1pUD8hqP+67Pbr3q+shaD+ +6wC3SRqjTIWg/mt2wuZZb/WEoP7rEdPyCkcNIaj/OmP5yPdxikJQ/3VOQW+2 +wx8BqP86ZensFdE/BKD+q6rxCsMBLQJQ/3Xr02bjh2IBqP8q4XxcY8QVgPqv +BT83c87XCkD918GL7kb8LhOA+q/GJ7sidxQIQP1XlSUTeDWfBaD+64SorhWz +0wSg/uuMgKa/bz8KQP3XV83vq2zeCUD91/hOBf7ZVwJQ/3X4qyM2TRECUP/V +Qzvn9OLHAlD/tc/fEIO3IQJQ/7VpVtBno1sCUP/V8srGJ3sDBaD+66DJMY8K +zwpA/Veby30YQ/wFoP6rou/GnwF+AlD/dctV60W1ewSg/qucSydz5A4BqP/q +dv6e65lNAlD/Naj9Fbt8nQDUf533rurKgJUCUP/V63Ta3H2LBaD+q959XZOU +OQJQ/7UkYbNU3UUA6r8+UN2ZvXiyANR/ldplvQweIwD1X+U1zG/XjBCA+q9D +zVX8re0FoP6rvsJI77V2AlD/1TBj4sJ7lgJQ/zXxadaICiMBqP86ZNB+NX0d +Aaj/+k6dVz5LVQDqv652jLtzvJcA1H/d9dF/buxPPqj/OqNE3Mht5YP6r+XZ +Fw8bNvJB/dfzcnKtznw+qP/a0sBatKOeD+q/PqnOvhVUwQf1X7Xdl3xMKuSD ++q/LP+mnsbP5oP7rrG0Pw5XS+aD+60z/wM39k/j4n//aZ6+cyzs+qP9aNIXj +s/4VH9R/VXm8I/ZwBB/Uf31bFpZ14xEf1H/dNtooOvIuH9R/DcpbsDbpBh/U +fw31aajMu8QH9V8try+2qgvgg/qvTStH9Jcc44P6rycuy39rP8AH9V87Axce +++PLB/Vf9ywLzVL05oP6r9i0P091Ax/Uf7XLW3ZZfQ0f1H8NN2fKqy/jg/qv +y5Y4DlGZzwf1XzVbR6nIu/JB/dcFrLHXfoIP6r/e3Mb49HUsH9R/DZ7sHMF1 +4IP6r+/WOY0os+eD+q/ob+SeYccH9V8LRy8e8saSD+q/xu32CLlnxAf1Xx2U +Xoef1uaD+q8tM2Pne6vwQf3X1V9KLs+T44P6r+Jp/p7DOnmg/uv1y32yNb/x +QP1Xo9DeqUIxD9R/3Xie5ZrK4YH6r52bPrvdqeGB+q/D143/tqOUB+q/ht69 +qTcljwfqvx6c5BSn84kH6r/mexwur2HwQP3XLo3kg+HxPFD/VTp0+S2f1zxQ +/3VT0B17xygeqP+6tbnaseMxD9R/3fFuZ1x8CA/Uf41xfBS2/yYP1H89dJQp +N/IyD9R/XeNu8lkcwAP1Xw+6N3WGHuOB+q+v31+8uvQAD9R/lSwcdUHJlwfq +v459058bu4UH6r9qyj8NWbeeB+q/buwSR6uu4oH6r+KvI01fLeaB+q8Wb+LK +F8/hgfqvHsFPRa3TeKD+q93+sXOuT+SB+q/sa/c7hzryQP3XsQ/lv2UO5YH6 +r7z31x3c+/NA/dfspfvffrXmgfqvghnFfv4mPFD/1TUm55iOLg/Uf236fTL5 +rioP1H9NrTYYY9eLB+q/bhhzlxfRyQX1XxWGD/s0+BsX1H910uFVPBdzQf3X +D7MLTQZxuKD+69g98v5h1Vz8z391CNCzKOGC+q+HpmzIvJLDBfVfV68Pu9cr +gwvqv8a6zbrmm8QF9V8zuMse1bzlgvqvF95lfnZ5yQX1Xx28g1RePOOC+q93 +jn9cofOQC+q/pi4e+t7nNhfUfx1uWNUn9woX1H91TYm5PeAcF9R/fWh5T//4 +CS6o/zrr2amrxQe5oP6r+s052v12cUH918ufS87t2coF9V8vFfz+nbyeC+q/ +Cgrub1ZZxQX1X2PPBWbMXcwF9V+nTX9scGU2F9R/NS+LmJ83lQvqv1YF7tyj +PoEL6r/2dvx4bNooLqj/urpr+Y5Dg7mg/mugv9zEF3ZcUP9VPWG/oNqCC+q/ +Wn7ds13VkAvqv4YzXn5y0OSC+q/xilXtKxS5oP6rasWTVr/fHFD/dWdAYtLd +Ng6o/zrnVOrC91IOqP9atdUjsoDLAfVfT4pHpfNrOKD+q/KnivudJRxQ/zUx +iz9SLZcD6r/eet9y3CiDA+q/uiw672+dxAH1X+2mjBvd9y0H1H+10z9/s380 +B9R/fT3894N+Tzmg/uu+Ir2Ftvc5oP6r3u/pT8xucUD915ztmsHalzmg/qvt +3aoBcgEcUP917lOrhU1HOKD+a8IiaFXu5YD6r96/nqxmbueA+q9TLr8a82Qj +B9R/nXDgw/3Tazig/mvYk94X1i/lgPqvNrtHdo6fywH1X+PCINCdzgH1Xz+s +ur6QM4ED6r/Gavg6vBnFAfVfdfeanTk6mAPqvwqvZM92seOA+q83ryQeVrPg +gPqvjrkWRtn6HFD/dVCqhcFZdQ6o/7r5N3vXFHkOqP+abXBr6PdONqj/Ov7h +wqkRLWxQ/zV/0PAXy0VsUP+1cIDL5l4sNqj/+kjyyCeigg3qvwbdXZAyp4AN +6r++tl3iJvnEBvVfZ9m/GHGWwQb1X29qL5th854N6r+a5029FfuSDeq/Gsl7 +mU1/xgb1X18UPs0ruM8G9V+t+aKYlbfYoP5r3lrdj3WX2KD+6/iMb5J1p9mg +/muunNfE2sNsUP/10WzHyOV72KD+a2/bIcNyvNmg/uuZCZqfJnmyQf3XxUev ++zxfyQb1XwNF++yMFrFB/de/tzbX+c1kg/qv4x/o36sBG9R/DTG2dBs/hg3q +v5r87aN9cxgb1H/tdGQmiPqxQf3Xw95nlk+wYoP6r8468g3nDNmg/uubuQmL +ijTYoP6rU+vgcBMFNqj/Om9HcbXbTxao/xr4uo/kVgsL1H89MPpMQZ6QBeq/ +Dq3acFahgQXqv6oLzTVGl7NA/Vfd7worPPJYoP7ruAbfTecyWKD+a+j7F6Nf +fGSB+q+XYn99/BLLAvVf5/cJ+cmPYoH6r3KrUoRdj1ig/mvvyPsnde+wQP1X +OVe3NOurLFD/VW+6/rOBZ1mg/qumH6/fsGMsUP9Vcpg7afg+Fqj/mhZgLhq8 +nQXqv/psv9in7wYWqP9qdHkUz2QVC9R/9f+oMkRlEQvUf13h1N7S4soC9V+N +LrEcKiazQP3XHzei+AmOLFD/9cGK/hq3h7BA/ddb8nZ3fexYoP5rdMGmwGnm +LFD/1eb3K7a+HgvUf734PO5arQoL1H9Nq+8TFPanAdR/9V4fKt7Y3gDqv659 +mX3eVtoA6r9+5zvtrGQ3gPqvuh92Xb9U2QDqv4qW//06vqAB1H9NWbD6NDez +AdR/dbvaMeN8UgOo/2rn4jxqUFwDqP+6IStoWnpUA6j/2np50e5VjxpA/dcW +7ZQEye0GUP+199vBhgcDG0D9196ZeYf/nm4A9V+3za8T+x9uAPVfdTQDVv/d +1QDqv05Zyf10YEsDqP8aamxoL1nbAOq/Lr7V58jKZQ2g/mvJCcP41DkNoP7r +3Sxuef+pDaD+q9f506UBYxtA/dfN36ui6oc1gPqvNlMzFo3u1wDqv/46Y5x+ +yqIB1H99/er2r1y9BlD/1WOdyjf97uNQ/zXnzaipT3/Xg/qvTYUtiuaielD/ +tebqWZvTpfWg/usbXesATko9qP96QJo1cGz3dVP/1Vr5TJf/3XpQ/1W6eNJ3 +5pl6UP91UThTqX13Paj/OvDkF2vrdfWg/uvGcvnRE7ufE/VfbavaxiwYUw/q +v5pnmlqtsKsH9V8DIvJKl+jUg/qvMweuW+ryqw7Uf70579eFwYI6UP+1dObH +bcrFdaD+q/K4MGFpch2o/zqvJbj1TlQdqP/akbTj2OKgOlD/NXtgpd9f/zpQ +//Xax0NVoTvqQP1Xo6ySQKeVdaD+q2vv5eeZ0+tA/Vcma8GHySPqQP3XEw4/ +jV5b1IH6r+2/d18wUakD9V+RkKS2+1stqP/62jbtNLO2FtR/vda+UCr/uRbU +f9V4a+YwLq4W1H91d4+btuFhLaj/6tD3nqH/hVr8z38dOeLm9X21oP5ryJrY +N7e75yD1X73q5nhcnVML6r/K6SpcPuJUC+q/PrHOGLjGthbUf72U6mE3XLMW +1H/1aDuzvf17Daj/Gv0ou/UlqwbUf/XzCI1Y3c0B6r8Kbdf6/XpXA+q/ijnj +3QIf1YD6rx3r5zsYXqoB9V+r06+2XdpfA+q/7lpUdbXDowbUf/Ux5rQtn1MD +6r/a35mqE+FYA+q/zvL3yZPY1ID6r/unMXr3Ua8B9V9/Z3u1zW6rBvVf5/TZ +N2ZTXTWo/7rFvLZ8T1Y1qP86vcU7bc+balD/Vfg9S+B1rxrUf/V3ODZy9plq +UP91ulzT1d6+1aD+q2XSiq/CldWg/usw97JJYd05hfqvZroemxYMrQb1XxXq +MpY3GleD+q/rp8f+OiJXDeq/7i6Mdv4jqgL1Xw8nGxrtKq4C9V9Dz/X2LP1Y +Beq/+p1jGw5+VgXqv04+N91sd3euo/7rVTfV1VEHq0D9V/GGTxnF66tA/deg +Kd+mN82uAvVfHZ0L0jpHVYH6rwUKx4d+786l1H/d/LdlO0epCtR/7aX7bXtK +UyWo/zqgl5Xp1fJKUP+11pjntoBZCeq/tt28avY3ohLUf82YPm76w2uVoP7r +3M4vqcP9KkH914t6qsdjPCtB/dcZncc8bedUgvqvf8JvLD85qhLUfx1i7Te9 +2KIS1H9NaHAyMlSsBPVfH+5+8Hq6tALUf43NWSrv1d1bqP8aXJzTvPdjBaj/ +yvji6rn3SQWo/3riS4nzhksVoP7r2MEL9zvvrQD1Xze3egq011SA+q+ZM08e ++TKtAtR/nVfWZHlgcAWo/2ruGPdKz6AC1H8duzjCIuRnOaj/OqnI29WAXQ7q +v0bs3mjm97kc1H/V2Jp5ID+mHNR/rWecn2kQXA7qv+q93rnL5Xg5qP864M+v +cq9N5aD+a68Zx9bvnVcO6r/au6m0+o4uB/VfnwTs2LXaohzUfx3ZPDtllHw5 +qP+qXvg7s1NYBuq/Sr483hSdXwbqv54YbH16/rsyUP/V2F5PofZeGaj/et6h +LtPtVBmo/+puFv4mZWsZqP+q6Dk30mRhGaj/6rBj++VVTmWg/uv4WE/nC5Zl +oP7r7vYZ4c/ky/A//3WHNPSVsBTUf3XubNB5mlcK6r8umL699ExcKaj/OrQx +sGjp3VJQ/1U+opdQ+0QpqP96x3309zivUlD/VdN1Xu2MOaWg/muz0sCj6SNK +Qf3Xpvilb4cal4L6r+WaJl4nf5WA+q/iWV7bUhtKQP3XPe6CZ40ZJaD+67X6 +9naF5yWg/qu50R0npasloP7r+cazM1r2loD6r9zf/RWyVpaA+q9nd52ddX5y +Caj/mqK06ftouxJQ/3VI4yB+tkoJqP8amcRpmy0tBvVfO5bM/RNXUAzqv2bE +ptWqvi0G9V9tOAt2zrhTDOq/Tk0JubDjaDGo/xpc0a55bH0xqP9aPXhB/gGX +YlD/dcafcc9XDSoG9V/fBAkP9dcqBvVfb3QNNqz+WgTqv0a+3b34YEkRqP/q +4fNJ88/7IlD/Ne5dvvn2kCJQ//V0SPaS1GNFoP7rhKVXAuU8i0D91ydVFx/1 +dykC9V+5J9M8Rw8sAvVfN17KDR+kUQTqv959Ueyi3FQI6r+ev3FVL7ugENR/ +5W2dX783thDUf42ayTupHFQI6r9qRiXkHztYCOq/PilODK5dVQjqv0aMWx/T +Z1IhqP9qVP1COM+mENR/DQuyM1jXqxDUf117MF9uOacA1H99/3fiyZEZBaD+ +a5P7oc1tzwpA/dfoF7onQs4VgPqvdyw1QwZ4F4D6r58tvp4JnlMA6r9y9yTq +NQ0pAPVfE9Y1qA7ULgD1X7eNuLP7Ul0+qP/Kj0msuxqaD+q/+r9Ob1zjmQ/q +v+adcHT6apcP6r/2stw0bzYvD9R/dZMGfPJ+lgfqv2b6Bo1ZtDkP1H89W7xp +eNeAPFD/1W7bFewU5oL6r979d/wIi8glPOdBgWPJu78lF9SHVXy5/9bqgbmE +5zz0b1K9WSPIAfVjtQ8YbOsdnkN4zseIgJNh/TflgPqyqY9E6U19cwjP+Zi0 +89iyg5wvoP5s5XKDqtRHXwjP+Tg0WL8sd90XUJ92/4P9X4KtvhCe8xEXkTXe +rjob1K9dVmQctj04m/Ccj8B1X/33LssG9W0LPNYtGa+fTXjOx+en7GtJeZ9B +/dtt1ze/67rwmfCcj+2l08b+nPEZ1Mfd/HfY5TfynwnP+VD7OWFQ/+QsUD93 +59qiY0sPZRGe89ERGf5n4ugsUF+3fGG8SX3TJ8JzPvZETxkzPvITqL/L+vI2 +a96GT4TnfJzVTXY0s/oE6vP2SRjEDynLJDznY9AYCUqvZBKed99fT53w9JmZ +hOd8TAh4e3Rrr0zCcz56j1fpm5aQQXjOh6jfiI6CXRmE53w4+i9bfn1QBuE5 +HycPXA5WZqUTnvOx2q3MeGhwOuG5ABl3tAYoLUgnPBegPopvGaiUTngugO8t +1qxPH9IIzwXADueuV7vSCM8F4FyUP+06II3wXICS2wUDL9ekEp4LsGrZ71En +rqUSngugMWWXxGZGKuG5ABslUde3/UwhPBfAfFCUt+fLFMJzAe63il4reKYQ +ngswz2Lpk/lGKYTnAmTGOR6emsUkPBcgZsaoHXV+TMJzAdzfTnxpO5RJeC6A +dmmveuNkBuG5AF92piY72jIIzwW4XJe1+MGpZMJzAR7uWiKdz0siPBdAOOBd +mcuMJMJzARb3wwr/Zx8JzwU4ddo8+q/SR8JzAT6ccdRjbPxAeC7AHkvbd0mp +iYTnArimtn7qtEkkPBfAP9p++64jCYTnApyZdiGlT0U84bkAI8u76jVGxROe +C9A7fH+F/eX3hOcCBJhkxx3lvyM8F2B5nysH5PGO8FwInY6fFu+D3hKeC7H7 +y8dbdxrjCM+FGJlaURA+LY7wXIgLiidf1wfHEp4LsYbXaoDGN4TnQiy0nJ2T +NuUN4bkQszTcnm278ZrwXIhBqse9RvNiCM+FSA47lW7hFEN4LgQrJ008WfMV +4bkQat+nTPWLiiY8F0L/uEs+d8YLwnMhAra0Jh9iRRGeCzH7xObBo/0iCc+F +GHt6uYWOXgThuRDjc8L9lZ49IzwXwmur1iT9cU8Jz4WIfHbHZER2GOG5EI7l +izJXrnxMeC6E0D1ZeJ4fSnguRGtMs+5+zgPCcyHOxng65LeHEJ4LkW53XGKg +eIfwXIjm8nGfx2oHEZ4LsT6ypO9IwxuE50KsOF85oM3oKuG5EMuXWt3k9b9A +eC6Ez5hPodPMThOeC/Hk2JrhxUpHCM+FSKt5ntoR6EN43v38brJ2HU6cQ3gu +RKXOZ1Z+6XqGjOciHPkRwlu7bh9DxnMRrtpfYfZrPcGQ8VyEv2rxHt9wliHj +uQiqolVzHIIuM2Q8F6HvNnu/vz+vMWQ8F2HPF60BH4ffYsh43v19xoJN7luD +GTKei5Cubzv6W3gIQ8ZzEcYV+xaclzxgyHguQv7ryQ1m5o8YMp6LUOwyVviX ++Zgh43n3969PqR/3fsKQ8VyESYPD9j80fsaQ8VyEL8WWJ7dmhDNkPBchae/6 +SN7+SIaM5yL4iBOuWg5+zpDxXIQQvwlKJuwXDBnPRWhelNZVG/KSIeO5CB2x +v+dNGhLDkPFchPkB0ca/NF4zZDwXoSuqv/HQxtcMGc9FMGsO6V2R/4Yh47kI +v8TFtr3iYhkynotQ8ifJKvpOHEPG8+77X6rpwDrxliHjuQi8+6XXHm99x5Dx +XIR1/UZf/b7kPUPGcxH8/7YGsRHPkPFchJPiyUO2D01gyHguQnjowm/BlokM +Gc9F2DtrRMROrQ8MGc/FOMnwsW/9+4EhG/hi1LK+7hnS9pEh47kYO+xfP+gt +TmLIeC6GZkYIt5SVzJDxXIxYL8/QxdUMhoznYqy4Mix8/jYmQ8ZzMZYmxc+o +EzEZMp6LEXZV7urqrSkMGc/FUB/o+/2zKIUh47kYW8fbyw3dlsqQ8VwM5r3L +M841pjJkPBdDf3DS/nrfNIaM52J0YMhip/Y0hoznYrwQDn911S+dIeO5GL5K +tw59k8tgyHguxoxzE2LXnctgyHguhqvy+701upkMGc/FmFzU1rj9biZDxnMx +IisFm4z6fWLIeC7G7+Pjp1TFfGLIeC5G6DvlNsakLIaM593//8ZN4y9fshgy +nouRHWGzQm71Z4aM52IM2RZ2YqPkM0PGczHuCV/6/T6SzZDxXIxTA6bMztX5 +wpDxXIxlh8P1a8K+MGQ8F6Ot/x7jYeNyGLLlLMaU8A3xWfk5DBnPxfiquPXI +6825DBnPxTh0LVK1SS6PIeO5BG8OXO9/OiSPIeO5BCqB39IOjclnyHguwdNa +m7aSknyGjOcSVAy+2ZE7roAh47kELIuzgeLzBQwZzyV4N/ecf1pVAUPGcwlu +bzoxZM2QQoaM5xK4n1gsF3+skCHjuQQ13jdPVxUWMmQ8l2Cly3y3T/2KGDKe +S9AckzLypF8RQ8ZzCW52jeVqFxQxZDyXoPpV3fJd/YsZMp5LoJ5+/0r00WKG +jOcS9DbVePWptJgh47kEq7e7fUsbVsKQ8VyCTJ9Fcc/OlTBkPJcgaemGnXs5 +JQwZzyUY7PsqwQGlDBnPJQjcMWghJ6SUIeO5BLsiU7QCO0sZMp5L4DT3XouT +WxlDxnMJvnxaZsN5X8aQ8VwCzY3LGm6bljNkPJcg4Mqwp6v8yhkynktwJ05P +xaG2nCHjeff9Wjvvc2/nCoaM5xI4n26oGv6sgiHjuQQzGqzdNmpWMmQ8l0Db +ecul9D2VDBnPJfAsb21dXlPJkPFcikmBMZP6zKhiyHguReKlCfOGvq5iyHgu +RY1+08TjVtUMGc+lKGlT8LC5WM2Q8VyKnZo1041+VjNkPJciTWvp+y3eNQwZ +z6UYOM05zbamhiHjuRTfclTez1xQy5DxXAqRxeL+/LRahoznUuw7JJbKj69j +yHguxZfAeIeI13UMGc+laPrN3VpvX8+Q8VwKpfH91rx5Ws+Q8VwKfRWz60Z6 +DQwZz6XgBTta2C9sYMh4LkW9e6mD5dUGhoznUoy6/3RnS0EDQ8ZzKW6lvb73 +Sp/FkPFcCtuc+x/WLGMxZDyXokPwp9ffYBZDxvPu659WUn+vlsWQ8VyKDJFt +r0l2bIaM51KYNb5ayN/KZsh43v35Nl9/PnzNZsh4LsXeCs1du36yGTKeS/HU +y26753QOQ8ZzKVK0or/6XeEwZDyXYk+E2eK0ag5DxnMp+tk8dJo+iMuQ8Vza +3eucQ5QPchkynkthGGxcYZDFZch4LsXcvC9P9pnxCM8bUb5qp5XTdh7heSM8 +/h77tI7JIzxvxPiyJbe7jPiE540ojc1rN9/BJzxvxG3tnZlpGXzC80akyzN2 +KPYWEJ434nnQmArRYQHheSMe/T3h718pIDxvhObS8UcqxwoJzxvRUDzRT+6u +kPC8Efop20qVuoSE542YFW5+p3O9iPC8EYnNRpekWSLC80Z8ebdqwlcHMeF5 +Izp1LimZ3BcTnjdi9STHAXvVJYTnjfCtF32y8JMQnjdie85yVTuphPC8EQVm +bnqhHlLC8+77892+b1S5lPC8EVnTS56vXNRIeN6IOP/yBVm5jYTnjQjZvkZV +b14T4XkjVBx5oxbmNxGeNyL6SeLLsKXNhOeNGNQyRmpf20x43gidRfrnT43+ +SnjeCP7wgReHHvlKeN59vxYva36a8ZXwvBFjX2qMlNNrITxvxLy4vtKFa1sI +z5uwPdlPEB3dQnjehBU67o7D/rYQnjdBW9vAVbz4G+F5E5oPRKn+jvhGeN6E +UTeW/jnWq5XwvAlnTEI3Xl3TSnjeBIMK4ZIFia2E5014FbhBUGPeRnjeBBPe +hC1rjrURnjdB7dS8o//X3nkGVXmmYRiVoljjsIKV7xTOgQPqOURBsRxLFMta +dpWTtcSuiJIssRBr1MSVFSxBUcQoghVrjBo78oiFsopiTRTBglhwjxUVpWR/ +nPt7582v/bmz8/y8Zr6Z7+czc819v/fr4jeOe263ztF6jskdWOa453bri56p +nvVOljnuud0a4hl4vCTgreOe/+d/+XmH41LfOu653Xo58ZjR3Oyd457brf1i +h7atn/jOcc/t1mkFXmPHeL133HO7da0hrUNk8nvHPbdbw6dPSZ3lV+6453br +ltkH468eK3fcc7v1zQuP9PxBHxz33G4taT5q3KXSD457brf+Fnk3xLLio+Oe +262pvh/jJwZXOO653fqnlOzlDx9VOO653dq7efChoJTKDPSzLTebnHg2pioD +/ey9KW6Hd5uqM9DPHpLpf8JUVZ2BfvZPV0pWNv/KSe1nt8l60LngvpPazw6O +W5Z/64saaj97cPeNsXuLaqj97NrXz8TWiKip9rMNI0yWlA811X52frvgwOg1 +tdR+9tGkVcEbQ5zVfnb/MGOniFJntZ/d8V7m8rk7XdR+9o9nxuYWRruq/ex7 +55dFWmxuaj97+JOBqU/61Vb72S5v0odkDauj9rPTvnmWm7rUXe1n754R3baq +uK7az95S0LnP5vn11X52/qVK07johmo/e+Pfxyz61eMTtZ8dZthZ2GiaB8Fv +3TH3bp0+Rrz3NvfdN5/0jfYm+K12HbvbKuLE+28ttgYsuZnqTfBb+2LXtbl9 +xFt9D875Wm6RW543wW81SnZpParYW30fLiJpw57CD94EvxW62qXibG3xXtzM +ZBeb2UMh+K2gVbZDP3uL9+NGuByd3NdfIfitglN7z78LUtT35G4/XaZL76EQ +/Fb6haU3kgYq6vty71+f67BiuELwW7PKR21bP0lR35u796/5szOmKQS/ZYmf +5FH5raK+P5epX3pzSJxC8FsPOqwynE1U1PfosnXzwwduVQh+q2z09pqv9yvq ++3Q7swvHHUhXCH5r+o8LypblKup7dZF9Fpi+v6kQ/Fb/5jEz1hQrBL9VI7tO +37MvFYLf6lwyXGlUrRD8VnZ41szZ9TQEvxXf5Kcsp2Yagt86Y9yUuN2oIfit +Hq++nDK1vYbgt07e/Dx7UE8NwW+NiGrZZehfNAS/Feyu+9vs0RqC39qzIn5P +xpcagt8qvTsr3zhPQ/BbXXNfjz0QqyH4LfvSAZWjkzQEv1Xu7RdqSdMQ/Nac +qbseGY5oCH7LIzg1rvt5DcFv7Rt0uHjRdbxv8Mi68Mz6nY+L8b7BI+uGiI5r +o99oCH6r77WnUwOctQS/9fDK7pfuHlqC30reZXvTWK8l+K265qLevdppCX7r +jD0+c/NnWoLf6rTSFhoQpiX4rSv7yk7cn6gl+K2jY8c1yIrWEvxW9Hq3drdi +tAS/teJIU1PLJC3Bb4XOe1b2wy4twW9NyhiwLeikluC33JSHAxvmaQl+a5Zn +UuMWd7UEvxV7rrzpqFdagt/aWfDzuhvOOoLfqmpWdWGJp47gt7RZ/k5RJh3B +b+3Y3ypmdRcdwW+lWIsyXw3WEfxWW5NFs3KCjuC3esz4tOWUWTqC36qe6t7t +H8t0BL/VdmKC5n6KjuC31riZIr77RUfwW+09p+aF5+oIfks56NUsoUhH8FvH +W1UZ6pTpCH4rr+eT+9nueoLfOtjh0KDrip7gtzyCxi42B+sJfqswrt++ggF6 +gt968OfJjQsm6Al+a9qavQ0s8/QEv6X5fkHzwtV6gt/66N/n4sPdeoLfctX6 +3uh3Vk/wW1ttOW6N7ugJfitxoS2sw1s9wW9VL1x//XxDH4LfSk7rf+6Unw/B +b3lbYpbqPvMh+K3kjEUH3o/yIfitusOmVXWf40PwW/t/TR9Za60PwW/1Ohrx +stsBH4Lfunn1jld1ng/Bb4XkVU7t8cyH4Lcu39pytqG7geC39lfE9Ij0NRD8 +Vrt+KYO/CDUQ/Nbeb2vvfjzJQPBbPQyLJnrEGAh+6yvXpFclOwwEv+WqrPUP +zzEQ/Fbxb0HDNpQaCH7Lc2t4lxUNjAS/5XW5fqtegUaC3ypaUz8/x2Yk+K2E +6UdyfOYZCX5r+9zLxvGbjQS/dazq6+xlOUaC33q0yW3YvpdGgt/yPpQRea2Z +L8FvjbTlJ9Tr5UvwW7MWN5g3McqX4Lf+enKQ6/MNvgS/9U+38TOO5/oS/NZ3 +if2X3yr3JfitM3dyL443+RH8Vr2CcZsiR/oR/FbYzN7r6v/gR/BbJRrn82PP ++RH81vLhRpeYCj+C34rrtHFycnsTwW8ltphw6EKUieC3WpprvQjZZyL4rQuZ +cU087SaC36qYmFiaYPYn+K3dA52q7TP9CX5rW1Tgs/BT/gS/lb6ub0l/9wCC +30qOCE17NSyA4Lecbw1/cnpvAMFv3anMWWR2bU3wW/MjdyzIHd+a4LdauS5e +EpTVmuC3MtsvdupiaUPwWx2fryrN39yG4Lfs3qvnaVu2Jfitkc8Dl6eltiX4 +rRwnW4yuqfl/bj8TfmtH0cXpIz430+3zLU7vzyhR2au4wLb5ql3lp1/PHBUy +VHzP+5u8v8n7m7y/yfubvL/J+5u8v8n7m7y/yfubvL/J+5u8v8n7m7y/yfub +vL/J+5u8v8n7m7y/yfubvL/J+5u8v8n7m7y/+f+yv4n80ohdm03JrsFqXgmM +fBJY7ds5GPkjMPJGYOSLwMgTgZEfAiMvBEY+CIw8EBj5HzDyPmDke8DI84CR +3wEjrwNGPgeMPA4Y+Rsw8jZg5GvAyNOAkZ8BIy8DRj4GjDwMGPkXMPIvYORf +wMi/gJF/ASP/Akb+BYz8Cxj5FzDyL2DkX8DIv4CRfwEj/wJG/gWM/AsY+Rcw +8i9g5F/AyL+AkX8BI/8CRv4FjPwLGPkXMPIvYORfwMi/gJF/ASP/Akb+BYz8 +Cxj5FzDyL2DkX8DIv4CRfwEj/wJG/gWM/AsY+Rcw8i9g5F/AyL+AkX8BI/8C +Rv4FjPwLGPkXMPIvYORfwMi/gJF/ASP/Akb+BYx+Fxj9LjD6XWD0u8Dod4HR +7wKj3wVGvwuMfhcY+Rcw+l1g9LvA6HeB0e8Co98FRr9Lvg+C0e+S74Vg9Lvk ++yEY/S75nghGv0u+L4LR75LvjWD0u+T7Ixj9LvkeCUa/S75PgtHvku+VYPS7 +5PslGP0u+Z4JRr9Lvm+C0e+S751g9Lvk+ycY/S75HgpGv0u+j4LR75LvpWD0 +u+T7+Uxl9LvkeyoY/S75vgpGv0u+t4LR75Lvr2D0u+R7LBj9Lvk+C0a/S77X +gtHvku+3YPS75HsuGP0u+Z4LRr9LvueC0e+S77lg9Lvkey4Y/S75ngtGv0u+ +54LR75Lv+b//cM8Fo98l33PB6HfJ91ww+l3yPReMfpd8zwWj3yXfc8Hod8n3 +XDD6XfI9F4x+l3zPBaPfJd9zweh3yfdcMPpd8j0XjH6XfM8Fo98l33PB6HfJ +91ww+l3yPbf/4Z4LRr9LvueC0e+S77lg9Lvkey4Y/S75ngtGv0u+54LR75Lv +uWD0u+R7Lhj9LvmeC0a/S77ngtHvku+5YPS75HsuGP2uAV7T5yfsDyT0u8Kv +WpwHk5n3N3l/k/c3eX+T9zd5f5P3N3l/k/c3eX+T9zd5f5P3N3l/k/c3eX+T +9zd5f5P3N3l/k/c3eX+T9zd5f5P3N3l/k/c3eX+T9zd5f5P3N3l/k/c3eX+T +9zd5f5P3N3l/k/c3eX+T9zd5f5P3N3l/k/c3eX+T9zd5f5P3N3l/k/c3eX+T +9zd5f5P3N3l/k/c3eX+T9zd5f5P3N3l/k/c3eX+T9zd5f5P3N3l/k/c3eX+T +9zf/i/1N+C17Sfclxyeb1fcHNW7JG7qdNqvvD9bd6b/Iyceivj/YNSrmRZ9E +i/r+oHKhXpSmZaD6/qAtrPpO+qlA9f3BwsxLj4tjP1XfH/wlrU/lppR26vuD +HsmFdUvDgtT3B+O77nkcvCeEfgfqMWVU + "], {{{}, {}, {}, {}, {}, {}, {}, {}, + {RGBColor[1, 0, 0], Opacity[0.2], EdgeForm[None], + GraphicsGroupBox[PolygonBox[CompressedData[" +1:eJwl2VMQIDgWBdBp27Zt27Zt27Zt27Zt27Ztc6b3dO3HqVTy/yr3JgkatqvY +NuA///wTgNVB/7/+3QciMEFw/E8wghOCkIQiNGEISzjCE4GIRCIyUYhKNKIT +g5jEIjZxiEs84pOAhCQiMUlISjKSk4KUpCI1aUhLOtKTgYxkIjNZyEo2spOD +nOQiN3nISz7yU4CCFKIwRShKMYpTgpKUojRlKEs5ylOBilSiMlWoSjWqU4Oa +1KI2dahLPerTgIY0ojFNaEozmtOClrSiNW1oSzva04GOdKIzXehKN7rTg570 +ojd96Es/+jOAgQxiMEMYyjCGM4KRjGI0YxjLOMYzgYlMYjJTmMo0pjODmcxi +NnOYyzzms4CFLGIxS1jKMpazgpWsYjVrWMs61rOBjWxiM1vYyja2s4Od7GI3 +e9jLPvZzgIMc4jBHOMoxjnOCk5ziNGc4yznOc4GLXOIyV7jKNa5zg5vc4jZ3 +uMs97vOAhzziMU94yjOe84KXvOI1b3jLO97zgY984jNf+Mo3vvODn/ziN//y +H3/4O/wBCEggAhOEoAQjOCEISShCE4awhCM8EYhIJCIThahEIzoxiEksYhOH +uMQjPglISCISk4SkJCM5KUhJKlKThrSkIz0ZyEgmMpOFrGQjOznISS5yk4e8 +5CM/BShIIQpThKIUozglKEkpSlOGspSjPBWoSCUqU4WqVKM6NahJLWpTh7rU +oz4NaEgjGtOEpjSjOS1oSSta04a2tKM9HehIJzrTha50ozs96EkvetOHvvSj +PwMYyCAGM4ShDGM4IxjJKEYzhrGMYzwTmMgkJjOFqUxjOjOYySxmM4e5zGM+ +C1jIIhazhKUsYzkrWMkqVrOGtaxjPRvYyCY2s4WtbGM7O9jJLnazh73sYz8H +OMghDnOEoxzjOCc4ySlOc4aznOM8F7jIJS5zhatc4zo3uMktbnOHu9zjPg94 +yCMe84SnPOM5L3jJK17zhre84z0f+MgnPvOFr3zjOz/4yS9+8y//8Ye/F38A +AhKIwAQhKMEITghCEorQhCEs4QhPBCISichEISrRiE4MYhKL2MQhLvGITwIS +kojEJCEpyUhOClKSitSkIS3pSE8GMpKJzGQhK9nITg5ykovc5CEv+chPAQpS +iMIUoSjFKE4JSlKK0pShLOUoTwUqUonKVKEq1ahODWpSi9rUoS71qE8DGtKI +xjShKc1oTgta0orWtOFvgGtHezrQkU50pgtd6UZ3etCTXvSmD33pR38GMJBB +DGYIQxnGcEYwklGMZgxjGcd4JjCRSUxmClOZxnRmMJNZzGYOc5nHfBawkEUs +ZglLWcZyVrCSVaxmDWtZx3o2sJFNbGYLW9nGdnYQT5CNz0whdxazmcNc5jGf +BSxkEYtZwlKWsZwVrGQVq1nDWtaxnpEC8wiGM4yhDGEwgxjIAPrTj770oTe9 +6EkPutONrnShM53oSAfa0462tKE1rWhJC5rTjKY0oTGNaEgD6lOPutShNrWo +SQ2qU42qVKEylahIBcpTjrKUoTSlKEkJilOMohShMIUoSAHyk4+85CE3uchJ +DrKTjaxkITOZyEgG0pOOtKQhNalISQqSk4ykJCExiUhIAuITj7jEITaxiEkM +ohONqEQhMpGISATCE46whCE0oQhJCIITjKAEITCBCEgA/harPwrWf/zLb37x +kx985xtf+cJnPvGRD7znHW95w2te8ZIXPOcZT3nCYx7xkAfc5x53ucNtbnGT +G1znGle5wmUucZELnOccZznDaU5xkhMc5xhHOcJhDnGQA+xnH3vZw252sZMd +bGcbW9nCZjaxkQ2sZx1rWRP0/x12FStZwXKWsZQlLGYRC1nAfOYxlznMZhYz +mcF0pjGVKUxmEhOZwHjGMZYxjGYUIxnBcIYxlCEMZhADGUB/+tGXPvSmFz3p +QXe60ZUudKYTHelAe9rRlja0phUtaUFzmtGUJjSmEQ1pQH3qUZc61KYWNalB +dapRlSpUphIVqUB5ylGWMpSmFCUpQXGKUZQiFKYQBSlAfvKRlzzkJhc5yUF2 +spGVLGQmExnJQHrSkZY0pCYVKUlBcpKRlCQkJhEJSUB84hGXOMQmFjGJQXSi +EZUoRCYSEYlAeMIRljCEJhQhCUFwghGUIAQmEAEJwN/HlT9BzD//8ptf/OQH +3/nGV77wmU985APvecdb3vCaV7zkBc95xlOe8JhHPOQB97nHXe5wm1vc5AbX +ucZVrnCZS1zkAuc5x1nOcJpTnOQExznGUY5wmEMc5AD72cde9rCbXexkB9vZ +xla2sJlNbGQD61nHWtawmlWsZAXLWcZSlrCYRSxkAfOZx1zmMJtZzGQG05nG +VKYwmUlMZALjGcdYxjCaUYxkBMMZxlCGMJhBDGQA/elHX/rQm170pAfd6UZX +utCZTnSkA+1pR1va0JpWtKQFzWlGU5rQmEY0pAH1qUdd6lCbWtSkBtWpRlWq +UJlKVKQC5SlHWcpQmlKUpATFKUZRilCYQhSkAPnJR17ykJtc5CQH2clGVrKQ +mUxkJAPpSUda0pCaVKQkBclJRlKSkJhEJCQB8YlHXOIQm1jEJAbRiUZUohCZ +SEQkAuEJR1jCEJpQhCQEwQlGUIIQmEAEJAB/H1j/BDb//MtvfvGTH3znG1/5 +wmc+8ZEPvOcdb3nDa17xkhc85xlPecJjHvGQB9znHne5w21ucZMbXOcaV7nC +ZS5xkQuc5xxnOcNpTnGSExznGEc5wmEOcZAD7Gcfe9nDbnaxkx1sZxtb2cJm +NrGRDaxnHWtZw2pWsZIVLGcZS1nCYhaxkAXMZx5zmcNsZjGTGUxnGlOZwmQm +MZEJjGccYxnDaEYxkhEMZxhDGcJgBjGQAfSnH33pQ2960ZMedKcbXelCZzrR +kQ60px1taUNrWtGSFjSnGU1pQmMa0ZAG1KcedalDbWpRkxpUpxpVqUJlKlGR +CpSnHGUpQ2lKUZISFKcYRSlCYQpRkALkJx95yUNucpGTHGQnG1nJQmYykZEM +pCcdaUlDalKRkhQkJxlJSUJiEpGQBMQnHnGJQ2xiEZMYRCcaUYlCZCIRkQiE +JxxhCUNoQhGSEAQnGEEJQmACEZAA/P1k+aMv/se//OYXP/nBd77xlS985hMf ++cB73vGWN7zmFS95wXOe8ZQnPOYRD3nAfe5xlzvc5hY3ucF1rnGVK1zmEhe5 +wHnOcZYznOYUJznBcY5xlCMc5hAHOcB+9rGXPexmFzvZwXa2sZUtbGYTG9nA +/wDe7FD+ + "]]]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + TagBox[ + {RGBColor[1, 0, 0], PointSize[0.0055000000000000005`], + AbsoluteThickness[2], LineBox[CompressedData[" +1:eJwV1vOfEAYYBvDLtnldtm2bl12Xedm2bdu2uYUtbLkht8UtbnHtez98P89f +8D7PGxQSGtw9XEBAwKHwAQFhKQIiEJFIRCYKUYlGdGIQk1jEJg5xiUd8EpCQ +RCQmCUlJRnJSkJJUpCaQNASRlnSkJwMZyURmspCVbGQnBznJRW7ykJd85KcA +BSlEYYpQlGIUpwQlKUVpylCWcpSnAhWpRGWqUJVqVKcGNalFbeoQTF3qUZ8G +NKQRjWlCU5rRnBa0pBWtCaENbWlHezrQkU50pgtd6UZ3QulBT3rRmz70pR/9 +GcBABjGYIQxlGMMZwUhGMZoxjGUc45nARCYxmSlMZRrTmcFMZjGbOcxlHvNZ +wEIWsZglLGUZy1nBSlaxmjWsZR3r2cBGNrGZLWxlG9vZwU52sZs97GUf+znA +QQ5xmCMc5RjHOcFJTnGa7/ieM5zlHOf5gR+5wEUucZmf+JkrXOUa17nBTW5x +m1/4ld/4nTvc5R73ecBDHvGYP/iTJzzlGc95wUv+4m9e8Zo3vOUd7/mHf/nA +Rz7xmS985T++EXb84QhPBCISichEISrRiE4MYhKL2MQhLvGITwISkojEJCEp +yUhOClKSitQEkoYg0pKO9GQgI5nITBayko3s5CAnuchNHvKSj/wUoCCFKEwR +ilKM4pSgJKUoTRnKUo7yVKAilahMFapSjerUoCa1qE0dgqlLPerTgIY0ojFN +aEozmtOClrSiNSG0oS3taE8HOtKJznShK90IK+9QetCTXvSmD33pR38GMJBB +DGYIQxnGcEYwklGMZgxjGcd4JjCRSUxmClOZxnRmMJNZzGYOc5nHfBawkEUs +ZglLWcZyVrCSVaxmDWtZx3o2sJFNbGYLW9nGdnawk13sZg972cd+DnCQQxzm +CEc5xnFOcJJTnOY7vucMZznHeX7gRy5wkUtc5id+5gpXucZ1bnCTW9zmF37l +N37nDne5x30e8JBHPOYP/uQJT3nGc17wkr/4m1e85g1vecd7/uFfPvCRT3zm +C1/5j2+EDX84whOBiEQiMlGISjSiE4OYxCI2cYhLPOKTgIQkIjFJSEoykpOC +lKQiNYGkIYi0pCM9GchIJjKThaxkIzs5yEkucpOHvOQjPwUoSCEKU4SiFKM4 +JShJKUpThrKUozwVqEglKlOFqlSjOjWoSS1qU4dg6lKP+jSgIY1oTBOa0ozm +tKAlrWhNCG1oSzva04GOdKIzXehKN7oTSg960ove9KEv/ejPAAYyiMEMYSjD +GM4IRjKK0YxhLOMYzwQmMonJTGEq05jODGYyi9nMYS7zmM8CFrKIxSxhKctY +zgpWsorVrGEt61jPBjayic1sYSvb2M4OdrKL3exhL/vYzwEOEuiR/R8JPkdP + + "]], + LineBox[{2080, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, + 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944}]}, + Annotation[#, "Charting`Private`Tag#1"]& ], + TagBox[ + {RGBColor[1, 0, 0], PointSize[0.0055000000000000005`], + AbsoluteThickness[2], LineBox[CompressedData[" +1:eJwl00OQIAgCAMHe6bFt27Zt27Zt24uxbdu2bdu2LyPukf/6VKLGHSq3/ysg +IOB6YEDAOtazgY1sYjNb2Mo2trODnexiN3vYyz72c4CDHOIwRzjKMY5zgpOc +4jRnOMs5znOBi1ziMle4yrXA/3fe4Ca3uM0d7nKP+zzgIY94zBOe8oznvOAl +r3jNG97yjvd84COf+MwXvvKN7/zgJ7/4zR8CggYE/EUQAglKMIITgpCEIjRh +CEs4whOBiEQiMlGISjSiE4OYxCI2cYhLPOKTgIQkIjFJSEoykpOClKQiNWlI +SzrSk4GMZCIzWchKNrKTg5zkIjd5yEs+8lOAghSiMEUoSjGKU4KSlKI0ZShL +OcpTgYpUojJVqEo1qlODmtSiNnWoSz3q04CGNKIxTWhKM5rTgpa0ojVtaEs7 +2tOBjnSiM13oSje604Oe9KI3fehLP/ozgIEMYjBDGMowhjOCkYxiNGMYyzjG +8zf/8C//MYGJTGIyU5jKNKYzg5nMYjZzmMs85rOAhSxiMUtYyjKWs4KVrGI1 +a1jLOtazgY1sYjNb2Mo2trODnexiN3vYyz72c4CDHOIwRzjKMY5zgpOc4jRn OMs5znOBi1ziMle4yjWuc4Ob3OI2d7jLPe7zgIc84jFPeMoznvOCl7ziNW94 -yzve84GPfOIzX/jKN77zg5/84jeBsP4ThKAEIzghCEkoQhOGsIQjPBGISCQi -E4WoRCM6MYhJLGITh7jEIz4JSEgiEpOEpCQjOSlISSpSk4a0pCM9GchIJjKT -haxkIzs5yEkucpOHvOQjPwUoSCEKU4SiFKM4JShJKUpThrKUozwVqEglKlOF -qlSjOjWoSS1qU4e61KM+DWhIIxrThKY0ozktaEkrWtOGtrSjPR3oSCc604Wu -dKM7PehJL3rTh770oz8DGMggBjOEoQxjOCMYyShGM4axjGM8E5jIJCYzhalM -YzozmMksZjOHucxjPgtYyCIWs4SlLGM5K1jJKlazhrX8yV+sYz0b2MgmNrOF -rWxjOzvYyS52s4e97GM/BzjIIQ7zN/9whKMc4zj/8h8nOMkpTnOGs5zjPBe4 -yCUuc4WrXOM6N7jJLW5zh7vc4z4PeMgjHvOEpzzjOS94ySte84a3vOM9H/jI -Jz7zha984zs/+MkvfhMI5z9BCEowghOCkIQiNGEISzjCE4GIRCIyUYhKNKIT +yzve84GPfOIzX/jKN77zg5/84jd/CAjmf4IQSFCCEZwQhCQUoQlDWMIRnghE +JBKRiUJUohGdGMQkFrGJQ1ziEZ8EJCQRiUlCUpKRnBSkJBWpSUNa0pGeDGQk +E5nJQlaykZ0c5CQXuclDXvKRnwIUpBCFKUJRilGcEpSkFKUpQ1nKUZ4KVKQS +lalCVapRnRrUpBa1qUNd6lGfBjSkEY1pQlOa0ZwWtKQVrWlDW9rRng50pBOd +6UJXutGdHvSkF73pQ1/60Z8BDGQQgxnCUIYxnBGMZBSjGcNYxjGev/mHf/mP +CUxkEpOZwlSmMZ0ZzGQWs5nDXOYxnwUsZBGLWcJSlrGcFaxkFatZw1rWsZ4N +bGQTm9nCVraxnR3sZBe72cNe9rGfAxzkEIc5wlGOcZwTnOQUpznDWc5xngtc +5BKXucJVrnGdG9zkFre5w13ucZ8HPOQRj3nCU57xnBe85BWvecNb3vGeD3zk +E5/5wle+8Z0f/OQXv/lDQHD/E4RAghKM4IQgJKEITRjCEo7wRCAikYhMFKIS +jejEICaxiE0c4hKP+CQgIYlITBKSkozkpCAlqUhNGtKSjvRkICOZyEwWspKN +7OQgJ7nITR7yko/8FKAghShMEYpSjOKUoCSlKE0ZylKO8lSgIpWoTBWqUo3q +1KAmtahNHepSj/o0oCGNaEwTmtKM5rSgJa1oTRva0o72dKAjnehMF7rSje70 +oCe96E0f+tKP/gxgIIMYzBCGMozhjGAkoxjNGMYyjvH8zT/8y39MYCKTmMwU +pjKN6cxgJrOYzRzmMo/5LGAhi1jMEpayjOWsYCWrWM0a1rKO9WxgI5vYzBa2 +so3t7GAnu9jNHvayj/0c4CCHOMwRjnKM45zgJKc4zRnOco7zXOAil7jMFa5y +jevc4Ca3uM0d7nKP+zzgIY94zBOe8oznvOAlr3jNG97yjvd84COf+MwXvvKN +7/zgJ7/4zR8CQvifIAQSlGAEJwQhCUVowhCWcIQnAhGJRGSiEJVoRCcGMYlF +bOIQl3jEJwEJSURikpCUZCQnBSlJRWrSkJZ0pCcDGclEZrKQlWxkJwc5yUVu +8pCXfOSnAAUpRGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBjWpRW3q +UJd61KcBDWlEY5rQlGY0pwUtaUVr2tCWdrSnAx3pRGe60JVudKcHPelFb/rQ +l370ZwADGcRghjCUYQxnBCMZxWj+B9/8/Pk= + "]]}, + Annotation[#, "Charting`Private`Tag#2"]& ], + TagBox[ + {RGBColor[1, 0, 0], PointSize[0.0055000000000000005`], + AbsoluteThickness[2], Dashing[{Small, Small}], + LineBox[CompressedData[" +1:eJwVw4c6lQEAAND/Ut1bkZEXuK/kETxAHkRCRhlRysheZWsIIUUZZaZhRNki +Kcf5vhNNS0+9EQqCION8OAhumukts8w2x9vmmme+Bd7xroUWWWyJ9yy1zPs+ +sNyHPrLCSqus9rE11lpnvQ022mSzLbba5hOf2m6HnXbZbY+99vnM577wpf2+ +csBBh3ztsCOO+sYx3/rOcSd87wcnnXLaGT/6yVnnnHfBRZf87LJf/Oo3v7vi +qmuu+8MNN/3pL7fcdsdd99z3wEN/e+Sxfzzxr6f+879BJAhCxhjrBS96ybAR +L3vFq8YZ7zUTTDTJZK+bYtQzDi9hHg== + "]]}, + Annotation[#, "Charting`Private`Tag#3"]& ]}}], + {"WolframDynamicHighlight", <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>}], + DynamicBox[(Charting`HighlightActionBox["DynamicHighlight", {}, + Slot["HighlightElements"], + Slot["LayoutOptions"], + Slot["Meta"], + Charting`HighlightActionFunction["DynamicHighlight", + GraphicsComplex[CompressedData[" +1:eJzsm/dfju//xtPee0/JzAxlO4Rk75ERiYyMsskM2bITGREaRBQq6r6bkvbe +de9ZSUUhvuk+z88/8X33i0ePct3XOM/X8zh6XE9bT5/FXvJycnLLdeTk/v37 +O7KlaJ7nQsz6s6Z54jA5pux7DxzPP6D51UeO+fOY98e9N7ZAz+OTSudj+nNf +3H039MTOPDnmYcOXriy/vdj9NPurdjv9/YOw2pSwc6ppL+YhUX8H1RdHENy2 +MqNubC/y/0/gvonucJ8VvZgT6hdcvr3zJHzsPy9durcXOd5piPvNDyy50ouZ +OEtTfvDZM7h+0q+5b1Qvcvxz+DUx7tXOtF7McXFZ+5MfXoDVL9XrRdX08y4h +2OmC3bbWXsx46wDJovhAGHjvmTZfXZ58/lWwFvQeE9hbnnkvvXfM7i3XsKVr +wa7xTvLkfK5D3mdKP4858szqzp4PxOkXm9+qe8iT87uJQbVjVKfvlWdaDK/t +q+x/C1/t5bINz8mT8w3CSPvC0NMh8sxVG3uOiBm/qpfdfSlPzj8YZgnZ31en +yDPv3un5Qvt43te8YnlyPXfBi1szoIMnz6zM+7GE8zQEsZmpSjU/5Mn13UPn +tN1XjqkpMM0Ul2sPfXMf2bNPbueYK5DrfYDmGYltWkMUmG6yA4L9gzO21yQF +cv2h6LTPUGfOU2DOHXPe2YMXiuKfvxTmrVUg9+MRHFnSi1E7FZjSRf+O+Agi +sbCm6pgCuT+PYTr7uWpDoALz4nbBr8iWx4gWuP7+8ECB3K8w1JmFnvJ9qcAc +fNa4+xLCkKzpM/dvkgK5f09w+MRv4dZcBeaXRy7vWruewMTuZNHrGgVyP5/i +WPW8bRUSBea/u2Wq+Awe6ZFy9T8VyP19hrnTr+Vkqiky1cvDfCeph6M8eNyP +q6aK5H6HY43znuDJAxSZUf8uVzcCe74J4wscFcn9j4DEeMqSmdMVmbP/Hc44 +EmPcrJc9W6xInkck0keahEo8FJk9t9syCiecTqub+SiS5xOFgMmzPYYfVWT+ +u3sFfZ4j69HlDcMvKpLn9RxMmxW5ZncUmYPWXEltH/gCysaD5zc/UyTP7wX+ +rrkZGBOnyPzcfTTz4dFw6fVk+NpURfI8ozFQuulLR74ic8u1xiNwfIm+Povb +T9Qqkuf7EqMuRoz/IVZkdp9c9yW/QuDbkj5rOhTJ836FusyU4TFKSsyl3Yun ++5Ei8tWgulZ9JfL8Y6BxtP7pgN5KzCfdd688LAYn7Q1/zhmqRNZDDCa+Dpq1 +frwSs62r5KCo/2ssSNT5u9lViayP11ixYJy/x1Il5vSeBfAaa2r0R81Zr0TW +y2t05ertHbhTidn98P5dEP7oLAjt8FMi6+cNdKJe6348q8SUnd4bGMcaW+y5 +qUTW0xvMzNuvb/1IiTmq5ysWVR5qrknRSmR9xULuuZfyokQl5ilmdvcKioW7 +5FFIZaYSWW+xeBbju2BFsRKzuPvpd98TzPObuCW7XomsvzgsyHS2HyVVYtr1 +HDAOteHh5dc6lMh6jIOr3O0YvqIyc0/PA3iLx7sWtjroKZP1+RbZvZ1r9lgp +M9PaB3Y/4bd4ssr42YtBymS9voPx8ILzNY7KTH3ZCSJBvzhZYaoyWb/v0BXU +4ddnvjKz5+yy3sHb9eG3sauUyXp+D5+EBDfXTcrMN/ebwzPnvMe66pq6ebuV +yfp+j9GB897OPabMlO+54PdQuKWlMf2CMlnv8TDmCrRGBykzF8sWDAavPCK2 +fKxM1n88TvFm5/yJVmY+/ne5JfFodkiurUxQJvshAXvSHWe+zFBmfvt3OLcE +3HypM/pIoTLZHwnozH6dNa1WmTn13/Kv7v751etDlETKZL8kwtDfOYDZpszs +Wb5rE2E71ufHPjkVsn8S4d27/Vk/TRUmy+/fA0nEguKad/kmKmQ/fUD4gM2z +9tqpMB16FvgHFLTqnzAYrkL21wd0nAk7HD1ehel/a1r3kvmADbX910ydoUL2 +20fo3/g1pWiRCrOwT88Dxujgspnu7ipk/32Ee1TWNc4WFabtv+nf+BG7bk0b +57VXhezHJBjBZg37uApz17/t4ZsEm+MPjFdfVCH7MwmXPu05nR+kwkz593Rb +k+D8IIOJxypkvyYjRTyS/zxahakr25D4ZlaubZigQvZvMgrN5i89mK7C7Ll9 +ncmQHx6fX5GvQvYzA/VPk+44Vqswe07vCAOfPK5nB/JVyP5moJ9L5yFuiwpT +rueLifhzrgynLhWy35k42rD5dYCqKjMic073jmbixvXaTYUGqmT/M7Fk+6d2 +MxtV5sLu3di9RlFxn71nnb0qmQdMWBy5/P2Royqz4x990pmIsM++zpqiSuYD +E0rDnN2t56oyQ83/bYgUMAXTvN1WqJJ5kQKFrrzcK56qzJk9DzgFURGXQtJ3 +qJL5kYJbnWX87wdVmV/D/51gCvr8SUjtf1qVzJMU7Kptd156RZUZ/O/sslPg +Wi934PhdVTJfUjBmg9reiKeqzH+La5ZmKmI//ZidH6NK5k33986+Wm0fVJn/ +pknRvFT4Py3JMf6kSuZPKmbazrg7pkiV+W+1dC8Z8ETPziyvVSXzKBUXqkIe +7xGqMnsutyAVL1UC/wS2qpL5lIoslxdREX9UmT2H00+DyxPpO6aaGplXaRha +qzWq3FCN2TOOlqSBnRA8TGqjRuZXGtx2sOL/2qsxZfEhDY5cVZaekxqZZ2mw +1/jzto+zGrNnHJSnQaRxfMbIuWpkvqVBzt/80ZQVaszjPQMnHcqPRpTM81Qj +8y4d09a3Na7cocYc0LOB0uFydfvvjQfVyPxLxw3hXi2fU2rM/J54kY40C8aw +g4FqZB6mY15Z8Y4Td9SYB/5th9p0TP5oUHH2iRqZj+kwXRfvF/hKjWnzbzlb +Z8B2aKnnzUQ1Mi8zMHOV2/07GWrMT/+Wn0cGuKkaYx4UqJH5mQG/rFsTH1er +MX16BmQGsgf6JT7lq5F5moFx+eZJES1qTJOeDZ8BYWj8wue/1ch8zcSlaXv9 +o1XUmT2n1zcTY+rnr36lr07mbSbmVNuLY6zUmZt6vjIRHpQz7s1AdTJ/MzHT +onpZ7Ch15r/w1D1h4ZfbMCtusjqZx5lgGM+1fTtLnflvOh4UZSJQ01fwdqk6 +mc+foGEQEP5unTpzbc8BP6HucOT2997qZF5/whhbtnP8PnWmcs+A6v6+y3xk +wgl1Mr8/ISlpwMzEi+rMf0/D8OUnFC5ND/wQpE7m+Sc8D7ilm/RIndmT7po/ +4czOQRXJL9TJfM/CfJ2OZuZ7deaff9vNIQuTczd4pqWqk3mfhautCeMyc9WZ +z/4t591ZmO0w6sTnCnUy/7PgatiGXI46c77sgnHWX+tUYZM64UEWBPZHl5R1 +qjO//3sc7VmYKz/sQ7WiBuHDZ8yP6khj6WgwH/y73DGfcY4bdkBorkF48RlX +urj1zf00mDN6buBnhDp5KHeM0CD8+IwBQyPb5CZqMJt68PAZ5lOmxam7ahCe +fMa01kfzjRZrMIP+jfdfn3Fx4aDc3u4ahC/ZmOE6bNzQLRrMnvE8KRthaj9C +xu/RILzJxtgtH/7MPKbB7Bmvx7KRPfipt9t5DcKfbMzZUSDcclODKRt/2XCU +zjnp91CD8Cgb9hvMplyO0mD2jBf5L1CrHjr40VsNwqcv2PJ719x3TA1mz/ad +9gXX16W+yPmiQXj1BXLVzUu5ZRrMnu0R8AXbCxjzulgahF9fcOCg9KFJowZT +tvy+oG1Iv6WjOjQIz76A/Vp7z0IFTWbpv8ermgOzo9OUfLQ1Cd9y8OH3EoMr +ZprMoz0DMAebazKfxvTVJLzLAWaZZRQP12T261mAOfg0mnGoY7wm4V8OQoPs +cqxnaDJzc3q+MDI6In3GIk3CwxxMnhSxzXeNJnNfz0DNxc6CPZ9DNmsSPuai +/NtSftZuTaZVz4LOxVWfy9k/jmoSXubC5dKKYwPPazIzeupVLvjT+Gqrb2oS +fuZi8wmvfVceajJ39AzoXDxZ8jMrI0qT8LT758PTNP+81WT2jCvDPNy2rJg5 +NkWT8DUPt1pmB+zN0WT2jIPleSg/OyD7Tbkm4W0e3nzdY9PK1mTK6lcedkSO +uOzYpEn42/3/89ws/Do1mZqyDYc789mFTEUtwuM8JFkkx6vpajF7lot5Ppa6 +V5QvtdAifM7H+lDTMY/7azF7HseafJxR8axrcdAivM7HBr8bpdMmaTFl9Swf +s0rO9g2eqUX4nQ9vfePipiVazJ7D1efDy19P5LpOi/C8AAvFs3aGeWuR/V4A +B8ZF7177tQjfC9A2I5S33l+L7P8ClGfNE6Rf0iK8L8CG9ysO2AdrkXlQgLqq +Y/evh2kR/hdg+mH/9V0vtch8KEDVeYsv3olaJA8UYGy2MrsqQ4vMiwL8/vkz +el6hFskHBejqinZKq9Ei86MAA+RKTk0QapG8UABuqM39d61aZJ4UYLDZ1Auj +/2qR/FCAIZ6SxW/Vtcl8KUDo6uw/Y421SZ4oRGrLpVsMW20ybwohmNpgPWuo +NskXhShI931cOlabzJ9CPNwqHuA1XZvkjUIcTe9492OBNplHhfg91HXF5dXa +JH8Uwk54Qrf/Zm0ynwoxfuoiccpubZJHCjH34wapxzFtMq8KscV+o7XCBW2S +T7o/r/zP2Yhb2mR+FSIzomHEokfaJK8U4tvk9727XmiTeVaIz2YO617Ea5P8 +UohLCV2ta9O1yXwrxFdmLt+wQJvkmSJkGi9BXrU2mXdF2KzirHRRoE3yTRG6 +vOZOmdOqTeZfEQKjB3do/9UmeacIfNvbo8vUdcg8LMKSrzO7Qo11SP4pwsiF +XSt9+uiQ+ViEtWG7FzsP0yF5qAh7g7Y2GY/XIfOyCO1Tnjp+ddEh+agIzi6S +4bmLdMj8LMLhrwq8aHcdkpeKMGtV4prrW3XIPC3CntM1D/z26ZD8VARfvwmx +m/x1yHwtRr7v80fLL+uQPFWM61yF3bPv6JB5W4xtiTZDpj7VIfmqGBz32vJJ +r3XI/C3GwQbro5OSdEjeKsYd02w75886ZB4X4xEzq3hmqQ7JX8V4f0v11lKW +DpnPxYjYf9hnY6MOyWPFiD1psu1gpw6Z18Xoc0/imiWvS/JZMco/1+wuU9Ul +87sYLcbrE/nauiSvFeNk9JE+nYa6ZJ4X42pfp1daFrokvxXD80rQxr62umS+ +lyDnUuSMSQN0SZ4rwfHlR1e6DdUl874EbgV9Hu0bpUvyXQkib0fb3hqnS+Z/ +Ca7rj65/B12S90ow53h+bZWLLuFBCY4o3LPtNVeX5L8S3PoQ/3LQYl3ChxIM +ynY9v9RNl+TBEizavPOt/1pdwosS6H5dOub1Rl2SD0vwsb2/Acdbl/CjBPvn +Gcwz2aVL8mIJQm3miuYd0CU8KYH7D2XpmaO6JD+WwLzoyMrUU7qEL6UYMFzs +KHdBl+TJUrjaXAmYclWX8KYUG5a+mnsqSJfky1IMc7h+JeueLuFPKZoqTi7W +DdMlebMU41BwZ1WkLuFRKQrG5G4Nf6VL8mcp/M8UZH5/q0v4VArD5tFvZ37U +JXm0FHNdVo67n6pLeFWKb0dCV7Rl6ZJ8Woq2hF2G8/N1Cb9Kcc1j7N6oUl2S +V0sR3G/jYbUaXcKzUry33jpsG1uX5Ncy1BUxruULdQnfyhAzmxfp1KxL8mwZ +tG1XHw5t1yW8K8ObG+HqWr91Sb4tQ/2NZWuOyOsR/pWhb3nb3iZVPZJ3yzBB +aLZyg44e4WEZOsas1ao20iP5twyLh3kELbPUI3wsw8kJaR1FffRIHi7DJNHw +cUsG6RFelkFZumRN2XA9ko/LcGs0e9MaJz3CzzJ49rrhzpuoR/JyGSZ/tXPZ +NU2P8LQMBrpufeRm65H8XI7Ebz86ry3UI3wtx9fkwoL+K/RIni7HpqkJz5Pd +9Qhvu3+ucO7Kyo16JF+Xo3yZlf8Pbz3C33K4bFkbELxLj+TtchSsdno08aAe +4XE5hi0NquQc0yP5uxy9Lu4bFRigR/hcjhzPwtgJl/RIHi+Hkl/0Oul1PcLr +cixONpgYekeP5PNysI8bzl8Rqkf4XY6205l39cL1SF4vxwEr1wH50XqE5xVg +OSV2XInTIzyvgJXvbMOlH/QIzysQPXTQcYtUPcLzCjRGB07mZ+kRnlcgwCpx +RVy+HuF5Bf4+b8kPKNMjPK/AldCTL1bV6hGeV0DOJ+XbSK4e4XkFSnPUIrQl +eoTn3b+fmVzY2KJHeF6B/qWz9xV06BGeV+B1q03wu796hOcVmPT2PUKV9QnP +K1C26sauy1r6hOcV+CCaMOqYoT7heQXynade2G2hT3heCcfROOLdR5/wvBK2 +d4u1Nw3SJzyvBHtbhKvXCH3C80pYV+wcsmWMPuF5JWaubkjdOVmf8Lz7+/Jk +3UMu+oTnlfB8XmJxdq4+4XklluS0iYKX6BOeVyKq5ueJl6v0Cc8rscrlC//T +en3C80pcnr/ElrdFn/C8Ei/HnndS9tUnPK/E+2Xbhww+oE94XglGHyW1pcf0 +Cc8rwVzvVXQiQJ/wvPvzRkUEvr6kT3hehZiR36bxb+gTnleh5tKuDusQfcLz +Koit175Z/Vif8Lyqmw+dB+5F6hOeV0He+8oCVow+4XkVtk7YB/t4fcLzKmhH +q88/wNAnPK/CiUcJ/lmZ+oTnVfix8HuDVZ4+4XkV7Ddh94FSfcLzKhy0sJ5Y +WqNPeF4FD7f+LmO4+oTnVZiV//3GfYk+4XkVgi3GDVdt1Sc8r4JdwyOrAz/1 +Cc+r0cT76iXuZUB4Xg2XCS3anmoGhOfV6L/kkE2drgHheTV0+217sNbUgPC8 +Gubz4q5zbAwIz6vhN2S+wo4BBoTn1ViuPvnHz2EGhOfVkHO8te+ykwHheTWu +2O0K6DvZgPC8GkW3fw1luhgQnlcjjum932OeAeF5Nb6v6bVJaZkB4Xk13Oq0 +FV+tMSA8r4b95eYV7hsNCM+rMfmF5lbd7QaE59VYeL9mctYeA8LzGhQpcRpO +HzYgPK/B0LaY5TNOGRCe12C7Ei9c86IB4XkN1l0cXFN+3YDwvAbDYyf/Cr9r +QHheg00v+JpHHxsQntdgT0Gn6YooA8LzGjzKXjPA6Y0B4XkNbjDlp5gnGhCe +18DpcKO3QqoB4XkNRl4dGtPy2YDwvAasi2wTXqEB4XkNsiwmRNVWGhCe1+D2 +uH0+1SwDwvMaRC2v86kTGRCe18DmXHEsv8WA8LwWvrEp09o6DQjPaxH6od9A +FXlDwvNatL+8tNVG3ZDwvBZz2m/oTtI3JDyvxfdjon4e5oaE57WY2jbnzbk+ +hoTntbjMu5D8zt6Q8LwWP36dWSIeaUh4XosP9iP97CYYEp7XYiDrygTPaYaE +57WYMOlt6LM5hoTnteBN/vzm6xJDwvNa/PyleHjKGkPC81rklcTKB200JDyv +xfHIUUtathsSntehS6d93+J9hoTndXh/4fDehKOGhOd1MGC6u/U/Y0h4Xofr +b1wG3wk0JDyvQ0bn1+96tw0Jz+vQ/mtExtWHhoTndbgzUPLQKMKQ8LwON0eZ +XQuNMSQ8r8P0RZ8fOyQYEp7XYcUe6/qsFEPC8zocObNw4aZsQ8LzOjTFvJBT +LzYkPK9DwbVbP2OrDQnP6+Drf2rmRq4h4XkdthYptps3GhKe1+GNQbJ6Rbsh +4Xk9Li7xvhnyx5DwvB6bNlTf81IxIjyvR5Llz2FOukaE5/Woepa5TMvMiPC8 +HuFJbpYSWyPC83r4dtZfzrc3IjyvR5zJk7eJo4wIz+vxfbrSwxcTjQjP6/F0 +85FlT12MCM/robU9hPtkvhHheT02XNNye77CiPC8Ht/e3/4Q72FEeF6PtTGm +FrlbjQjP62F86fxJ4W4jwvN6fG3q6lI/YkR43oC29U/ujA4wIvu9AWvCM9d7 +BRoRvjegXCfb8/5tI7L/G6BQODyyJtSI8L4B49xvOdtFGZF50IAHH+PG7oo1 +IvxvQKBgY0jmRyMyH7qP3+fufrtMI5IHGrBv1abys/lGZF40QHK6M7u1wojk +gwZs+PNo7Wa2EZkfDbggzXnIlhiRvNCA5lDle17tRmSeNMCn66fH1z9GJD80 +oH/Vvt8nVY3JfGmAaPuGI1b6xiRPNMDzTUETw8KYzJsGfK6QrPfuZ0zyRUP3 +fFTkWg43JvOnAdWKl0+VjzUmeaMBh53MFtydakzmUQMmnvRY5jXXmOSPBhSf +n/R07HJjMp8aEJX+cp6hhzHJIw2o/F7m3rHVmMyrBqhEdXG5e4xJPmmAY8SV +b5VHjcn8asCxgzZB5WeNSV5pQEWtV33tNWMyzxrAXTG1ThpiTPJLA7Kffnis ++MyYzLfu85sjN6lfjDHJMyzcNdmcND/RmMw7Ftxe+Yz2Tzcm+YaFGyZpbz7m +GZP5x8LfaaNn9ao0JnmHhb7hTNUFHGMyD1lQzLgq/6zRmOQfFuyzOlyVO4zJ +fGRhnNkhsY+8CclDLCh1Jv9ia5qQecnCZKunl9eZmJB8xAJzweg3PFsTMj9Z +CNJ9cnjfEBOSl1j4MH71b+0xJmSeshDx/OuMN84mJD+xkNo4eOe6uSZkvrIQ +zVMKMF5hQvIUC+EnIx+Urzch85aFhl178x5vNyH5ioXOkh8DDh4wIfOXhWe/ +OpJXnDQheYuFe713hztfNiHzuPt8Bt1odww2IfmLhchtqUmjw0zIfGahxve+ +4eSXJiSPsdA/vVN7cYIJmdcscJJ5Kb7pJiSfsZC7KnLq3XwTMr+7n5fKp2d5 +VSYkr7Gw5fRvBW2+CZnnLNgmTfN1azEh+Y2F8ufFvV7+NiHznQ3nybb52qqm +JM+xsR4NTX4GpmTes+Hnonjwm7UpyXdsrH2S4r/P3pTMfzawMdBO0cmU5D02 +VCbZ7XvgbEp4wMbWoCOB0+eZkvzHRsyl6rPf3UwJH9g48jPzUNxGU5IH2dh7 +VvPoMV9Twgs2en9MiVp6xJTkQzY6zF0sx5wzJfxgI3cyJP1umpK8yEbsnzAH +21BTwhM2Dt+OVRv0wpTkRza+Vv26PCnelPCl+/uNKyvXppuSPMlGQFmH8uUC +U8IbNvqZuI3MqjEl+ZKNcfdcD+iKTAl/2DB7L2nxajcleZONB6Kk5KxeZoRH +bOxxDvw5VtuM5E825iWeTn1nbkb4xMb08D6jpw4wI3mUjZV203dUjzIjvGLj +4HnbSyenmJF8yoZe28JXY+aZEX51X1/6p/afK81IXmVj2ciaYzmbzAjP2DDk +zPB+sceM5FcOOs73rbh7wozwjYObV38Ib182I3mWgyFZkUlhd80I7zjQ6xt4 +IDncjORbDuYf9RwrjDMj/OMgfd2iPrapZiTvcqDFal2xNd+M8JCDVf5LfjNr +zEj+5eCcsXTkQLEZ4SMHH1OibR7+MCN5mIPPzX/4/ZTMCS85uLcxOfqjvjnJ +xxyoLna5s6G3OeEnByP9tuabDzMneZmDdvfj+9kTzAlPObh4YM3bxFnmJD9z +kOG/MjZshTnhKwdTcpYG3/cyJ3mag6J7cZci9pgT3nIQ/mBrQrq/OcnXHKxc +1+L67Yo54S8Hm6rcPB0emJO8zcFRA4w6+cKc8JgDIaOcx000J/mbg8d/G5+u +/GxO+MyBd3/Bw4Zyc5LHOVh9K0POj29OeM3B7qeDv/drNyf5nIPjYWtfchUs +CL85UKub5fZO34LkdQ5uZPjbhdhaEJ5zUW/jOuXGCAvCcy6i5l+ofwALwnMu +9kc1jUyeb0F4zsWfltztLe4WhOdc1OZNYI7dYUF4zoWZr9r6m0csCM+5GDbh +8ynFSxaE51xMuVnkfj7EgvCcC/tkl352zy0Iz7mwLdbRK0q0IDzn4mFZk1tQ +tgXhORdbWPscfassCM+58Nqi17BObEF4zsWghxo3vH5aEJ5zofLj6nl/dUvC +cy7OfIj7G2tuSXje/fu5+0Z22VsSnndf7+G0de4TLAnPucDxk5klcywJz7no +a3z92YY1loTnXGyYUjhFfYcl4TkXen36lmcdtSQ856Lw3KEXDwMtCc+5cLld +oHjloSXhORcetyf3ux1jSXjORXNb25L4FEvCcy7urHet+FZkSXjOxUe7Nz9n +cC0Jz7m4cq1B8W27JeF59/UmxjpPUrEiPOfi+8RZXfWmVoTnXBgtlQ+4b29F +eM7DGe2LA/ZPtCI85+E6kzXae74V4TkP+yLX/jnkYUV4zsPvgg9lT3dbEZ7z +8MfaSr/ptBXhOQ8PX5oqL7ltRXjOw6l1DLXSSCvCcx5Mw5/u2/vRivCchwlP +pGEO+VaE5zw8PjDnqybbivCcBx+OabxyuxXhOQ83Ri9bZaNqTXjOw/2DcWNW +WFgTnvPwRT7yzcth1oTnPMyYtnN4/6nWhOc8nG81MExeZk14zoNjyPms/Vut +Cc95OOcb9W7hUWvC8+7ruz1r9txr1oTnPMRlTm7Z+tSa8JyHpXP2WEQlWBOe +87Dbv3m8Vp414TkPl2OFaTfY1oTnPDT65A+Z/MOa8JyHr0vXNmlq2hCe83Bw +ZeQpOVsbwnMevIKGeps52RCed9/f+y+2XNtsQ/jNQ0RqXk5Vlg3hNR8TD707 +O8+0N+EzH1qMZ4K2Bb0Jj/k41n7ghMml3oS/fORIou8+zu1NeMvHFuPtDhVG +toSvfIzQ0+o4ssmW8JSP4iUvw+xTbQk/+Wi3njW3eUgfwks++pXMlH8a3Yfw +kY9PyzdOX7jIjvCQj6sVh8xqHPsS/vHhfVZ/tCmzH/O/93H/ex/3v/dx/3sf +97/3cf97H/e/93H/ex/3v/dx/3sf97/3cf97H/e/93H/f7yPK/t7ZhOeNU49 +e09zOLn+JiTNrtecNXYoWf9NWHsi7kNS0mAy/5rwTn5l9OUj9oRfTfgbcJz1 +w3MQuV/NSPh+Smi/aSDZL81IrIubrHZ8AJmXzXCNiTe4F9Gf/D2zGfZBN/dN +qe9H7m8zlg8c5jCsdz+yv5pRofl34dCtfcl8bUZulK6ZV7wd4WUzrPTqB43T +tCPPoxkBjw1/D93Qh+zHZghSLublf7Al87gZWUHzXW8b2pK/ZzbjyhqeY8a2 +3uT5NYM3zevi4mM2ZP82YwSzepuPiTWZ383YoWAY63zHkvC5GaNZIxhxLfTv +mc2o/PXO4es3U7Lfm+HnFxyo993wf337fc4jZtOYJtC+vfOMS4B7/ybQvr3b +eM9WVVP6c18ELH90fq1GE2jfrqyIS0r/2wjat0duGXRS0tYI2rddV8SHhYkb +Qfv2i2K9zXkNjaB9W/6L+4Hl5Y2gfTu5beGCIXmNoH3bofeWcdMz6PHPYbH2 +RutbHxtB+/aKV+/STeLo513CJP6bRZnPG0H7NmtH57eQMPr5V+GUffnRzZBG +0L59P6Gq/cUNej7X8fXYeBX2xUbQvj301NklDqfp+d3EMc7mF8FHGkH7dsG8 +9IHG++j5BqHfgGVmETsaQft2q+XJv3M30fMPxtRBKmcU1jWC9m1+yw+/7BX0 +eu7ikmJpdujCRtC+/Xf7oSMBs+j13UM/dlz0gamNoH3bqdb36v4J9HofwGTn +jC3+oxtB+/auqb7nbg+l1x+K/Huqi+P7N4L27SfOZ0ZybOj9eISH4h2PTcwa +Qfv2i5zhfZfp0/vzGKFz7aeFaDSC9u1tE3KuixTp/QrD9ebCaPyRgvZtA/c7 +jvd/SEH7tnXL+cJeLVLQvp135PKwHWIpaN/2FNz+U8eRgvZt78QJHctrpaB9 ++zF3VllJmRS0b4vnHV7hViAF7dsO6naTWZ+loH17VV/hAp80KWjfnmN9Zpl8 +khS0b/9Yf8P87jspaN8e2Dl3h1OMFLRvV/zYZF0eKQXt2ykmLLkjYVLQvs0z +qBf1vS8F7dtPPE7HFAZJQfv2b2HR0JNXpaB9O+HUpYlOF6SgfXtbjXFC4ykp +aN8OvbHeP+KoFLRvMzzy9m46IAXt26FKFnsH7JKC9u3VornrJd5S0L5tM/qB +zZuNUtC+3TVr+43Da6WgfVvrzIDHrm5S0L69w3z6SOPFUtC+vez34MGCOVLQ +vv0jw2FfoosUtG93HTrdeQVS0L7tYdz38eZxUtC+PYhfttJ5lBS0b6ufstG0 +GioF7dsTD416+rO/FLRvT/T/plfVWwrat82n1+GDuRS0bxuH6ls9MJSC9m3j +jZODT2pLQfv2zkP3Qreo0vXW3cf3NvZbKC8F7dtB/rtMx/2WgPbttNfsLXbf +JaB9e+xVH1WdrxLQvt2l8U74SyQB7dtLniX/EnEkoH37BvfHqMpaCWjfDpYb +efpzuQS0b78ovlufWCgB7dsTOxLGRX+RgPbtCusdZ0IzJKB92/UGN+EmQwLa +t1ObHmadT5CA9u3Tbd7hx2MloH27f9CqOfujJaB9e4tVduSOcAlo3x54yC3e +65EEtG+ffM7bvjZEAtq3bVdcTVpxSwLat+W9Y0MXXZGA9u2vHI7m3PMS0L49 +/n1Tx4xTEtC+3Tu1bPXUoxLQvv2wvmjI5AMS0L69SnvuhvG7JKB9e+OL6u9O +2ySgfbsyc1b9KC8JaN8eceSiocM6CWjfnuebEjhspQS0b+9Y5DRjyBIJaN/+ +vd19lP08CWjfDltSOH+gqwS0b4ddXHytv7MEtG83Lcxs7TtBAtq3+3s6+tg5 +SkD7tlJV9m/b4RLQvn3EsPhW70ES0L7d+SBxlI2dBLRvLx+lVWBlJQHt29KP +ezdamkhA+7bz5nixuZ4EtG//ePthjZmGBLRvH3p6NM5ESQLat1VKTKRGf8Wg +fTvzTVKXQacYtG97JX/g6LWKQfu2KWN/sE6jGLRvH9XZZqwlEIP27ZHiwW7q +LDFo305O8nRTqRaD9u27Z/L0FEvFoH1bYfi043L5YtC+vbEtIuR3lhi0bxsX +/VrfkSoG7dtjWmbntn4Ug/ZtX6v4iqZ3YtC+7Tn5wHFRjBi0b4eU5n7kRIlB ++3bpCf1LtU/EoH3b88QLYdkDMWjfDnA2yMsPFoP27SfS3DFZ18Wgfbvvj922 +zEti0L7NjA8/8/6MGLRvz1PsveHlCTFo345Lu//miZ8YtG/r3P7qe3evGLRv +Z+5vv3Nlpxi0b19xCxp0eosYtG87lX+2OOgpBu3b2rXXt29bIwbt2+0L5UzX +LheD9u3KjCHmCxeKQft20JDJPs6zxaB9u885L4OR08WgfftnWJ18n8li0L79 +KKd5nN5YMWjfHntBFPfXQQzatw3d+/s2DhaD9m2HI+pbqvqJQfv2pVsKdzJt +xKB9e+zVc39fm4lB+7bjQrPgewZi0L4tOjx53RktMWjfHjXt/CIfFTFo39YZ +eGPbil5i0L79KqsrcvIvEWjf1nu+W61fuwi0bzerhZ1RbxaB9m3tMFfrZqEI +tG9XnOmdW8QWgfbtMaK2K29rRKB9+1Xr9Q23y0Sgfft2cP70gwUi0L59dvOZ +EW7ZItC+XeB5x25Mugi0b+uVfTU3ShaB9u0vQw8bfHsvAu3bf/OHqOe9FoH2 +7fj2v78inotA+/algDbeyaci0L6t3KyTsfqhCLRvi5Xdg0bdEYH2bXeuZLn6 +DRFo3y5NLlRouCQC7dv1OQPvxp0RgfbtSDtdk3MnRKB92+X28wOr/ESgfTs2 +xC5h8F4RaN++2f9pxa8dItC+feva+uLszSLQvn3yaOCz4PUi0L79/a3XAq/V +ItC+nWDU78uIZSLQvh2zTdvk13wRaN/e6LF5RPpMEWjftllzyPDSVBFo3w7a +cY25eKIItG9bOMiNNHUSgfbt5fFmm2qGi0D79undjqseDhKB9u3BRi811tuJ +QPv2sgDWAVsrEWjfdkwZca/BWATat+dk/933QFcE2rdbr7yVX60uAu3b66s+ +wFhRBNq3NZ2O2xd0CUH79sH6ncxzP4Sgfdvn1e8/aBGC9u2rKvc57WIhaN+e +ycjcFsUVgvZtW73Wm+51QtC+7X3u/hqdCiFo3x7fPI3JKBSC9u2MiZuSdn4R +gvZt5dNnFllmCEH7dgJ7oF9WshC0b9/3LR69J14I2re1hmsft3wjBO3bVR2e +y9OfC0H79q7d7vHeT4WgfXvGzwuPdR4KQfv2yTt++rHBQtC+3dH2UWnZdSFo +3140mXuo/aIQtG+f6nd6+80AIaj/ejTbodrhuBDUf9V6dSot96AQ1H/ViNSz +27JbCOq/vijZ+0NuuxDUf7U86zo52EsI6r9Ode7XPnSdENR/ZT6OMkt1E4L6 +r1bu+yKXLhaC+q+DBvR9zJsjBPVfL8cs77XPRQjqv567FZ0uDyGo/1q/OFsU +OFYI6r+2jluw02ykENR/VfKqX/B4sBDUf418bn1pUD8hqP+67Pbr3q+shaD+ +6wC3SRqjTIWg/mt2wuZZb/WEoP7rEdPyCkcNIaj/OmP5yPdxikJQ/3VOQW+2 +wx8BqP86ZensFdE/BKD+q6rxCsMBLQJQ/3Xr02bjh2IBqP8q4XxcY8QVgPqv +BT83c87XCkD918GL7kb8LhOA+q/GJ7sidxQIQP1XlSUTeDWfBaD+64SorhWz +0wSg/uuMgKa/bz8KQP3XV83vq2zeCUD91/hOBf7ZVwJQ/3X4qyM2TRECUP/V +Qzvn9OLHAlD/tc/fEIO3IQJQ/7VpVtBno1sCUP/V8srGJ3sDBaD+66DJMY8K +zwpA/Veby30YQ/wFoP6rou/GnwF+AlD/dctV60W1ewSg/qucSydz5A4BqP/q +dv6e65lNAlD/Naj9Fbt8nQDUf533rurKgJUCUP/V63Ta3H2LBaD+q959XZOU +OQJQ/7UkYbNU3UUA6r8+UN2ZvXiyANR/ldplvQweIwD1X+U1zG/XjBCA+q9D +zVX8re0FoP6rvsJI77V2AlD/1TBj4sJ7lgJQ/zXxadaICiMBqP86ZNB+NX0d +Aaj/+k6dVz5LVQDqv652jLtzvJcA1H/d9dF/buxPPqj/OqNE3Mht5YP6r+XZ +Fw8bNvJB/dfzcnKtznw+qP/a0sBatKOeD+q/PqnOvhVUwQf1X7Xdl3xMKuSD ++q/LP+mnsbP5oP7rrG0Pw5XS+aD+60z/wM39k/j4n//aZ6+cyzs+qP9aNIXj +s/4VH9R/VXm8I/ZwBB/Uf31bFpZ14xEf1H/dNtooOvIuH9R/DcpbsDbpBh/U +fw31aajMu8QH9V8try+2qgvgg/qvTStH9Jcc44P6rycuy39rP8AH9V87Axce +++PLB/Vf9ywLzVL05oP6r9i0P091Ax/Uf7XLW3ZZfQ0f1H8NN2fKqy/jg/qv +y5Y4DlGZzwf1XzVbR6nIu/JB/dcFrLHXfoIP6r/e3Mb49HUsH9R/DZ7sHMF1 +4IP6r+/WOY0os+eD+q/ob+SeYccH9V8LRy8e8saSD+q/xu32CLlnxAf1Xx2U +Xoef1uaD+q8tM2Pne6vwQf3X1V9KLs+T44P6r+Jp/p7DOnmg/uv1y32yNb/x +QP1Xo9DeqUIxD9R/3Xie5ZrK4YH6r52bPrvdqeGB+q/D143/tqOUB+q/ht69 +qTcljwfqvx6c5BSn84kH6r/mexwur2HwQP3XLo3kg+HxPFD/VTp0+S2f1zxQ +/3VT0B17xygeqP+6tbnaseMxD9R/3fFuZ1x8CA/Uf41xfBS2/yYP1H89dJQp +N/IyD9R/XeNu8lkcwAP1Xw+6N3WGHuOB+q+v31+8uvQAD9R/lSwcdUHJlwfq +v459058bu4UH6r9qyj8NWbeeB+q/buwSR6uu4oH6r+KvI01fLeaB+q8Wb+LK +F8/hgfqvHsFPRa3TeKD+q93+sXOuT+SB+q/sa/c7hzryQP3XsQ/lv2UO5YH6 +r7z31x3c+/NA/dfspfvffrXmgfqvghnFfv4mPFD/1TUm55iOLg/Uf236fTL5 +rioP1H9NrTYYY9eLB+q/bhhzlxfRyQX1XxWGD/s0+BsX1H910uFVPBdzQf3X +D7MLTQZxuKD+69g98v5h1Vz8z391CNCzKOGC+q+HpmzIvJLDBfVfV68Pu9cr +gwvqv8a6zbrmm8QF9V8zuMse1bzlgvqvF95lfnZ5yQX1Xx28g1RePOOC+q93 +jn9cofOQC+q/pi4e+t7nNhfUfx1uWNUn9woX1H91TYm5PeAcF9R/fWh5T//4 +CS6o/zrr2amrxQe5oP6r+s052v12cUH918ufS87t2coF9V8vFfz+nbyeC+q/ +Cgrub1ZZxQX1X2PPBWbMXcwF9V+nTX9scGU2F9R/NS+LmJ83lQvqv1YF7tyj +PoEL6r/2dvx4bNooLqj/urpr+Y5Dg7mg/mugv9zEF3ZcUP9VPWG/oNqCC+q/ +Wn7ds13VkAvqv4YzXn5y0OSC+q/xilXtKxS5oP6rasWTVr/fHFD/dWdAYtLd +Ng6o/zrnVOrC91IOqP9atdUjsoDLAfVfT4pHpfNrOKD+q/KnivudJRxQ/zUx +iz9SLZcD6r/eet9y3CiDA+q/uiw672+dxAH1X+2mjBvd9y0H1H+10z9/s380 +B9R/fT3894N+Tzmg/uu+Ir2Ftvc5oP6r3u/pT8xucUD915ztmsHalzmg/qvt +3aoBcgEcUP917lOrhU1HOKD+a8IiaFXu5YD6r96/nqxmbueA+q9TLr8a82Qj +B9R/nXDgw/3Tazig/mvYk94X1i/lgPqvNrtHdo6fywH1X+PCINCdzgH1Xz+s +ur6QM4ED6r/Gavg6vBnFAfVfdfeanTk6mAPqvwqvZM92seOA+q83ryQeVrPg +gPqvjrkWRtn6HFD/dVCqhcFZdQ6o/7r5N3vXFHkOqP+abXBr6PdONqj/Ov7h +wqkRLWxQ/zV/0PAXy0VsUP+1cIDL5l4sNqj/+kjyyCeigg3qvwbdXZAyp4AN +6r++tl3iJvnEBvVfZ9m/GHGWwQb1X29qL5th854N6r+a5029FfuSDeq/Gsl7 +mU1/xgb1X18UPs0ruM8G9V+t+aKYlbfYoP5r3lrdj3WX2KD+6/iMb5J1p9mg +/muunNfE2sNsUP/10WzHyOV72KD+a2/bIcNyvNmg/uuZCZqfJnmyQf3XxUev ++zxfyQb1XwNF++yMFrFB/de/tzbX+c1kg/qv4x/o36sBG9R/DTG2dBs/hg3q +v5r87aN9cxgb1H/tdGQmiPqxQf3Xw95nlk+wYoP6r8468g3nDNmg/uubuQmL +ijTYoP6rU+vgcBMFNqj/Om9HcbXbTxao/xr4uo/kVgsL1H89MPpMQZ6QBeq/ +Dq3acFahgQXqv6oLzTVGl7NA/Vfd7worPPJYoP7ruAbfTecyWKD+a+j7F6Nf +fGSB+q+XYn99/BLLAvVf5/cJ+cmPYoH6r3KrUoRdj1ig/mvvyPsnde+wQP1X +OVe3NOurLFD/VW+6/rOBZ1mg/qumH6/fsGMsUP9Vcpg7afg+Fqj/mhZgLhq8 +nQXqv/psv9in7wYWqP9qdHkUz2QVC9R/9f+oMkRlEQvUf13h1N7S4soC9V+N +LrEcKiazQP3XHzei+AmOLFD/9cGK/hq3h7BA/ddb8nZ3fexYoP5rdMGmwGnm +LFD/1eb3K7a+HgvUf734PO5arQoL1H9Nq+8TFPanAdR/9V4fKt7Y3gDqv659 +mX3eVtoA6r9+5zvtrGQ3gPqvuh92Xb9U2QDqv4qW//06vqAB1H9NWbD6NDez +AdR/dbvaMeN8UgOo/2rn4jxqUFwDqP+6IStoWnpUA6j/2np50e5VjxpA/dcW +7ZQEye0GUP+199vBhgcDG0D9196ZeYf/nm4A9V+3za8T+x9uAPVfdTQDVv/d +1QDqv05Zyf10YEsDqP8aamxoL1nbAOq/Lr7V58jKZQ2g/mvJCcP41DkNoP7r +3Sxuef+pDaD+q9f506UBYxtA/dfN36ui6oc1gPqvNlMzFo3u1wDqv/46Y5x+ +yqIB1H99/er2r1y9BlD/1WOdyjf97uNQ/zXnzaipT3/Xg/qvTYUtiuaielD/ +tebqWZvTpfWg/usbXesATko9qP96QJo1cGz3dVP/1Vr5TJf/3XpQ/1W6eNJ3 +5pl6UP91UThTqX13Paj/OvDkF2vrdfWg/uvGcvnRE7ufE/VfbavaxiwYUw/q +v5pnmlqtsKsH9V8DIvJKl+jUg/qvMweuW+ryqw7Uf70579eFwYI6UP+1dObH +bcrFdaD+q/K4MGFpch2o/zqvJbj1TlQdqP/akbTj2OKgOlD/NXtgpd9f/zpQ +//Xax0NVoTvqQP1Xo6ySQKeVdaD+q2vv5eeZ0+tA/Vcma8GHySPqQP3XEw4/ +jV5b1IH6r+2/d18wUakD9V+RkKS2+1stqP/62jbtNLO2FtR/vda+UCr/uRbU +f9V4a+YwLq4W1H91d4+btuFhLaj/6tD3nqH/hVr8z38dOeLm9X21oP5ryJrY +N7e75yD1X73q5nhcnVML6r/K6SpcPuJUC+q/PrHOGLjGthbUf72U6mE3XLMW +1H/1aDuzvf17Daj/Gv0ou/UlqwbUf/XzCI1Y3c0B6r8Kbdf6/XpXA+q/ijnj +3QIf1YD6rx3r5zsYXqoB9V+r06+2XdpfA+q/7lpUdbXDowbUf/Ux5rQtn1MD +6r/a35mqE+FYA+q/zvL3yZPY1ID6r/unMXr3Ua8B9V9/Z3u1zW6rBvVf5/TZ +N2ZTXTWo/7rFvLZ8T1Y1qP86vcU7bc+balD/Vfg9S+B1rxrUf/V3ODZy9plq +UP91ulzT1d6+1aD+q2XSiq/CldWg/usw97JJYd05hfqvZroemxYMrQb1XxXq +MpY3GleD+q/rp8f+OiJXDeq/7i6Mdv4jqgL1Xw8nGxrtKq4C9V9Dz/X2LP1Y +Beq/+p1jGw5+VgXqv04+N91sd3euo/7rVTfV1VEHq0D9V/GGTxnF66tA/deg +Kd+mN82uAvVfHZ0L0jpHVYH6rwUKx4d+786l1H/d/LdlO0epCtR/7aX7bXtK +UyWo/zqgl5Xp1fJKUP+11pjntoBZCeq/tt28avY3ohLUf82YPm76w2uVoP7r +3M4vqcP9KkH914t6qsdjPCtB/dcZncc8bedUgvqvf8JvLD85qhLUfx1i7Te9 +2KIS1H9NaHAyMlSsBPVfH+5+8Hq6tALUf43NWSrv1d1bqP8aXJzTvPdjBaj/ +yvji6rn3SQWo/3riS4nzhksVoP7r2MEL9zvvrQD1Xze3egq011SA+q+ZM08e ++TKtAtR/nVfWZHlgcAWo/2ruGPdKz6AC1H8duzjCIuRnOaj/OqnI29WAXQ7q +v0bs3mjm97kc1H/V2Jp5ID+mHNR/rWecn2kQXA7qv+q93rnL5Xg5qP864M+v +cq9N5aD+a68Zx9bvnVcO6r/au6m0+o4uB/VfnwTs2LXaohzUfx3ZPDtllHw5 +qP+qXvg7s1NYBuq/Sr483hSdXwbqv54YbH16/rsyUP/V2F5PofZeGaj/et6h +LtPtVBmo/+puFv4mZWsZqP+q6Dk30mRhGaj/6rBj++VVTmWg/uv4WE/nC5Zl +oP7r7vYZ4c/ky/A//3WHNPSVsBTUf3XubNB5mlcK6r8umL699ExcKaj/OrQx +sGjp3VJQ/1U+opdQ+0QpqP96x3309zivUlD/VdN1Xu2MOaWg/muz0sCj6SNK +Qf3Xpvilb4cal4L6r+WaJl4nf5WA+q/iWV7bUhtKQP3XPe6CZ40ZJaD+67X6 +9naF5yWg/qu50R0npasloP7r+cazM1r2loD6r9zf/RWyVpaA+q9nd52ddX5y +Caj/mqK06ftouxJQ/3VI4yB+tkoJqP8amcRpmy0tBvVfO5bM/RNXUAzqv2bE +ptWqvi0G9V9tOAt2zrhTDOq/Tk0JubDjaDGo/xpc0a55bH0xqP9aPXhB/gGX +YlD/dcafcc9XDSoG9V/fBAkP9dcqBvVfb3QNNqz+WgTqv0a+3b34YEkRqP/q +4fNJ88/7IlD/Ne5dvvn2kCJQ//V0SPaS1GNFoP7rhKVXAuU8i0D91ydVFx/1 +dykC9V+5J9M8Rw8sAvVfN17KDR+kUQTqv959Ueyi3FQI6r+ev3FVL7ugENR/ +5W2dX783thDUf42ayTupHFQI6r9qRiXkHztYCOq/PilODK5dVQjqv0aMWx/T +Z1IhqP9qVP1COM+mENR/DQuyM1jXqxDUf117MF9uOacA1H99/3fiyZEZBaD+ +a5P7oc1tzwpA/dfoF7onQs4VgPqvdyw1QwZ4F4D6r58tvp4JnlMA6r9y9yTq +NQ0pAPVfE9Y1qA7ULgD1X7eNuLP7Ul0+qP/Kj0msuxqaD+q/+r9Ob1zjmQ/q +v+adcHT6apcP6r/2stw0bzYvD9R/dZMGfPJ+lgfqv2b6Bo1ZtDkP1H89W7xp +eNeAPFD/1W7bFewU5oL6r979d/wIi8glPOdBgWPJu78lF9SHVXy5/9bqgbmE +5zz0b1K9WSPIAfVjtQ8YbOsdnkN4zseIgJNh/TflgPqyqY9E6U19cwjP+Zi0 +89iyg5wvoP5s5XKDqtRHXwjP+Tg0WL8sd90XUJ92/4P9X4KtvhCe8xEXkTXe +rjob1K9dVmQctj04m/Ccj8B1X/33LssG9W0LPNYtGa+fTXjOx+en7GtJeZ9B +/dtt1ze/67rwmfCcj+2l08b+nPEZ1Mfd/HfY5TfynwnP+VD7OWFQ/+QsUD93 +59qiY0sPZRGe89ERGf5n4ugsUF+3fGG8SX3TJ8JzPvZETxkzPvITqL/L+vI2 +a96GT4TnfJzVTXY0s/oE6vP2SRjEDynLJDznY9AYCUqvZBKed99fT53w9JmZ +hOd8TAh4e3Rrr0zCcz56j1fpm5aQQXjOh6jfiI6CXRmE53w4+i9bfn1QBuE5 +HycPXA5WZqUTnvOx2q3MeGhwOuG5ABl3tAYoLUgnPBegPopvGaiUTngugO8t +1qxPH9IIzwXADueuV7vSCM8F4FyUP+06II3wXICS2wUDL9ekEp4LsGrZ71En +rqUSngugMWWXxGZGKuG5ABslUde3/UwhPBfAfFCUt+fLFMJzAe63il4reKYQ +ngswz2Lpk/lGKYTnAmTGOR6emsUkPBcgZsaoHXV+TMJzAdzfTnxpO5RJeC6A +dmmveuNkBuG5AF92piY72jIIzwW4XJe1+MGpZMJzAR7uWiKdz0siPBdAOOBd +mcuMJMJzARb3wwr/Zx8JzwU4ddo8+q/SR8JzAT6ccdRjbPxAeC7AHkvbd0mp +iYTnArimtn7qtEkkPBfAP9p++64jCYTnApyZdiGlT0U84bkAI8u76jVGxROe +C9A7fH+F/eX3hOcCBJhkxx3lvyM8F2B5nysH5PGO8FwInY6fFu+D3hKeC7H7 +y8dbdxrjCM+FGJlaURA+LY7wXIgLiidf1wfHEp4LsYbXaoDGN4TnQiy0nJ2T +NuUN4bkQszTcnm278ZrwXIhBqse9RvNiCM+FSA47lW7hFEN4LgQrJ008WfMV +4bkQat+nTPWLiiY8F0L/uEs+d8YLwnMhAra0Jh9iRRGeCzH7xObBo/0iCc+F +GHt6uYWOXgThuRDjc8L9lZ49IzwXwmur1iT9cU8Jz4WIfHbHZER2GOG5EI7l +izJXrnxMeC6E0D1ZeJ4fSnguRGtMs+5+zgPCcyHOxng65LeHEJ4LkW53XGKg +eIfwXIjm8nGfx2oHEZ4LsT6ypO9IwxuE50KsOF85oM3oKuG5EMuXWt3k9b9A +eC6Ez5hPodPMThOeC/Hk2JrhxUpHCM+FSKt5ntoR6EN43v38brJ2HU6cQ3gu +RKXOZ1Z+6XqGjOciHPkRwlu7bh9DxnMRrtpfYfZrPcGQ8VyEv2rxHt9wliHj +uQiqolVzHIIuM2Q8F6HvNnu/vz+vMWQ8F2HPF60BH4ffYsh43v19xoJN7luD +GTKei5Cubzv6W3gIQ8ZzEcYV+xaclzxgyHguQv7ryQ1m5o8YMp6LUOwyVviX ++Zgh43n3969PqR/3fsKQ8VyESYPD9j80fsaQ8VyEL8WWJ7dmhDNkPBchae/6 +SN7+SIaM5yL4iBOuWg5+zpDxXIQQvwlKJuwXDBnPRWhelNZVG/KSIeO5CB2x +v+dNGhLDkPFchPkB0ca/NF4zZDwXoSuqv/HQxtcMGc9FMGsO6V2R/4Yh47kI +v8TFtr3iYhkynotQ8ifJKvpOHEPG8+77X6rpwDrxliHjuQi8+6XXHm99x5Dx +XIR1/UZf/b7kPUPGcxH8/7YGsRHPkPFchJPiyUO2D01gyHguQnjowm/BlokM +Gc9F2DtrRMROrQ8MGc/FOMnwsW/9+4EhG/hi1LK+7hnS9pEh47kYO+xfP+gt +TmLIeC6GZkYIt5SVzJDxXIxYL8/QxdUMhoznYqy4Mix8/jYmQ8ZzMZYmxc+o +EzEZMp6LEXZV7urqrSkMGc/FUB/o+/2zKIUh47kYW8fbyw3dlsqQ8VwM5r3L +M841pjJkPBdDf3DS/nrfNIaM52J0YMhip/Y0hoznYrwQDn911S+dIeO5GL5K +tw59k8tgyHguxoxzE2LXnctgyHguhqvy+701upkMGc/FmFzU1rj9biZDxnMx +IisFm4z6fWLIeC7G7+Pjp1TFfGLIeC5G6DvlNsakLIaM593//8ZN4y9fshgy +nouRHWGzQm71Z4aM52IM2RZ2YqPkM0PGczHuCV/6/T6SzZDxXIxTA6bMztX5 +wpDxXIxlh8P1a8K+MGQ8F6Ot/x7jYeNyGLLlLMaU8A3xWfk5DBnPxfiquPXI +6825DBnPxTh0LVK1SS6PIeO5BG8OXO9/OiSPIeO5BCqB39IOjclnyHguwdNa +m7aSknyGjOcSVAy+2ZE7roAh47kELIuzgeLzBQwZzyV4N/ecf1pVAUPGcwlu +bzoxZM2QQoaM5xK4n1gsF3+skCHjuQQ13jdPVxUWMmQ8l2Cly3y3T/2KGDKe +S9AckzLypF8RQ8ZzCW52jeVqFxQxZDyXoPpV3fJd/YsZMp5LoJ5+/0r00WKG +jOcS9DbVePWptJgh47kEq7e7fUsbVsKQ8VyCTJ9Fcc/OlTBkPJcgaemGnXs5 +JQwZzyUY7PsqwQGlDBnPJQjcMWghJ6SUIeO5BLsiU7QCO0sZMp5L4DT3XouT +WxlDxnMJvnxaZsN5X8aQ8VwCzY3LGm6bljNkPJcg4Mqwp6v8yhkynktwJ05P +xaG2nCHjeff9Wjvvc2/nCoaM5xI4n26oGv6sgiHjuQQzGqzdNmpWMmQ8l0Db +ecul9D2VDBnPJfAsb21dXlPJkPFcikmBMZP6zKhiyHguReKlCfOGvq5iyHgu +RY1+08TjVtUMGc+lKGlT8LC5WM2Q8VyKnZo1041+VjNkPJciTWvp+y3eNQwZ +z6UYOM05zbamhiHjuRTfclTez1xQy5DxXAqRxeL+/LRahoznUuw7JJbKj69j +yHguxZfAeIeI13UMGc+laPrN3VpvX8+Q8VwKpfH91rx5Ws+Q8VwKfRWz60Z6 +DQwZz6XgBTta2C9sYMh4LkW9e6mD5dUGhoznUoy6/3RnS0EDQ8ZzKW6lvb73 +Sp/FkPFcCtuc+x/WLGMxZDyXokPwp9ffYBZDxvPu659WUn+vlsWQ8VyKDJFt +r0l2bIaM51KYNb5ayN/KZsh43v35Nl9/PnzNZsh4LsXeCs1du36yGTKeS/HU +y26753QOQ8ZzKVK0or/6XeEwZDyXYk+E2eK0ag5DxnMp+tk8dJo+iMuQ8Vza +3eucQ5QPchkynkthGGxcYZDFZch4LsXcvC9P9pnxCM8bUb5qp5XTdh7heSM8 +/h77tI7JIzxvxPiyJbe7jPiE540ojc1rN9/BJzxvxG3tnZlpGXzC80akyzN2 +KPYWEJ434nnQmArRYQHheSMe/T3h718pIDxvhObS8UcqxwoJzxvRUDzRT+6u +kPC8Efop20qVuoSE542YFW5+p3O9iPC8EYnNRpekWSLC80Z8ebdqwlcHMeF5 +Izp1LimZ3BcTnjdi9STHAXvVJYTnjfCtF32y8JMQnjdie85yVTuphPC8EQVm +bnqhHlLC8+77892+b1S5lPC8EVnTS56vXNRIeN6IOP/yBVm5jYTnjQjZvkZV +b14T4XkjVBx5oxbmNxGeNyL6SeLLsKXNhOeNGNQyRmpf20x43gidRfrnT43+ +SnjeCP7wgReHHvlKeN59vxYva36a8ZXwvBFjX2qMlNNrITxvxLy4vtKFa1sI +z5uwPdlPEB3dQnjehBU67o7D/rYQnjdBW9vAVbz4G+F5E5oPRKn+jvhGeN6E +UTeW/jnWq5XwvAlnTEI3Xl3TSnjeBIMK4ZIFia2E5014FbhBUGPeRnjeBBPe +hC1rjrURnjdB7dS8o//X3nkGVXmmYRiVoljjsIKV7xTOgQPqOURBsRxLFMta +dpWTtcSuiJIssRBr1MSVFSxBUcQoghVrjBo78oiFsopiTRTBglhwjxUVpWR/ +nPt7582v/bmz8/y8Zr6Z7+czc819v/fr4jeOe263ztF6jskdWOa453bri56p +nvVOljnuud0a4hl4vCTgreOe/+d/+XmH41LfOu653Xo58ZjR3Oyd457brf1i +h7atn/jOcc/t1mkFXmPHeL133HO7da0hrUNk8nvHPbdbw6dPSZ3lV+6453br +ltkH468eK3fcc7v1zQuP9PxBHxz33G4taT5q3KXSD457brf+Fnk3xLLio+Oe +262pvh/jJwZXOO653fqnlOzlDx9VOO653dq7efChoJTKDPSzLTebnHg2pioD +/ey9KW6Hd5uqM9DPHpLpf8JUVZ2BfvZPV0pWNv/KSe1nt8l60LngvpPazw6O +W5Z/64saaj97cPeNsXuLaqj97NrXz8TWiKip9rMNI0yWlA811X52frvgwOg1 +tdR+9tGkVcEbQ5zVfnb/MGOniFJntZ/d8V7m8rk7XdR+9o9nxuYWRruq/ex7 +55dFWmxuaj97+JOBqU/61Vb72S5v0odkDauj9rPTvnmWm7rUXe1n754R3baq +uK7az95S0LnP5vn11X52/qVK07johmo/e+Pfxyz61eMTtZ8dZthZ2GiaB8Fv +3TH3bp0+Rrz3NvfdN5/0jfYm+K12HbvbKuLE+28ttgYsuZnqTfBb+2LXtbl9 +xFt9D875Wm6RW543wW81SnZpParYW30fLiJpw57CD94EvxW62qXibG3xXtzM +ZBeb2UMh+K2gVbZDP3uL9+NGuByd3NdfIfitglN7z78LUtT35G4/XaZL76EQ +/Fb6haU3kgYq6vty71+f67BiuELwW7PKR21bP0lR35u796/5szOmKQS/ZYmf +5FH5raK+P5epX3pzSJxC8FsPOqwynE1U1PfosnXzwwduVQh+q2z09pqv9yvq ++3Q7swvHHUhXCH5r+o8LypblKup7dZF9Fpi+v6kQ/Fb/5jEz1hQrBL9VI7tO +37MvFYLf6lwyXGlUrRD8VnZ41szZ9TQEvxXf5Kcsp2Yagt86Y9yUuN2oIfit +Hq++nDK1vYbgt07e/Dx7UE8NwW+NiGrZZehfNAS/Feyu+9vs0RqC39qzIn5P +xpcagt8qvTsr3zhPQ/BbXXNfjz0QqyH4LfvSAZWjkzQEv1Xu7RdqSdMQ/Nac +qbseGY5oCH7LIzg1rvt5DcFv7Rt0uHjRdbxv8Mi68Mz6nY+L8b7BI+uGiI5r +o99oCH6r77WnUwOctQS/9fDK7pfuHlqC30reZXvTWK8l+K265qLevdppCX7r +jD0+c/NnWoLf6rTSFhoQpiX4rSv7yk7cn6gl+K2jY8c1yIrWEvxW9Hq3drdi +tAS/teJIU1PLJC3Bb4XOe1b2wy4twW9NyhiwLeikluC33JSHAxvmaQl+a5Zn +UuMWd7UEvxV7rrzpqFdagt/aWfDzuhvOOoLfqmpWdWGJp47gt7RZ/k5RJh3B +b+3Y3ypmdRcdwW+lWIsyXw3WEfxWW5NFs3KCjuC3esz4tOWUWTqC36qe6t7t +H8t0BL/VdmKC5n6KjuC31riZIr77RUfwW+09p+aF5+oIfks56NUsoUhH8FvH +W1UZ6pTpCH4rr+eT+9nueoLfOtjh0KDrip7gtzyCxi42B+sJfqswrt++ggF6 +gt968OfJjQsm6Al+a9qavQ0s8/QEv6X5fkHzwtV6gt/66N/n4sPdeoLfctX6 +3uh3Vk/wW1ttOW6N7ugJfitxoS2sw1s9wW9VL1x//XxDH4LfSk7rf+6Unw/B +b3lbYpbqPvMh+K3kjEUH3o/yIfitusOmVXWf40PwW/t/TR9Za60PwW/1Ohrx +stsBH4Lfunn1jld1ng/Bb4XkVU7t8cyH4Lcu39pytqG7geC39lfE9Ij0NRD8 +Vrt+KYO/CDUQ/Nbeb2vvfjzJQPBbPQyLJnrEGAh+6yvXpFclOwwEv+WqrPUP +zzEQ/Fbxb0HDNpQaCH7Lc2t4lxUNjAS/5XW5fqtegUaC3ypaUz8/x2Yk+K2E +6UdyfOYZCX5r+9zLxvGbjQS/dazq6+xlOUaC33q0yW3YvpdGgt/yPpQRea2Z +L8FvjbTlJ9Tr5UvwW7MWN5g3McqX4Lf+enKQ6/MNvgS/9U+38TOO5/oS/NZ3 +if2X3yr3JfitM3dyL443+RH8Vr2CcZsiR/oR/FbYzN7r6v/gR/BbJRrn82PP ++RH81vLhRpeYCj+C34rrtHFycnsTwW8ltphw6EKUieC3WpprvQjZZyL4rQuZ +cU087SaC36qYmFiaYPYn+K3dA52q7TP9CX5rW1Tgs/BT/gS/lb6ub0l/9wCC +30qOCE17NSyA4Lecbw1/cnpvAMFv3anMWWR2bU3wW/MjdyzIHd+a4LdauS5e +EpTVmuC3MtsvdupiaUPwWx2fryrN39yG4Lfs3qvnaVu2Jfitkc8Dl6eltiX4 +rRwnW4yuqfl/bj8TfmtH0cXpIz430+3zLU7vzyhR2au4wLb5ql3lp1/PHBUy +VHzP+5u8v8n7m7y/yfubvL/J+5u8v8n7m7y/yfubvL/J+5u8v8n7m7y/yfub +vL/J+5u8v8n7m7y/yfubvL/J+5u8v8n7m7y/+f+yv4n80ohdm03JrsFqXgmM +fBJY7ds5GPkjMPJGYOSLwMgTgZEfAiMvBEY+CIw8EBj5HzDyPmDke8DI84CR +3wEjrwNGPgeMPA4Y+Rsw8jZg5GvAyNOAkZ8BIy8DRj4GjDwMGPkXMPIvYORf +wMi/gJF/ASP/Akb+BYz8Cxj5FzDyL2DkX8DIv4CRfwEj/wJG/gWM/AsY+Rcw +8i9g5F/AyL+AkX8BI/8CRv4FjPwLGPkXMPIvYORfwMi/gJF/ASP/Akb+BYz8 +Cxj5FzDyL2DkX8DIv4CRfwEj/wJG/gWM/AsY+Rcw8i9g5F/AyL+AkX8BI/8C +Rv4FjPwLGPkXMPIvYORfwMi/gJF/ASP/Akb+BYx+Fxj9LjD6XWD0u8Dod4HR +7wKj3wVGvwuMfhcY+Rcw+l1g9LvA6HeB0e8Co98FRr9Lvg+C0e+S74Vg9Lvk ++yEY/S75nghGv0u+L4LR75LvjWD0u+T7Ixj9LvkeCUa/S75PgtHvku+VYPS7 +5PslGP0u+Z4JRr9Lvm+C0e+S751g9Lvk+ycY/S75HgpGv0u+j4LR75LvpWD0 +u+T7+Uxl9LvkeyoY/S75vgpGv0u+t4LR75Lvr2D0u+R7LBj9Lvk+C0a/S77X +gtHvku+3YPS75HsuGP0u+Z4LRr9LvueC0e+S77lg9Lvkey4Y/S75ngtGv0u+ +54LR75Lv+b//cM8Fo98l33PB6HfJ91ww+l3yPReMfpd8zwWj3yXfc8Hod8n3 +XDD6XfI9F4x+l3zPBaPfJd9zweh3yfdcMPpd8j0XjH6XfM8Fo98l33PB6HfJ +91ww+l3yPbf/4Z4LRr9LvueC0e+S77lg9Lvkey4Y/S75ngtGv0u+54LR75Lv +uWD0u+R7Lhj9LvmeC0a/S77ngtHvku+5YPS75HsuGP2uAV7T5yfsDyT0u8Kv +WpwHk5n3N3l/k/c3eX+T9zd5f5P3N3l/k/c3eX+T9zd5f5P3N3l/k/c3eX+T +9zd5f5P3N3l/k/c3eX+T9zd5f5P3N3l/k/c3eX+T9zd5f5P3N3l/k/c3eX+T +9zd5f5P3N3l/k/c3eX+T9zd5f5P3N3l/k/c3eX+T9zd5f5P3N3l/k/c3eX+T +9zd5f5P3N3l/k/c3eX+T9zd5f5P3N3l/k/c3eX+T9zd5f5P3N3l/k/c3eX+T +9zf/i/1N+C17Sfclxyeb1fcHNW7JG7qdNqvvD9bd6b/Iyceivj/YNSrmRZ9E +i/r+oHKhXpSmZaD6/qAtrPpO+qlA9f3BwsxLj4tjP1XfH/wlrU/lppR26vuD +HsmFdUvDgtT3B+O77nkcvCeEfgfqMWVU + "], {{{}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{ + Polygon[CompressedData[" +1:eJwl2VMQIDgWBdBp27Zt27Zt27Zt27Zt27Ztc6b3dO3HqVTy/yr3JgkatqvY +NuA///wTgNVB/7/+3QciMEFw/E8wghOCkIQiNGEISzjCE4GIRCIyUYhKNKIT g5jEIjZxiEs84pOAhCQiMUlISjKSk4KUpCI1aUhLOtKTgYxkIjNZyEo2spOD nOQiN3nISz7yU4CCFKIwRShKMYpTgpKUojRlKEs5ylOBilSiMlWoSjWqU4Oa 1KI2dahLPerTgIY0ojFNaEozmtOClrSiNW1oSzva04GOdKIzXehKN7rTg570 ojd96Es/+jOAgQxiMEMYyjCGM4KRjGI0YxjLOMYzgYlMYjJTmMo0pjODmcxi -NnOYyzzms4CFLGIxS1jKMpazgpWsYjVrWMuf/MU61rOBjWxiM1vYyja2s4Od -7GI3e9jLPvZzgIMc4jB/8w9HOMoxjvMv/3GCk5ziNGc4yznOc4GLXOIyV7jK -Na5zg5vc4jZ3uMs97vOAhzziMU94yjOe84KXvOI1b3jLO97zgY984jNf+Mo3 -vvODn/ziN4Hw/hOEoAQjOCEISShCE4awhCM8EYhIJCIThahEIzoxiEksYhOH +NnOYyzzms4CFLGIxS1jKMpazgpWsYjVrWMs61rOBjWxiM1vYyja2s4Od7GI3 +e9jLPvZzgIMc4jBHOMoxjnOCk5ziNGc4yznOc4GLXOIyV7jKNa5zg5vc4jZ3 +uMs97vOAhzziMU94yjOe84KXvOI1b3jLO97zgY984jNf+Mo3vvODn/ziN//y +H3/4O/wBCEggAhOEoAQjOCEISShCE4awhCM8EYhIJCIThahEIzoxiEksYhOH uMQjPglISCISk4SkJCM5KUhJKlKThrSkIz0ZyEgmMpOFrGQjOznISS5yk4e8 5CM/BShIIQpThKIUozglKEkpSlOGspSjPBWoSCUqU4WqVKM6NahJLWpTh7rU oz4NaEgjGtOEpjSjOS1oSSta04a2tKM9HehIJzrTha50ozs96EkvetOHvvSj PwMYyCAGM4ShDGM4IxjJKEYzhrGMYzwTmMgkJjOFqUxjOjOYySxmM4e5zGM+ -C1jIIhazhKUsYzkrWMkqVrOGtfwPqV8Pwg== - "]]}, - {RGBColor[1, 0, 0], PointSize[0.004583333333333334], AbsoluteThickness[ - 1.6], Dashing[{Small, Small}], LineBox[CompressedData[" -1:eJwNwwk6lAEAANB/KBEzlqgoZYRCKuECjuAIHYCDuEqWiLJnJ9rtWygKWStU -lt77vhd9UlNVHQqCoNan4SCos94GG31mk80+t8VWX/jSNtvtsNMuu+3xlb32 -2e+Agw457IijvnbMcd/41ne+94Mf/eSEk0457Yyzzjnvgosu+dllV1z1i19d -c91vfnfDTbf84bY77rrnvgf+9Je/PfTIY//413+eeOqZ5waRIAgZY6wXvGic -l4w3wcsmmmTYiMmmmGqaV0w3w6te87qZZnnDm2Z7y9vmGDXXO+aZb4F3vWeh -RRZ73xIf+NBHlvrYMsutsNL/LE1VbA== - "]]}}}], {{}, {}}}, +C1jIIhazhKUsYzkrWMkqVrOGtaxjPRvYyCY2s4WtbGM7O9jJLnazh73sYz8H +OMghDnOEoxzjOCc4ySlOc4aznOM8F7jIJS5zhatc4zo3uMktbnOHu9zjPg94 +yCMe84SnPOM5L3jJK17zhre84z0f+MgnPvOFr3zjOz/4yS9+8y//8Ye/F38A +AhKIwAQhKMEITghCEorQhCEs4QhPBCISichEISrRiE4MYhKL2MQhLvGITwIS +kojEJCEpyUhOClKSitSkIS3pSE8GMpKJzGQhK9nITg5ykovc5CEv+chPAQpS +iMIUoSjFKE4JSlKK0pShLOUoTwUqUonKVKEq1ahODWpSi9rUoS71qE8DGtKI +xjShKc1oTgta0orWtOFvgGtHezrQkU50pgtd6UZ3etCTXvSmD33pR38GMJBB +DGYIQxnGcEYwklGMZgxjGcd4JjCRSUxmClOZxnRmMJNZzGYOc5nHfBawkEUs +ZglLWcZyVrCSVaxmDWtZx3o2sJFNbGYLW9nGdnYQT5CNz0whdxazmcNc5jGf +BSxkEYtZwlKWsZwVrGQVq1nDWtaxnpEC8wiGM4yhDGEwgxjIAPrTj770oTe9 +6EkPutONrnShM53oSAfa0462tKE1rWhJC5rTjKY0oTGNaEgD6lOPutShNrWo +SQ2qU42qVKEylahIBcpTjrKUoTSlKEkJilOMohShMIUoSAHyk4+85CE3uchJ +DrKTjaxkITOZyEgG0pOOtKQhNalISQqSk4ykJCExiUhIAuITj7jEITaxiEkM +ohONqEQhMpGISATCE46whCE0oQhJCIITjKAEITCBCEgA/harPwrWf/zLb37x +kx985xtf+cJnPvGRD7znHW95w2te8ZIXPOcZT3nCYx7xkAfc5x53ucNtbnGT +G1znGle5wmUucZELnOccZznDaU5xkhMc5xhHOcJhDnGQA+xnH3vZw252sZMd +bGcbW9nCZjaxkQ2sZx1rWRP0/x12FStZwXKWsZQlLGYRC1nAfOYxlznMZhYz +mcF0pjGVKUxmEhOZwHjGMZYxjGYUIxnBcIYxlCEMZhADGUB/+tGXPvSmFz3p +QXe60ZUudKYTHelAe9rRlja0phUtaUFzmtGUJjSmEQ1pQH3qUZc61KYWNalB +dapRlSpUphIVqUB5ylGWMpSmFCUpQXGKUZQiFKYQBSlAfvKRlzzkJhc5yUF2 +spGVLGQmExnJQHrSkZY0pCYVKUlBcpKRlCQkJhEJSUB84hGXOMQmFjGJQXSi +EZUoRCYSEYlAeMIRljCEJhQhCUFwghGUIAQmEAEJwN/HlT9BzD//8ptf/OQH +3/nGV77wmU985APvecdb3vCaV7zkBc95xlOe8JhHPOQB97nHXe5wm1vc5AbX +ucZVrnCZS1zkAuc5x1nOcJpTnOQExznGUY5wmEMc5AD72cde9rCbXexkB9vZ +xla2sJlNbGQD61nHWtawmlWsZAXLWcZSlrCYRSxkAfOZx1zmMJtZzGQG05nG +VKYwmUlMZALjGcdYxjCaUYxkBMMZxlCGMJhBDGQA/elHX/rQm170pAfd6UZX +utCZTnSkA+1pR1va0JpWtKQFzWlGU5rQmEY0pAH1qUdd6lCbWtSkBtWpRlWq +UJlKVKQC5SlHWcpQmlKUpATFKUZRilCYQhSkAPnJR17ykJtc5CQH2clGVrKQ +mUxkJAPpSUda0pCaVKQkBclJRlKSkJhEJCQB8YlHXOIQm1jEJAbRiUZUohCZ +SEQkAuEJR1jCEJpQhCQEwQlGUIIQmEAEJAB/H1j/BDb//MtvfvGTH3znG1/5 +wmc+8ZEPvOcdb3nDa17xkhc85xlPecJjHvGQB9znHne5w21ucZMbXOcaV7nC +ZS5xkQuc5xxnOcNpTnGSExznGEc5wmEOcZAD7Gcfe9nDbnaxkx1sZxtb2cJm +NrGRDaxnHWtZw2pWsZIVLGcZS1nCYhaxkAXMZx5zmcNsZjGTGUxnGlOZwmQm +MZEJjGccYxnDaEYxkhEMZxhDGcJgBjGQAfSnH33pQ2960ZMedKcbXelCZzrR +kQ60px1taUNrWtGSFjSnGU1pQmMa0ZAG1KcedalDbWpRkxpUpxpVqUJlKlGR +CpSnHGUpQ2lKUZISFKcYRSlCYQpRkALkJx95yUNucpGTHGQnG1nJQmYykZEM +pCcdaUlDalKRkhQkJxlJSUJiEpGQBMQnHnGJQ2xiEZMYRCcaUYlCZCIRkQiE +JxxhCUNoQhGSEAQnGEEJQmACEZAA/P1k+aMv/se//OYXP/nBd77xlS985hMf ++cB73vGWN7zmFS95wXOe8ZQnPOYRD3nAfe5xlzvc5hY3ucF1rnGVK1zmEhe5 +wHnOcZYznOYUJznBcY5xlCMc5hAHOcB+9rGXPexmFzvZwXa2sZUtbGYTG9nA +/wDe7FD+ + "]]}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwV1vOfEAYYBvDLtnldtm2bl12Xedm2bdu2uYUtbLkht8UtbnHtez98P89f +8D7PGxQSGtw9XEBAwKHwAQFhKQIiEJFIRCYKUYlGdGIQk1jEJg5xiUd8EpCQ +RCQmCUlJRnJSkJJUpCaQNASRlnSkJwMZyURmspCVbGQnBznJRW7ykJd85KcA +BSlEYYpQlGIUpwQlKUVpylCWcpSnAhWpRGWqUJVqVKcGNalFbeoQTF3qUZ8G +NKQRjWlCU5rRnBa0pBWtCaENbWlHezrQkU50pgtd6UZ3QulBT3rRmz70pR/9 +GcBABjGYIQxlGMMZwUhGMZoxjGUc45nARCYxmSlMZRrTmcFMZjGbOcxlHvNZ +wEIWsZglLGUZy1nBSlaxmjWsZR3r2cBGNrGZLWxlG9vZwU52sZs97GUf+znA +QQ5xmCMc5RjHOcFJTnGa7/ieM5zlHOf5gR+5wEUucZmf+JkrXOUa17nBTW5x +m1/4ld/4nTvc5R73ecBDHvGYP/iTJzzlGc95wUv+4m9e8Zo3vOUd7/mHf/nA +Rz7xmS985T++EXb84QhPBCISichEISrRiE4MYhKL2MQhLvGITwISkojEJCEp +yUhOClKSitQEkoYg0pKO9GQgI5nITBayko3s5CAnuchNHvKSj/wUoCCFKEwR +ilKM4pSgJKUoTRnKUo7yVKAilahMFapSjerUoCa1qE0dgqlLPerTgIY0ojFN +aEozmtOClrSiNSG0oS3taE8HOtKJznShK90IK+9QetCTXvSmD33pR38GMJBB +DGYIQxnGcEYwklGMZgxjGcd4JjCRSUxmClOZxnRmMJNZzGYOc5nHfBawkEUs +ZglLWcZyVrCSVaxmDWtZx3o2sJFNbGYLW9nGdnawk13sZg972cd+DnCQQxzm +CEc5xnFOcJJTnOY7vucMZznHeX7gRy5wkUtc5id+5gpXucZ1bnCTW9zmF37l +N37nDne5x30e8JBHPOYP/uQJT3nGc17wkr/4m1e85g1vecd7/uFfPvCRT3zm +C1/5j2+EDX84whOBiEQiMlGISjSiE4OYxCI2cYhLPOKTgIQkIjFJSEoykpOC +lKQiNYGkIYi0pCM9GchIJjKThaxkIzs5yEkucpOHvOQjPwUoSCEKU4SiFKM4 +JShJKUpThrKUozwVqEglKlOFqlSjOjWoSS1qU4dg6lKP+jSgIY1oTBOa0ozm +tKAlrWhNCG1oSzva04GOdKIzXehKN7oTSg960ove9KEv/ejPAAYyiMEMYSjD +GM4IRjKK0YxhLOMYzwQmMonJTGEq05jODGYyi9nMYS7zmM8CFrKIxSxhKctY +zgpWsorVrGEt61jPBjayic1sYSvb2M4OdrKL3exhL/vYzwEOEuiR/R8JPkdP + + "]], + + Line[{2080, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, + 932, 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, + 944}]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwl00OQIAgCAMHe6bFt27Zt27Zt24uxbdu2bdu2LyPukf/6VKLGHSq3/ysg +IOB6YEDAOtazgY1sYjNb2Mo2trODnexiN3vYyz72c4CDHOIwRzjKMY5zgpOc +4jRnOMs5znOBi1ziMle4yrXA/3fe4Ca3uM0d7nKP+zzgIY94zBOe8oznvOAl +r3jNG97yjvd84COf+MwXvvKN7/zgJ7/4zR8CggYE/EUQAglKMIITgpCEIjRh +CEs4whOBiEQiMlGISjSiE4OYxCI2cYhLPOKTgIQkIjFJSEoykpOClKQiNWlI +SzrSk4GMZCIzWchKNrKTg5zkIjd5yEs+8lOAghSiMEUoSjGKU4KSlKI0ZShL +OcpTgYpUojJVqEo1qlODmtSiNnWoSz3q04CGNKIxTWhKM5rTgpa0ojVtaEs7 +2tOBjnSiM13oSje604Oe9KI3fehLP/ozgIEMYjBDGMowhjOCkYxiNGMYyzjG +8zf/8C//MYGJTGIyU5jKNKYzg5nMYjZzmMs85rOAhSxiMUtYyjKWs4KVrGI1 +a1jLOtazgY1sYjNb2Mo2trODnexiN3vYyz72c4CDHOIwRzjKMY5zgpOc4jRn +OMs5znOBi1ziMle4yjWuc4Ob3OI2d7jLPe7zgIc84jFPeMoznvOCl7ziNW94 +yzve84GPfOIzX/jKN77zg5/84jd/CAjmf4IQSFCCEZwQhCQUoQlDWMIRnghE +JBKRiUJUohGdGMQkFrGJQ1ziEZ8EJCQRiUlCUpKRnBSkJBWpSUNa0pGeDGQk +E5nJQlaykZ0c5CQXuclDXvKRnwIUpBCFKUJRilGcEpSkFKUpQ1nKUZ4KVKQS +lalCVapRnRrUpBa1qUNd6lGfBjSkEY1pQlOa0ZwWtKQVrWlDW9rRng50pBOd +6UJXutGdHvSkF73pQ1/60Z8BDGQQgxnCUIYxnBGMZBSjGcNYxjGev/mHf/mP +CUxkEpOZwlSmMZ0ZzGQWs5nDXOYxnwUsZBGLWcJSlrGcFaxkFatZw1rWsZ4N +bGQTm9nCVraxnR3sZBe72cNe9rGfAxzkEIc5wlGOcZwTnOQUpznDWc5xngtc +5BKXucJVrnGdG9zkFre5w13ucZ8HPOQRj3nCU57xnBe85BWvecNb3vGeD3zk +E5/5wle+8Z0f/OQXv/lDQHD/E4RAghKM4IQgJKEITRjCEo7wRCAikYhMFKIS +jejEICaxiE0c4hKP+CQgIYlITBKSkozkpCAlqUhNGtKSjvRkICOZyEwWspKN +7OQgJ7nITR7yko/8FKAghShMEYpSjOKUoCSlKE0ZylKO8lSgIpWoTBWqUo3q +1KAmtahNHepSj/o0oCGNaEwTmtKM5rSgJa1oTRva0o72dKAjnehMF7rSje70 +oCe96E0f+tKP/gxgIIMYzBCGMozhjGAkoxjNGMYyjvH8zT/8y39MYCKTmMwU +pjKN6cxgJrOYzRzmMo/5LGAhi1jMEpayjOWsYCWrWM0a1rKO9WxgI5vYzBa2 +so3t7GAnu9jNHvayj/0c4CCHOMwRjnKM45zgJKc4zRnOco7zXOAil7jMFa5y +jevc4Ca3uM0d7nKP+zzgIY94zBOe8oznvOAlr3jNG97yjvd84COf+MwXvvKN +7/zgJ7/4zR8CQvifIAQSlGAEJwQhCUVowhCWcIQnAhGJRGSiEJVoRCcGMYlF +bOIQl3jEJwEJSURikpCUZCQnBSlJRWrSkJZ0pCcDGclEZrKQlWxkJwc5yUVu +8pCXfOSnAAUpRGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBjWpRW3q +UJd61KcBDWlEY5rQlGY0pwUtaUVr2tCWdrSnAx3pRGe60JVudKcHPelFb/rQ +l370ZwADGcRghjCUYQxnBCMZxWj+B9/8/Pk= + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Hue[0.1421359549995791, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0], + Dashing[{Small, Small}]], + Line[CompressedData[" +1:eJwVw4c6lQEAAND/Ut1bkZEXuK/kETxAHkRCRhlRysheZWsIIUUZZaZhRNki +Kcf5vhNNS0+9EQqCION8OAhumukts8w2x9vmmme+Bd7xroUWWWyJ9yy1zPs+ +sNyHPrLCSqus9rE11lpnvQ022mSzLbba5hOf2m6HnXbZbY+99vnM577wpf2+ +csBBh3ztsCOO+sYx3/rOcSd87wcnnXLaGT/6yVnnnHfBRZf87LJf/Oo3v7vi +qmuu+8MNN/3pL7fcdsdd99z3wEN/e+Sxfzzxr6f+879BJAhCxhjrBS96ybAR +L3vFq8YZ7zUTTDTJZK+bYtQzDi9hHg== + "]]}, "Charting`Private`Tag#3"]}}], <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 1.}, {-16.34123920227253, + 2.0794415416798357`}}, "Frame" -> {{True, True}, {True, True}}, + "AxesOrigin" -> {0, -16.341239202272494`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> Directive[ + GrayLevel[0], FontFamily -> "Times", FontSize -> 10], + "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0], + Dashing[{Small, Small}]], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, {Log, Exp}}|>, + "Primitives" -> {{{}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJw8nXVYVE0UxpHu7hRRVExUsH3F7g5sRDEwsAMTO8FEFANFJRRFQQUUd2lE +uht22d4lFFBQ0A+5Mx//+OwD7u69d+b83plzzju27l6LPOTl5OReKsvJ/fu3 +I+xb/lz3BZj5Z3XjuMFybOa1G07kHNRs8pJj/zru+WnfzS3Qc0tTaX9Cf78L +994POrkzW459xPDVdI73Pux5ltGk3Ur//hCsNsXunGTag31YbO+o+vIoAlpW +pFSP6kH+/0k8MNEd4rW8B3tszfyrd3aegpfDlyVL9vUg73cGkj7zfAv9erDj +ZmrKDzh/DjdOeTf2Du9B3v8Cfo+Lfr0zqQd7dHT6gc+PLsHqt+qN/Ar6eVcQ +4HzJbltzD3aM9VnpwhhfGHjunTxPXZ58/jVw5vcc6dtTnn0/uWfkni3XsaVz +/u4xzvLk+9yAvNfEPm6z5dkV7d0fiDMvN79Td5Mn3+8W+leNVJ2yT55tMaSq +t7LPbTQ5yGUYXpAn39cfwxzygs4EyrNXbux+R0z7XbH03it58v0DYBab8WNV +gjz73t3uH7SO4TdlF8iT67kHfvTqvm18eXZZ9s/Fdc8CEZWaqFT5U55c3320 +T97jd1xNgW2muEx70NsHyJh1anuduQK53odonBbXojVQge3KvCG4P+tG9Riv +QK4/CO0OKersuQrsOSMvurjxg1Dw67fC3LUK5H48hhNHdjl8pwJbtvDfOz6G +WCKqLD+uQO7PE5jOeqFa66vAvrxd+Dvs2xNECKd3fHyoQO5XMKrNgk7veqXA +HnDeuOsSgvFZ02vO33gFcv+e4sjJDtHWLAX218dT3zd3PoWJ3an8N5UK5H4+ +w/GKudtKpQrsf3fLVPE53JLD5Gp+KZD7+xxzplzPTFVTZKuXBO8arx6CkoDR +P6+ZKpL7HYLVLnsDJvRVZIf/u1zdUOz9LorJdVIk9z8UUuOJi2dMUWTP+vd2 +xmEY6Wq99PkiRfI8wpA8zCRI6qbI7r7dluE46XxG3cxLkTyfcJydMMttyDFF +9r+7l9vrBdIfX90w5LIieV4vwLZZnmV2V5Hdf7VfYmu/l1A2HjCv8bkieX4v +8Xf1Ld/IaEX2l653Mx8Sgak9ng5Zm6hInmcE+sk2fW3LUWRvuV5/FE6v0Ntr +UevJKkXyfF9h+OXQMT8liuyuL9d1ya/h+66w1+o2RfK8X6M6NWFIpJISe0nX +4Ol6pAh73b+6WV+JPP9IaByreda3pxL7adfdKwmOxCkHw1+zBymR8RCJcW/8 +Z64fo8Ru6Sw8JLZ/g/lxOn83T1ci4+MNls8f7eO2RIk9pXsAvMHqSv3hs9cr +kfHyBp1Zevv67VRidz28fxeEPzrzg9q8lcj4eQud8De6n84rsZmv9xbGUcYW +e28pkfH0FjOyD+hbP1ZiD+/+iUK5m9r0+AglMr6iIPfCQ3lhnBL7NDujawRF +YY30cWBZqhIZb1F4Hrlr/vICJXZB19PvuieY6z1uS0aNEhl/0Zif6uIwXKbE +tut+w2hUhYSUXG9TIuMxGtPl7kQKFJXZe7sfwDs82b2g2VFPmYzPd8jo6VK5 +10qZndTar+sJv8PTlcbPX/ZXJuP1PYyH5F6sdFJm6zNfELH6BZ8VJimT8fse +nf5t3r3mKbO7v136e3hOf/R91EplMp4/wCs21nX6JmX22weNIamzP2BdRWX1 +3D3KZHx/wAjfue/mHFdmy3df8Aco3NbSmHJJmYz3GBjzhFoj/JXZi5gBgwEr +jkosnyiT8R+D0/xZmX8ilNlP/l1uYQwaHT9XlcUqk/kQi73JTjNepSizv/97 +O9dY3HqlM+JonjKZH7Foz3iTPrlKmT3p3/Cv6Pr9tRsDlcTKZL7EwdDH5Sy7 +RZndPXzXxsF2lNfP/XIqZP7EwbNn6/M+mipsjve/BxKH+QWV73NMVMh8+oiQ +vptn7rNTYTt2D/CPyG3WP2kwRIXMr49oOxd8JGKMCtvn9uSuIfMRG6rsV0+a +pkLm2yfo3/w9MX+hCjuvV/cDxoiA4hlr1qiQ+fcJa8LTr9dtUWHb/ov+9Z+w ++/bk0R77VMh8jIcRbFZzT6iwd/+bHrviYXPiofGqyypkfsbjStreMzn+KuyE +f0+3OR4uD1PYeKJC5utnJEiGCV5EqLB1mQmJ72Yl2oaxKmT+fkae2bwlh5JV +2N23r/0z5IfE5JTmqJD5zELNs/i7ThUq7O6vd5SFNLcbGb4CFTK/Wegztf0w +75sKW677h42YC9NZzp0qZL6zcax285uzqqrs0NTZXTOajZs3qjblGaiS+c/G +4u1prWY2quwFXbOxa4yi9AF37zoHVRIP2LA4evXHYydVdts/+iSzEeqQcYMz +UZXEBzaUBrussZ6jyg4y/zchEsAWTvZ0Xa5K4kUCFDqzs/zcVdkzuh9wAsJD +rwQm71Al8SMBt9uLBT8OqbKbQv59wQT0+hObaH9GlcSTBOyuanVZ4qfKDvj3 +7TISML1G7uCJe6okviRg5Aa1faHPVNn/BtdMzUREpf2clROpSuJN12uXXVot +H1XZ/6JJ/txE+DwrzDROUyXxJxEzbKfdG5mvyv43WrqGDPji5+eWVamSeJSI +S+WBT/aKVNndl5ubiFcqvn98m1VJfEpE+tSX4aF/VNndb6efhKlPZe/Zamok +XiVhUJXW8BJDNXZ3OFqcBG5swGCZjRqJX0lw3cGJ+eugxmbkQxKceKocPWc1 +Es+S4KDx510vFzV2dzgoSYJY48S0YXPUSHxLgpyP+eOJy9XYJ7oDTjKUHw8t +nOuuRuJdMiavb6lfsUON3bd7AiVj6rXtHRsPqZH4l4ybon1aXqfV2Dnd8iIZ +SRaswYd81Ug8TMbc4oIdJ++qsQ/+mw5VyZjwyaD0/FM1Eh+TYbouxtv3tRrb +5t9wtk6B7aAi91txaiRepmDGStcHd1PU2Gn/hp9bCniJGiMf5qqR+JkC7/Tb +455UqLG9ugNkCjL6ecc9E6iReJqC0Tnm8aHf1Ngm3RM+BaKgmAUvOtRIfE3F +lcn7fCJU1NndX693KkbWzFv1Wl+dxNtUzK5wkERaqbM3df+kIsQ/c/Tbfuok +/qZihkXF0qjh6ux/4qkrwsI7q3Zm9AR1Eo9TwTKeY/tupjr7X3Q8JE6Fr+Yu +4bsl6iQ+p0HD4GzI+3Xq7LXdb5iG6iNh2z94qpN4nYaRtlyXmP3qbOXuANX1 +utN8WOxJdRK/0xAf33dG3GV19r+nYfgqDXlLkn0/+quTeJ6GF2dv68Y/Vmd3 +q7vGNJzb2b/080t1Et/TMU+nrZH9QZ395990c0zHhKwN7kmJ6iTep+Nac+zo +1Cx19vN/w3lPOmY5Dj/5pVSdxP90TDdsQVadOnsec8E476N1Oq9BnfAgHUKH +Y4uL29XZP/49jtZ0zJEf/LFCUYPw4QvmhbclcXQ02A//Xe7IL7jACz4oMtcg +vPgCv05eTWMfDfa07hv4BUHObsptQzUIP76g76CwFrlxGuyGbjx8gfnEydHq +0zUIT75gcvPjeUaLNNj+/8L77y+4vKB/Vs81GoQvGZg2ffDoQVs02N3heXwG +gtV+Bo7Zq0F4k4FRWz7+mXFcg90dXo9nIGPAM0/XixqEPxmYvSNXtOWWBpsJ +fxlwks0+5f1Ig/AoAw4bzCZeDddgd4cX+a9Qqxg04PE7DcKnr9jSsXvOe7YG +u3v6Tv6KG+sSX2Z+1SC8+gq5isYlvGINdvf0OPsV23NZczs5GoRfX3HwkOyR +Sb0Gmxl+X9EysM+S4W0ahGdfwX2jvXeBgia76N/jVc2E2bHJSl7amoRvmfjY +sdjAz0yTfaw7AGZic2Xqs8jemoR3mcBMs5SCIZrsPt0DMBNpI1iH28ZoEv5l +IsjfLtN6miY7K7P7B8MiQpOnLdQkPMzEhPGh23at1mTv7w6oWdiZu/dL4GZN +wscslHxfIkjfo8m26h7QWbjmdTXj5zFNwsssTL2y/Hi/i5rslO7lVRYEkwVq +q25pEn5mYfNJj/1+jzTZO7oDdBaeLv6VnhKuSXja9fshSZp/3mmyu8OVYTbu +WJbOGJWgSfiajdvfZp3dl6nJ7g4Hy7JRcr5vxtsSTcLbbLxt2mvTzNVkM8uv +bOwIG3rVqUGT8Lfr/2e7Wni3a7I1mQmHu/O4eWxFLcLjbMRbfI5R09Vidw8X +8xwsWVNassRCi/A5B+uDTEc+sddidz+O1Tk4p+Je/c1Ri/A6Bxu8bxZNHq/F +ZpZnOZhZeL53wAwtwu8ceOobFzQs1mJ3v11NDjx89MTT12kRnudigWTmzmBP +LTLfc+HIuuzZ44AW4XsuWqYF8df7aJH5n4uS9LnC5CtahPe52PBh+UGHAC0S +D3JRXX78wY1gLcL/XEw54rO+85UWiQ+5KL9o8dUzTovogVyMylDmlqdokXiR +i45fvyLm5mkRfZCLzs4I56RKLRI/ctFXrvD0WJEW0Qu54AXZPHjfrEXiSS4G +mE26NOKvFtEPuRjoLl30Tl2bxJdcBK3K+DPKWJvoiTwkfrtym2WrTeJNHoST +aq1nDtIm+iIPucm7nhSN0ibxJw+Ptkr6ekzRJnojD8eS297/nK9N4lEeOgZN +X351lTbRH3mwE53Utd+sTeJTHsZMWihJ2KNN9Ege5nzaIHM7rk3iVR62OGy0 +VrikTfRJ1+eV/DkfelubxK88pIbWDl34WJvolTx8n/ChZ+dLbRLP8vDFzHHd +yxhtol/ycCW2s3ltsjaJb3loYmcJDHO1iZ7JR6rxYmRXaJN4l4/NKi5Kl4Xa +RN/ko9NjzsTZzdok/uXDN2JAm/ZfbaJ38iGwvTOiWF2HxMN8LG6a0RlkrEP0 +Tz6GLehc4dVLh8THfKwN3rPIZbAO0UP52Oe/tcF4jA6Jl/lonfjMqWmqDtFH ++XCZKh2StVCHxM98HGlS4Ees0SF6KR8zV8atvrFVh8TTfOw9U/nQe78O0U/5 +2OU9NmqTjw6JrwXI2fXi8bKrOkRPFeAGT2HPrLs6JN4WYFuczcBJz3SIvipA +3ZqqkvFvdEj8LcChWutj4+N1iN4qwF3TDDuXLzokHhfgMTu9YEaRDtFfBfhw +W/X2Eo4Oic8FCD1wxGtjvQ7RYwWIOmWy7VC7DonXBeh1Xzo9XV6X6LMClHyp +3FOsqkvidwG+Ga+PE2jrEr1WgFMRR3u1G+qSeF6Aa72dX2tZ6BL9VgB3P/+N +vW11SXwvROaVsGnj++oSPVeIE8uOrXAdpEvifSFcc3s93j9cl+i7QoTdibC9 +PVqXxP9C3NAfUfMeukTvFWL2iZyq8qm6hAeFOKpw37bHHF2i/wpx+2PMq/6L +dAkfCtE/Y/rFJa66RA8WYuHmne981uoSXhRCt2nJyDcbdYk+LMSnVnuDOk9d +wo9CHJhrMNdkty7Ri4UIspkjnntQl/CkEGt+KsvOHdMl+rEQ5vlHVySe1iV8 +KULfIRInuUu6RE8WYbqN39mJ13QJb4qwYcnrOaf9dYm+LMJgxxt+6fd1CX+K +0FB6apFusC7Rm0UYjdy7K8N0CY+KkDsya2vIa12iP4vgcy439cc7XcKnIhg2 +jng345Mu0aNFmDN1xegHibqEV0X4fjRoeUu6LtGnRWiJ3W04L0eX8KsI191G +7Qsv0iV6tQgBfTYeUavUJTwrwgfrrYO3cXWJfi1GdT7reo5Il/CtGJGz+GHO +jbpEzxZD23bVkaBWXcK7Yry9GaKu1aFL9G0xam4uXX1UXo/wrxi9S1r2Najq +Eb1bjLEisxUbdPQID4vRNnKtVoWRHtG/xVg02M1/qaUe4WMxTo1NasvvpUf0 +cDHGi4eMXtxfj/CyGMqyxauLh+gRfVyM2yO4m1Y76xF+FsO9x801/HF6RC8X +Y0KT3dTdk/UIT4thoOvaS26WHtHPJYj7/rP9+gI9wtcSNH3Oy7Vfrkf0dAk2 +TYp98XmNHuFt1+8VLvit2KhH9HUJSpZa+fz01CP8LcHULWvPBuzWI3q7BLmr +nB+PO6RHeFyCwUv8y+qO6xH9XYIel/cP9z2rR/hcgkz3vKixV/SIHi+BknfE +OtkNPcLrEiz6bDAu6K4e0ecl4J4wnLc8SI/wuwQtZ1Lv6YXoEb1egoNW0/vm +ROgRnpeC4xzX5hetR3heCqtdswyXfNQjPC9FxKD+JywS9QjPS1Ef4TtBkK5H +eF6Ks1Zxy6Nz9AjPS/H3xbecs8V6hOel8As69XJllR7heSnkvBK+D+PpEZ6X +oihTLVRbqkd43vX3qZ/z6r/pEZ6Xwr5o1v7cNj3C81K8abYJeP9Xj/C8FOPf +fUCQsj7heSmKV97cfVVLn/C8FB/FY4cfN9QnPC9FjsukS3ss9AnPy+A0Akc9 +e+kTnpfB9l6B9qb++oTnZeBuC53uMVSf8LwM1qU7B24ZqU94XoYZq2oTd07Q +Jzzvel3yWffwVH3C8zK4vyi0OD9Hn/C8DIszW8QBi/UJz8sQXvnr5KuV+oTn +ZVg59asgbb0+4XkZrs5bbMvfok94XoZXoy46K+/SJzwvw4el2wcOOKhPeF4G +Vi8ltSXH9QnPy8Be75F/8qw+4XnX5w0P9X1zRZ/wvByRw75PFtzUJzwvR+WV +3W3WgfqE5+WQWK99u+qJPuF5eRcf2g/eD9MnPC+HvKfffE6kPuF5ObaO3Q+H +GH3C83JoR6jPO8jSJzwvx8nHsT7pqfqE5+X4ueBHrVW2PuF5ORw2Yc/BIn3C +83IcsrAeV1SpT3heDjdX+6kjefqE5+WYmfPj5gOpPuF5OQIsRg9RbdYnPC+H +Xe1jq4O/9AnPK9DAb/KQ9DAgPK/A1LHftN3VDAjPK2C/+LBNta4B4XkFdPts +e7jW1IDwvALmc6Nv1NkYEJ5XwHvgPIUdfQ0IzyuwTH3Cz1+DDQjPKyDndHv/ +VWcDwvMK+NntPtt7ggHheQXy7/wexJ5qQHhegWi25wG3uQaE5xX4sbrHJqWl +BoTnFXCt1lZ8vdqA8LwCDlcbl6/ZaEB4XoEJLzW36m43IDyvwIIHlRPS9xoQ +nlciX6mu9swRA8LzSgxqiVw27bQB4XkltivxQzQvGxCeV2Ld5QGVJTcMCM8r +MSRqwu+QewaE55XY9FKgeeyJAeF5JfbmtpsuDzcgPK/E44zVfZ3fGhCeV+Im +W36ieZwB4XklnI/UeyokGhCeV2LYtUGR374YEJ5XgnOZa8LPMyA8r0S6xdjw +qjIDwvNK3Bm936uCY0B4XonwZdVe1WIDwvNK2FwoiBJ8MyA8r8KuqITJLe0G +hOdVCPrYp5+KvCHheRVaX13ZaqNuSHhehdmtN3XH6xsSnlfhx3FxHzdzQ8Lz +Kkxqmf32Qi9DwvMqXOVf+vzewZDwvAo/f59bLBlmSHhehY8Ow7ztxhoSnleh +H8dvrPtkQ8LzKowd/y7o+WxDwvMq8Cd8edu02JDwvAq/fisembjakPC8CtmF +UfL+Gw0Jz6twImz44m/bDQnPq9Gp07p/0X5DwvNqfLh0ZF/sMUPC82oYsNe4 +2p8zJDyvxo23Uwfc9TUkPK9GSnvTD707hoTn1Wj9PTTl2iNDwvNq3O0nfWQU +akh4Xo1bw82uB0UaEp5XY8rCL08cYw0Jz6uxfK91TXqCIeF5NY6eW7BgU4Yh +4Xk1GiJfyqkXGBKeVyP3+u1fURWGhOfV2OVzesZGniHheTW25iu2mtcbEp5X +463BZ/XSVkPC8xpcXux5K/CPIeF5DTZtqLjvoWJEeF6DeMtfg511jQjPa1D+ +PHWplpkR4XkNQuJdLaW2RoTnNdjVXnM1x8GI8LwG0SZP38UNNyI8r8GPKUqP +Xo4zIjyvwbPNR5c+m2pEeF4Dre2BvKfzjAjPa7Dhupbri+VGhOc1+P7hzscY +NyPC8xqsjTS1yNpqRHheA+MrF0+J9hgRntegqaGzU/2oEeF5LVrWP7074qwR +me+1WB2Sut7D14jwvRYlOhnuD+4YkflfC4W8IWGVQUaE97UYvea2i124EYkH +tXj4KXrU7igjwv9a+Ao3BqZ+MiLxoev9e907YJdqRPRALfav3FRyPseIxIta +SM+0ZzSXGhF9UIsNfx6v3cw1IvGjFpdkmY+4UiOiF2rRGKR836PViMSTWnh1 +/nJr+mNE9EMt7Mv3d5xSNSbxpRbi7RuOWukbEz1RC/e3uQ0sC2MSb2rxpVS6 +3rOPMdEXtV3xUZFnOcSYxJ9aVChePV0yypjojVoccTabf2+SMYlHtRh3ym2p +xxxjoj9qUXBx/LNRy4xJfKpFePKruYZuxkSP1KLsR/Gatq3GJF7VQiW8k8fb +a0z0SS2cQv2+lx0zJvGrFscP2fiXnDcmeqUWpVUeNVXXjUk8qwVv+aRqWaAx +0S+1yHj28Ynic2MS37q+32y58X0ijYme4eCeyeb4eXHGJN5x4Praa4RPsjHR +NxzcNEl6+ynbmMQ/Dv5OHjGzR5kx0Tsc9A5hq86vMybxkAPFlGvyz+uNif7h +wCG9bbpymzGJjxyMNjss8ZI3IXqIA6X2z7+5miYkXnIwwerZ1XUmJkQfccCe +P+It39aExE8O/HWfHtk/0IToJQ4+jlnVoT3ShMRTDkJfNE1762JC9BMHifUD +dq6bY0LiKwcRfKWzxstNiJ7iIORU2MOS9SYk3nJQu3tf9pPtJkRfcdBe+LPv +oYMmJP5y8Px32+flp0yI3uLgfs89IS5XTUg87vo+/W+2OgWYEP3FQdi2xPgR +wSYkPnNQueuB4YRXJkSPcWCf3K69KNaExGsO6j7zE3YlmxB9xkHWyrBJ93JM +SPzuel4qac+zy02IXuNgy5kOBW2BCYnnHNjGT97l+s2E6DcOSl4U9HjVYULi +OxcuE2xztFVNiZ7jYj1qG7wNTEm858J7quKh79amRN9xsfZpgs9+B1MS/7nA +Rl87RWdTove4UBlvt/+hiynhARdb/Y/6TplrSvQfF5FXKs7/cDUlfODi6K/U +w9EbTYke5GLfec1jx3eZEl5w0fNTQviSo6ZEH3LRZj7VcuQFU8IPLrImQNrn +linRi1xE/Ql2tA0yJTzh4sidKLX+L02JfuSiqfz31fExpoQvXa83rihbm2xK +9CQXZ4vblK/mmhLecNHHxHVYeqUp0ZdcjL4//aCu2JTwhwuzD9JvHq2mRG9y +8VAc/zm9hxnhERd7XXx/jdI2I/qTi7lxZxLfm5sRPnExJaTXiEl9zYge5WKF +3ZQdFcPNCK+4OHTR9sqpiWZEn3Kh17Lg9ci5ZoRfXdeXnNb6a4UZ0atcLB1W +eTxzkxnhGReGddM8X+41I/q1Dm0Xe5feO2lG+FaHW9d+iu5cNSN6tg4D08Pi +g++ZEd7VQa+378HPIWZE39Zh3jH3UaJoM8K/OiSvW9jLNtGM6N06aHGal2/N +MSM8rMNKn8Ud7Eozon/rcMFYNqyfxIzwsQ6fEiJsHv00I3q4Dl8a/wj6KJkT +Xtbh/sbPEZ/0zYk+roPqoql3N/Q0J/yswzDvrTnmg82JXq5D65oTB7hjzQlP +63D54Op3cTPNiX6uQ4rPiqjg5eaEr3WYmLkk4IGHOdHTdci/H30ldK854W0d +Qh5ujU32MSf6ug4r1n2b/t3PnPC3DpvKXd0dH5oTvV2HYwYYfuqlOeFxHUSs +Ej4vzpzo7zo8+Vv/bMUXc8LnOnjaCx/VlpgTPV6HVbdT5LwF5oTXddjzbMCP +Pq3mRJ/X4UTw2lc8BQvC7zqoVc90fa9vQfR6HW6m+NgF2loQnvNQYzN94s2h +FoTnPITPu1TzEBaE5zwcCG8Y9nmeBeE5D3++ZW3/tsaC8JyHquyx7FE7LAjP +eTDbpbb+1lELwnMeBo/9clrxigXhOQ8Tb+WvuRhoQXjOg8PnqX3sXlgQnvNg +W6Cjlx9nQXjOw6PiBlf/DAvCcx62cPY77Sq3IDznwWOLXu06iQXhOQ/9H2nc +9PhlQXjOg8rPaxd91C0Jz3k49zH6b5S5JeF5199n7R/W6WBJeN51vUeS1q0Z +a0l4zgNOnEotnG1JeM5Db+MbzzestiQ852HDxLyJ6jssCc950OvVuyT9mCXh +OQ95Fw6/fORrSXjOw9Q7uYp+jywJz3lwuzOhz51IS8JzHhpbWhbHJFgSnvNw +d/300u/5loTnPHyye/trGs+S8JwHv+u1iu9aLQnPu643LsplvIoV4TkPP8bN +7KwxtSI858FoifzZBw5WhOd8nNO+3PfAOCvCcz5usDkjPOdZEZ7zsT9s7Z/D +blaE53x05H4sfrbHivCcjz/WVvoNZ6wIz/l49MpUefEdK8JzPk6vY6kVhVkR +nvNhGvJs/75PVoTnfIx9Kgt2zLEiPOfjycHZTZpcK8JzPrzqTGOUW60Iz/m4 +OWLpShtVa8JzPh4cih653MKa8JyPr/Jhb18NtiY852Pa5J1D7CdZE57zcbHZ +wPDzUmvCcz6cAi+mH9hqTXjOx4Vd4e8XHLMmPO+6vjszZ825bk14zkd06oRv +W59ZE57zsWT2XovwWGvCcz72+DSO0cq2Jjzn42qUKOkm15rwnI96r5yBE35a +E57z0bRkbYOmpg3hOR+HVoSdlrO1ITznw8N/kKeZsw3hedf9ffByy/XNNoTf +fIQmZmeWp9sQXgsw7vD783NNexI+C6DFei5smd+T8FiA460HT5pc6Un4K0Cm +NOLek6yehLcCbDHe7lhqZEv4KsBQPa22o5tsCU8FKFj8Ktgh0ZbwU4BW65lz +Ggf2IrwUoE/hDPlnEb0IHwVIW7ZxyoKFdoSHAlwrPWxW6dSb8E8Az/P6I0zZ +fdgVqZYJkSwBQmqy9q5aPpRtyqtc9qSg4f/XDO8a8Lx+0vn7mkMI7xoQP6tG +c+aoQYR3DVh7MvpjfPwAwrsGvJdfEXH1qAPhXQP+nj3B+enen/CuEbE/Tosc +NvUjvGtEXHX0BLUTfQnvGjE9Msbgfqg94V0jHPxv7Z9Y04fwrhHL+g12HNyz +D+FdI0o1/y4YtLU34V0jssJ1zTxi7AjvGmGlV9N/tKYd4V0jzj4x7Bi0oRfh +XSOECZezcz7aEt41It1/3vQ7hraEd43wW813StnWk/CuEfzJHpcXHbchvGvE +UHbFNi8Ta8K7RuxQMIxyuWtJeNeIEZyhrOhvlHeNKPv93rHpuynhXSO8vQN8 +9X4Y/v96qX1Yte4ew////oGXm0+pod7/75eX0+ngfkDn/88Lrhw348kxrf+/ +z4t9B4b84Wn8/31DD8oyHl9U//96lFriF6etUPv/eleK5z0Wz1L9/35wUq9s +d1ym8v/9Ckxan1F9QPn/+zmak3j1SJjS//d79tK+Y7dKFf9/HjF3b4x8MEbx +/+eVN2LksAO3Ff5/nvarHByDfsn//7xVi5Iu9dgq//94WODy4FJETY//x8vI +y1fyytf0+H88DU6rG1fJlft/vL3OF/hZ7JT7fzwuThzw0eHPXxYdrxFBKu9f +OPxl0fHsWGL8Ueb2h8XouwZMsxgZ7RzUyWL0XwOMgtKv8oUdLEYfNuBxv9/X +PUZ2sJj504Cy7bVjHH1/sxh92QCBxVr3HOkvFjPfGtDSZBifN/8Xi9GnDQg+ +HHW9ILadxczPBmze6/n4UP92FqNvG+BvHzpq+8M2FjOfG7Cn0nS9m2kbi9HH +DZh1ackQrTs/Wcz8b0Dundi+Q81/shh93QCDvOz3lx//YDHxogFjTIbFCQb+ +YDH6vAFNkx+baH5qZTHxpQHevUzcMua1shh93wC103OPNfNaWEw8aoAJf+yW +1cdbWMz6oOv++m4QVpq3sJj41fV5paLF8+OaWcz6ogHnTII2XlvdzGLiXQOG +31zy53iPZhazPmlA48Fw1Y7Q7ywmPjZAW9tgumTRdxazvmnAcp01ToP/fmMx +8bQB2z97CyMivrGY9VE95kb3li1Y+43FxN96jHqlMUxO7xuLWV/Vo3bR0sZn +KU0sJl7XQzCk3+VBR5tYTDyvh85C/YunRzSxmHhfj/7fRsocqhpZDA/qEfE0 +7lXwkkYWw4t6qDjxhy/IaWAxPKlH4PbVqnpzG1gMb+oR7VMyPz2rnsXwqB7p +UwpfrFhYz2J4VQ+3Hw69w0tkLIZn9cg1c9ULcpOxGN7VY3vmMlU7mZTF8LAe +u2rEaRbeUhbDy3qsGu/Ud5+6lMXwtB7tOleUTB5IWAxv6/H1/cqxTY4SFsPj +esQ1Gl2RpYtZDK/rMTPE/G77ejGL4Xk99BO2FSl1ilgM77vuV8E4b7l7Ihaj +B+qhuWTM0bJRIhajF+rx+O9JH58yIYvRE/V44T+yVHxEyGL0Rj2S5Vk7FHsK +WYweqccd7Z2pSSkCFqNX6lEUld1qvkPAYvRMPcYUL77TaSRgMXqn6/78PZ62 +js1nMXqoHiUrd1o5b+ezGL0kw5zsr0/3m/FZjJ6SwTDAuNQgncdi9JYMk9xc +ApUP8ViMHpOhj80j5yn9eSxGr8mwN9RsUVJFHYvRczIkaEU0efvVsRi9J8Mz +D7vt7lPqWIwelGFfqebu3b+4LEYvyrr0edOvR2+4LEZPymBW/3qBYCuXxehN +GVLEtj3G23FZjB6VYefkwpr7VRwWo1dlaBP+6fE3gMNi9KwMtpkPPq5eymEx +eleG20lv7r/W57AYPSzD8AfPdn7LrWUxernr89cUOVpeq2UxeloGfoCThcOC +Whajt2XQVzG7YaRXy2L0uAxKY/qsfvushsXodRkaOnhbaxxqWIyel+Grb4xj +6JtqFqP3Zdh/WCKTH1PNYtYDMogtFtkLkqpYzHpBhu+ZKh9mzK9iMesJGfpN +dkmyraxkMesNGZK0lnzY4lnJYtYjXdevWTnF6FcFi1mvyFDYouBmc7mCxaxn +ZKjUbxh3wqqCxax3ZIi7MnbuoDflLGY9JMN438jxvaaVs5j1khTuJc3NyyrL +WMx6Sgptly1XkveWsZj1lhTTaq1dN2qWsZj1mBQuZ2rLhzwvZTHrNSnWrJ37 +padLKYtZz0lxN1pPxbGqhMWs96Q46zf42UrvEhazHpRCc+PS2jumJSxmvSjF +17SlNnUfilnMelIK5zn3vzm7FrOY9aYUu8MStHzbi1jMelQK3x39F9QFFrGY +9aoUA3a9jnVEEYvhuRTxSzbs3FdXyGJ4LkWq18Lo5xcKWQzPpVi13fV70uBC +FsNzKXqaarxOKypgMTyXQj35gV/EsQIWw3MpKl5XL9ttX8BieC7Frc5RPO3c +fBbDcykaIxOGnfLOZzE8l2LF1HmuaX3yWQzPpaj0vHWmPC+PxfC8636dXCQX +czyPxfBcijubTg5cPTCPxfBcivdzLvgkleeyGJ5LwbE47yu5mMtieC5F6YBb +bVmjc1kMz6V4VmXTUliYw2J4LoWK7/ekwyNzWAzPpXh78Ib9mcBsFsNzCQ5f +D1NtkMtmMTyXoElx69E3m7NYDM8lmBiyISY9J5PwXIIW+73Gg0dnEp5LsPRI +iH5l8FfCcwlO9504K0vnK+G5BPdFr7w7jmYQnkswcFvwyY3SL4TnEmSE2iyX +W/WF8FyCCTdvGX/9mk54LkHQe+UuGKYTnkvQcWLMxPLINMJzCcLKhJuM+qQR +nnf9//yW+u33UgnPJZiu/GFfpW4q4bkE0y6MjVp3IYXwXIJdSrcPf5dLITyX +4KVoyOtr3smE5xK0YeAi59YkwnMJ9AfEH6jZlUR4LgH7/tVpF+oTCc8l2DrG +QW7QtkTCcwnU++368UWcQHguQfA1uWurtiYQnkuwJD5mWrWYTXguwXK/wSHz +trEJzyWI8nAPWlTBIjyXQDMlkFfE+Ux4LsEOhzcPe0riCc8lqOI07R3Y8onw +XIJTLC+H5r8fCc/F2DdzaOhOrY+E52KEBC34HmAZR3guxinJhIHbB8USnovh +87fZn4sYwnMx1vUZce3H4g+E52LwHxRdf7L1PeG5GEeLNB05J98RnotR+Cfe +KuJuNOG5GL8lBbY9oqMIz8UwawzsWZrzlvBcjM5we+NB9W8Iz8WYdzbC+LfG +G8JzMdqiOuaOHxhJeC5G48KkzqrAV4TnYgR6j1Uy4b4kPBfDSxJ7zXLAC8Jz +MeL3rQ/jHwgjPBfja4Hlqa0pIYTnYowfEHzgkfFzwnMxCt6cVj/h+ZTwvOv1 +1FGiv+wnhOdi5LyZUGtm/pjwXIzRBbtyL0ofEp6LkaxvO+J7SCDhuRh7U+Zv +WrM1gPC86/VXrb6fhtwmPBej9zYH77+/rhOei6EqXjnb0f8q4bkYf9Vi3L7j +POG5GNcc/Nh9mk8Snnfd/5+B/LXr9hOei1Cm84WTU7Se8FyEYbc4u4/EzQbD +cxGSKl8ktvl6geG5CE+Prx5SoHQUDM9F8BqZFjTZ7AwYnouwbInVLb79JTA8 +F2H5xbK+LUbXwPBchPVhhb2HGd4Ew3MRGktGfxml7Q+G5yIk252QGijeBcNz +Ec5HujvmtAaC4bkIzZGNugfqHoLhuQiiNZ9FFwVBYHguglPJwtQVK56A4bkI +Yc/vmgzNCAbDcxE8tmqN1x/9DAzPRRiTGeKj9Pw5GJ6LMOrMMgsdvVAwPBdh +1snNA0Z4h4HhuQhntzR/PswJB8NzEfRPTM3hTXsJhuciqP2YOMk7PAIMz0Xg +ZCZJJmi+BsNzET4Hn062cI4Ew3MR+que8BjBjwTDcxFmarg+33bzDRiei7DA +clZm0sS3YHguwmp+swHq34LhuQiXFE+9qQmIAsPzrueXWJobMjkaDM9F2PP1 +0+279dFgeC6CTtsviw/+78DwXIhlvfwOyuM9GJ4LcdYkI/qY4D0YngvRM+RA +qcPVD2B4LsSwks4ajeExYHguxLnJlxJ6lcaA4bkQPhEO23cfjQXDcyGmJzan +tdvEgeG5EHstbd/HJ8aB4bkQH8856bE2fgTDcyFOnzGP+Kv0CQzPhVjUB8t9 +nn8Cw3MhRH3fF0+dFg+G50I82r1YNo8fD4bnQlytTl/08PRnMDwX4uvOxM9O +tiwwPBdCu6hHjfFnFhieC7Hm3bhXtoPYYHguROS04TuqvdlgeC5EarTTkUnp +bDA8F2KuxZKn84wSwPBciAfN4jcK7glgeC6Eef9wT/dXCWB4LsRGafiNbb8S +wPBcCI2Ju6U20xLB8FyIlUs7hp+8ngiG50IU3sntd7UyEQzPhai7LH9met8k +MDwXAjtcOl/vTgLDcyF23ebMTPuYBIbnQtSECyx9lZKZcC8vRMpdrb5K85PB +8FyAVa7FxoMCksHwXIBTB68GKHOSwYQ/AZx8li670T8FDM8FEPcZ2pa7OwUM +zwXoOUald1JsChieCzD27LtjW3ukguG5AInuOiHJM1LB8FyA/iOlKPJLBd3f +6hXbXxBYnAqG5wKc1/3sZGaVBrofxvn6Ln3uhjQwPBdgb8TEkWPC0kD3z0oW +xJjUNKSB4bkAbWEhf8aNSAfdb9u5Nv/4ksPpYHgugNqvsf3tP6eD7s9t/jv4 +6lv5L2B4LsD2osmjfk37Arqft+3G5vedl76A4bkAX55xr8dnfwHd/8t1W7d4 +jH4GGJ4L4LuuyWff0gzQ/cKl+cbB2wMywPBcgOjQ9DF2FRmg+4sHHh74GmD1 +FQzPBTg8QL84a91X0P3IsmUG5YmPv4LhuQDjdx5feqjuK+j+ZeJjcXJD70ww +D1iAoWdPBdtvygTd79Q+aLCtZ0gmGJ7zYd+geqtSmAm6P6r46sDtVf2ywPCc +D4U6S/6DLVmg+6me9jt+Bodmge632m3zw05RFuh+7PmCTUM6+2aD7tem7vIf +uXBzNuh+rqvsbJrn82zQ/d4elpvmzuJng+4HZ590cm6yywHdL/Z5k1y/2j0H +dD9ZEBlXfS0oB3S/edvQu3uuVOeA7kfHrqtV7aedC7pfzdsbp9cwMBd0P/uL +RdO5gNm5oPvddy01A/t65oLuh0e81D0ZeCEXdL+8Yc3hzS3Pc0H30z/8HXdq +WEou6H772kM5csvqckH344P97QzW9cgD3a83qngpmmuTB7qfHzp6fWSv8Xmg ++/1PC+ICqlbmgeYDNMNjc44fygPNF4TP4J9S9s8DzSfwt86r2ReVB5pvuHjz +ml5Gbh5oPuLey4Kpyg15oPmKjVeyQvpr5IPmM3inktxH9MsHzXc8Lb/82H5q +Pmg+ZOwSP18593zQfMmZwIzFicfzQfMp0e9zzLcH5oPmW9y80jT/fMgHzceE +vduz6FBhPmi+5mbnAMOKpnzQfM5bf9Fhe60C0HzPtD+jX6zsXwCaD6oYMD/n +4NQC0HxRQGmr5vH1BaD5pEkJgZd2HCsAzTfZ1M3fOe1uAWg+KiUqqUr1XQFo +vqpt8Zw/0bkFoPmssPi6llmyAtB818D6/oIMlULQfFiC0qYfI+wKQfNl53ef +n3lxQiFoPo3XYa+QvqIQNN92sf78tG/7CkHzceZGd52VrhWC5uuu17S2Krwo +BM3n7V0jfF6fUgia75PM9NiWWFsImg8s0TTxOPW7EDRf2BCz5N0g4yLQfGKj +Ur9jyUOLQPONmtPnVk2bXQSaj7y7ZsSPaI8i0HylfGgPkfbJItB85qB63/wl +94pA853zp2wvOhddBJoPdWmv1XmWXYT/86U7ZEGvRUWg+dQ9rdNCnssXg+Zb +x0S5u1yyLAbNxzru2H51pXMxaL5W0X1OmMmCYtB87hqzkLcJW4tB870XHatT +XU8Xg+aDjR30FKruF4Pmi08OsD4z730xaD5Z+vXJpoicYtB8s3peR2q7qBg0 +Hz2scVbCcPkS0Hz107M7dq+yKAHNZzu4qjTvGlECmu/uMe34+n1zS0Dz4X3/ +/C7x2FQCmi/Xe7Nz99QTJaD59BrWxRkGASWg+XaNrakHcyJLQPPxoXs2mnl/ +KQHN14/P95xuwC0BzeePWhRqEfirBDTfb+4U/VrPoBS0HmBucYPlwQGloPUC +qTNOHf06uRS0nmBzs7tQe3UpaL3BqAELDrjsKwWtRzj5tdBlw5VS0HoF1tfp +7vueloLWMwQUZDbu+1QKWu8QlblE3qOwFLQe4tGeh2+myEpB6yVia52NDBXL +QOspBlp7TymwKAOtt/gTcnPZqeFloPUY09qPu9vOLgOt17isp3oi0r0MtJ5j +TvvXxCHeZaD1HilTRk95dL0MtB6k5dY1s7+hZaD1IlXGfNf57DLQepK+PaxM +r5WUgdab9ND9vj2hoQy0HmXz32/b65TKQetVchVODPphWQ5az+LkkpvUPrwc +tN7Ff+L3KQ2zykHrYSQb0lIK1peD1stcc1VdFX6oHLSeZsKFKWZ7/MpB6228 +L3ANBzwvB63HCbrQ073oUzlovc6Rz4ZGuwvKQet59uRFuPwRl4PW+6yfEvX7 +qFwFaD2QQnXKsnrjCtB6ITNdt03zB1WA1hMNXlM8PnhyBWi9kWX88ibRigrQ +eqQpcg3Xeu6qAK1X8nE8PmzWuQrQeibRj3Shx/0K0HqnKd88k/a+rQCth9pi +XlWyN70CtF5qdq/9IzdVV4DWU3VkeLTMaqkArbc6MJnVs5d6JWg91kwfr2yp +TSVovZbD3Uk6oU6VoPVcXsZ1LctmV4LWe+1eWH6tza0StB6sIvlay5UDlaD1 +Ym3r5zkaXqkErSeT1I1x9X1cCVpvJrJd6/37fSVoPZq3W1DoqsxK0Hq1iMcZ +za84laD1bG4t57a3/qgErXe7kuhmN0SzCrQe7ql1Sr/VtlWg9XJyugpXjzpX +gdbTeVTPdrs2uwq03i5wddTbO25V+L8eb9jQWzf2V4HW6zn2vm/oc6kKtJ5v +zZroyRseVYHW+2m8M3McHV0FWg94vXWBTP5LFWi94BvbpDPsqirQekLExqvt ++V4FWm/Y2rHnkolKNWg94knHX0ZvLKpB6xXZnPkfJwytBq1nnN5z2UX2lGrQ +ekej9EJf5xXVoPWQ1z8dLg/aUQ1aL5nRr8z7r081aD1lW/yO44v8q0HrLed+ +C2i+G14NWo+pPDpYVPS5GrRes2jGp23KBdWg9Zy35v6+NEBYDVrvOaPfuiVT +f1eD1oOeDc0uWqxTA1ovap5qarXcrga0ntS2vGXk/JE1oPWmG0vkR4ybXQNa +j9rv1Fdr63U1oPWqC0PYSq17akDrWWWLxv9gn6sBrXe1Vj7X6XOvBrQe9qAs +vd+oVzWg9bJvdbuoklADWk9bee28zZmiGtB624a8b4rm4hrQetzMt8MnPeuo +Aa3XdVun8l1ftRa0nvfN6zu/s/RqQet9f58zTj5tUQtaD2wzKWXhiD61oPXC +m3+Uh9cMrgWtJ/a4eKbo7Kha0Hrje+m8EvtJtaD1yIUnDWMSZ9eC1isvut3r +6IqltaD1zEHGhg7StbWg9c4TV/DSDm6pBa2H1tE8u+rv7lrQeult86olPkdq +Qeupe6ZmH/l7pha03rrnuwGGh3xrQeuxv2knxErv1ILWazdfXbhn5eNa0Hru +Den+k5PDa0Hrve2mugzv3zVuaD2467W2aRfja0HrxRPmrzrDS60FrScXL/vb +NCa3FrTeXPfj7htXympB69F/CJx3lnFrQevV177KuGgrqwWtZ/dcHyTZ2DVP +aL17Uk0v/+A/taD18JdfRF+vUuGA1svbdLzm6utxQOvpI3I3+U4254DW29+W +t7vnZccBrcd/uNxe485ADmi9/s+b4YJYJw5oPb/RFY5j6QQOaL3/cufWb9+6 +4grtB/D5pDJQZSEHtF/A6OpwvslKDmg/gdf2y716b+CA9hsknTUXD9jOAe1H +kB7hjR+ynwPar6Dpze8z+DgHtJ9Bb4r+837nOaD9DnLTXZOsr3FA+yF6hj04 +pdsVh2m/hNzKBFHnvzhN+inm9Qr8JQjngPZbXIn6/elrFAe0HyPow8sRLz9x +QPs1Rtfu2nQhhQPaz6H7Q2G5WzYHtN9DXWSuMaKEA9oPMqh8w3mFWg5ov8jB +Eedys0Uc0H4S3ze9pLe/cUD7TebuKKhw/cUB7Udxbh4QYqLABe1XeTsndmG+ +Bhe0n8VFR772QhdXab/LEc9zy8ZacUH7Ydqd2LHiPlzQfhmTv720bw3mgvbT +BBpbuo4ZyQXttxnzUP9+Jbig/Th/b2+u9p7BBe3X8RXvtzNayAXt51l07IbX +ixVc0H6fc2M108a7c0H7gXraDhyc6ckF7Rd6PMspbNleLmg/UZacx7iqI1zQ +fqMxKd+l685wQfuRstfqfqq+wgXtV7IWiCNX3OaC9jO9zHuWnfuAC9rvZCTv +YTblORe0H8o8e9LtqFdc0H6pW9pLp9l84IL2U810eDn0PIsL2m/1xnaxqzSN +C9qP5X9vfsLsLp1I+7UeSx97hZZyQfu58vpO3dyjS2fSfq+c/kNeLuvSobQf +bMyjBZNCu3Qq7RfLMLg96EeXjqX9ZJs7uLsndulc2m/WP9HC4HyXDqb9aE5Z +FkYZXTqZ9qvd8os7omZRB9rPJvLLmDXVrg603013n9m5Y106nPbDRWnscnzb +pdNpv9zHlTcW1HXpeNpPFx0Moe6UOtB+O5s9w9rHzKkD7ccLftrz0voldaD9 +emMPfnxwpmsdQfv5Jl59PfJp1zqD9vt5/n66it21DqH9gLELoVXWtU6h/YJz +nlktaOhax9B+Qtt75X3lutY5tN8wc7tmgHbXOoj2I+p1THlq1rVOov2K+/P1 +Fth2raNoP+ObIR0P+3Sts2i/o53+xVv2EXWg/ZB2E0eP6P2uDrRfcurCiz7W +8XWg/ZS3P3w7YZRSB9pvGZcuGKaWVQfaj6mcVvqgvbAOtF/zlGR4sqCyDrSf +s3yrW1gurw6033P26cQFH2R1oP2gO8/Gxd9rqQPtF1Utfdrs3VEH2k8ao1je +ulyRB9pvGsJ6leaoyQPtR7Vs2rtd1ZAH2q+qHntAWGHBA+1n9fWRG/fSjgfa +77qqc9mOwwN4oP2wPZ0+HZ88nAfaL1vuu3Ov+lgeaD+teXHovOxJPNB+28lT +nhj4zeKB9uNGXfBNmbOIB9qvK8x9sFllJQ+0n/dKbkfH5/U80H7fq18KL+zd +ygPtB1a/NVu7z24eaL/wzOenrxUc4oH2Ez+yvK9/4iQPtN94ekLknb4XeKD9 +yEMMy3tl+fFA+5UTFw364HWHB9rPfPfEp+U6j3ig/c6Onv4qL5/zQPuhL71P +/TL1FQ+0XzqFt/Rx5TseaD91lOvM67vieaD91qvWB9/vkcID7cc+PHFDql8m +D//3azue1bMo5IH2c4/aK+8TXMED7ff+OCvPpH8dD7Qf3FmHX/pCwgPtF1cY +MjhtwHceaD/5hpH3+KHtPNB+88QKg5F2Pfig/egNHac+31Plg/arT4/MPK6j +ywftZxdOK/D2MeGD9rtnLDnwrsmaD9oPz/9ww3GNPR+0X37UI/nvqYP4oP30 +3OsP2gc58UH77e0OjJp9YxwftB/fLeCZuHkyH7Rf3+JtdMmi2XzQfn5J0zDT +14v4oP3+GzslEaor+aB+AJryzwLXreeD+gWMemvPi9rCB/UTkC4YfklpFx/U +b+DNh8vXlhzkg/oRHFrT0B50nA/qV7B6jckXyVk+qJ/B4WNsuWFX+aB+B5FO +j4MP3OKD+iHseL8zOiaQD+qXsLWxwqntCR/UT2GT/10Hp3A+qN+CbNCy215v ++KB+DJ0anw+FxPBB/Rpy3I6UVLL4oH4Oh8Y7R+uk8UH9HoLu3dKbmM0H9YMY +sm7M9x1FfFC/iPZNX1zvVvJB/SQ2XuRMT6zjg/pNGAX1TBRJ+KB+FDeu9srQ +/M4H9auQTPZxH9zOB/WzWPW18OpcOQGo38W3GVHzPFUEoH4YjkpvQs5oC0D9 +MqL3uAXeNxKA+mnkjVg08K2lANRvA/ZGa1LsBKB+HO/XOQ8tdhCA+nUETHAJ +5TkKQP08bm1jpTWNEoD6fcznjLr+CwJQPxDN5uEq8tMFoH4hSxc7DVSZJwD1 +EwkxZ8urLxWA+o3YZS+9qr5aAOpHgk0HslU3CED9SvYuDUpX9BSA+pm0+y44 +/meXANTv5ORV+e+tBwWgfigNK4baS48LQP1SLG8ssqo+KwD1Uwnyqi3LviIA +9Vvxz56/Nv6mANSPZdsIo4iwewJQv5Z3xcHpNx8LQP1cVJ7siDoSKgD1e8mf +WOe1/rUA//vB9NonN/W9ANQvZoaP72b7eAGon8zMbY9ClJIFoH4zy9L0k7gZ +AlA/Gu01iz/F5wlA/WqeVmTc9i8VgPrZfKvlLNxRIwD1u7koJ9fsIhCA+uGU +ZFw+YlgvAPXLmVYoqec1C0D9dHZ/8pkT9UsA6rezyin67okeQlA/nvfq/JKZ +qkJQv56B/Q+o6esIQf184p6lDy01EoL6/RimjFtw31II6gekrzDMc62dENQv +aJC5io+1gxDUT0hew/xO5VAhqN+QzC79VcBIIagf0UPVnRmLJghB/YoKYzfL +1KcKQf2M9B7omiTMFoL6HXmcSZqzf5EQ1A9p7vtyv74rhKB+Sf6tr7kl64Sg +fkquF+9PP7dJCOq3JDe1nT1shxDUj2nLNeuFVXuFoH5Nirs2/jrrLQT1c7K5 +2os10EcI6vfUf0Lk47zzQlA/KEu/jU/3+QpB/aIaZvp/MbotBPWT6vU30OBd +oBDUb8pNO/PMoidCUD+qIa+P2jSECkH9qmLaFQTnXwtB/axeN34ot3kvBPW7 +mna24e+7T0JQP6yx4Z3LZyUJQf2yVBaP5Vd+EYL6aRmf6gzbkSsE9dsasPBe +aEexENSPK/fX5rqLVUJQvy5p3afVRjwhqJ/X1meNxo8kQlC/L1Xj5YZ9vwlB +/cAmLpm1POKnENQvbHZuT67jHyGon9i0ZcM+RCuKQP3GjpqWlDppiED9yDJi +N898pycC9Svr6zpeY7ipCNTPbOmdNz1fW4tA/c7CXlhf6d9HBOqHpuRRM//J +ABGoX1rz6Pk7zYaJQP3UahZliH1HiUD91i7cjkiWhwjUj+1q5LIe+6eKQP3a ++vft/YQ/WwTq52a1Zn/YkkUiUL839pNws0RXEagf3CSXPq2D1olA/eIsz0+f +EOAhAvWTe1m476fcdhGo35xGmJ7dlj0iUD86rdenk7IOiUD96o5lOFY4nhCB ++tmd7nNm+62zIlC/u4UTeIdbL4tA/fDaWj4pLb0hAvXLO3XXWz8qQATqpzft +16UnOo9EoH57u/esifF8JgL14ytvc1+W/EIE6tenNUT7hOVbEaif34NdBSP2 +xohA/f5iuf280z+LQP0Alc+cW2iZIgL1C0wZtyl+51cRqJ/gmMbJbFaeCNRv +0PPCg9U6pSJQP0JbveZba6pFoH6FM1ip28J5IlA/w2sqD+paJSJQv0Ov1x1/ +8E0E6od4qGYn+8JPEahfoqbzCYfcThGon+L68o8wVhSD+i02+72TX6UuBvVj +nJ3xd/9DXTGoX6NTwtD7tcZiUD/HpWc5B22txKB+jwOMXmmstxOD+kGe2eO0 +8lF/Mahf5LIYs02VQ8SgfpIWjnLDTJ3FoH6T/juusxeNE4P6UdqsPmx4ZZIY +1K9yo9vmockzxKB+lpHbtE1+zxOD+l3GGvX5OnSpGNQP88c7j/keq8Sgfpmn +jvk+D1gvBvXTvH19fUHGZjGo3+Yt+2elv3eIQf04owLtYgfsE4P6dU698+Lg +Sm8xqJ9nmJ2uyYWTYlC/z5rMfveiz4lB/UCLPucp1F4Rg/qFruFJl6nfFIP6 +iUqU1/gPvysG9RtVbtRJWfVIDOpHeuVsC//UMzGoX2lM69/foS/EoH6mf3MG +qme/EYP6nX4ddMTg+wcxqB+qXnGTudFnMahfaq77XbuRyWJQP9Xzm88Ndc0Q +g/qt3gnImXIoVwzqx/q6+caGO8ViUL/WkeIWv3eVYlA/19JzPbPyuWJQv1ft +4OnWjSIxqB9so1rwOfVGMahfrN6LPWp9WsWgfrKv0zvDJvwWg/rN6vS7uW15 +DwmoH+3wyRcXeqlIQP1qxUcmrDunJQH1s3VaYBZw30AC6nc76tqFv2/MJKB+ +uFduK9xNtZGA+uU6HlXfUt5HAuqna7jGflf9AAmo3+6oS+Lov44SUD/ex5mN +o/VGSUD9en8FV8v3miAB9fPtdcHDYNgUCajfr//ACV4usySgfsBlKQPNFyyQ +gPoFty6QM127TALqJ6xddWP7ttUSUL9h55IvFofcJaB+xH6u/v3PbJGA+hWn +Hmi967dTAupnrHOnade9fRJQv+PopAdvn3pLQP2Q5yr23PDqpATUL5kdE3Lu +wzkJqJ9y7597bNlXJKB+y09lWSPTb0hA/ZjPuhhk5wRIQP2a3U++FBU/lID6 +ORed1L9S9VQC6vccWJT1qS5cAuoH7T7h4AlxpATUL3qXVUxpw3sJqJ/0yG+z +spo/SUD9po3zf69vS5SA+lFvbAkN7EiXgPpVKwyZfEIuRwLqZ33vXLaeYpEE +1O/6c7y7q0qFBNQPe5hkgKs6RwLql31MZ5uxllAC6qdtyjoQoFMvAfXb9vj8 +sU6vWQLqx536Nr7ToF0C6tetUmgiM/orAfXzPvzsWLSJkhTU7/vnu4+rzTSk +oH7gLptjJOZ6UlC/cNmnfRstTaSgfuLLhmvlWllJQf3G2x/GDbexk4L6kR81 +LLjds78U1K9cqTyjw3aIFNTP3N7dycvOSQrqd96wILW591gpqB968OVF1+1d +pKB+6cGL8+b1my4F9VPv2L5muMNcKajf+o6FztMGLpaC+rHP3ZXgO3iFFNSv +fejRy4aO66Sgfu5lqTNrhntIQf3eN76s+OG8TQrqB79Se86GMbuloH7xj2ry +B044KAX1k++ZWLxq0jEpqN/8mA8NbdNOS0H96Jvq6jTnXJSC+tXLe0YFLfST +gvrZ2y6/Fr/8thTU7/7UC/72tYFSUD/8foddYzweS0H98rdYZYTtCJGC+unb ++6+cfSBCCuq3f6bFM+RElBTUjz+x4VH6xVgpqF//9Ju82FssKaiff6n1jnNB +KVJQv/9xbbGjI75KQc8DeFlwryYuTwp6XkCA3LAzX0qkoOcJ3OT9HF5WJQU9 +b2Dx88+/xXVS0PMIOjXei36LpaDnFYy65qWq0yQFPc8g6Q13i90PKeh5B/4+ +u01Hd0hBz0OQ21ffZ4G8DPS8hJ2H7wdtUZWBnqdgvHFCwCltGeh5C8ZB+lYP +DWWg5zGYT6nGR3MZ6HkN43y+65X3lIGe5zDu8PBnv+xloOc9qJ+20bQaJAM9 +D6K/oHiFy3AZ6HkRbsa9n2weLQM9T6Lz8Jl2P8hAz5v4meK4P26qDPQ8iqUd +AwYIZ8tAz6vYYT5lmPEiGeh5Flrn+j6Z7ioDPe+ic+b2m0fWykDPw7AZ8dDm +7UYZ6HkZq8Rz1ks9ZaDnaQQpWezru1sGet4Gyy1736aDMtDzOIJurvcJPSYD +Pa9jW6VxbP1pGeh5HrGnr4xzviQDPe+jQ5Q/6NQ1Geh5IE/dzkTm+ctAzwvh +G9SIez+QgZ4nkmDCkTsaLAM9b6T05ybrkjAZ6Hkk/drn7HCOlIGeV/Jz/U3z +e+9loOeZzLY+t1Q+XgZ63snK3qL5Xkky0PNQHNXtJnC+yEDPS5HMPfJfTfcT +0nQYxgEcU1AQggpcglgHNY1MlDJqxBenFoLRUty8rCAi15/J+mvKkJpuaWrY +Kiym5LYOgpMghllUeJge1lgblKVgmAul9XtWS8O6OLt8j+/hfZ/v8/DwXj/6 +xrACeirubzUzH2YU0Fs5/0r9VzevgB7L6eWB9S9RBfRaQpa+vaaYAnouuYnu +SEpCAb2XbYbH+4fWuF8eOH5GxrDOfXLjgjro+J4moCczXLu70pkpoDfjDZbk +NWwV0KN5EjO5VdkCejVPK+xl0R0CejbvBzPqJgoE9G4uacxdA8UCejiq5iPG +W/sE9HLK583919UCejr5i76xFo2A3k7yYqvFViOgx9Ob9jEwrBXQ61lKrLUF +9AJ6PpqidHvqKQG9n5Uca7L2rIAeUP6uhuwRk4BeUPiYvzDrmoCeUHu0yfvI +IqA3VNxxu760k/Nx4Ff7ofTFHs7jHoZezv3x3mf//SgP9LkeONnvXXw1/fvt +9LC/Xhxeen5iepT93IH+2bhf5WP+LtRtPpP78DXz2lG603iwaor5OvF2VXt8 +T4h5rNj0ztCi+8T6N///b1uaQgusZ8FR/YTHE+P7N1BmLLL+WOV7VzH72ffG +n+R9M2w6V/fJzDjoTV3OunIuY3sc9Kia7dU2QwHPWrwIuibjB+LYADzl8Wg= + + "]]}}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJw113c8le0fB3DZ21kOx4zS0PC0t0+StGjQQ6XSLk9FSUMa2gvtPahkFAkN +Kt2EkL23M7VLRajU7zh9f+ePep3X4T73dV/f6/P+sFrmO3elspKSUqr8n+7/ +f8V8KXVZNhvTfnt9Hj9Yifn73hu7i7bqtvgqMT92+TzdfHoN2N4vNTpv/P9z +P1x6OGjPhkIlZgcv3lkUuBmbIvNa9Nv+//PbYL4qZcMk4x7M9rd9hmjeDcKF +1vlZjaN70O/vwVUjlp2vRw9mXNOskPMb9sLXNtfdfXMPut5+vLNxDS0P68Gk +TtNVHnDoIE7tDfzcO7YHXf8wfo5PvrfhRQ9mTHLOlrTrR2H+U/NUad3/v+84 +Low82uu/bz2YxxYH3s95HAquj7+jq7Yyff8JiGb1HBXaU5m5ktkzYdOak1jT +NWvj2JHKdD+noOw70cZ7hjJT16n4Quy/u/qBtrcy3d8Z9G8YpTl5szJjatfQ +Wz34LFpslfJ4h5Xpfs9hqG1J+P7LysyCFYorYsrPunmX4pXp/i9AkJL3fWG6 +MnPpouKFtrGylsIyZVrPJciSvfp2yJSZmsJ2N0nkZSRlZ6jVtyvT+q6g03FT +2C4tFUag+q/+oMSryJu+d53ERIXWew2fp6S26g1UYTz/XhDidsnoHhNUaP3h +6LTN0mZcVJiZo444eMvCUfbjp4rLYhV6HhEYIfpwLHaDCvNhTvcVI/D23Zv6 +2l0q9HxuwHj6HU1hqApzbN3rnzFfbiDutfOvJ9dU6HndRKMgfJ9fvAoz4BBf +voSbSNP1nfnnmQo9v1vYsefXm7UFKsyrCKeH37puwajX3tL79Sr0PCOxq87l +v+r3Kkz30zJWvQ3vzBilph8q9HxvY+bkk/nZWqqMdtVNvwnaUai6MKb9hLEq +Pe8oeDn4X7Dvq8rEdi+XFQ3/r28eF49Qpecfjff8iW5TJ6sy07svx4/BKE+L +ebfnqtJ+xCBzqFH4e29VRvG4zWKxZ+R+bYGvKu1PLA7YT/e226nKdD+9Yus7 +yIkIWW53TJX26w4YS48CwUVVpr9XWEZbv7tQ5w9w/XxblfbvLv54nQlNSFZl +cuVXM7GLg1OPW3aLM1RpP+PQ78OqVx1Fqsyakx+DMCIevX3ntu1pUKX9jcew +Y9Fj29+pMvKbky/5HkIflFt7dajSft9DY3a6XYKaGuMuHx75liLmXv/Gbxw1 +2v8E6OxsiuzbU425JX96VTcTsNeW92PGIDWahwSMv39u2tKxakxrV/m2t33u +Y1aqwZ/Vzmo0H/fhMWtMsLe7GjNZMQD34VXPGTZjqRrNy310FbA399ugxsg3 +r3tB+G0wK7wjUI3mJxEGsfdZTw+pMX9vLxH8JL6p/xk1mqdETC3cwrGIUGOG +KV5JqPXWcn4Wp0bzlQSlOyvV56SqMfuYPPkEJWHR+4jLNdlqNG9JuJ3gN8uj +TI0pk+++/JnAJXD8mrwmNZq/ZMzKdrAd9kGN6aW4YDIaoqKqTnao0Twmw1np +fEKzqjrjr9iAB7ixcfa3IWx1ms8HyOvpUO9vrs68aOsn3+EHuLWAf/tuf3Wa +14fg2xUfqR+hznD+3iBSOGVpKpPUaX4foutcR6C1qzqjuLuch/Bxvv519AJ1 +mudH8E1J8XRepc4kXv0clT3jEZbU1Te6bFKn+X6E4aEuD2buUmeUFQt+BJWz +ejqTj6rTvD8GX/pab/g5dWbu34HBgPlB78xuqNP8P8Y+2fT833HqzI3u5ZY/ +xuchaQ01Kep0HlLgnzlianyWOvO1+3KeKTgTbzA8qESdzkcKOvPu5zg2qDOT +use/Tv75iVMD1d6q03lJBS/Y4QDTqs4oxndxKqxG+7YHKGnQ+UmFT8+22za6 +GowosHtDUjGrrP5hkZEGnacniOq7etrmXhrMEMWAP0HxN84erp0Gna8n6Dh4 +c0fcWA0m+KyjfGSeYHlDH69JUzTovD0F5/TPiaVzNJgSa8UGY/iFyqmLFmnQ ++XuKRbE5JyVrNBir7vT/+BQbzzqOWblZg87jMxjC0ku8W4PZ2H08/J7Bcvc1 +/sJjGnQ+n+H4S//9Rec0mPTu3f32DA7Xshjc0KDzmob0d0Ob78RpMKy/BxJf +BVX6vBQNOr9pKBG4um/L1GAUj68zDcp2j4uqizToPD9HU+SziyPqNBjF7QU9 +x0vvU3mhzRp0vp/Dxqlzu/SLBqOkeDF4fNj5+cguDTrvDHYKV98/oKnJRGfP +kJ9oBqdPNawq4WrS+Wfgtu5lm8BSk5ktP43yGUX1VbH/EltNygMGpkEh3yNG +aDId3fpkMoi2zTslmqhJ+cBAbbDDIouZmky4SfeBSAfz2tHH00OT8iIdKl2F +BWHLNJmpig1OR2z08cuZ6zUpP9JxtrOy+fs2TaYlqvsG02H9OyWjz35NypN0 +bGxoc3AP02QudN9dXjqcm5S27r6kSfmSjlHLtTZHR2oy3cM1TTcDSS/bpxcl +aFLeyN87+Om1PtFkutOk1CUDwZHl+fyXmpQ/GZhqNeXSqFJNpnta5CMD2dvb +B/9t0KQ8ysDR2ss3/N9oMorlFmcgXiP0d+g3TcqnDOQ43Y2N/q3JKC7HeQGn +Wx8eMlpalFcvMKhBb1gVT4tRxJHbC4hTLgz+YKlF+fUCnutFj//YajF/68ML +jJBqitgjtSjPXsBW5/cDawctRhEHVS/wVmf3lKEztSjfXkAp2CRioocWs1sR +OJlQj/in3GWZFuVdJhyXtn6cv16L6as4QJlwOrHu14ptWpR/mTj9ZrOe7z4t +pkhRLzLxwvT54G2hWpSHmXCpLFu/56IWs7X7ODRkwv4pt/rQLS3Kx0wYL3kc +GHpPi7HsHmeLLFgNqlh2JlWL8jILUxd4Xr2YpcW87B4/7yxIM3RGXSvWovzM +QmDO2fE36rQYX0VAZiGvX2BqZLMW5WkWxhSZPIv+osUYKQ58Ft6EP55955cW +5Ws2jjtuDo7T0GYUt9c7G6OaXBfe42hT3mZjRp3tuwRzbWaV4pWNqHP5YxL7 +aVP+ZmOqad28pGHaTHd5kicsAguE05LttSmPs/GcP9PqwTRtpjsdt73NRqiu +3+sH7tqUzy+hwz0Q9XCJNrNYccGXaNwRs+6Rjzbl9UuMshI7PA7QZtQVASV/ +32UyNGWPNuX3Szx71ndq6jFtpns3ePEvUeKeGfrknDbl+UvcOXCW9SxCm1G0 +u88vcXBD/+q0u9qU7zlwNej4zDzSZn53H7chObAvWL7sRYY25X0OTnxLGZNd +oM3c7h7nTTmYPmTYntxqbcr/HDjzWlEg0WZc/y4Yh4L19pV80iYPcvDadqdb +Zac28717O9pyMFN58JM6VR3yIReusR0vRAY6zLXu5Y7KxWHpza1vTHTIi1yE +dUmbPtvoMFMUDzAX4SO91Tv+0SE/ctF3UEyr0ngd5pOCh1yYTHRM1nbWIU9y +4fgtwtVwrg5zrjvef+bi2Oz+BT0X6ZAveZjiPHjMoDU6jCKeJ+Thplb75bH+ +OuRNHkavefJ76i4dRhGvu/KQNyDSx/OIDvmThxnri9+sOaPD/I2/PIz4MGNv +4HUd8igPtssFE0NidRhFvCi/glbdoAERD3TIp1dY82vjzIeMDqM4vo6vcGpJ +xt38Vzrk1Sso1X12l1bqMIrjceAV1hU/d+kS6ZBfr7B124frRh91mL/j9wqt +A23ch3XokGevIL6v7z9bRZep6N5ezXwIdjqq+errkm/5ePLLjRsm0GV2KgIw +H6vrsyMTeuuSd/nANEFWmZ0uY6MYwHy8HP58e8dYXfIvH+HneuVbTNFlCvIV +LwyNi86cMkeXPMyH/YTo//y8dJkARaAWYEOxf+7l1brkYwGqvro352zSZcwV +A12AE74hee07dcnLAjgd99jV74guk6X486oAzY7NWgvP6JKfBVi9Z2VA2HVd +Zr0ioAtwy+1HTlasLnkq/9zuhe7vB7qMIq54hThvVj11dLou+VqIs1+mH9ic +r8so4uDfQlQd6puXWKVL3hYiscXf8ptYl/n751ch1sf8EzLiky75K//9Qk/T +wE5dRvfvgcNFV3EJo6pHHhfimWnaYy2WHqMYF5MiuC+qrnI31SOfi7A03HjU +jT56jGI7vIpwUGNZ45cheuR1EZYHnq5wnKDH/P3zrAjTyg/1vjBVj/wugg+H +X/bJTY9RXK6pCCuD2W+dl+iR58WY/W7ahps+enTeizHk+TGfHlv0yPditE4J +ly0N1qPzX4yqHJfXmcf1yPtiLH/ksdX2gh7lQTEaa3ddPXVTj/wvxuQdwUu7 +4vUoH4pRe8T0lU+qHvWBYozOUxfXZulRXhTj148fcS4letQPitHVFTfyRb0e +5Ucx+iqV7xv3Ro/6QjGk4ZZXH37TozwpxgDBpKPD/+hRfyjGwGXv5z7Q1qd8 +KUb4wrzfo/n61CdKkPHl+NnnVvqUNyV4PUloMW2QPvWLEhRn+t2oGK1P+VOC +62vf9V05WZ/6Rgl2ZnY8bJ+lT3lUgl+DnD1CFupT/yhBrzd7WH1W61M+lWDs +pDnv0jfpUx8pwcynyz9479KnvCrBGtsVFipH9amfyL+v6veh6LP6lF8lyI4W +/jMnQp/6Sgm+2j/q2XVXn/KsBLmCIUvuPtan/lKC4yld3xZn6lO+laCFKWjm +FetTnylFNt8NhXX6lHelWK3hoHbstT71m1J0rZw5ccY3fcq/UoTGDejQ/6NP +facUzVbnh1dqG1AelsKtZWpXON+A+k8phs7umu9rbUD5WIrFNzfNdRhsQH2o +FJvPrf3EH2tAeVmKtomRI1qcDKgflcLB6b1dwRwDys9S7GhRkcUtMqC+VIpp +C1K9Tq01oDwthf/++muBAQbUn0rhFzguaVWwAeVrGYr87kT8G2JAfaoMp6Qq +m6ZfNKC8LcN/qZYDJ0UaUL8qg2RRQ9WE+waUv2XYJrTYOeGZAfWtMlw0zuvl +kGtAeVyGCCanbGqFAfWvMjw6q3nWXWRA+VyG6C07fFd8NKA+VoakvUb/bes0 +oLwug/WV9845yizqZ2Woyq3fVKnJovwuwxf+0tRmfRb1tTLsjQuy7uSxKM/L +cKL3yHt6pizqb2VYFnZuRW8rFuV7OfKPx0yZ0JdFfa4cu//dOd9zEIvyvhye +xdYRAcNY1O/KEXM+zursGBblfzlOcYY3PQSL+l45Zuwuaqh1YpEH5QhSuWLV +YyaL+l85zj55HN9/Lot8KEf/POcj7p4s6oPlmLN6w4PgxSzyohysFvdR91ew +qB+W42lbH67Eh0V+lGOLC9fFaCOL+mI5wi1nvnXZyiJPyrGoXf3DwZ0s6o/l +MCkNmp+xj0W+VKCv3bsRSkdZ1Ccr4GwZdmDiCRZ5U4Hl7vdm7jvHon5ZgcFD +ToXlXGGRPxX4VL13Lusmi/pmBcag+OKCGBZ5VIHiUQVro+6xqH9WIPhgcfb3 +ByzyqQK8z8MfTH3Koj5agZlO88dczWCRVxX4GhTu0ZrDon5agdaUjTzXIhb5 +VYGT3qM3x1awqK9W4ILNih1a9SzyrAKPLNYO/k/Mov5aicbS5yeL3rDIt0ok +TJfFjPzMoj5bCX2rhTvC21jkXSUST0dp6/1iUb+tRNPpeV5BymzyrxK9q1o3 +f9JkU9+txLg3gvnLDdjkYSU6Ri3WqzNkU/+txNzB3ufmmbHJx0rsHfeio9Sa +TX24EhPe2o1x688mLyuh/sHNq9KOTf24EmeHi1d5jWSTn5VY1uP0Itl4NvXl +Sti39HLa6MgmTyvBZXlaK01nU3+uQurX9s6Ts9nkaxVa0kqK+3iwqU9XYdWk +lDtpi9jkrfxzlcNh81ewqV9XoWqeeXC7D5v8rYLTmsUHLmxkU9+uQvHCkRHj +t7HJ4yoMdj9XI9nFpv5dhR7HAoaFHmCTz1XIX1aSNO44m/p4FdQC45Z8OMUm +r6swN407Pvwim/p5FcS7ea4e4Wzyuwqt+7MvsaPY1NersNXcuW9RHJs8r4Zo +ZGpHWDKbPK+Gud90nvsTNnlejbhB/XebZrDJ82p8jAu1b85hk+fVOGCe6pFc +xCbPq/HnzpeiA5Vs8rwaYeF77y5oYJPn1VDyTf86VMomz6tRka8Vrf+eTZ7L +fz47reTjFzZ5Xo0+FdMDijvY5Hk17n+zvPDwD5s8r8aEB48Qrs4hz6tRueD0 +xhA9DnlejSdvxw3bxeOQ59Uocph0dJMphzyvwYjhCPKx5pDnNbC6VKa/qj+H +PK+B+L9o55X/cMjzGlhUbxi4ZhSHPK/B1IXCjA32HPJc/r4qjbXdiUOe12DZ +nXLTQzM55HkN3PJb315w45DnNYit/7EnfgGHPK/BAqdXzS+XcsjzGoS4ulnJ +1nDI8xrEjz4yUt2PQ57X4NG8dQMHbOWQ5zV4bq2m5b6LQ57XgFm6snTPAQ55 +Lv++YdGh949zyPNaJAz96th8mkOe16L++MYOi8sc8rwW7ywWJy68wSHPa+U+ +dG69EsMhz2uh7BM2S5TAIc9rsXZcAGwfc8jzWujHabtufc4hz2uxJyIlOCeb +Q57Xon32d6F5IYc8r4XtKmzaWsEhz2uxzdRifEU9hzyvhbdnH6dRUg55Xotp +Rd9PX33PIc9rccF0jJ3mNw55XotewgjzrT845HkdPslaVr7rwSXP6+A07ov+ +Mi0ueV6HPm7bLRtZXPK8Diyb/64tNuaS53UwcUk+JbHkkud1CBzoqrK+L5c8 +r8O/2vbtPwZzyfM6KI04GxAykkue1yGs18YDve255HkdSs//HMQ4ccnzOiQz +Plu8XbjkeR2+e/VYpTaPS57XwbNRX/WeF5c8r4NtyGePRSu45Hkd7O/qrmWt +45LndZh9td4+x59LntejVE0i3L+DS57XY1Brwr9T9nHJ83qsU5NF6R7jkuf1 +WHJsQH3VKS55Xg+7JPufUZe45Hk9Vt1t1t15g0ue18O/uNPYI5ZLntcjIs+r +78hELnlej9OM8kSTVC55Xo+ROz76qGRwyfN6DD0xKOFLLpc8r4fomNhIVsIl +z+uRYzoutqGGS57X4/yYAN86EZc8r0fsv42+jW+55Hk9LA+XJTV/4ZLnDfBL +Snds7eSS5w0If2LTT0OZR543oC3++FpLbR553oAZbadZEzg88rwB33e9tfE2 +4ZHnDZjUOiPxsDWPPG9AiOxo2kNbHnnegPafB93eDeWR5w14Yjs0sNc4Hnne +gH6isHHLHHnkeQPGTXgQfnsGjzxvgMw+N7HFjUeeN+DHT9UdE7145HkDCsuT +lM+t4JHnDdgdM8ztyzoeed6ILoO2gLkBPPK8EY+O7ticspNHnjeCyyzy7HOQ +R5434lSi04CLoTzyvBFZnS3f2ed55Hkj2n7+k3XiOo88b8TFfu+vG0bzyPNG +nBkmOBmewCPPGzF5Tu6NISk88rwRHv4WTTnpPPK8EUEHZ89elccjzxvxKeGu +knYZjzxvRPHJsz+S6njkeSP8gvdNXSHlkeeNWFuq2mbykUeeNyKRm6Zd3cYj +z5twzM3nzOXfPPK8CauW111ZqWFInjfhmdmPwSNZhuR5E2pvZ8/TExiS502I +euZp9t7KkDxvgl9nU0iRrSF53oRko1sPUocZkudN+D5Z7frd8YbkeRMiVwfN +i3QyJM+boLfusvSWqyF53oTlJ/U873gYkudN+Pro/JPH3obkeRMWJxibFqw1 +JM+bwD9+ZO+bTYbkeRNaPnV1aQcZkudCtC69dXH4AUM670J4RWUvXRlqSL4L +UWWQt+zqeUM6/0KolNjF1IcbkvdCjFl01qFXrCHlgRDXniaP3phkSP4LEfp6 +xeXsp4aUD/LrW1/a0ivbkPqAEAELVlUdKjKkvBDi/f7OvG/VhtQPhFj+O2Lx +arEh5YcQRz/kXxe/N6S+IMTncPUrK9sMKU+E8O364d3y25D6gxB9agN+7dXk +U74I8Xbd8iBzDp/6hBDLEos/PTflU94IkVv9fqmPDZ/6hVCej6pSMzs+5Y8Q +daoh+6pG86lvCLFjpGDWpUl8yiMhxu/1nrdyJp/6hxBlRyZEjv6XT/kkRGxm +vAvPm099RIia75WLOtbyKa+E0Ijtkkr9+dRPhBgRHfa1Zief8kuIXdssz1Ud +4lNfEaK6YWVTw0k+5ZkQUo9JjR8u86m/CJEX+eSG6m0+5Zv8/mYoTbBJ4FOf +EeGS0epnrql8yjsRPO/5Dg/O5FO/EeG00YvEp4V8yj8R/jgOn9ajhk99R4Te +UYzmLAmf8lAE1awTyrc/8qn/iGCb0+Gs3sGnfBRhjGD7O19lI+pDIqh1pv0U +6xpRXopgbx4ZssTIiPqRCMys4YkyKyPKTxHOsW7tCBhoRH1JhCdjF/7SH2VE +eSpC9J2WKYkORtSfRMj4OGDDkplGlK8ixMnUDvA9jKhPiRC1N+Za1VIjylsR +hBs3F95YZ0T9SoTO8va+27YaUf6KcPtnR5rHXiPqWyJc6bkpyiHEiPJYfj/9 +T7eNuGBE/UuEmP8yng2/aUT5LEK931WefbwR9TER+mR26s9NMaK8FkGSJkv3 +yzSifiZCwYKYSZeKjCi/5ful8fJ2Ya0R9TUR1uz/paLfbER5LoLVM0c/zy9G +1N9EqLpT1iP+lxHluxgO9lZF+prG1OfEWArhp0CuMeW9GIFOqtu+WhhTvxNj +8a304ABbY8p/MbAitJfqSGPqe2JoTOgVcM3BmDwQY+25oNDJLsbU/8RIOF53 +6LunMfkgRtCP7O3JK4ypD4qx+ZDuzl1+xuSFGD2fpse6BxlTPxSjw8TJbNRh +Y/JDjAJ7vLc5Y0x9UYyk3zeHWIUbkydi7DifpNX/rjH1RzFaan+GTHhsTL7I +36+YX7M405j6pBgHKjvUQ4qNyRsxbIw8h+bUG1O/FGPMFeetrLfG5I8Ygkfv +v6xsM6a+Kca1t8/ScnoIyCMx/B1Cf4zWF1D/FMMldX/GQxMB+STG5Cjr4ZP6 +CqiPijG/1+T1dcME5JUY245YHd87UUD9VAx26+x7o1wE5Jd8fZkv237MF1Bf +FWPe0Ppd+asE5JkYPMkUn7v+AuqvEnQc6V19aY+AfJPgzIn2N+dDBNRnJRiY +E/Ps5iUBeScBu3fo1rQoAfVbCVx3Lhv9JllA/kmQuWSOtVWGgPquBHqibx5r +iwTkoQQLgt1+MfUC6r8SHOZ/GNrvnYB8lOBpepzl9XYB9WEJcj//brZRMyEv +JbiyIi3uKceE+rEEmnOdLi7vaUJ+SjA0cG2RyWAT6ssStC3avUU8zoQ8leDY +Vq8HqdNMqD9LkBU8P+mmhwn5KsHEfPcLV1eaUJ+WoPRK8vFofxPyVoKoa2tT +MoNNqF9LMH/JF+evYSbkrwSraj2XDblmQn1bgp1cDNt714Q8luDN8yqZNNWE ++rcEN/58jJyfa0I+S+DT5/V1YZUJ9XEJFp7NUgpsNiGvJdgUOeC7TZsJ9XMJ +dt9cHC9VMSW/JdBqnOb5kGNKfV2C01nBvS5bmZLnUjRZOk88/Y8peS5FrOvR +pmswJc+l2BL7aWiaqyl5LsXvLwXrviwyJc+laCgcx4xeb0qeSyHw01p6JsiU +PJdi8LjcfarHTclzKSaeKV105LIpeS6FbZqTTa87puS5FFZlBuzSVFPyXIrr +lZ88z+WZkudSrBEFjPCrNSXPpVi5hi1c8s6UPJei/3Wd0yt/mJLnUmi0nzgS +rG1Gnktx8EnynyQTM/Jc/vMFAUO7bM3Ic/l6d7xYsmicGXkuBXbvzS6fYUae +S9Gbf+r2ci8z8lyK5RNLJmqvNyPPpWBb967K2WlGnktRcnj73euhZuS5FE7n +i1XDrpuR51J4n7e3OZ9gRp5L8bm11e1xuhl5LsXFpc7VX0vNyHMpnvZK/DFF +akaeSxF2Uqj6oM2MPJevNzXJYYKGOXkuxffx07qajM3JcykM3ZUPXLU1J89l +OKh/rO+W8ebkuQynGNFwH1dz8lyGgJjFv7d7m5PnMvwqflIZucmcPJfht4U5 +59N+c/Jchuvxxupu583Jcxn2LXmuVRFjTp7LYBwVGbD5qTl5LsO4Wx9uDiky +J89luLF1Rouu2Jw8l8FXYvxYvc2cPJfh9PB5Cyw1LchzGa5uSx7lYWpBnsvw +SjkmMX6wBXkuwxTHDXZ9JlmQ5zIc+cblpc2zIM9lGHH5SM6WtRbkuQyH/WIf +zt5pQZ7L13d+2vSZJy3IcxmSs+2/rI20IM9lcJ/hbxqbYkGey7Ap+PNYvUIL +8lyGkKQ3L06LLchzGT76Fg20b7cgz2VocV/8SVfXkjyXYdv8mH1KVpbkuQwr +zw3yEYy0JM/lz/fq3TUnV1uS3zJEZxTm1+ZYktfNGL/94SEX457kczP0nt9+ +3TqrJ3ncjF1tW/cYHe9J/jYj/33cpRsFPcnbZqzhrxtSbWhFvjbjH7ZeR9Aq +K/K0GWVu8TdtM6zIz2a0WUyb+XmgNXnZDJvyqcqRcdbkYzNe/rti8uw5vcjD +Zpyo3i6oH9Gb/GuGzyHOcGPGhqnLNktPeN6MqKYC/4Ue/zD/AyuUhSE= + "]], + Line[CompressedData[" +1:eJxTTMoPSmViYGCQAGIw/eRO2KLL7+yX3z9bHB1ucICHSbtd7OY7+2Vvndrn +8OgfeFglss794Tv7vV73eTwtdA9s+/z3SsXLd/ZxDVt2792rfaA75/nvlR/f +2W9jilzbW6N1IOHpBaXbP9/Z/2+tf/g9SfOAadwuTx6m9/Y7vzW/0ErTOMB1 +fXGBLdd7+133tthx1qsfuO/fOz1P6L29+4YdwnNWqB3YcqJs33yp9/Za06aU +OtxXPdDpCDLxvX2Yhp6hnoLqAbBx2u/tb/D8D9DNVDlgDAbv7c+uEpBM3aF8 +gGONTFSK9Xt7WcH7mpY8ygfuqrA1TnV+b9+6SOSPbrLSgU1z3y8/5v3e/vnB +7nPndyseAHr23Pfg9/Ynpvm5TxdRPBDTf+irRsx7+/6Yp6ZHsxUOGIINfG// +1Dm1O6hO/gDItO6c9/YGB25n54vLHbj9sy5rT8l7+1xmkc2OM2UObCjKmPi2 +5r29yUOD/Vs+Sh1ofR24Q671vf3N39sMP3ySOAB0HNDL7+2rqmb0CX4TOQAA +5nzCNg== + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJw113dcje8fBvBk5EdGUZEtMrJCNpeZr52QjEg2Zc/MqOxkJTJKqBBSUpSn +vcdpnnZn73NChYh+p56P84/XeXU853nu+3Nf7+sMcNpru1VXR0enrLWOTvO/ +jSFf85c42eBDVkCcZqIG7HtH7PGc5+FgrsGv07tiDt3agQPGB3e27/Hv7/vg +YRdwaUNHDU50fz2f73oIpSURsUlNavr8MYzdMeycsk6N43Jzy/avTmL+6qjA +QIWa/v9ZvCow2J7DU2Nq9bJrd/ecg26mw1E7rpqu547PdTbLRuSo8XGBvq7F +BU9Y9t8xeW7yv+tfhG3nLX3vxKgxOSLtyOfHl7H6TWSSScS/77uK6ZJ3y1Ne +qhHV10O5PMoLfJeGb36B/77fGxMyrgXc9lPjQVL/twd23MDD6LL6V7f+3c9N +fDk9RU9wRY3yhpYvxMjzF1ZYuv+7v9s4Ldz+yvekGr1GVw5q53YHnCVJQ40P +/7tfHwwesqpnsIsaa7e0XBG1vc81Ld727/59MXuYnmfrjWrcv9fyguTrD9eM +1f+e5z6utinK8LdRozTnxwrhMz80OR8/6bHg3/M9wGBBROjR2Wr0bGPXeeS7 +h5hQuc/7yNR/z/sIJnusd7iNV8OevSD2z9538e7If8/vj9wH7W2jzNVYPPHS +LEexP57O8hwr7PdvPQLwWOHyxKSnGqrlzVcMwKus0YNWGf5bnyfwXzx8jl9H +Na44S3+HfH2C3VOzbsrb/FuvQNysyQvFXxUsLhhrHyEQ3RzuWT38oaL1e4q+ +Xy/ltfqqQmbAvMjaP0+Rc/LaKBeFitbzGZykd/9WCVVoXq0ebZ5j18epP+0q +VbS+z/FEtKC4sFiFDtzAfdM7BEGx5MRqe46K1jsIlh3MZvDTVXjR/Lhdg7F2 +kGzZ3kQVrX8wFvX1XKUbq8LC5ssZh+DHplum9yNVtB8hGNqw2GXCWxValrv3 +C5T82NaXG6Ki/XmBeBO+zslAFZpXjzPwJcTdquWDHqpov17iqaP72zwfFYat +v55QP/QVGmX5I895q2j/XiH6/NVpEy6rkK69munoUOyuMI5Wn1fRfobC/9Ym +t+BTKuy4oT4Jq9dgHHMObTuqov19Df+2vQ4N2a+C9ua0j/wG6+SLNyl3qWi/ +36Df+Ef93m1RYaV2eLRbij8LnG+d2KCi/X+LTp5Dnsy3V+GpdvW4gW/hYjp3 +rLGtiubhLVY1WlhIF6lQ96fwmNw8DD+SLQ9/nKei+QjDn+PuDdehwtyWAQiD +o/GgJ9snq2hewjBMUrxm1jgVtJvX/EDocL6ffp+RKpqfd5h2fNyzX+YqsLen +fe/2zaCsv4rm6R1M51bhk6kK41pe4TD2N+zzqLuK5kv7fssM33OdVTgfl6Gd +oHDsOf7Af0f7f/MWDp1D6sE2uioUaHdfuybwcdvfY3KjkuYvAolhgh1m35Uw +a7lgBCZ5723f5YuS5jECfzpGyn7LlTjYsgHvseL5599yoZLm8z1uiX6MK61U +IrF+qHaH38NXZ6x7OldJ8xqpzbf71R/zlDBkbxDTfkZPDs1U0vxGoqSvi6d/ +shItd5cWifm3RNG3GSXN8wckaB6nXYpW4t3DmqCURR/gXrcr6Ey4kub7A8x9 +1i46EqqEbssDf8COPhkhLkFKmvcoDD1uH7U1QAlbdmBw7qXYeYOfkuY/CgNW +e8euvqPEk+bHLYyC7q5w/+XXlXQeovFFKNRffEmJb82Xs4/GlA+an9bnlXQ+ +otE/oXjd7FNKzG4e//JoPK7OHzHjqJLOy0es7bx485T9SrSM74aP2PKq/PuE +3Uo6Px9RmrKgetxWJfiuzRvyEWNOXuluuVFJ5+kTluyL9xq1RgnLlgH/BJfl +E6xHrFDS+fqERmeHccOXKOF2Z452ZD4hcEXe0qHzlXTeYhB4xfaG+Swl8ga2 +bDA0Nim1g6Yq6fzFwNzJaq+ZlRIDmtNfHYO2ZRmNA0Yr6TzG4mT3gjv9hymx +v/l47ItFw6OP4/qZKel8xsJuXCdOnz5KxDfvbm0sVDGHtvQ2UdJ5/YxZ26MU +pgZKdGUPJH68/7S+Z0clnd/POP7sVIRJWyValq/hM/QKTVRGTQo6zwxS3sX+ +6dagQMvtnWSw9fMnoUGtgs43gx7MEd8uagV0Wl5xONVlt3EnqYLOexzGKizs +O/AVCE5ZpD3Rcfgc62SvV66g8x+H+545Bm2KFLDRnkbtjKL16DlndHIVlAdx +2FIX7NeYpsDPZn2S4mCc/3vTzwQF5UMcJn5dmF0bo4C/afOBiMe+PlElmkgF +5UU8nGYcPSN/q8B/LRscD7+i7BjhCwXlRzyKzhperXyqwJeg5hvUfv7sK1nx +IwXlSTw8ZnXLyfVVwLf57jLi8VSVPTHtpoLyJR6DfhwYEHdVgebhWqCfgLio +IM8PngrKmwQsadN/8+uzCjSnSf6SBEQkPnz31FVB+ZOALne/7Lt/SIHmadGO +DFKO1N+7vkdBeZSA6/Y+w9x3KNDyuJwETOCm9zrmpKB8SkDnypvOu9cr0HI5 +w0TU2+j02GCnoLxKRGnyCFMbGwVa4mhFInxGzNg7a6GC8isRAy9u7TZ2rgJs +fUjEr8Aq3YEzFJRniQjIqplsMEmBljjgJmLSZXlEk6WC8i0R3R3M96ktFDjT +EjhJsDzZYUfZYAXlXRKu3ml9L6WfAkNaDlCSNt8uNoX1VFD+JcHKpqfvg24K +5LbUiyTIT8zY6NlJQXmYhHFzLi3fq6fA0ebjUJmELkNv7V7dSkH5mIQ3aX9C +ZvyWo1/zOPdNhsHLA/8bXC+nvExGzf8CPTvUyJHaPH6OyegcOL9vjUxO+ZmM +Es/+2fkCOfa2BGQyJsrrrr+vkFOeJuNN7c3Nd4vlMGk58Mm465s79xhHTvma +ggvbPcfYZ8jRcnuDUsBxumc2MUlOeZsCg+Ivpkaf5djW8kpB5sgT3b59kFP+ +pqApd0SHnDA5msuTNmERVd/0O/ilnPI4BVc96sTnnsnRnI7H5CloV9Mled1j +OeVzKhTtHHzG3ZNjQ8sFU+EgUtp1uCWnvE5F0ee81ryrcrRrCahUVGcNvR/h +Kaf8TkWIWVeTi2flaN6N7q9TMe/uy6NrXeWU56kI9zOLtjgkR0u7q0nFbfNn +Jb9d5JTvabhzY1NBxnY5/jYfN8s0nDvl9dx3k5zyPg3f329dtnWdHM+bx/lA +GqKNBmeOWSWn/E/D292dTX4vlWMp+8DY4rh9TNJ/cvIgDf3WH+9+dbYc35u3 +oz4NPi434mynycmHdPSy1BnbY4Icj5ofd2I67KJ6bqsYLScv0uF+wGrt42Fy +WLcsYDosjF533GQmJz/SscqDf3RAHzk0LTykwyp+zAOesZw8SceijKbDj7rK +4dMc77/TUXv9ve66DnLyJQObyj7BuI0cLfE8PQP6E84M5/yRkTcZOFa9J+7i +Dxla4vV0Bva+afyLrzLyJwPeeg+F9QoZ2PjLwH9Myu4XIhl5lIEBBrW3Hapk +bLzoZmLXxYfru5TIyKdMTKmZE8fkydByfOdkInnattg9mTLyKhPt3D2X906W +oeV4eGQiWjDUNe2zjPzKxMN9BeMPRsnAjl8mOo3ufKb3Oxl5lomyn052SS9l +KGre3vZZ2H/AIWrXMxn5lgXrX5efdHksw6mWO8zCuXuuhuG+MvIuCz/rYtqu +uinD4JYBzMLyGaLj9Vdk5F8Wzg92d77tIUN2VssLpzIsyy3PyMjDLHR6cz4x ++5gMh1sCNRsdQwzMdhyQkY/ZeFV46IeOswx9WgY6G70vzJ/hu1VGXmZj9qzB +9SM3ypDc8vMqG3FPXvRMsJeRn9no43A4ZKWtDC4tAZ2NYUMGPREvkpGn2bj2 +1q7V4XkytMRV9xxcvBOapAsZ+ZqDatsMudckGVriwC4HtZOX7ek5Vkbe5qDt +1uplTyxkYH9+5SDkZd+rwwbLyN8crLob1v9NXxn02QOHIfbTO47rISOPc5AR +vX3BewMZWsbFNBcne3BLrDrKyOdcWNuN/RDRRoaW7Vifi0Wc/gLLv1LyOhcz +Vy5cHfpDCvbnWS7aG6/uPuSrlPzOxc5nNcaPFVK0XK46F0phzHojkZQ854Dz +a7vwUqWUzjsHFsvvBzcWS8l3DozP/Qlx4Ujp/HOgt2KquCJdSt5zMPXFn9UL +E6WUBxxYe2ia3sdIyX8O3tR8KOsXKaV84CCqobXkwhsp9QEORr852U8TLKW8 +4MCxc5a77RMp9QMOBjb5dXvvJ6X84ECzwCfd6I6U+gIHva9veXrIS0p5wsGw +GW8D8i5IqT9w0O/aQGaEm5TyhYM2+7b88nCVUp/Iww7vvssrD0opb/KgM68h +bqyLlPpFHuwvPZjvuU1K+ZMHn/o3Au5GKfWNPCyJLLs+ZI2U8igPW90TFx+2 +lVL/yIPBw64m8YuklE95KIzeruowT0p9JA+P2u/JsJ0hpbzKg8os7bXvRCn1 +kzzodjS9WzFGSvmVh5Gmem59h0upr+TBsPXYXRvMpJRneeiePM3mQW8p9Zc8 +fHyWNqbESEr5locRw478z7CLlPpMPiI7iLkL2ksp7/Kxziri3plWUuo3+dgf +47Y4/JeE8i8f1oUKtahWQn0nH9yMKye6qyWUh/m4pKNTO0siof6Tj688/nKX +agnlYz6elmfc8SmRUB/KR2eHFTGxeRLKy3zYpRomCjIk1I/ysWD346C2SRLK +z3z85+a13TxWQn1J+/eBh3TmRUooT/ORP1O4d9MbCfWnfOg9cQk/ESyhfC3A +++LAtFsBEupTBdg93ig05L6E8rYAPjnLNsTeklC/KoD/Xl5pzlUJ5W8Bet+0 +7VPlIaG+VQDNmjHmytMSyuMCnL2m+63+qIT6VwEavGxO/90noXwuwMFV/mlt +dkmojxUA247ktN8sobwugFnOqmsd1kuonxUgyDROt8MqCeV3AVatsBqht1RC +fa0A+rXj9HTnSyjPC7CMP+nGL0iovxXg9m4m9cskCeV7IXxnzAoWWUqozxUi +cuOEMcXDJZT3hYC5kUOymYT6XSHyxtuOeNdbQvlfiIgDjn4PjCTU9wph2TYs +yL2zhDwoxNf/wpfu0pNQ/yvEuszCa0t0JORDIRRz3JxGNYipDxbi5rWBGfrf +xORFIYz8+yfIFGLqh4XYcok/P0EoJj8K0bAt3f5ehZj6YiFGb5zyzaVITJ4U +wv/+bYOZOWLqj4U4Nn1CRJdUMflShFzHE9wKRkx9skj7+/vzsaAoMXlTBNVI +uzt7w8TUL4uwzefecKsXYvKnCDtryq1+PhFT3yyCS+SeiCg/MXlUhLdWAYFH +boupfxbh+Kk4nbHXxORTEdY7mKQrPMTUR4twzEHT4H9aTF4VIezDFe+VR8XU +T4ugtBl3ue0+MflVhEnvzEXhO8TUV4u0rjzz27hJTJ4VYcsfRWj7tWLqr8VQ +fBnb442tmHwrRq93EVzbRWLqs8Vw9H0mr50jJu+KYXZk0qKb08TUb4shuPGw +YaSVmPwrxqTHut9SRoqp7xZD/OGmpYO5mDwsRsbKI++/9BVT/y2G1LrA1c1E +TD4WY/7brNNduoqpDxdD03ju8/32YvKyGAnl3SaatRJTPy7G5on3xcENIvKz +WPv7cVSqxTcR9eViTOgiLnmpEJGnxfi0MM9kmFBE/ZmLSQd13QLLReQrF18s +PQx6FYqoT3NxfObmlOtZIvKWi3WbAh+0ShZRv+Yi3H7BjX2xIvKXi2TRqoCK +9yLq21xcjkxJn/daRB5zYbnLR+/VcxH1by7unYlZ3eWxiHzmIsF25Ie9d0XU +x7kY3b1sYPZ1EXnNxfz4t3eHXBRRP+fice8HhmfOishvLhY8P+9dcExEfZ2L +DrcXdR68X0Sel+BaeuHFgztF5HkJrnIaGz9vEpHnJZByHm7XWysiz0sQftEr +ebGtiDwvwZy5T7pdXygiz0tgWhy8NGe2iDwvQZnXnoMdporI8xL0t4o5PWec +iDwvwbo/di7HLUTkeQm83HSmvTITkecl6BB9RFreS0Sel6D3l4PO7buLyPMS +BDGvUy31ReR5CaLalNWvbiMiz0vQvuRprWujkDwvwR6Pj7H364TkeSkWnU+w ++aASkuelKNvpGMIRCcnzUpxTjEuSVAjJ81K0Sy152FAoJM9L8TFNMvZ/2ULy +vBR3Pnw9Y5QsJM9LMW/5Jbe+sULyvBRmMyePH/ReSJ5r3xteum0eKiTPSxE2 +uvHR4GdC8rwUh/MNbAY8FJLnpTBonPu05x0heV6KLGd9387XhOR5KQbcLxui +4yEkz0ux+FkfG81JIXleiujl6FR6SEiel2HX76fr4pyF5HkZZl57M/HpFiF5 +XoapRz89dF8vJM/LEPi0/+VNK4XkeRn6HRjbMGWxkDwvQ0QgpF3nCsnzMnxa +e9NGOFVInpchvOM+y3fjhOR5Gboe6ul5ykJInpdBdj1j4TwzIXlehtvXP574 +Xy8heV4Gq+xeRhmGQvK8DMMSenW70EFInpdhe6Ng/0xdIXlehoxud0Z+bxCQ +5+WY8thmdvBXAXlejtxho1/ZyQXkeTnyhszb3oovIM/LEaAM2BtcIiDPy+Fz +f1n8Io6APC9H2IAV9spUAXlejgXDX425wAjI83Lc7rzKut8HAXleDtOc2XfC +XwvI83IY6W7tOfe5gDwvx6u8ZzmchwLyvBx9JfK3a+4IyPNy5GzoGlN1VUCe +a58n+Ztyo7uAPC9Hts7WaZUnBOS59v4XWoXYHRSQ5xXoP2DEqKxdAvK8Ap5T +9VOnOwnI8wrYnrq59+UaAXleAS/5YTOj5QLyvAJNd7ZXuf4nIM8rMOWR4YMK +CMjzCvgZ97afMlFAnlfApGlg59ujBOR5BRqs4qLlgwXkeQVO7PK0m9pHQJ5X +YFYXXd7F7gLyvALvFkcvz+8oIM8rMKHWIsiktYA8r8ASl4Jy+1988lx7v2ED +lXe+8snzChwd78nJkfHJ80qMLNt8oTWPT55XooPMtON4Lp88r0TX761XO+bw +yfNKTObt23YxmU+eV8L/w6vxr2L45Hklrob/jskM55PnlVg60O+X5AWfPK+E +ztp42Z8APnleif4hD891vccnz7V/n2+f2NebT55XwmCu4fOhF/jkeSX0XcWD +R53mk+eVUJ4QTR99mE+eVyLRw1Ru4cwnzyux1/nKwEGb+eR5FYyujRObrOWT +51Vwi9EbobecT55XYfWE+q9f5/PJc+3nr/ItS2bwyfMq/Lj1QhJtxSfPq/Bo +tXnHuyP45HkV7uia3d9rxifPqxDK2eY1x5RPnlehX+MbgaEBnzyvwpWXETcq +9fjkeRUSqwf6BP7lkedV2LXJX7GlnkeeV2HD64xLA1Q88rwK3yUT9pQKeOR5 +Fbp+2n/zaimPPK+C3K7pyxQOjzyvRvyyde6iFB55Xg1775/Wl2J55Hk1zObN +GjcsgkeeV2Nzms+cpBc88rwatdeWH1gbwCPPq/G1c3y08i6PPK9G//cW3Y95 +8chz7fuUnBNN7jzyvBq7l1Yp3E7wyPNqdNH3WNe0n0eeV2PmGlHq0R088rwa +/sbdhys38MjzatjeGXhyzSoeeV6NwrPdoxIW8cjzatxPE3HNZ/PIcx62XnIv +8pjEo/POw/bvZS+qR/HIdx76zU5ePn4wj84/D789jZPO9+KR9zyEvbn7O9uA +R3mgXdeNet8Mtddh/ech69242c8aqykfeNDkfW1jKq+mPsBDhfeFfu5F1ZQX +2nXs2tdDGF9N/YCHo6q0oZO0z83mBw9923n+cbtfTX2BB5Xt9O9xntWUJzws +D4prW3+gmvoDD0PPZfbtu7Ga8oWHLVzd8dO0+8T2CR4GlNVNXDaxmvKGB9OU +Hn1Wm1VTv+DBIzinaEWXasofHv4bunHlvN9V1Dd4uL3k92ULaRXlkXYd/4vZ +3a6givqHdt8nB8qKPldRPvGw5Ktv7b0XVdRHePgZ63La1qeK8oqHjKGlrk1u +VdRPeLgRc7zM36WK8osHo7RCrwlrqqiv8DC/v92luLlVlGc8xPGXfZoxpor6 +Cw9nLX8ZhfWqonzjob7xwGUTvSrqM3wgOvZ/B75VUt7xtT4lusdVVlK/4eNG +vY1KN72S8o+Pju97Wk6OqKS+w4eDQ8SczY8rKQ/5sBz0oLvb5UrqP3wMHzvm +9s3DlZSPfPitD393V5uDbB/iY2vVIkfvRZWUl3zodG197eSESupHfDztmzx0 +/YBKyk8+riY4mo3Wr6S+xIdjnadz/fcKylM+QgMyal/zK6g/8eHq6B+8TusA +m698yAZscP0dWUF9ig+FcIq9V0AF5S0fPzcttex+tYL6FR/lSd51V49UUP5q +c3t5mfdPxwrqW9pcNhbW2S2qoDzWPu+92V2CrSqof/GxwG1vjrJfBeUzH0fm +MP0HdqigPsZHY8bWuoV15ZTXfCwaeHjitqpy6mfanDWt5B5MK6f85mPu112J +B9+VU1/TPs/3NOnWB+WU53y4WZ4eu9CznPqb9vM6Gu/++8op3wXoHbv6i2xN +OfU5AUY5FE8P1PYUNu8F6NnVcduykeXU7wRoXZVspzYup/wXYNPc8N8ndcqp +7wlwIC901l95GXmgdfxzd6P9BWXU/wTwv9jfqSimjHwQwPWioLvF8zLqgwLM +uDi35wFtr2O9EMDbvv26F8fKqB8KoNicmlywqYz8EMBn5re5moVl1Be1PW0W +J7FhXBl5IgCn9ZmR37W9lO2PAmxv+uosbFtGvgjQqus353hNKfVJbS9p1aeH +N7eUvNH2NmOx/bK4UuqXAtTd9u7ZFFxK/giQPHfy3Mc3SqlvCrC4ITNhtGsp +eSTAFYP2Z946lVL/FMC64bTTgEWl5JMAf4Nu2Z0bV0p9VIARfV3nFvQqJa8E +iOZNMOreppT6qQCPDzwKm6sqIb8ECM9aqbtV+7uF7asC+BZk1RyKKSHPBGAy +5zsdelpC/VWIs5mFszZfLSHfhJhkYXNk1qES6rNCbK91knZeX0LeCZHy37mT +mXNKqN8KsaRY0/uoRQn5J4SpVcQbg24l1He117MN7uX3i0seant//q753QRc +6r9CBB/Y0tM1nUs+CtFxZ8rR3Ldc6sNCVDOX/uvmyyUvhTAI27N/3hku9WMh +hvz9zd26jUt+CtHK+vSmQ0u41JeFGG6vV7tvPJc8FeKph8v+db241J+FGFuz +MH6cLpd8FaJDXmNKg6yY+rQQyswn20Jzi8lb7XpZ9HVfGllM/VoI4+EGrSsf +FJO/QlyyrEqxP19MfVsIh55B7+J3FpPHQrRxWhxiYlNM/VsISxfna2snFJPP +QkwJd5p1uXcx9XEhDtRbBz3XLSavte9dVP5vZEXUz4WY1cDr8iyniPwWYtlc +5yLPiCLq60KMVHvlr7xfRJ5rf9cHt5J1PltEnotwz2H894itReS5CPrzl1Ra +Lyoiz0WoaTv0VNKYIvJcBE3UyvcjjYvIcxG4+iZbz/0uJM9FUCzYujuBV0ie +i3DQQfpcnVxInotwo7q+vvXLQvJcBFOjexPaeheS5yJcUl+w/nqokDwXQdRo +3jptTSF5LsKF/RcWXJpRSJ6LEN922/fxZoXkuQgj1MMkGXqF5LkIIbHCuoWq +AvK8+Xf+4r8RnALyXITk8MTK9u8LyHMR+gmX7bG+V0CeizA73u+yy6kC8lwE +35J6/dObCshzEcotluUenVdAnotg/Xfyy7XDCshzEd75yI6bdyogz0W49cei +e/mXfPJce3/vD9geK8wnz0Vw3Juq//dDPnkuQkRkrqmzXz55LoK7X8aKhNP5 +5LkIU1de99JxyifPRXhadiXAfF4+ea5dv3OJTuOH5pPnYmy5mh00rGM+eS7G +/VcF89pp8shzMS7d8jbI4OSR52KIdy6tPhSeR56L8eI/8bl2PnnkuRj6L6Jz +Tx/LI8/FeFrw0bdybR55Lkbw5E1vB07PI8/FMCp/JVvSL488FyPQx6zbxlZ5 +5LkYG47l6tgJOeS5GB+app0bm8whz8XQOBzfXvecQ56LEfqq61m/ixzyXIx7 +vfX9huzikOdipPf64um7iEOeiyE6+NFAM4JDnosRvZHXfmhnDnkuxu4x9w5c +rcolz8WQvP1Y5e2fS56L4RaWpF7vlEuei5Fz1mrCF7Nc8lyMVr23LVkoziHP +xbBXeaTuep5DnouRss9n4vLtOeS5GBcKto3+MySHPBfDbPd17JFlk+di7DJ3 ++REYnE2ei9Fa2Fv8cEc2eS5Gm9dH7qwbmk2ei2GuaX+7QppFnkvQ+Wi33f2D +sshzCcZ4nAs035ZFnkuQECBP0gzKIs8lmL7n9KpjwkzyXIJSu25lCQGZ5LkE +xy0Mi7M3ZpLnEhx5dCTTt08meS5BRHDaFLPyDPJcglX5xoHOvhnkuQReG7+4 +HVqVQZ5LwHHcuGKKYQZ5LkH6M8GN2Jx08lyC3Te3R/65nE6eS+BcNGfSL+t0 +8lyi9XvUtXe66eS5BP/7NXWY+ec08lyCPRvyT688nkaeS/AzJOjvtPFp5LkE +XJsok2pNKnkuwcHQmROnhKSS5xLwM9+nLdmcSp5LcKHrZ6uefVLJcwkGRg+T ++BWnkOcSDJuoRNH1FPJcu75OXYKS/kshzyWY6vH+1M5WKeS5BP2n6A1KjE4m +zyWQDx7zk7M/mTyXwMptld3NYcnkuQTnjl7zbcdPIs8lWGdfbDzSN4k8lyL5 +XqchbZclkedSVL+Q9PZqm0SeS7HvDn9B6qdE8lwKuMz682Z/InkuhfCKrvv8 +IYnkuRSFdzlDr1UkkOdSrF3VOO7sjQTyXIqOM/cr+1knkOdSbFG+uLn7Vzx5 +LoXpsBe7nF7Hk+dSPKyVh7V2iifPpVjSa+XTpUbx5LkUKRFWJ2anxZHnUry1 +HudS5RpHnkvh8H7a6wEj48hzKToXtao2/syQ51Jk7kn4bDWAIc+luFaVZvvo +/GfyXIrH+1eolopjyXMpZEMii+dZx5LnUtgOxmq35zHkuRTn3U1Dm9rGkOdS +fPK0MmC2fCLPpTjYe0BkbMJH8lyK+Qm1qQ39PpLnUriFDnfefzKaPJfCc87l ++IElUeS5FGO5f6o7josiz6XoH3SkZPi1D+S5FB4mGRGnJJHkuRR2A68f1UUk +eS5Dl5+/en3weU+ey3AgM+bOPXUEeS7D2IQSTtCcCPJchsttzoVV+4aT5zKs +F9d2g/odeS6DTe+FWYkz35HnMizoaP98960w8lyGYe3PbB0vfkuey/A58HxS +rwlvyXMZ+FmJihn6b8hzGf73feZs1xeh5LkMhmfm5YqsX5HnMnjsqP18nP+C +PJdh4dntFuNdQ8hzGSa52/XqYhBMnsswJSvIre3z5+S5DFt3dppuOPkZeS5D +yPN7JmMyAslzGay4y1PWrHlCnssgc/gsuyTxJ89lqH1b0/WI8BF5LsOFt06W +ufV+5LkMSWZnlN3a3CPPZajhTk6f1NmHPJdhU0jhoLHdb5HnMqy+VDqkzsib +PJfBbmWf22Lzy+S5DHsnpvrP6elOnsvw9PT60QVtT5LnMiRWvEz46bWXPNfu +323+/hMfF5HnMpR2SefnFm1iWM/lOPnDT7xh42GG9VwO7+HX4wbXnmVYz+Vo ++l+U4zdcYFjP5WgvX7vI0ucaw3oux6Ddw12bft1gWM/lOJjZaUjM6DsM67n2 +ffKybQ47fRnWczmSDAeM/xbkx7CeyzG5YB/nkvIRw3ouR27YDF5P0wCG9VyO +gnmTZE1xTxjWc+37sPMdzux6yrCeyzHdIvDIY+PnDOu5HJkFvc/tTA5iWM/l +iD20KUR8JIRhPZdjryLau7fFS4b1XA4/16ltTQSvGNZzOWqWJ/6p9HvNsJ7L +8TO8ccn0EW8Z1nM5lnqEGv/uGMawnsvx54W58Uh1GMN6LkfPGr/+JbnvGNZz +OX4rCga0ighnWM/lKPwb2yf0XgTDeq5d/yJ9S/7Z9wzruRzih0U3nuyMZFjP +5dg4eLz39xUfGNZzOdyaan0EiGJYz+U4p5gxwnlkNMN6LkeQv803394fGdZz +OQ4tGBO8p9MnhvVcgXPM3uG1TZ8YNvAVqOR/OTiiLoZhPVfAZXjYo/6KWIb1 +XAH9ZD9REf8zw3quQPhWJ3/bcoZhPVdg9fVRQUt3xzGs5wqsjI2yrpLHMazn +CgR663iv2xnPsJ4r0GHovu/p8niG9VyBnVOG64zcncCwnisQ9+Ca9UV1AsN6 +roChReyR6n2JDOu5Aj8xwnZCfSLDeq7AK9noN96uSQzruQL72t45/k0nmWE9 +V8D64tTwjReTGdZzBea3+3CoomsKw3quwIz8OrXz/RSG9VyBkFLpNqPBqQzr +uQKNZ6bMLHubyrCeK+Af2a6OmZ7GsJ5r//+t28aZmWkM67kCGcH9VuusS2dY +zxUYsTvw7BZlOsN6rsAD2WvXxpMZDOu5AueHzFyY3SWTYT1XYNWJIMOKwEyG +9VyBOvODxqMmZzHsOCswM2hzVFpuFsN6rsCXNjtPhm3PZljPFTh+I6S9RieH +YT1X4t3Rm+bufjkM67kSel7fEo9PzGVYz5V4VtmvrrAwl2E9V6LE4vbP7Mkc +hvVcCX6vC16KSxyG9VyJyMUX3RLLOAzruRJ3t50dsX5EHsN6roTDWVudqNN5 +DOu5EhW7bruX5eUxrOdKrJm31D51cD7Deq5Ezdv4sedc8xnWcyVu/5kk6szJ +Z1jPlSh/U2W337yAYT1XokPSw+uhpwoY1nMl+vfo+Ca1qIBhPVdinbP9t8RR +hQzruRIpe5dHPL9YyLCeKxG7cvOeQ8JChvVcCYt9b6ItUcSwnivh5TLMRuhX +xLCeK7E/JL6TV0MRw3quxITFD75OsC9mWM+VyExd1U/4oZhhPVdCf8sq3t0e +XIb1XAmP66OerXXlMqznStyLMNCzrOQyrOfa9dqwJL3/rBKG9VyJWe68stHP +SxjWcyWseX3tt+iXMqznSnSeteNq0sFShvVcCSduba1dRSnDeq7CdK+30wda +lzGs5yp8vDp1yciwMob1XIUKQ820M33KGdZzFQrrWjv2u1LOsJ6rsEe/Yq7R +r3KG9VyFxE4rP+zYVcGwnqswdM6sxAEVFQzruQrfsvQ+/LeskmE9V0Hey9Zc +kljJsJ6rcPi4QqU7pYphPVch0yvKMjisimE9V0HTKNpZPbyaYT1Xoe2Uwevf +PatmWM9VMNTredPIgMewnqsg9rXqNdyGx7Ceq1DtUGTZ25vHsJ6rMO7hsz1f +OTyG9VyFO4lhD94Y8hnWcxUGZD38tH4Vn2E9V+Gn9G+rJl8+w3quff45hdUP +KvkM67kKyfIBraabCRjWcxV6qt/YSHYKGNZz7ff3+/LrcZiAYT1X4VCJ/v79 +vwQM67kKz7aaOTvNFTKs5yrEdwr94npdyLCeq3AwuKdtYrmQYT1XYXC/xxPm +DhMxrOcq7e+6WX7tjokY1nMVuvsal3RLEzGs5yoszsl8erinmDxXg7t2T58J +zmLyXA3HptOpG+PE5LkaU4pX3P1jJCHP1SgKz6k3dZGQ52rc7bwnJTFZQp6r +kaTLuLTpLyXP1XjpM7FEfkJKnqsR0HTWza1USp6rob9yysnSSTLyXA1ewTRX +nfsy8lwNw/jdRW3/yMhzNRYEmd5r2CQnz9X4WGN0VZUmJ8/VyIxcO/WLpYI8 +V6Ohy9W2Jg8V5Lka66ZbDTnUQUmeq7GvWp7ay1VJnqvhnGXX3kylJM/V4PS0 +N/B3VJHn2vX5PnzQC66KPFcjbW7hyzXL1eS5GhFu3GVp2WryXA0/5/XtDZZo +yHM19KzE42xyNeS5GqFPP74OXFlDnqsx7OtE1fDKGvJcjS7LDS+dH/+FPFdD +MnrolZEnv5Dn2vWyXVXzLPkLea7GpNcdx+oYfCXP1VgSMUhls+Erea6B82dX +aWjoV/Jcg9VdHKxGNX0lzzXo3LnbfIXtN/Jcg5qjL9o3Bn8jzzUYd2vl39Ot +aslzDTxN/Ld4r68lzzXoViJbsexjLXmuwRuvzdIK0zryXAMT8dQd60/Xkeca +/O/8klO1ojryXAPXgSaOGUvryXMNvswJMNGPqSfPNZhiMvajZMR38lz7fXk5 +kVcCvpPnGnDuRg8ZY/qDPNdg4eWVozvd/UGea3Cgoscmxx4/yXMNfMyDJzk/ ++kmea7D94K6AY8MayHMNAo+H3yiIbiDPNaj70j02b9kv8lwDSa8NTrnKX+S5 +BqXOvCmWXr/Jcw0Chv6+sXViI3mugZF/2jWxtJE818C618SICf5/yHMNLLnG +n1SOf8lzDUL99SJfDm8izzVYkWDxafjfJvJcu775kuu99ujEsZ5rMCpVOK1C +oBPHel6DiVeu5pU5tIpjPa+BzayHl0OrW8WxntegfVHi5VY7deNYz2tgvm64 +pf8v3TjW8xrkjZ849sid1nGs5zWIundz4sMpbeJYz2uwaNWQqTuVbeJYz2sw +mZ9w7URI2zjW8xr4JW7KqDrSLo71vAb8lKvOlnZ6caznNVgrXxogX9g+jvW8 +Bm3rYlekrvlfHOt5DYKPqjICLnWIYz2vwctDR0b/FXWMYz2vQWDFtP+enOoU +x3quvd/cP8OdjnSJYz2vwcO9jm4l3Q3iWM9rsMo8pKrrge5x/wcqFa5d + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Hue[0.1421359549995791, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0], + Dashing[{Small, Small}]], + Line[CompressedData[" +1:eJwtVXs4VekadxqXxpQcjyel2GvtKxuhCV2O805KkkE1tafkqOQazUgxKiXT +xZEuI+SS6ELoYowx5XTCO+6MHBrlTJGZYl22DoNMmdDZ62t/z7Of9cfaz2+9 +7/e70YFfbgyeoaOjk6L5Cc+XGyotTwwOQK/DGruqHSL8sfWK+52xATj0+qu/ +esaK8MKqlEj27QAsWbZSNZkiwt3396fN02VgYYHtye4rIgSngHuesxgoPZW1 +6OldEZqWevx20JQB3a7WPoN2EfJyx5m3FjJgnKdnF9Avwup8c/teKQPh2bm3 +nv0pQg2YysiOAY80vcn6mRSGpf4vHpwYiMnTUzmYUuhq2H0typUB5/Oqiu9E +FJocQ82EDGzTqwzztKGQfVsy8tCbgZ7q242vnSkk46kYeKo+Lalyo5DABTBQ +1Zb8ONuHwhByGHgz1rD0rB+FK/p8z2R+wUDcREBhTgiFxluWVTTHMvDbT4cP +1ERTONAhfjpxhAHH1BDTqSMUapadYZPEQK00ufuzFArP1Y5b+Z9j4MXS8/L6 +TAqDCCADzZLDoT4FFApo1fkMjG+/PmOsjEIju/JLw0UMlDQ/CyyvovBF4cV6 +qoyBfRcTxk+3UiiwsaGSgci1Ccpj3RRqhtOszIDXgqT9Gf0UBpIBGfhL84ee +9SMUuiSv3DHQwcDfGD/K+B2FwnRzf9F8P7Qp5sAsGjVkaBhhIHXut0065jTe +GZvqiuMZqFPkZ15X0CiwWzLCgNvont0RTjTuIAszcL/782bfVTQSemewsC3K +wnXTBhoJHYYsuBhKthzYTiO5PhMWbp1NvVWzh0ayrjkLg7/GdSriaSTjiVn4 +e+vYzvJTNBI4GxaGkr2ntmfT+DE5LEyIrD0ci2nUiMUvaAULByNusPK7NPZK +9RMzVrFg6nIlZWUjjcLtNXqxUOp7pz/xEY2aZdtff8bC0bqcEq6fRn9CCAu5 +4csuxL6i0ZEAsuDZpY6w1RWjgKbZGQYe3hwxNBWjhlyNpFnIu6F6ZSIVY1m0 +oEAWPnLoW+O+RIwCG5YnWKgbSq29ulqMfoRgFlacU3nYbhajPRmQhYel4/9+ +HixGQc3ll1io3Blo1BQrRmG6F4UsxOYYLHmSJEZij1IWzt6dr7TIFiOR8x0W +POJfjn9zQ4xEftUshNR4FzrfFyORSyMLBtSAz5x2MRJ621mIM8s2WfirGAkd +3SycapiYHzAqRnJ9fSyU9HyX9VhXgu/XZWHafLrtpJkEyXjDLIibbHSilBIk +cK9ZKCqzTEpzlaAOORxchr7a0fUSfBQnOJgDe6UjfS5Igjc0bgs05sBt/8cW +u+MkmEACgYN3EYafnDgtwU1E0Jr/B6fTzy9L0JoQwkGGgTL86x8kON0lAHLg +ZBbRHtoqwZ+9BUVzQH0/zzy9T4LFjV4aSXNwz3Ja/uG4BA+TgOGgfRX/vNlQ +ihuJQTj4fmmF7yNKigpCMAemzjuPO7hIcVKIg0AOnqWsK+3xlmKnYN/dHLz4 +NMykJ0iK1wW7RXMQnXHbyDFeiodIYHFAH0tY8CxNiuuJ4Th4a7P2wcBNKcqI +YDjQF1s9XlcvxT8FuaRxUKBqMTDuleJ/BHovcpB5VLV56R9SLBDouKa5j6M5 +jxrnyPAACUAO8oq9GqqtZehDDMyByDEpWbJahhIiQM37msTyNwEyfCPA1XPw +0dbo6ZUHZfigTTgclP23yv+DCzK86i44mAP3yvCRT8pl+BUJVA66f+6d965d +hp+SQOBgeftUhNtLGdJE0Bx0PLlWP8dQjn9YCYRo8CaT3CKt5PiTEPdTHCxZ +d3n9PzzkeFmIZ30ebh+ZeZMLkWMMCWge3OSJwaZJclxHAoaHL/SzR5kiOYqI +QXjQpy7YhLbI8ZUQL3Ie+n9x3po7KMcWIQ7seTArCHU9a6TAPMG+LjzM65ht +6b5YgftI4PPQlzG7s0WlwLUksHhI33e3RRavQAtiOB6uH+pQ7LqqwFFBflt4 ++Nf03ubTLQpsEuSygwc232Br6YgCcwV6w3gQVdREdplb4V5SIDz4qzrTZ7lb +4Zr3AQhxx43ig6OscAExMA8b7/vqD+da4e/CeMk8/NNg1/57rVbYIMCl8vB1 +pteZJxNWmJMtHB7qelsf7FJa45ekkHiY1ROYH+lvjatJoPKwOWZN1uxvrHE+ +CQQeGFq3cWeDNQ4JdXSPhzN+Cr2kSWusE+qjloeUFZfC8pyUmCXEfSsPmQuD +KtqilLiHFBwPFg4f/L68VIluJKB5aKtNmWs2pEQzEjA8TAZnDqY72OD7Pufh +po/Ou6EYG22f81AYtfhlaLWNts95qMryZLwMbbV9roa8cI/i0a222j5Xg+4T +P/7H27baPldD71RLooO+nbbP1XA4siihdZedts/VYKl//KRzk522z9VQ63Rc +x9VxkbbP1bBs+Pxg59VF2j5Xw5AoLV5sYa/tczX4Dy8+U3zFXtvnamjRUSVJ +5jugem9MwPJNaijqe7Bv2+cO+H+/T1Zq + "]]}, "Charting`Private`Tag#3"]}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + ListLogPlot, "GroupHighlight" -> False|>|>]]& )[<| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 1.}, {-16.34123920227253, 2.0794415416798357`}}, + "Frame" -> {{True, True}, {True, True}}, + "AxesOrigin" -> {0, -16.341239202272494`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> Directive[ + GrayLevel[0], FontFamily -> "Times", FontSize -> 10], + "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0], + Dashing[{Small, Small}]], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, {Log, Exp}}|>, + "Primitives" -> {{{}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJw8nXVYVE0UxpHu7hRRVExUsH3F7g5sRDEwsAMTO8FEFANFJRRFQQUUd2lE +uht22d4lFFBQ0A+5Mx//+OwD7u69d+b83plzzju27l6LPOTl5OReKsvJ/fu3 +I+xb/lz3BZj5Z3XjuMFybOa1G07kHNRs8pJj/zru+WnfzS3Qc0tTaX9Cf78L +994POrkzW459xPDVdI73Pux5ltGk3Ur//hCsNsXunGTag31YbO+o+vIoAlpW +pFSP6kH+/0k8MNEd4rW8B3tszfyrd3aegpfDlyVL9vUg73cGkj7zfAv9erDj +ZmrKDzh/DjdOeTf2Du9B3v8Cfo+Lfr0zqQd7dHT6gc+PLsHqt+qN/Ar6eVcQ +4HzJbltzD3aM9VnpwhhfGHjunTxPXZ58/jVw5vcc6dtTnn0/uWfkni3XsaVz +/u4xzvLk+9yAvNfEPm6z5dkV7d0fiDMvN79Td5Mn3+8W+leNVJ2yT55tMaSq +t7LPbTQ5yGUYXpAn39cfwxzygs4EyrNXbux+R0z7XbH03it58v0DYBab8WNV +gjz73t3uH7SO4TdlF8iT67kHfvTqvm18eXZZ9s/Fdc8CEZWaqFT5U55c3320 +T97jd1xNgW2muEx70NsHyJh1anuduQK53odonBbXojVQge3KvCG4P+tG9Riv +QK4/CO0OKersuQrsOSMvurjxg1Dw67fC3LUK5H48hhNHdjl8pwJbtvDfOz6G +WCKqLD+uQO7PE5jOeqFa66vAvrxd+Dvs2xNECKd3fHyoQO5XMKrNgk7veqXA +HnDeuOsSgvFZ02vO33gFcv+e4sjJDtHWLAX218dT3zd3PoWJ3an8N5UK5H4+ +w/GKudtKpQrsf3fLVPE53JLD5Gp+KZD7+xxzplzPTFVTZKuXBO8arx6CkoDR +P6+ZKpL7HYLVLnsDJvRVZIf/u1zdUOz9LorJdVIk9z8UUuOJi2dMUWTP+vd2 +xmEY6Wq99PkiRfI8wpA8zCRI6qbI7r7dluE46XxG3cxLkTyfcJydMMttyDFF +9r+7l9vrBdIfX90w5LIieV4vwLZZnmV2V5Hdf7VfYmu/l1A2HjCv8bkieX4v +8Xf1Ld/IaEX2l653Mx8Sgak9ng5Zm6hInmcE+sk2fW3LUWRvuV5/FE6v0Ntr +UevJKkXyfF9h+OXQMT8liuyuL9d1ya/h+66w1+o2RfK8X6M6NWFIpJISe0nX +4Ol6pAh73b+6WV+JPP9IaByreda3pxL7adfdKwmOxCkHw1+zBymR8RCJcW/8 +Z64fo8Ru6Sw8JLZ/g/lxOn83T1ci4+MNls8f7eO2RIk9pXsAvMHqSv3hs9cr +kfHyBp1Zevv67VRidz28fxeEPzrzg9q8lcj4eQud8De6n84rsZmv9xbGUcYW +e28pkfH0FjOyD+hbP1ZiD+/+iUK5m9r0+AglMr6iIPfCQ3lhnBL7NDujawRF +YY30cWBZqhIZb1F4Hrlr/vICJXZB19PvuieY6z1uS0aNEhl/0Zif6uIwXKbE +tut+w2hUhYSUXG9TIuMxGtPl7kQKFJXZe7sfwDs82b2g2VFPmYzPd8jo6VK5 +10qZndTar+sJv8PTlcbPX/ZXJuP1PYyH5F6sdFJm6zNfELH6BZ8VJimT8fse +nf5t3r3mKbO7v136e3hOf/R91EplMp4/wCs21nX6JmX22weNIamzP2BdRWX1 +3D3KZHx/wAjfue/mHFdmy3df8Aco3NbSmHJJmYz3GBjzhFoj/JXZi5gBgwEr +jkosnyiT8R+D0/xZmX8ilNlP/l1uYQwaHT9XlcUqk/kQi73JTjNepSizv/97 +O9dY3HqlM+JonjKZH7Foz3iTPrlKmT3p3/Cv6Pr9tRsDlcTKZL7EwdDH5Sy7 +RZndPXzXxsF2lNfP/XIqZP7EwbNn6/M+mipsjve/BxKH+QWV73NMVMh8+oiQ +vptn7rNTYTt2D/CPyG3WP2kwRIXMr49oOxd8JGKMCtvn9uSuIfMRG6rsV0+a +pkLm2yfo3/w9MX+hCjuvV/cDxoiA4hlr1qiQ+fcJa8LTr9dtUWHb/ov+9Z+w ++/bk0R77VMh8jIcRbFZzT6iwd/+bHrviYXPiofGqyypkfsbjStreMzn+KuyE +f0+3OR4uD1PYeKJC5utnJEiGCV5EqLB1mQmJ72Yl2oaxKmT+fkae2bwlh5JV +2N23r/0z5IfE5JTmqJD5zELNs/i7ThUq7O6vd5SFNLcbGb4CFTK/Wegztf0w +75sKW677h42YC9NZzp0qZL6zcax285uzqqrs0NTZXTOajZs3qjblGaiS+c/G +4u1prWY2quwFXbOxa4yi9AF37zoHVRIP2LA4evXHYydVdts/+iSzEeqQcYMz +UZXEBzaUBrussZ6jyg4y/zchEsAWTvZ0Xa5K4kUCFDqzs/zcVdkzuh9wAsJD +rwQm71Al8SMBt9uLBT8OqbKbQv59wQT0+hObaH9GlcSTBOyuanVZ4qfKDvj3 +7TISML1G7uCJe6okviRg5Aa1faHPVNn/BtdMzUREpf2clROpSuJN12uXXVot +H1XZ/6JJ/txE+DwrzDROUyXxJxEzbKfdG5mvyv43WrqGDPji5+eWVamSeJSI +S+WBT/aKVNndl5ubiFcqvn98m1VJfEpE+tSX4aF/VNndb6efhKlPZe/Zamok +XiVhUJXW8BJDNXZ3OFqcBG5swGCZjRqJX0lw3cGJ+eugxmbkQxKceKocPWc1 +Es+S4KDx510vFzV2dzgoSYJY48S0YXPUSHxLgpyP+eOJy9XYJ7oDTjKUHw8t +nOuuRuJdMiavb6lfsUON3bd7AiVj6rXtHRsPqZH4l4ybon1aXqfV2Dnd8iIZ +SRaswYd81Ug8TMbc4oIdJ++qsQ/+mw5VyZjwyaD0/FM1Eh+TYbouxtv3tRrb +5t9wtk6B7aAi91txaiRepmDGStcHd1PU2Gn/hp9bCniJGiMf5qqR+JkC7/Tb +455UqLG9ugNkCjL6ecc9E6iReJqC0Tnm8aHf1Ngm3RM+BaKgmAUvOtRIfE3F +lcn7fCJU1NndX693KkbWzFv1Wl+dxNtUzK5wkERaqbM3df+kIsQ/c/Tbfuok +/qZihkXF0qjh6ux/4qkrwsI7q3Zm9AR1Eo9TwTKeY/tupjr7X3Q8JE6Fr+Yu +4bsl6iQ+p0HD4GzI+3Xq7LXdb5iG6iNh2z94qpN4nYaRtlyXmP3qbOXuANX1 +utN8WOxJdRK/0xAf33dG3GV19r+nYfgqDXlLkn0/+quTeJ6GF2dv68Y/Vmd3 +q7vGNJzb2b/080t1Et/TMU+nrZH9QZ395990c0zHhKwN7kmJ6iTep+Nac+zo +1Cx19vN/w3lPOmY5Dj/5pVSdxP90TDdsQVadOnsec8E476N1Oq9BnfAgHUKH +Y4uL29XZP/49jtZ0zJEf/LFCUYPw4QvmhbclcXQ02A//Xe7IL7jACz4oMtcg +vPgCv05eTWMfDfa07hv4BUHObsptQzUIP76g76CwFrlxGuyGbjx8gfnEydHq +0zUIT75gcvPjeUaLNNj+/8L77y+4vKB/Vs81GoQvGZg2ffDoQVs02N3heXwG +gtV+Bo7Zq0F4k4FRWz7+mXFcg90dXo9nIGPAM0/XixqEPxmYvSNXtOWWBpsJ +fxlwks0+5f1Ig/AoAw4bzCZeDddgd4cX+a9Qqxg04PE7DcKnr9jSsXvOe7YG +u3v6Tv6KG+sSX2Z+1SC8+gq5isYlvGINdvf0OPsV23NZczs5GoRfX3HwkOyR +Sb0Gmxl+X9EysM+S4W0ahGdfwX2jvXeBgia76N/jVc2E2bHJSl7amoRvmfjY +sdjAz0yTfaw7AGZic2Xqs8jemoR3mcBMs5SCIZrsPt0DMBNpI1iH28ZoEv5l +IsjfLtN6miY7K7P7B8MiQpOnLdQkPMzEhPGh23at1mTv7w6oWdiZu/dL4GZN +wscslHxfIkjfo8m26h7QWbjmdTXj5zFNwsssTL2y/Hi/i5rslO7lVRYEkwVq +q25pEn5mYfNJj/1+jzTZO7oDdBaeLv6VnhKuSXja9fshSZp/3mmyu8OVYTbu +WJbOGJWgSfiajdvfZp3dl6nJ7g4Hy7JRcr5vxtsSTcLbbLxt2mvTzNVkM8uv +bOwIG3rVqUGT8Lfr/2e7Wni3a7I1mQmHu/O4eWxFLcLjbMRbfI5R09Vidw8X +8xwsWVNassRCi/A5B+uDTEc+sddidz+O1Tk4p+Je/c1Ri/A6Bxu8bxZNHq/F +ZpZnOZhZeL53wAwtwu8ceOobFzQs1mJ3v11NDjx89MTT12kRnudigWTmzmBP +LTLfc+HIuuzZ44AW4XsuWqYF8df7aJH5n4uS9LnC5CtahPe52PBh+UGHAC0S +D3JRXX78wY1gLcL/XEw54rO+85UWiQ+5KL9o8dUzTovogVyMylDmlqdokXiR +i45fvyLm5mkRfZCLzs4I56RKLRI/ctFXrvD0WJEW0Qu54AXZPHjfrEXiSS4G +mE26NOKvFtEPuRjoLl30Tl2bxJdcBK3K+DPKWJvoiTwkfrtym2WrTeJNHoST +aq1nDtIm+iIPucm7nhSN0ibxJw+Ptkr6ekzRJnojD8eS297/nK9N4lEeOgZN +X351lTbRH3mwE53Utd+sTeJTHsZMWihJ2KNN9Ege5nzaIHM7rk3iVR62OGy0 +VrikTfRJ1+eV/DkfelubxK88pIbWDl34WJvolTx8n/ChZ+dLbRLP8vDFzHHd +yxhtol/ycCW2s3ltsjaJb3loYmcJDHO1iZ7JR6rxYmRXaJN4l4/NKi5Kl4Xa +RN/ko9NjzsTZzdok/uXDN2JAm/ZfbaJ38iGwvTOiWF2HxMN8LG6a0RlkrEP0 +Tz6GLehc4dVLh8THfKwN3rPIZbAO0UP52Oe/tcF4jA6Jl/lonfjMqWmqDtFH ++XCZKh2StVCHxM98HGlS4Ees0SF6KR8zV8atvrFVh8TTfOw9U/nQe78O0U/5 +2OU9NmqTjw6JrwXI2fXi8bKrOkRPFeAGT2HPrLs6JN4WYFuczcBJz3SIvipA +3ZqqkvFvdEj8LcChWutj4+N1iN4qwF3TDDuXLzokHhfgMTu9YEaRDtFfBfhw +W/X2Eo4Oic8FCD1wxGtjvQ7RYwWIOmWy7VC7DonXBeh1Xzo9XV6X6LMClHyp +3FOsqkvidwG+Ga+PE2jrEr1WgFMRR3u1G+qSeF6Aa72dX2tZ6BL9VgB3P/+N +vW11SXwvROaVsGnj++oSPVeIE8uOrXAdpEvifSFcc3s93j9cl+i7QoTdibC9 +PVqXxP9C3NAfUfMeukTvFWL2iZyq8qm6hAeFOKpw37bHHF2i/wpx+2PMq/6L +dAkfCtE/Y/rFJa66RA8WYuHmne981uoSXhRCt2nJyDcbdYk+LMSnVnuDOk9d +wo9CHJhrMNdkty7Ri4UIspkjnntQl/CkEGt+KsvOHdMl+rEQ5vlHVySe1iV8 +KULfIRInuUu6RE8WYbqN39mJ13QJb4qwYcnrOaf9dYm+LMJgxxt+6fd1CX+K +0FB6apFusC7Rm0UYjdy7K8N0CY+KkDsya2vIa12iP4vgcy439cc7XcKnIhg2 +jng345Mu0aNFmDN1xegHibqEV0X4fjRoeUu6LtGnRWiJ3W04L0eX8KsI191G +7Qsv0iV6tQgBfTYeUavUJTwrwgfrrYO3cXWJfi1GdT7reo5Il/CtGJGz+GHO +jbpEzxZD23bVkaBWXcK7Yry9GaKu1aFL9G0xam4uXX1UXo/wrxi9S1r2Najq +Eb1bjLEisxUbdPQID4vRNnKtVoWRHtG/xVg02M1/qaUe4WMxTo1NasvvpUf0 +cDHGi4eMXtxfj/CyGMqyxauLh+gRfVyM2yO4m1Y76xF+FsO9x801/HF6RC8X +Y0KT3dTdk/UIT4thoOvaS26WHtHPJYj7/rP9+gI9wtcSNH3Oy7Vfrkf0dAk2 +TYp98XmNHuFt1+8VLvit2KhH9HUJSpZa+fz01CP8LcHULWvPBuzWI3q7BLmr +nB+PO6RHeFyCwUv8y+qO6xH9XYIel/cP9z2rR/hcgkz3vKixV/SIHi+BknfE +OtkNPcLrEiz6bDAu6K4e0ecl4J4wnLc8SI/wuwQtZ1Lv6YXoEb1egoNW0/vm +ROgRnpeC4xzX5hetR3heCqtdswyXfNQjPC9FxKD+JywS9QjPS1Ef4TtBkK5H +eF6Ks1Zxy6Nz9AjPS/H3xbecs8V6hOel8As69XJllR7heSnkvBK+D+PpEZ6X +oihTLVRbqkd43vX3qZ/z6r/pEZ6Xwr5o1v7cNj3C81K8abYJeP9Xj/C8FOPf +fUCQsj7heSmKV97cfVVLn/C8FB/FY4cfN9QnPC9FjsukS3ss9AnPy+A0Akc9 +e+kTnpfB9l6B9qb++oTnZeBuC53uMVSf8LwM1qU7B24ZqU94XoYZq2oTd07Q +Jzzvel3yWffwVH3C8zK4vyi0OD9Hn/C8DIszW8QBi/UJz8sQXvnr5KuV+oTn +ZVg59asgbb0+4XkZrs5bbMvfok94XoZXoy46K+/SJzwvw4el2wcOOKhPeF4G +Vi8ltSXH9QnPy8Be75F/8qw+4XnX5w0P9X1zRZ/wvByRw75PFtzUJzwvR+WV +3W3WgfqE5+WQWK99u+qJPuF5eRcf2g/eD9MnPC+HvKfffE6kPuF5ObaO3Q+H +GH3C83JoR6jPO8jSJzwvx8nHsT7pqfqE5+X4ueBHrVW2PuF5ORw2Yc/BIn3C +83IcsrAeV1SpT3heDjdX+6kjefqE5+WYmfPj5gOpPuF5OQIsRg9RbdYnPC+H +Xe1jq4O/9AnPK9DAb/KQ9DAgPK/A1LHftN3VDAjPK2C/+LBNta4B4XkFdPts +e7jW1IDwvALmc6Nv1NkYEJ5XwHvgPIUdfQ0IzyuwTH3Cz1+DDQjPKyDndHv/ +VWcDwvMK+NntPtt7ggHheQXy7/wexJ5qQHhegWi25wG3uQaE5xX4sbrHJqWl +BoTnFXCt1lZ8vdqA8LwCDlcbl6/ZaEB4XoEJLzW36m43IDyvwIIHlRPS9xoQ +nlciX6mu9swRA8LzSgxqiVw27bQB4XkltivxQzQvGxCeV2Ld5QGVJTcMCM8r +MSRqwu+QewaE55XY9FKgeeyJAeF5JfbmtpsuDzcgPK/E44zVfZ3fGhCeV+Im +W36ieZwB4XklnI/UeyokGhCeV2LYtUGR374YEJ5XgnOZa8LPMyA8r0S6xdjw +qjIDwvNK3Bm936uCY0B4XonwZdVe1WIDwvNK2FwoiBJ8MyA8r8KuqITJLe0G +hOdVCPrYp5+KvCHheRVaX13ZaqNuSHhehdmtN3XH6xsSnlfhx3FxHzdzQ8Lz +Kkxqmf32Qi9DwvMqXOVf+vzewZDwvAo/f59bLBlmSHhehY8Ow7ztxhoSnleh +H8dvrPtkQ8LzKowd/y7o+WxDwvMq8Cd8edu02JDwvAq/fisembjakPC8CtmF +UfL+Gw0Jz6twImz44m/bDQnPq9Gp07p/0X5DwvNqfLh0ZF/sMUPC82oYsNe4 +2p8zJDyvxo23Uwfc9TUkPK9GSnvTD707hoTn1Wj9PTTl2iNDwvNq3O0nfWQU +akh4Xo1bw82uB0UaEp5XY8rCL08cYw0Jz6uxfK91TXqCIeF5NY6eW7BgU4Yh +4Xk1GiJfyqkXGBKeVyP3+u1fURWGhOfV2OVzesZGniHheTW25iu2mtcbEp5X +463BZ/XSVkPC8xpcXux5K/CPIeF5DTZtqLjvoWJEeF6DeMtfg511jQjPa1D+ +PHWplpkR4XkNQuJdLaW2RoTnNdjVXnM1x8GI8LwG0SZP38UNNyI8r8GPKUqP +Xo4zIjyvwbPNR5c+m2pEeF4Dre2BvKfzjAjPa7Dhupbri+VGhOc1+P7hzscY +NyPC8xqsjTS1yNpqRHheA+MrF0+J9hgRntegqaGzU/2oEeF5LVrWP7074qwR +me+1WB2Sut7D14jwvRYlOhnuD+4YkflfC4W8IWGVQUaE97UYvea2i124EYkH +tXj4KXrU7igjwv9a+Ao3BqZ+MiLxoev9e907YJdqRPRALfav3FRyPseIxIta +SM+0ZzSXGhF9UIsNfx6v3cw1IvGjFpdkmY+4UiOiF2rRGKR836PViMSTWnh1 +/nJr+mNE9EMt7Mv3d5xSNSbxpRbi7RuOWukbEz1RC/e3uQ0sC2MSb2rxpVS6 +3rOPMdEXtV3xUZFnOcSYxJ9aVChePV0yypjojVoccTabf2+SMYlHtRh3ym2p +xxxjoj9qUXBx/LNRy4xJfKpFePKruYZuxkSP1KLsR/Gatq3GJF7VQiW8k8fb +a0z0SS2cQv2+lx0zJvGrFscP2fiXnDcmeqUWpVUeNVXXjUk8qwVv+aRqWaAx +0S+1yHj28Ynic2MS37q+32y58X0ijYme4eCeyeb4eXHGJN5x4Praa4RPsjHR +NxzcNEl6+ynbmMQ/Dv5OHjGzR5kx0Tsc9A5hq86vMybxkAPFlGvyz+uNif7h +wCG9bbpymzGJjxyMNjss8ZI3IXqIA6X2z7+5miYkXnIwwerZ1XUmJkQfccCe +P+It39aExE8O/HWfHtk/0IToJQ4+jlnVoT3ShMRTDkJfNE1762JC9BMHifUD +dq6bY0LiKwcRfKWzxstNiJ7iIORU2MOS9SYk3nJQu3tf9pPtJkRfcdBe+LPv +oYMmJP5y8Px32+flp0yI3uLgfs89IS5XTUg87vo+/W+2OgWYEP3FQdi2xPgR +wSYkPnNQueuB4YRXJkSPcWCf3K69KNaExGsO6j7zE3YlmxB9xkHWyrBJ93JM +SPzuel4qac+zy02IXuNgy5kOBW2BCYnnHNjGT97l+s2E6DcOSl4U9HjVYULi +OxcuE2xztFVNiZ7jYj1qG7wNTEm858J7quKh79amRN9xsfZpgs9+B1MS/7nA +Rl87RWdTove4UBlvt/+hiynhARdb/Y/6TplrSvQfF5FXKs7/cDUlfODi6K/U +w9EbTYke5GLfec1jx3eZEl5w0fNTQviSo6ZEH3LRZj7VcuQFU8IPLrImQNrn +linRi1xE/Ql2tA0yJTzh4sidKLX+L02JfuSiqfz31fExpoQvXa83rihbm2xK +9CQXZ4vblK/mmhLecNHHxHVYeqUp0ZdcjL4//aCu2JTwhwuzD9JvHq2mRG9y +8VAc/zm9hxnhERd7XXx/jdI2I/qTi7lxZxLfm5sRPnExJaTXiEl9zYge5WKF +3ZQdFcPNCK+4OHTR9sqpiWZEn3Kh17Lg9ci5ZoRfXdeXnNb6a4UZ0atcLB1W +eTxzkxnhGReGddM8X+41I/q1Dm0Xe5feO2lG+FaHW9d+iu5cNSN6tg4D08Pi +g++ZEd7VQa+378HPIWZE39Zh3jH3UaJoM8K/OiSvW9jLNtGM6N06aHGal2/N +MSM8rMNKn8Ud7Eozon/rcMFYNqyfxIzwsQ6fEiJsHv00I3q4Dl8a/wj6KJkT +Xtbh/sbPEZ/0zYk+roPqoql3N/Q0J/yswzDvrTnmg82JXq5D65oTB7hjzQlP +63D54Op3cTPNiX6uQ4rPiqjg5eaEr3WYmLkk4IGHOdHTdci/H30ldK854W0d +Qh5ujU32MSf6ug4r1n2b/t3PnPC3DpvKXd0dH5oTvV2HYwYYfuqlOeFxHUSs +Ej4vzpzo7zo8+Vv/bMUXc8LnOnjaCx/VlpgTPV6HVbdT5LwF5oTXddjzbMCP +Pq3mRJ/X4UTw2lc8BQvC7zqoVc90fa9vQfR6HW6m+NgF2loQnvNQYzN94s2h +FoTnPITPu1TzEBaE5zwcCG8Y9nmeBeE5D3++ZW3/tsaC8JyHquyx7FE7LAjP +eTDbpbb+1lELwnMeBo/9clrxigXhOQ8Tb+WvuRhoQXjOg8PnqX3sXlgQnvNg +W6Cjlx9nQXjOw6PiBlf/DAvCcx62cPY77Sq3IDznwWOLXu06iQXhOQ/9H2nc +9PhlQXjOg8rPaxd91C0Jz3k49zH6b5S5JeF5199n7R/W6WBJeN51vUeS1q0Z +a0l4zgNOnEotnG1JeM5Db+MbzzestiQ852HDxLyJ6jssCc950OvVuyT9mCXh +OQ95Fw6/fORrSXjOw9Q7uYp+jywJz3lwuzOhz51IS8JzHhpbWhbHJFgSnvNw +d/300u/5loTnPHyye/trGs+S8JwHv+u1iu9aLQnPu643LsplvIoV4TkPP8bN +7KwxtSI858FoifzZBw5WhOd8nNO+3PfAOCvCcz5usDkjPOdZEZ7zsT9s7Z/D +blaE53x05H4sfrbHivCcjz/WVvoNZ6wIz/l49MpUefEdK8JzPk6vY6kVhVkR +nvNhGvJs/75PVoTnfIx9Kgt2zLEiPOfjycHZTZpcK8JzPrzqTGOUW60Iz/m4 +OWLpShtVa8JzPh4cih653MKa8JyPr/Jhb18NtiY852Pa5J1D7CdZE57zcbHZ +wPDzUmvCcz6cAi+mH9hqTXjOx4Vd4e8XHLMmPO+6vjszZ825bk14zkd06oRv +W59ZE57zsWT2XovwWGvCcz72+DSO0cq2Jjzn42qUKOkm15rwnI96r5yBE35a +E57z0bRkbYOmpg3hOR+HVoSdlrO1ITznw8N/kKeZsw3hedf9ffByy/XNNoTf +fIQmZmeWp9sQXgsw7vD783NNexI+C6DFei5smd+T8FiA460HT5pc6Un4K0Cm +NOLek6yehLcCbDHe7lhqZEv4KsBQPa22o5tsCU8FKFj8Ktgh0ZbwU4BW65lz +Ggf2IrwUoE/hDPlnEb0IHwVIW7ZxyoKFdoSHAlwrPWxW6dSb8E8Az/P6I0zZ +fdgVqZYJkSwBQmqy9q5aPpRtyqtc9qSg4f/XDO8a8Lx+0vn7mkMI7xoQP6tG +c+aoQYR3DVh7MvpjfPwAwrsGvJdfEXH1qAPhXQP+nj3B+enen/CuEbE/Tosc +NvUjvGtEXHX0BLUTfQnvGjE9Msbgfqg94V0jHPxv7Z9Y04fwrhHL+g12HNyz +D+FdI0o1/y4YtLU34V0jssJ1zTxi7AjvGmGlV9N/tKYd4V0jzj4x7Bi0oRfh +XSOECZezcz7aEt41It1/3vQ7hraEd43wW813StnWk/CuEfzJHpcXHbchvGvE +UHbFNi8Ta8K7RuxQMIxyuWtJeNeIEZyhrOhvlHeNKPv93rHpuynhXSO8vQN8 +9X4Y/v96qX1Yte4ew////oGXm0+pod7/75eX0+ngfkDn/88Lrhw348kxrf+/ +z4t9B4b84Wn8/31DD8oyHl9U//96lFriF6etUPv/eleK5z0Wz1L9/35wUq9s +d1ym8v/9Ckxan1F9QPn/+zmak3j1SJjS//d79tK+Y7dKFf9/HjF3b4x8MEbx +/+eVN2LksAO3Ff5/nvarHByDfsn//7xVi5Iu9dgq//94WODy4FJETY//x8vI +y1fyytf0+H88DU6rG1fJlft/vL3OF/hZ7JT7fzwuThzw0eHPXxYdrxFBKu9f +OPxl0fHsWGL8Ueb2h8XouwZMsxgZ7RzUyWL0XwOMgtKv8oUdLEYfNuBxv9/X +PUZ2sJj504Cy7bVjHH1/sxh92QCBxVr3HOkvFjPfGtDSZBifN/8Xi9GnDQg+ +HHW9ILadxczPBmze6/n4UP92FqNvG+BvHzpq+8M2FjOfG7Cn0nS9m2kbi9HH +DZh1ackQrTs/Wcz8b0Dundi+Q81/shh93QCDvOz3lx//YDHxogFjTIbFCQb+ +YDH6vAFNkx+baH5qZTHxpQHevUzcMua1shh93wC103OPNfNaWEw8aoAJf+yW +1cdbWMz6oOv++m4QVpq3sJj41fV5paLF8+OaWcz6ogHnTII2XlvdzGLiXQOG +31zy53iPZhazPmlA48Fw1Y7Q7ywmPjZAW9tgumTRdxazvmnAcp01ToP/fmMx +8bQB2z97CyMivrGY9VE95kb3li1Y+43FxN96jHqlMUxO7xuLWV/Vo3bR0sZn +KU0sJl7XQzCk3+VBR5tYTDyvh85C/YunRzSxmHhfj/7fRsocqhpZDA/qEfE0 +7lXwkkYWw4t6qDjxhy/IaWAxPKlH4PbVqnpzG1gMb+oR7VMyPz2rnsXwqB7p +UwpfrFhYz2J4VQ+3Hw69w0tkLIZn9cg1c9ULcpOxGN7VY3vmMlU7mZTF8LAe +u2rEaRbeUhbDy3qsGu/Ud5+6lMXwtB7tOleUTB5IWAxv6/H1/cqxTY4SFsPj +esQ1Gl2RpYtZDK/rMTPE/G77ejGL4Xk99BO2FSl1ilgM77vuV8E4b7l7Ihaj +B+qhuWTM0bJRIhajF+rx+O9JH58yIYvRE/V44T+yVHxEyGL0Rj2S5Vk7FHsK +WYweqccd7Z2pSSkCFqNX6lEUld1qvkPAYvRMPcYUL77TaSRgMXqn6/78PZ62 +js1nMXqoHiUrd1o5b+ezGL0kw5zsr0/3m/FZjJ6SwTDAuNQgncdi9JYMk9xc +ApUP8ViMHpOhj80j5yn9eSxGr8mwN9RsUVJFHYvRczIkaEU0efvVsRi9J8Mz +D7vt7lPqWIwelGFfqebu3b+4LEYvyrr0edOvR2+4LEZPymBW/3qBYCuXxehN +GVLEtj3G23FZjB6VYefkwpr7VRwWo1dlaBP+6fE3gMNi9KwMtpkPPq5eymEx +eleG20lv7r/W57AYPSzD8AfPdn7LrWUxernr89cUOVpeq2UxeloGfoCThcOC +Whajt2XQVzG7YaRXy2L0uAxKY/qsfvushsXodRkaOnhbaxxqWIyel+Grb4xj +6JtqFqP3Zdh/WCKTH1PNYtYDMogtFtkLkqpYzHpBhu+ZKh9mzK9iMesJGfpN +dkmyraxkMesNGZK0lnzY4lnJYtYjXdevWTnF6FcFi1mvyFDYouBmc7mCxaxn +ZKjUbxh3wqqCxax3ZIi7MnbuoDflLGY9JMN438jxvaaVs5j1khTuJc3NyyrL +WMx6Sgptly1XkveWsZj1lhTTaq1dN2qWsZj1mBQuZ2rLhzwvZTHrNSnWrJ37 +padLKYtZz0lxN1pPxbGqhMWs96Q46zf42UrvEhazHpRCc+PS2jumJSxmvSjF +17SlNnUfilnMelIK5zn3vzm7FrOY9aYUu8MStHzbi1jMelQK3x39F9QFFrGY +9aoUA3a9jnVEEYvhuRTxSzbs3FdXyGJ4LkWq18Lo5xcKWQzPpVi13fV70uBC +FsNzKXqaarxOKypgMTyXQj35gV/EsQIWw3MpKl5XL9ttX8BieC7Frc5RPO3c +fBbDcykaIxOGnfLOZzE8l2LF1HmuaX3yWQzPpaj0vHWmPC+PxfC8636dXCQX +czyPxfBcijubTg5cPTCPxfBcivdzLvgkleeyGJ5LwbE47yu5mMtieC5F6YBb +bVmjc1kMz6V4VmXTUliYw2J4LoWK7/ekwyNzWAzPpXh78Ib9mcBsFsNzCQ5f +D1NtkMtmMTyXoElx69E3m7NYDM8lmBiyISY9J5PwXIIW+73Gg0dnEp5LsPRI +iH5l8FfCcwlO9504K0vnK+G5BPdFr7w7jmYQnkswcFvwyY3SL4TnEmSE2iyX +W/WF8FyCCTdvGX/9mk54LkHQe+UuGKYTnkvQcWLMxPLINMJzCcLKhJuM+qQR +nnf9//yW+u33UgnPJZiu/GFfpW4q4bkE0y6MjVp3IYXwXIJdSrcPf5dLITyX +4KVoyOtr3smE5xK0YeAi59YkwnMJ9AfEH6jZlUR4LgH7/tVpF+oTCc8l2DrG +QW7QtkTCcwnU++368UWcQHguQfA1uWurtiYQnkuwJD5mWrWYTXguwXK/wSHz +trEJzyWI8nAPWlTBIjyXQDMlkFfE+Ux4LsEOhzcPe0riCc8lqOI07R3Y8onw +XIJTLC+H5r8fCc/F2DdzaOhOrY+E52KEBC34HmAZR3guxinJhIHbB8USnovh +87fZn4sYwnMx1vUZce3H4g+E52LwHxRdf7L1PeG5GEeLNB05J98RnotR+Cfe +KuJuNOG5GL8lBbY9oqMIz8UwawzsWZrzlvBcjM5we+NB9W8Iz8WYdzbC+LfG +G8JzMdqiOuaOHxhJeC5G48KkzqrAV4TnYgR6j1Uy4b4kPBfDSxJ7zXLAC8Jz +MeL3rQ/jHwgjPBfja4Hlqa0pIYTnYowfEHzgkfFzwnMxCt6cVj/h+ZTwvOv1 +1FGiv+wnhOdi5LyZUGtm/pjwXIzRBbtyL0ofEp6LkaxvO+J7SCDhuRh7U+Zv +WrM1gPC86/VXrb6fhtwmPBej9zYH77+/rhOei6EqXjnb0f8q4bkYf9Vi3L7j +POG5GNcc/Nh9mk8Snnfd/5+B/LXr9hOei1Cm84WTU7Se8FyEYbc4u4/EzQbD +cxGSKl8ktvl6geG5CE+Prx5SoHQUDM9F8BqZFjTZ7AwYnouwbInVLb79JTA8 +F2H5xbK+LUbXwPBchPVhhb2HGd4Ew3MRGktGfxml7Q+G5yIk252QGijeBcNz +Ec5HujvmtAaC4bkIzZGNugfqHoLhuQiiNZ9FFwVBYHguglPJwtQVK56A4bkI +Yc/vmgzNCAbDcxE8tmqN1x/9DAzPRRiTGeKj9Pw5GJ6LMOrMMgsdvVAwPBdh +1snNA0Z4h4HhuQhntzR/PswJB8NzEfRPTM3hTXsJhuciqP2YOMk7PAIMz0Xg +ZCZJJmi+BsNzET4Hn062cI4Ew3MR+que8BjBjwTDcxFmarg+33bzDRiei7DA +clZm0sS3YHguwmp+swHq34LhuQiXFE+9qQmIAsPzrueXWJobMjkaDM9F2PP1 +0+279dFgeC6CTtsviw/+78DwXIhlvfwOyuM9GJ4LcdYkI/qY4D0YngvRM+RA +qcPVD2B4LsSwks4ajeExYHguxLnJlxJ6lcaA4bkQPhEO23cfjQXDcyGmJzan +tdvEgeG5EHstbd/HJ8aB4bkQH8856bE2fgTDcyFOnzGP+Kv0CQzPhVjUB8t9 +nn8Cw3MhRH3fF0+dFg+G50I82r1YNo8fD4bnQlytTl/08PRnMDwX4uvOxM9O +tiwwPBdCu6hHjfFnFhieC7Hm3bhXtoPYYHguROS04TuqvdlgeC5EarTTkUnp +bDA8F2KuxZKn84wSwPBciAfN4jcK7glgeC6Eef9wT/dXCWB4LsRGafiNbb8S +wPBcCI2Ju6U20xLB8FyIlUs7hp+8ngiG50IU3sntd7UyEQzPhai7LH9met8k +MDwXAjtcOl/vTgLDcyF23ebMTPuYBIbnQtSECyx9lZKZcC8vRMpdrb5K85PB +8FyAVa7FxoMCksHwXIBTB68GKHOSwYQ/AZx8li670T8FDM8FEPcZ2pa7OwUM +zwXoOUald1JsChieCzD27LtjW3ukguG5AInuOiHJM1LB8FyA/iOlKPJLBd3f +6hXbXxBYnAqG5wKc1/3sZGaVBrofxvn6Ln3uhjQwPBdgb8TEkWPC0kD3z0oW +xJjUNKSB4bkAbWEhf8aNSAfdb9u5Nv/4ksPpYHgugNqvsf3tP6eD7s9t/jv4 +6lv5L2B4LsD2osmjfk37Arqft+3G5vedl76A4bkAX55xr8dnfwHd/8t1W7d4 +jH4GGJ4L4LuuyWff0gzQ/cKl+cbB2wMywPBcgOjQ9DF2FRmg+4sHHh74GmD1 +FQzPBTg8QL84a91X0P3IsmUG5YmPv4LhuQDjdx5feqjuK+j+ZeJjcXJD70ww +D1iAoWdPBdtvygTd79Q+aLCtZ0gmGJ7zYd+geqtSmAm6P6r46sDtVf2ywPCc +D4U6S/6DLVmg+6me9jt+Bodmge632m3zw05RFuh+7PmCTUM6+2aD7tem7vIf +uXBzNuh+rqvsbJrn82zQ/d4elpvmzuJng+4HZ590cm6yywHdL/Z5k1y/2j0H +dD9ZEBlXfS0oB3S/edvQu3uuVOeA7kfHrqtV7aedC7pfzdsbp9cwMBd0P/uL +RdO5gNm5oPvddy01A/t65oLuh0e81D0ZeCEXdL+8Yc3hzS3Pc0H30z/8HXdq +WEou6H772kM5csvqckH344P97QzW9cgD3a83qngpmmuTB7qfHzp6fWSv8Xmg ++/1PC+ICqlbmgeYDNMNjc44fygPNF4TP4J9S9s8DzSfwt86r2ReVB5pvuHjz +ml5Gbh5oPuLey4Kpyg15oPmKjVeyQvpr5IPmM3inktxH9MsHzXc8Lb/82H5q +Pmg+ZOwSP18593zQfMmZwIzFicfzQfMp0e9zzLcH5oPmW9y80jT/fMgHzceE +vduz6FBhPmi+5mbnAMOKpnzQfM5bf9Fhe60C0HzPtD+jX6zsXwCaD6oYMD/n +4NQC0HxRQGmr5vH1BaD5pEkJgZd2HCsAzTfZ1M3fOe1uAWg+KiUqqUr1XQFo +vqpt8Zw/0bkFoPmssPi6llmyAtB818D6/oIMlULQfFiC0qYfI+wKQfNl53ef +n3lxQiFoPo3XYa+QvqIQNN92sf78tG/7CkHzceZGd52VrhWC5uuu17S2Krwo +BM3n7V0jfF6fUgia75PM9NiWWFsImg8s0TTxOPW7EDRf2BCz5N0g4yLQfGKj +Ur9jyUOLQPONmtPnVk2bXQSaj7y7ZsSPaI8i0HylfGgPkfbJItB85qB63/wl +94pA853zp2wvOhddBJoPdWmv1XmWXYT/86U7ZEGvRUWg+dQ9rdNCnssXg+Zb +x0S5u1yyLAbNxzru2H51pXMxaL5W0X1OmMmCYtB87hqzkLcJW4tB870XHatT +XU8Xg+aDjR30FKruF4Pmi08OsD4z730xaD5Z+vXJpoicYtB8s3peR2q7qBg0 +Hz2scVbCcPkS0Hz107M7dq+yKAHNZzu4qjTvGlECmu/uMe34+n1zS0Dz4X3/ +/C7x2FQCmi/Xe7Nz99QTJaD59BrWxRkGASWg+XaNrakHcyJLQPPxoXs2mnl/ +KQHN14/P95xuwC0BzeePWhRqEfirBDTfb+4U/VrPoBS0HmBucYPlwQGloPUC +qTNOHf06uRS0nmBzs7tQe3UpaL3BqAELDrjsKwWtRzj5tdBlw5VS0HoF1tfp +7vueloLWMwQUZDbu+1QKWu8QlblE3qOwFLQe4tGeh2+myEpB6yVia52NDBXL +QOspBlp7TymwKAOtt/gTcnPZqeFloPUY09qPu9vOLgOt17isp3oi0r0MtJ5j +TvvXxCHeZaD1HilTRk95dL0MtB6k5dY1s7+hZaD1IlXGfNf57DLQepK+PaxM +r5WUgdab9ND9vj2hoQy0HmXz32/b65TKQetVchVODPphWQ5az+LkkpvUPrwc +tN7Ff+L3KQ2zykHrYSQb0lIK1peD1stcc1VdFX6oHLSeZsKFKWZ7/MpB6228 +L3ANBzwvB63HCbrQ073oUzlovc6Rz4ZGuwvKQet59uRFuPwRl4PW+6yfEvX7 +qFwFaD2QQnXKsnrjCtB6ITNdt03zB1WA1hMNXlM8PnhyBWi9kWX88ibRigrQ +eqQpcg3Xeu6qAK1X8nE8PmzWuQrQeibRj3Shx/0K0HqnKd88k/a+rQCth9pi +XlWyN70CtF5qdq/9IzdVV4DWU3VkeLTMaqkArbc6MJnVs5d6JWg91kwfr2yp +TSVovZbD3Uk6oU6VoPVcXsZ1LctmV4LWe+1eWH6tza0StB6sIvlay5UDlaD1 +Ym3r5zkaXqkErSeT1I1x9X1cCVpvJrJd6/37fSVoPZq3W1DoqsxK0Hq1iMcZ +za84laD1bG4t57a3/qgErXe7kuhmN0SzCrQe7ql1Sr/VtlWg9XJyugpXjzpX +gdbTeVTPdrs2uwq03i5wddTbO25V+L8eb9jQWzf2V4HW6zn2vm/oc6kKtJ5v +zZroyRseVYHW+2m8M3McHV0FWg94vXWBTP5LFWi94BvbpDPsqirQekLExqvt ++V4FWm/Y2rHnkolKNWg94knHX0ZvLKpB6xXZnPkfJwytBq1nnN5z2UX2lGrQ +ekej9EJf5xXVoPWQ1z8dLg/aUQ1aL5nRr8z7r081aD1lW/yO44v8q0HrLed+ +C2i+G14NWo+pPDpYVPS5GrRes2jGp23KBdWg9Zy35v6+NEBYDVrvOaPfuiVT +f1eD1oOeDc0uWqxTA1ovap5qarXcrga0ntS2vGXk/JE1oPWmG0vkR4ybXQNa +j9rv1Fdr63U1oPWqC0PYSq17akDrWWWLxv9gn6sBrXe1Vj7X6XOvBrQe9qAs +vd+oVzWg9bJvdbuoklADWk9bee28zZmiGtB624a8b4rm4hrQetzMt8MnPeuo +Aa3XdVun8l1ftRa0nvfN6zu/s/RqQet9f58zTj5tUQtaD2wzKWXhiD61oPXC +m3+Uh9cMrgWtJ/a4eKbo7Kha0Hrje+m8EvtJtaD1yIUnDWMSZ9eC1isvut3r +6IqltaD1zEHGhg7StbWg9c4TV/DSDm6pBa2H1tE8u+rv7lrQeult86olPkdq +Qeupe6ZmH/l7pha03rrnuwGGh3xrQeuxv2knxErv1ILWazdfXbhn5eNa0Hru +Den+k5PDa0Hrve2mugzv3zVuaD2467W2aRfja0HrxRPmrzrDS60FrScXL/vb +NCa3FrTeXPfj7htXympB69F/CJx3lnFrQevV177KuGgrqwWtZ/dcHyTZ2DVP +aL17Uk0v/+A/taD18JdfRF+vUuGA1svbdLzm6utxQOvpI3I3+U4254DW29+W +t7vnZccBrcd/uNxe485ADmi9/s+b4YJYJw5oPb/RFY5j6QQOaL3/cufWb9+6 +4grtB/D5pDJQZSEHtF/A6OpwvslKDmg/gdf2y716b+CA9hsknTUXD9jOAe1H +kB7hjR+ynwPar6Dpze8z+DgHtJ9Bb4r+837nOaD9DnLTXZOsr3FA+yF6hj04 +pdsVh2m/hNzKBFHnvzhN+inm9Qr8JQjngPZbXIn6/elrFAe0HyPow8sRLz9x +QPs1Rtfu2nQhhQPaz6H7Q2G5WzYHtN9DXWSuMaKEA9oPMqh8w3mFWg5ov8jB +Eedys0Uc0H4S3ze9pLe/cUD7TebuKKhw/cUB7Udxbh4QYqLABe1XeTsndmG+ +Bhe0n8VFR772QhdXab/LEc9zy8ZacUH7Ydqd2LHiPlzQfhmTv720bw3mgvbT +BBpbuo4ZyQXttxnzUP9+Jbig/Th/b2+u9p7BBe3X8RXvtzNayAXt51l07IbX +ixVc0H6fc2M108a7c0H7gXraDhyc6ckF7Rd6PMspbNleLmg/UZacx7iqI1zQ +fqMxKd+l685wQfuRstfqfqq+wgXtV7IWiCNX3OaC9jO9zHuWnfuAC9rvZCTv +YTblORe0H8o8e9LtqFdc0H6pW9pLp9l84IL2U810eDn0PIsL2m/1xnaxqzSN +C9qP5X9vfsLsLp1I+7UeSx97hZZyQfu58vpO3dyjS2fSfq+c/kNeLuvSobQf +bMyjBZNCu3Qq7RfLMLg96EeXjqX9ZJs7uLsndulc2m/WP9HC4HyXDqb9aE5Z +FkYZXTqZ9qvd8os7omZRB9rPJvLLmDXVrg603013n9m5Y106nPbDRWnscnzb +pdNpv9zHlTcW1HXpeNpPFx0Moe6UOtB+O5s9w9rHzKkD7ccLftrz0voldaD9 +emMPfnxwpmsdQfv5Jl59PfJp1zqD9vt5/n66it21DqH9gLELoVXWtU6h/YJz +nlktaOhax9B+Qtt75X3lutY5tN8wc7tmgHbXOoj2I+p1THlq1rVOov2K+/P1 +Fth2raNoP+ObIR0P+3Sts2i/o53+xVv2EXWg/ZB2E0eP6P2uDrRfcurCiz7W +8XWg/ZS3P3w7YZRSB9pvGZcuGKaWVQfaj6mcVvqgvbAOtF/zlGR4sqCyDrSf +s3yrW1gurw6033P26cQFH2R1oP2gO8/Gxd9rqQPtF1Utfdrs3VEH2k8ao1je +ulyRB9pvGsJ6leaoyQPtR7Vs2rtd1ZAH2q+qHntAWGHBA+1n9fWRG/fSjgfa +77qqc9mOwwN4oP2wPZ0+HZ88nAfaL1vuu3Ov+lgeaD+teXHovOxJPNB+28lT +nhj4zeKB9uNGXfBNmbOIB9qvK8x9sFllJQ+0n/dKbkfH5/U80H7fq18KL+zd +ygPtB1a/NVu7z24eaL/wzOenrxUc4oH2Ez+yvK9/4iQPtN94ekLknb4XeKD9 +yEMMy3tl+fFA+5UTFw364HWHB9rPfPfEp+U6j3ig/c6Onv4qL5/zQPuhL71P +/TL1FQ+0XzqFt/Rx5TseaD91lOvM67vieaD91qvWB9/vkcID7cc+PHFDql8m +D//3azue1bMo5IH2c4/aK+8TXMED7ff+OCvPpH8dD7Qf3FmHX/pCwgPtF1cY +MjhtwHceaD/5hpH3+KHtPNB+88QKg5F2Pfig/egNHac+31Plg/arT4/MPK6j +ywftZxdOK/D2MeGD9rtnLDnwrsmaD9oPz/9ww3GNPR+0X37UI/nvqYP4oP30 +3OsP2gc58UH77e0OjJp9YxwftB/fLeCZuHkyH7Rf3+JtdMmi2XzQfn5J0zDT +14v4oP3+GzslEaor+aB+AJryzwLXreeD+gWMemvPi9rCB/UTkC4YfklpFx/U +b+DNh8vXlhzkg/oRHFrT0B50nA/qV7B6jckXyVk+qJ/B4WNsuWFX+aB+B5FO +j4MP3OKD+iHseL8zOiaQD+qXsLWxwqntCR/UT2GT/10Hp3A+qN+CbNCy215v ++KB+DJ0anw+FxPBB/Rpy3I6UVLL4oH4Oh8Y7R+uk8UH9HoLu3dKbmM0H9YMY +sm7M9x1FfFC/iPZNX1zvVvJB/SQ2XuRMT6zjg/pNGAX1TBRJ+KB+FDeu9srQ +/M4H9auQTPZxH9zOB/WzWPW18OpcOQGo38W3GVHzPFUEoH4YjkpvQs5oC0D9 +MqL3uAXeNxKA+mnkjVg08K2lANRvA/ZGa1LsBKB+HO/XOQ8tdhCA+nUETHAJ +5TkKQP08bm1jpTWNEoD6fcznjLr+CwJQPxDN5uEq8tMFoH4hSxc7DVSZJwD1 +EwkxZ8urLxWA+o3YZS+9qr5aAOpHgk0HslU3CED9SvYuDUpX9BSA+pm0+y44 +/meXANTv5ORV+e+tBwWgfigNK4baS48LQP1SLG8ssqo+KwD1Uwnyqi3LviIA +9Vvxz56/Nv6mANSPZdsIo4iwewJQv5Z3xcHpNx8LQP1cVJ7siDoSKgD1e8mf +WOe1/rUA//vB9NonN/W9ANQvZoaP72b7eAGon8zMbY9ClJIFoH4zy9L0k7gZ +AlA/Gu01iz/F5wlA/WqeVmTc9i8VgPrZfKvlLNxRIwD1u7koJ9fsIhCA+uGU +ZFw+YlgvAPXLmVYoqec1C0D9dHZ/8pkT9UsA6rezyin67okeQlA/nvfq/JKZ +qkJQv56B/Q+o6esIQf184p6lDy01EoL6/RimjFtw31II6gekrzDMc62dENQv +aJC5io+1gxDUT0hew/xO5VAhqN+QzC79VcBIIagf0UPVnRmLJghB/YoKYzfL +1KcKQf2M9B7omiTMFoL6HXmcSZqzf5EQ1A9p7vtyv74rhKB+Sf6tr7kl64Sg +fkquF+9PP7dJCOq3JDe1nT1shxDUj2nLNeuFVXuFoH5Nirs2/jrrLQT1c7K5 +2os10EcI6vfUf0Lk47zzQlA/KEu/jU/3+QpB/aIaZvp/MbotBPWT6vU30OBd +oBDUb8pNO/PMoidCUD+qIa+P2jSECkH9qmLaFQTnXwtB/axeN34ot3kvBPW7 +mna24e+7T0JQP6yx4Z3LZyUJQf2yVBaP5Vd+EYL6aRmf6gzbkSsE9dsasPBe +aEexENSPK/fX5rqLVUJQvy5p3afVRjwhqJ/X1meNxo8kQlC/L1Xj5YZ9vwlB +/cAmLpm1POKnENQvbHZuT67jHyGon9i0ZcM+RCuKQP3GjpqWlDppiED9yDJi +N898pycC9Svr6zpeY7ipCNTPbOmdNz1fW4tA/c7CXlhf6d9HBOqHpuRRM//J +ABGoX1rz6Pk7zYaJQP3UahZliH1HiUD91i7cjkiWhwjUj+1q5LIe+6eKQP3a ++vft/YQ/WwTq52a1Zn/YkkUiUL839pNws0RXEagf3CSXPq2D1olA/eIsz0+f +EOAhAvWTe1m476fcdhGo35xGmJ7dlj0iUD86rdenk7IOiUD96o5lOFY4nhCB ++tmd7nNm+62zIlC/u4UTeIdbL4tA/fDaWj4pLb0hAvXLO3XXWz8qQATqpzft +16UnOo9EoH57u/esifF8JgL14ytvc1+W/EIE6tenNUT7hOVbEaif34NdBSP2 +xohA/f5iuf280z+LQP0Alc+cW2iZIgL1C0wZtyl+51cRqJ/gmMbJbFaeCNRv +0PPCg9U6pSJQP0JbveZba6pFoH6FM1ip28J5IlA/w2sqD+paJSJQv0Ov1x1/ +8E0E6od4qGYn+8JPEahfoqbzCYfcThGon+L68o8wVhSD+i02+72TX6UuBvVj +nJ3xd/9DXTGoX6NTwtD7tcZiUD/HpWc5B22txKB+jwOMXmmstxOD+kGe2eO0 +8lF/Mahf5LIYs02VQ8SgfpIWjnLDTJ3FoH6T/juusxeNE4P6UdqsPmx4ZZIY +1K9yo9vmockzxKB+lpHbtE1+zxOD+l3GGvX5OnSpGNQP88c7j/keq8Sgfpmn +jvk+D1gvBvXTvH19fUHGZjGo3+Yt+2elv3eIQf04owLtYgfsE4P6dU698+Lg +Sm8xqJ9nmJ2uyYWTYlC/z5rMfveiz4lB/UCLPucp1F4Rg/qFruFJl6nfFIP6 +iUqU1/gPvysG9RtVbtRJWfVIDOpHeuVsC//UMzGoX2lM69/foS/EoH6mf3MG +qme/EYP6nX4ddMTg+wcxqB+qXnGTudFnMahfaq77XbuRyWJQP9Xzm88Ndc0Q +g/qt3gnImXIoVwzqx/q6+caGO8ViUL/WkeIWv3eVYlA/19JzPbPyuWJQv1ft +4OnWjSIxqB9so1rwOfVGMahfrN6LPWp9WsWgfrKv0zvDJvwWg/rN6vS7uW15 +DwmoH+3wyRcXeqlIQP1qxUcmrDunJQH1s3VaYBZw30AC6nc76tqFv2/MJKB+ +uFduK9xNtZGA+uU6HlXfUt5HAuqna7jGflf9AAmo3+6oS+Lov44SUD/ex5mN +o/VGSUD9en8FV8v3miAB9fPtdcHDYNgUCajfr//ACV4usySgfsBlKQPNFyyQ +gPoFty6QM127TALqJ6xddWP7ttUSUL9h55IvFofcJaB+xH6u/v3PbJGA+hWn +Hmi967dTAupnrHOnade9fRJQv+PopAdvn3pLQP2Q5yr23PDqpATUL5kdE3Lu +wzkJqJ9y7597bNlXJKB+y09lWSPTb0hA/ZjPuhhk5wRIQP2a3U++FBU/lID6 +ORed1L9S9VQC6vccWJT1qS5cAuoH7T7h4AlxpATUL3qXVUxpw3sJqJ/0yG+z +spo/SUD9po3zf69vS5SA+lFvbAkN7EiXgPpVKwyZfEIuRwLqZ33vXLaeYpEE +1O/6c7y7q0qFBNQPe5hkgKs6RwLql31MZ5uxllAC6qdtyjoQoFMvAfXb9vj8 +sU6vWQLqx536Nr7ToF0C6tetUmgiM/orAfXzPvzsWLSJkhTU7/vnu4+rzTSk +oH7gLptjJOZ6UlC/cNmnfRstTaSgfuLLhmvlWllJQf3G2x/GDbexk4L6kR81 +LLjds78U1K9cqTyjw3aIFNTP3N7dycvOSQrqd96wILW591gpqB968OVF1+1d +pKB+6cGL8+b1my4F9VPv2L5muMNcKajf+o6FztMGLpaC+rHP3ZXgO3iFFNSv +fejRy4aO66Sgfu5lqTNrhntIQf3eN76s+OG8TQrqB79Se86GMbuloH7xj2ry +B044KAX1k++ZWLxq0jEpqN/8mA8NbdNOS0H96Jvq6jTnXJSC+tXLe0YFLfST +gvrZ2y6/Fr/8thTU7/7UC/72tYFSUD/8foddYzweS0H98rdYZYTtCJGC+unb ++6+cfSBCCuq3f6bFM+RElBTUjz+x4VH6xVgpqF//9Ju82FssKaiff6n1jnNB +KVJQv/9xbbGjI75KQc8DeFlwryYuTwp6XkCA3LAzX0qkoOcJ3OT9HF5WJQU9 +b2Dx88+/xXVS0PMIOjXei36LpaDnFYy65qWq0yQFPc8g6Q13i90PKeh5B/4+ +u01Hd0hBz0OQ21ffZ4G8DPS8hJ2H7wdtUZWBnqdgvHFCwCltGeh5C8ZB+lYP +DWWg5zGYT6nGR3MZ6HkN43y+65X3lIGe5zDu8PBnv+xloOc9qJ+20bQaJAM9 +D6K/oHiFy3AZ6HkRbsa9n2weLQM9T6Lz8Jl2P8hAz5v4meK4P26qDPQ8iqUd +AwYIZ8tAz6vYYT5lmPEiGeh5Flrn+j6Z7ioDPe+ic+b2m0fWykDPw7AZ8dDm +7UYZ6HkZq8Rz1ks9ZaDnaQQpWezru1sGet4Gyy1736aDMtDzOIJurvcJPSYD +Pa9jW6VxbP1pGeh5HrGnr4xzviQDPe+jQ5Q/6NQ1Geh5IE/dzkTm+ctAzwvh +G9SIez+QgZ4nkmDCkTsaLAM9b6T05ybrkjAZ6Hkk/drn7HCOlIGeV/Jz/U3z +e+9loOeZzLY+t1Q+XgZ63snK3qL5Xkky0PNQHNXtJnC+yEDPS5HMPfJfTfcT +0nQYxgEcU1AQggpcglgHNY1MlDJqxBenFoLRUty8rCAi15/J+mvKkJpuaWrY +Kiym5LYOgpMghllUeJge1lgblKVgmAul9XtWS8O6OLt8j+/hfZ/v8/DwXj/6 +xrACeirubzUzH2YU0Fs5/0r9VzevgB7L6eWB9S9RBfRaQpa+vaaYAnouuYnu +SEpCAb2XbYbH+4fWuF8eOH5GxrDOfXLjgjro+J4moCczXLu70pkpoDfjDZbk +NWwV0KN5EjO5VdkCejVPK+xl0R0CejbvBzPqJgoE9G4uacxdA8UCejiq5iPG +W/sE9HLK583919UCejr5i76xFo2A3k7yYqvFViOgx9Ob9jEwrBXQ61lKrLUF +9AJ6PpqidHvqKQG9n5Uca7L2rIAeUP6uhuwRk4BeUPiYvzDrmoCeUHu0yfvI +IqA3VNxxu760k/Nx4Ff7ofTFHs7jHoZezv3x3mf//SgP9LkeONnvXXw1/fvt +9LC/Xhxeen5iepT93IH+2bhf5WP+LtRtPpP78DXz2lG603iwaor5OvF2VXt8 +T4h5rNj0ztCi+8T6N///b1uaQgusZ8FR/YTHE+P7N1BmLLL+WOV7VzH72ffG +n+R9M2w6V/fJzDjoTV3OunIuY3sc9Kia7dU2QwHPWrwIuibjB+LYADzl8Wg= + + "]]}}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJw113c8le0fB3DZ21kOx4zS0PC0t0+StGjQQ6XSLk9FSUMa2gvtPahkFAkN +Kt2EkL23M7VLRajU7zh9f+ePep3X4T73dV/f6/P+sFrmO3elspKSUqr8n+7/ +f8V8KXVZNhvTfnt9Hj9Yifn73hu7i7bqtvgqMT92+TzdfHoN2N4vNTpv/P9z +P1x6OGjPhkIlZgcv3lkUuBmbIvNa9Nv+//PbYL4qZcMk4x7M9rd9hmjeDcKF +1vlZjaN70O/vwVUjlp2vRw9mXNOskPMb9sLXNtfdfXMPut5+vLNxDS0P68Gk +TtNVHnDoIE7tDfzcO7YHXf8wfo5PvrfhRQ9mTHLOlrTrR2H+U/NUad3/v+84 +Low82uu/bz2YxxYH3s95HAquj7+jq7Yyff8JiGb1HBXaU5m5ktkzYdOak1jT +NWvj2JHKdD+noOw70cZ7hjJT16n4Quy/u/qBtrcy3d8Z9G8YpTl5szJjatfQ +Wz34LFpslfJ4h5Xpfs9hqG1J+P7LysyCFYorYsrPunmX4pXp/i9AkJL3fWG6 +MnPpouKFtrGylsIyZVrPJciSvfp2yJSZmsJ2N0nkZSRlZ6jVtyvT+q6g03FT +2C4tFUag+q/+oMSryJu+d53ERIXWew2fp6S26g1UYTz/XhDidsnoHhNUaP3h +6LTN0mZcVJiZo444eMvCUfbjp4rLYhV6HhEYIfpwLHaDCvNhTvcVI/D23Zv6 +2l0q9HxuwHj6HU1hqApzbN3rnzFfbiDutfOvJ9dU6HndRKMgfJ9fvAoz4BBf +voSbSNP1nfnnmQo9v1vYsefXm7UFKsyrCKeH37puwajX3tL79Sr0PCOxq87l +v+r3Kkz30zJWvQ3vzBilph8q9HxvY+bkk/nZWqqMdtVNvwnaUai6MKb9hLEq +Pe8oeDn4X7Dvq8rEdi+XFQ3/r28eF49Qpecfjff8iW5TJ6sy07svx4/BKE+L +ebfnqtJ+xCBzqFH4e29VRvG4zWKxZ+R+bYGvKu1PLA7YT/e226nKdD+9Yus7 +yIkIWW53TJX26w4YS48CwUVVpr9XWEZbv7tQ5w9w/XxblfbvLv54nQlNSFZl +cuVXM7GLg1OPW3aLM1RpP+PQ78OqVx1Fqsyakx+DMCIevX3ntu1pUKX9jcew +Y9Fj29+pMvKbky/5HkIflFt7dajSft9DY3a6XYKaGuMuHx75liLmXv/Gbxw1 +2v8E6OxsiuzbU425JX96VTcTsNeW92PGIDWahwSMv39u2tKxakxrV/m2t33u +Y1aqwZ/Vzmo0H/fhMWtMsLe7GjNZMQD34VXPGTZjqRrNy310FbA399ugxsg3 +r3tB+G0wK7wjUI3mJxEGsfdZTw+pMX9vLxH8JL6p/xk1mqdETC3cwrGIUGOG +KV5JqPXWcn4Wp0bzlQSlOyvV56SqMfuYPPkEJWHR+4jLNdlqNG9JuJ3gN8uj +TI0pk+++/JnAJXD8mrwmNZq/ZMzKdrAd9kGN6aW4YDIaoqKqTnao0Twmw1np +fEKzqjrjr9iAB7ixcfa3IWx1ms8HyOvpUO9vrs68aOsn3+EHuLWAf/tuf3Wa +14fg2xUfqR+hznD+3iBSOGVpKpPUaX4foutcR6C1qzqjuLuch/Bxvv519AJ1 +mudH8E1J8XRepc4kXv0clT3jEZbU1Te6bFKn+X6E4aEuD2buUmeUFQt+BJWz +ejqTj6rTvD8GX/pab/g5dWbu34HBgPlB78xuqNP8P8Y+2fT833HqzI3u5ZY/ +xuchaQ01Kep0HlLgnzlianyWOvO1+3KeKTgTbzA8qESdzkcKOvPu5zg2qDOT +use/Tv75iVMD1d6q03lJBS/Y4QDTqs4oxndxKqxG+7YHKGnQ+UmFT8+22za6 +GowosHtDUjGrrP5hkZEGnacniOq7etrmXhrMEMWAP0HxN84erp0Gna8n6Dh4 +c0fcWA0m+KyjfGSeYHlDH69JUzTovD0F5/TPiaVzNJgSa8UGY/iFyqmLFmnQ ++XuKRbE5JyVrNBir7vT/+BQbzzqOWblZg87jMxjC0ku8W4PZ2H08/J7Bcvc1 +/sJjGnQ+n+H4S//9Rec0mPTu3f32DA7Xshjc0KDzmob0d0Ob78RpMKy/BxJf +BVX6vBQNOr9pKBG4um/L1GAUj68zDcp2j4uqizToPD9HU+SziyPqNBjF7QU9 +x0vvU3mhzRp0vp/Dxqlzu/SLBqOkeDF4fNj5+cguDTrvDHYKV98/oKnJRGfP +kJ9oBqdPNawq4WrS+Wfgtu5lm8BSk5ktP43yGUX1VbH/EltNygMGpkEh3yNG +aDId3fpkMoi2zTslmqhJ+cBAbbDDIouZmky4SfeBSAfz2tHH00OT8iIdKl2F +BWHLNJmpig1OR2z08cuZ6zUpP9JxtrOy+fs2TaYlqvsG02H9OyWjz35NypN0 +bGxoc3AP02QudN9dXjqcm5S27r6kSfmSjlHLtTZHR2oy3cM1TTcDSS/bpxcl +aFLeyN87+Om1PtFkutOk1CUDwZHl+fyXmpQ/GZhqNeXSqFJNpnta5CMD2dvb +B/9t0KQ8ysDR2ss3/N9oMorlFmcgXiP0d+g3TcqnDOQ43Y2N/q3JKC7HeQGn +Wx8eMlpalFcvMKhBb1gVT4tRxJHbC4hTLgz+YKlF+fUCnutFj//YajF/68ML +jJBqitgjtSjPXsBW5/cDawctRhEHVS/wVmf3lKEztSjfXkAp2CRioocWs1sR +OJlQj/in3GWZFuVdJhyXtn6cv16L6as4QJlwOrHu14ptWpR/mTj9ZrOe7z4t +pkhRLzLxwvT54G2hWpSHmXCpLFu/56IWs7X7ODRkwv4pt/rQLS3Kx0wYL3kc +GHpPi7HsHmeLLFgNqlh2JlWL8jILUxd4Xr2YpcW87B4/7yxIM3RGXSvWovzM +QmDO2fE36rQYX0VAZiGvX2BqZLMW5WkWxhSZPIv+osUYKQ58Ft6EP55955cW +5Ws2jjtuDo7T0GYUt9c7G6OaXBfe42hT3mZjRp3tuwRzbWaV4pWNqHP5YxL7 +aVP+ZmOqad28pGHaTHd5kicsAguE05LttSmPs/GcP9PqwTRtpjsdt73NRqiu +3+sH7tqUzy+hwz0Q9XCJNrNYccGXaNwRs+6Rjzbl9UuMshI7PA7QZtQVASV/ +32UyNGWPNuX3Szx71ndq6jFtpns3ePEvUeKeGfrknDbl+UvcOXCW9SxCm1G0 +u88vcXBD/+q0u9qU7zlwNej4zDzSZn53H7chObAvWL7sRYY25X0OTnxLGZNd +oM3c7h7nTTmYPmTYntxqbcr/HDjzWlEg0WZc/y4Yh4L19pV80iYPcvDadqdb +Zac28717O9pyMFN58JM6VR3yIReusR0vRAY6zLXu5Y7KxWHpza1vTHTIi1yE +dUmbPtvoMFMUDzAX4SO91Tv+0SE/ctF3UEyr0ngd5pOCh1yYTHRM1nbWIU9y +4fgtwtVwrg5zrjvef+bi2Oz+BT0X6ZAveZjiPHjMoDU6jCKeJ+Thplb75bH+ +OuRNHkavefJ76i4dRhGvu/KQNyDSx/OIDvmThxnri9+sOaPD/I2/PIz4MGNv +4HUd8igPtssFE0NidRhFvCi/glbdoAERD3TIp1dY82vjzIeMDqM4vo6vcGpJ +xt38Vzrk1Sso1X12l1bqMIrjceAV1hU/d+kS6ZBfr7B124frRh91mL/j9wqt +A23ch3XokGevIL6v7z9bRZep6N5ezXwIdjqq+errkm/5ePLLjRsm0GV2KgIw +H6vrsyMTeuuSd/nANEFWmZ0uY6MYwHy8HP58e8dYXfIvH+HneuVbTNFlCvIV +LwyNi86cMkeXPMyH/YTo//y8dJkARaAWYEOxf+7l1brkYwGqvro352zSZcwV +A12AE74hee07dcnLAjgd99jV74guk6X486oAzY7NWgvP6JKfBVi9Z2VA2HVd +Zr0ioAtwy+1HTlasLnkq/9zuhe7vB7qMIq54hThvVj11dLou+VqIs1+mH9ic +r8so4uDfQlQd6puXWKVL3hYiscXf8ptYl/n751ch1sf8EzLiky75K//9Qk/T +wE5dRvfvgcNFV3EJo6pHHhfimWnaYy2WHqMYF5MiuC+qrnI31SOfi7A03HjU +jT56jGI7vIpwUGNZ45cheuR1EZYHnq5wnKDH/P3zrAjTyg/1vjBVj/wugg+H +X/bJTY9RXK6pCCuD2W+dl+iR58WY/W7ahps+enTeizHk+TGfHlv0yPditE4J +ly0N1qPzX4yqHJfXmcf1yPtiLH/ksdX2gh7lQTEaa3ddPXVTj/wvxuQdwUu7 +4vUoH4pRe8T0lU+qHvWBYozOUxfXZulRXhTj148fcS4letQPitHVFTfyRb0e +5Ucx+iqV7xv3Ro/6QjGk4ZZXH37TozwpxgDBpKPD/+hRfyjGwGXv5z7Q1qd8 +KUb4wrzfo/n61CdKkPHl+NnnVvqUNyV4PUloMW2QPvWLEhRn+t2oGK1P+VOC +62vf9V05WZ/6Rgl2ZnY8bJ+lT3lUgl+DnD1CFupT/yhBrzd7WH1W61M+lWDs +pDnv0jfpUx8pwcynyz9479KnvCrBGtsVFipH9amfyL+v6veh6LP6lF8lyI4W +/jMnQp/6Sgm+2j/q2XVXn/KsBLmCIUvuPtan/lKC4yld3xZn6lO+laCFKWjm +FetTnylFNt8NhXX6lHelWK3hoHbstT71m1J0rZw5ccY3fcq/UoTGDejQ/6NP +facUzVbnh1dqG1AelsKtZWpXON+A+k8phs7umu9rbUD5WIrFNzfNdRhsQH2o +FJvPrf3EH2tAeVmKtomRI1qcDKgflcLB6b1dwRwDys9S7GhRkcUtMqC+VIpp +C1K9Tq01oDwthf/++muBAQbUn0rhFzguaVWwAeVrGYr87kT8G2JAfaoMp6Qq +m6ZfNKC8LcN/qZYDJ0UaUL8qg2RRQ9WE+waUv2XYJrTYOeGZAfWtMlw0zuvl +kGtAeVyGCCanbGqFAfWvMjw6q3nWXWRA+VyG6C07fFd8NKA+VoakvUb/bes0 +oLwug/WV9845yizqZ2Woyq3fVKnJovwuwxf+0tRmfRb1tTLsjQuy7uSxKM/L +cKL3yHt6pizqb2VYFnZuRW8rFuV7OfKPx0yZ0JdFfa4cu//dOd9zEIvyvhye +xdYRAcNY1O/KEXM+zursGBblfzlOcYY3PQSL+l45Zuwuaqh1YpEH5QhSuWLV +YyaL+l85zj55HN9/Lot8KEf/POcj7p4s6oPlmLN6w4PgxSzyohysFvdR91ew +qB+W42lbH67Eh0V+lGOLC9fFaCOL+mI5wi1nvnXZyiJPyrGoXf3DwZ0s6o/l +MCkNmp+xj0W+VKCv3bsRSkdZ1Ccr4GwZdmDiCRZ5U4Hl7vdm7jvHon5ZgcFD +ToXlXGGRPxX4VL13Lusmi/pmBcag+OKCGBZ5VIHiUQVro+6xqH9WIPhgcfb3 +ByzyqQK8z8MfTH3Koj5agZlO88dczWCRVxX4GhTu0ZrDon5agdaUjTzXIhb5 +VYGT3qM3x1awqK9W4ILNih1a9SzyrAKPLNYO/k/Mov5aicbS5yeL3rDIt0ok +TJfFjPzMoj5bCX2rhTvC21jkXSUST0dp6/1iUb+tRNPpeV5BymzyrxK9q1o3 +f9JkU9+txLg3gvnLDdjkYSU6Ri3WqzNkU/+txNzB3ufmmbHJx0rsHfeio9Sa +TX24EhPe2o1x688mLyuh/sHNq9KOTf24EmeHi1d5jWSTn5VY1uP0Itl4NvXl +Sti39HLa6MgmTyvBZXlaK01nU3+uQurX9s6Ts9nkaxVa0kqK+3iwqU9XYdWk +lDtpi9jkrfxzlcNh81ewqV9XoWqeeXC7D5v8rYLTmsUHLmxkU9+uQvHCkRHj +t7HJ4yoMdj9XI9nFpv5dhR7HAoaFHmCTz1XIX1aSNO44m/p4FdQC45Z8OMUm +r6swN407Pvwim/p5FcS7ea4e4Wzyuwqt+7MvsaPY1NersNXcuW9RHJs8r4Zo +ZGpHWDKbPK+Gud90nvsTNnlejbhB/XebZrDJ82p8jAu1b85hk+fVOGCe6pFc +xCbPq/HnzpeiA5Vs8rwaYeF77y5oYJPn1VDyTf86VMomz6tRka8Vrf+eTZ7L +fz47reTjFzZ5Xo0+FdMDijvY5Hk17n+zvPDwD5s8r8aEB48Qrs4hz6tRueD0 +xhA9DnlejSdvxw3bxeOQ59Uocph0dJMphzyvwYjhCPKx5pDnNbC6VKa/qj+H +PK+B+L9o55X/cMjzGlhUbxi4ZhSHPK/B1IXCjA32HPJc/r4qjbXdiUOe12DZ +nXLTQzM55HkN3PJb315w45DnNYit/7EnfgGHPK/BAqdXzS+XcsjzGoS4ulnJ +1nDI8xrEjz4yUt2PQ57X4NG8dQMHbOWQ5zV4bq2m5b6LQ57XgFm6snTPAQ55 +Lv++YdGh949zyPNaJAz96th8mkOe16L++MYOi8sc8rwW7ywWJy68wSHPa+U+ +dG69EsMhz2uh7BM2S5TAIc9rsXZcAGwfc8jzWujHabtufc4hz2uxJyIlOCeb +Q57Xon32d6F5IYc8r4XtKmzaWsEhz2uxzdRifEU9hzyvhbdnH6dRUg55Xotp +Rd9PX33PIc9rccF0jJ3mNw55XotewgjzrT845HkdPslaVr7rwSXP6+A07ov+ +Mi0ueV6HPm7bLRtZXPK8Diyb/64tNuaS53UwcUk+JbHkkud1CBzoqrK+L5c8 +r8O/2vbtPwZzyfM6KI04GxAykkue1yGs18YDve255HkdSs//HMQ4ccnzOiQz +Plu8XbjkeR2+e/VYpTaPS57XwbNRX/WeF5c8r4NtyGePRSu45Hkd7O/qrmWt +45LndZh9td4+x59LntejVE0i3L+DS57XY1Brwr9T9nHJ83qsU5NF6R7jkuf1 +WHJsQH3VKS55Xg+7JPufUZe45Hk9Vt1t1t15g0ue18O/uNPYI5ZLntcjIs+r +78hELnlej9OM8kSTVC55Xo+ROz76qGRwyfN6DD0xKOFLLpc8r4fomNhIVsIl +z+uRYzoutqGGS57X4/yYAN86EZc8r0fsv42+jW+55Hk9LA+XJTV/4ZLnDfBL +Snds7eSS5w0If2LTT0OZR543oC3++FpLbR553oAZbadZEzg88rwB33e9tfE2 +4ZHnDZjUOiPxsDWPPG9AiOxo2kNbHnnegPafB93eDeWR5w14Yjs0sNc4Hnne +gH6isHHLHHnkeQPGTXgQfnsGjzxvgMw+N7HFjUeeN+DHT9UdE7145HkDCsuT +lM+t4JHnDdgdM8ztyzoeed6ILoO2gLkBPPK8EY+O7ticspNHnjeCyyzy7HOQ +R5434lSi04CLoTzyvBFZnS3f2ed55Hkj2n7+k3XiOo88b8TFfu+vG0bzyPNG +nBkmOBmewCPPGzF5Tu6NISk88rwRHv4WTTnpPPK8EUEHZ89elccjzxvxKeGu +knYZjzxvRPHJsz+S6njkeSP8gvdNXSHlkeeNWFuq2mbykUeeNyKRm6Zd3cYj +z5twzM3nzOXfPPK8CauW111ZqWFInjfhmdmPwSNZhuR5E2pvZ8/TExiS502I +euZp9t7KkDxvgl9nU0iRrSF53oRko1sPUocZkudN+D5Z7frd8YbkeRMiVwfN +i3QyJM+boLfusvSWqyF53oTlJ/U873gYkudN+Pro/JPH3obkeRMWJxibFqw1 +JM+bwD9+ZO+bTYbkeRNaPnV1aQcZkudCtC69dXH4AUM670J4RWUvXRlqSL4L +UWWQt+zqeUM6/0KolNjF1IcbkvdCjFl01qFXrCHlgRDXniaP3phkSP4LEfp6 +xeXsp4aUD/LrW1/a0ivbkPqAEAELVlUdKjKkvBDi/f7OvG/VhtQPhFj+O2Lx +arEh5YcQRz/kXxe/N6S+IMTncPUrK9sMKU+E8O364d3y25D6gxB9agN+7dXk +U74I8Xbd8iBzDp/6hBDLEos/PTflU94IkVv9fqmPDZ/6hVCej6pSMzs+5Y8Q +daoh+6pG86lvCLFjpGDWpUl8yiMhxu/1nrdyJp/6hxBlRyZEjv6XT/kkRGxm +vAvPm099RIia75WLOtbyKa+E0Ijtkkr9+dRPhBgRHfa1Zief8kuIXdssz1Ud +4lNfEaK6YWVTw0k+5ZkQUo9JjR8u86m/CJEX+eSG6m0+5Zv8/mYoTbBJ4FOf +EeGS0epnrql8yjsRPO/5Dg/O5FO/EeG00YvEp4V8yj8R/jgOn9ajhk99R4Te +UYzmLAmf8lAE1awTyrc/8qn/iGCb0+Gs3sGnfBRhjGD7O19lI+pDIqh1pv0U +6xpRXopgbx4ZssTIiPqRCMys4YkyKyPKTxHOsW7tCBhoRH1JhCdjF/7SH2VE +eSpC9J2WKYkORtSfRMj4OGDDkplGlK8ixMnUDvA9jKhPiRC1N+Za1VIjylsR +hBs3F95YZ0T9SoTO8va+27YaUf6KcPtnR5rHXiPqWyJc6bkpyiHEiPJYfj/9 +T7eNuGBE/UuEmP8yng2/aUT5LEK931WefbwR9TER+mR26s9NMaK8FkGSJkv3 +yzSifiZCwYKYSZeKjCi/5ful8fJ2Ya0R9TUR1uz/paLfbER5LoLVM0c/zy9G +1N9EqLpT1iP+lxHluxgO9lZF+prG1OfEWArhp0CuMeW9GIFOqtu+WhhTvxNj +8a304ABbY8p/MbAitJfqSGPqe2JoTOgVcM3BmDwQY+25oNDJLsbU/8RIOF53 +6LunMfkgRtCP7O3JK4ypD4qx+ZDuzl1+xuSFGD2fpse6BxlTPxSjw8TJbNRh +Y/JDjAJ7vLc5Y0x9UYyk3zeHWIUbkydi7DifpNX/rjH1RzFaan+GTHhsTL7I +36+YX7M405j6pBgHKjvUQ4qNyRsxbIw8h+bUG1O/FGPMFeetrLfG5I8Ygkfv +v6xsM6a+Kca1t8/ScnoIyCMx/B1Cf4zWF1D/FMMldX/GQxMB+STG5Cjr4ZP6 +CqiPijG/1+T1dcME5JUY245YHd87UUD9VAx26+x7o1wE5Jd8fZkv237MF1Bf +FWPe0Ppd+asE5JkYPMkUn7v+AuqvEnQc6V19aY+AfJPgzIn2N+dDBNRnJRiY +E/Ps5iUBeScBu3fo1rQoAfVbCVx3Lhv9JllA/kmQuWSOtVWGgPquBHqibx5r +iwTkoQQLgt1+MfUC6r8SHOZ/GNrvnYB8lOBpepzl9XYB9WEJcj//brZRMyEv +JbiyIi3uKceE+rEEmnOdLi7vaUJ+SjA0cG2RyWAT6ssStC3avUU8zoQ8leDY +Vq8HqdNMqD9LkBU8P+mmhwn5KsHEfPcLV1eaUJ+WoPRK8vFofxPyVoKoa2tT +MoNNqF9LMH/JF+evYSbkrwSraj2XDblmQn1bgp1cDNt714Q8luDN8yqZNNWE ++rcEN/58jJyfa0I+S+DT5/V1YZUJ9XEJFp7NUgpsNiGvJdgUOeC7TZsJ9XMJ +dt9cHC9VMSW/JdBqnOb5kGNKfV2C01nBvS5bmZLnUjRZOk88/Y8peS5FrOvR +pmswJc+l2BL7aWiaqyl5LsXvLwXrviwyJc+laCgcx4xeb0qeSyHw01p6JsiU +PJdi8LjcfarHTclzKSaeKV105LIpeS6FbZqTTa87puS5FFZlBuzSVFPyXIrr +lZ88z+WZkudSrBEFjPCrNSXPpVi5hi1c8s6UPJei/3Wd0yt/mJLnUmi0nzgS +rG1Gnktx8EnynyQTM/Jc/vMFAUO7bM3Ic/l6d7xYsmicGXkuBXbvzS6fYUae +S9Gbf+r2ci8z8lyK5RNLJmqvNyPPpWBb967K2WlGnktRcnj73euhZuS5FE7n +i1XDrpuR51J4n7e3OZ9gRp5L8bm11e1xuhl5LsXFpc7VX0vNyHMpnvZK/DFF +akaeSxF2Uqj6oM2MPJevNzXJYYKGOXkuxffx07qajM3JcykM3ZUPXLU1J89l +OKh/rO+W8ebkuQynGNFwH1dz8lyGgJjFv7d7m5PnMvwqflIZucmcPJfht4U5 +59N+c/Jchuvxxupu583Jcxn2LXmuVRFjTp7LYBwVGbD5qTl5LsO4Wx9uDiky +J89luLF1Rouu2Jw8l8FXYvxYvc2cPJfh9PB5Cyw1LchzGa5uSx7lYWpBnsvw +SjkmMX6wBXkuwxTHDXZ9JlmQ5zIc+cblpc2zIM9lGHH5SM6WtRbkuQyH/WIf +zt5pQZ7L13d+2vSZJy3IcxmSs+2/rI20IM9lcJ/hbxqbYkGey7Ap+PNYvUIL +8lyGkKQ3L06LLchzGT76Fg20b7cgz2VocV/8SVfXkjyXYdv8mH1KVpbkuQwr +zw3yEYy0JM/lz/fq3TUnV1uS3zJEZxTm1+ZYktfNGL/94SEX457kczP0nt9+ +3TqrJ3ncjF1tW/cYHe9J/jYj/33cpRsFPcnbZqzhrxtSbWhFvjbjH7ZeR9Aq +K/K0GWVu8TdtM6zIz2a0WUyb+XmgNXnZDJvyqcqRcdbkYzNe/rti8uw5vcjD +Zpyo3i6oH9Gb/GuGzyHOcGPGhqnLNktPeN6MqKYC/4Ue/zD/AyuUhSE= + "]], + Line[CompressedData[" +1:eJxTTMoPSmViYGCQAGIw/eRO2KLL7+yX3z9bHB1ucICHSbtd7OY7+2Vvndrn +8OgfeFglss794Tv7vV73eTwtdA9s+/z3SsXLd/ZxDVt2792rfaA75/nvlR/f +2W9jilzbW6N1IOHpBaXbP9/Z/2+tf/g9SfOAadwuTx6m9/Y7vzW/0ErTOMB1 +fXGBLdd7+133tthx1qsfuO/fOz1P6L29+4YdwnNWqB3YcqJs33yp9/Za06aU +OtxXPdDpCDLxvX2Yhp6hnoLqAbBx2u/tb/D8D9DNVDlgDAbv7c+uEpBM3aF8 +gGONTFSK9Xt7WcH7mpY8ygfuqrA1TnV+b9+6SOSPbrLSgU1z3y8/5v3e/vnB +7nPndyseAHr23Pfg9/Ynpvm5TxdRPBDTf+irRsx7+/6Yp6ZHsxUOGIINfG// +1Dm1O6hO/gDItO6c9/YGB25n54vLHbj9sy5rT8l7+1xmkc2OM2UObCjKmPi2 +5r29yUOD/Vs+Sh1ofR24Q671vf3N39sMP3ySOAB0HNDL7+2rqmb0CX4TOQAA +5nzCNg== + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJw113dcje8fBvBk5EdGUZEtMrJCNpeZr52QjEg2Zc/MqOxkJTJKqBBSUpSn +vcdpnnZn73NChYh+p56P84/XeXU853nu+3Nf7+sMcNpru1VXR0enrLWOTvO/ +jSFf85c42eBDVkCcZqIG7HtH7PGc5+FgrsGv07tiDt3agQPGB3e27/Hv7/vg +YRdwaUNHDU50fz2f73oIpSURsUlNavr8MYzdMeycsk6N43Jzy/avTmL+6qjA +QIWa/v9ZvCow2J7DU2Nq9bJrd/ecg26mw1E7rpqu547PdTbLRuSo8XGBvq7F +BU9Y9t8xeW7yv+tfhG3nLX3vxKgxOSLtyOfHl7H6TWSSScS/77uK6ZJ3y1Ne +qhHV10O5PMoLfJeGb36B/77fGxMyrgXc9lPjQVL/twd23MDD6LL6V7f+3c9N +fDk9RU9wRY3yhpYvxMjzF1ZYuv+7v9s4Ldz+yvekGr1GVw5q53YHnCVJQ40P +/7tfHwwesqpnsIsaa7e0XBG1vc81Ld727/59MXuYnmfrjWrcv9fyguTrD9eM +1f+e5z6utinK8LdRozTnxwrhMz80OR8/6bHg3/M9wGBBROjR2Wr0bGPXeeS7 +h5hQuc/7yNR/z/sIJnusd7iNV8OevSD2z9538e7If8/vj9wH7W2jzNVYPPHS +LEexP57O8hwr7PdvPQLwWOHyxKSnGqrlzVcMwKus0YNWGf5bnyfwXzx8jl9H +Na44S3+HfH2C3VOzbsrb/FuvQNysyQvFXxUsLhhrHyEQ3RzuWT38oaL1e4q+ +Xy/ltfqqQmbAvMjaP0+Rc/LaKBeFitbzGZykd/9WCVVoXq0ebZ5j18epP+0q +VbS+z/FEtKC4sFiFDtzAfdM7BEGx5MRqe46K1jsIlh3MZvDTVXjR/Lhdg7F2 +kGzZ3kQVrX8wFvX1XKUbq8LC5ssZh+DHplum9yNVtB8hGNqw2GXCWxValrv3 +C5T82NaXG6Ki/XmBeBO+zslAFZpXjzPwJcTdquWDHqpov17iqaP72zwfFYat +v55QP/QVGmX5I895q2j/XiH6/NVpEy6rkK69munoUOyuMI5Wn1fRfobC/9Ym +t+BTKuy4oT4Jq9dgHHMObTuqov19Df+2vQ4N2a+C9ua0j/wG6+SLNyl3qWi/ +36Df+Ef93m1RYaV2eLRbij8LnG+d2KCi/X+LTp5Dnsy3V+GpdvW4gW/hYjp3 +rLGtiubhLVY1WlhIF6lQ96fwmNw8DD+SLQ9/nKei+QjDn+PuDdehwtyWAQiD +o/GgJ9snq2hewjBMUrxm1jgVtJvX/EDocL6ffp+RKpqfd5h2fNyzX+YqsLen +fe/2zaCsv4rm6R1M51bhk6kK41pe4TD2N+zzqLuK5kv7fssM33OdVTgfl6Gd +oHDsOf7Af0f7f/MWDp1D6sE2uioUaHdfuybwcdvfY3KjkuYvAolhgh1m35Uw +a7lgBCZ5723f5YuS5jECfzpGyn7LlTjYsgHvseL5599yoZLm8z1uiX6MK61U +IrF+qHaH38NXZ6x7OldJ8xqpzbf71R/zlDBkbxDTfkZPDs1U0vxGoqSvi6d/ +shItd5cWifm3RNG3GSXN8wckaB6nXYpW4t3DmqCURR/gXrcr6Ey4kub7A8x9 +1i46EqqEbssDf8COPhkhLkFKmvcoDD1uH7U1QAlbdmBw7qXYeYOfkuY/CgNW +e8euvqPEk+bHLYyC7q5w/+XXlXQeovFFKNRffEmJb82Xs4/GlA+an9bnlXQ+ +otE/oXjd7FNKzG4e//JoPK7OHzHjqJLOy0es7bx485T9SrSM74aP2PKq/PuE +3Uo6Px9RmrKgetxWJfiuzRvyEWNOXuluuVFJ5+kTluyL9xq1RgnLlgH/BJfl +E6xHrFDS+fqERmeHccOXKOF2Z452ZD4hcEXe0qHzlXTeYhB4xfaG+Swl8ga2 +bDA0Nim1g6Yq6fzFwNzJaq+ZlRIDmtNfHYO2ZRmNA0Yr6TzG4mT3gjv9hymx +v/l47ItFw6OP4/qZKel8xsJuXCdOnz5KxDfvbm0sVDGHtvQ2UdJ5/YxZ26MU +pgZKdGUPJH68/7S+Z0clnd/POP7sVIRJWyValq/hM/QKTVRGTQo6zwxS3sX+ +6dagQMvtnWSw9fMnoUGtgs43gx7MEd8uagV0Wl5xONVlt3EnqYLOexzGKizs +O/AVCE5ZpD3Rcfgc62SvV66g8x+H+545Bm2KFLDRnkbtjKL16DlndHIVlAdx +2FIX7NeYpsDPZn2S4mCc/3vTzwQF5UMcJn5dmF0bo4C/afOBiMe+PlElmkgF +5UU8nGYcPSN/q8B/LRscD7+i7BjhCwXlRzyKzhperXyqwJeg5hvUfv7sK1nx +IwXlSTw8ZnXLyfVVwLf57jLi8VSVPTHtpoLyJR6DfhwYEHdVgebhWqCfgLio +IM8PngrKmwQsadN/8+uzCjSnSf6SBEQkPnz31FVB+ZOALne/7Lt/SIHmadGO +DFKO1N+7vkdBeZSA6/Y+w9x3KNDyuJwETOCm9zrmpKB8SkDnypvOu9cr0HI5 +w0TU2+j02GCnoLxKRGnyCFMbGwVa4mhFInxGzNg7a6GC8isRAy9u7TZ2rgJs +fUjEr8Aq3YEzFJRniQjIqplsMEmBljjgJmLSZXlEk6WC8i0R3R3M96ktFDjT +EjhJsDzZYUfZYAXlXRKu3ml9L6WfAkNaDlCSNt8uNoX1VFD+JcHKpqfvg24K +5LbUiyTIT8zY6NlJQXmYhHFzLi3fq6fA0ebjUJmELkNv7V7dSkH5mIQ3aX9C +ZvyWo1/zOPdNhsHLA/8bXC+nvExGzf8CPTvUyJHaPH6OyegcOL9vjUxO+ZmM +Es/+2fkCOfa2BGQyJsrrrr+vkFOeJuNN7c3Nd4vlMGk58Mm465s79xhHTvma +ggvbPcfYZ8jRcnuDUsBxumc2MUlOeZsCg+Ivpkaf5djW8kpB5sgT3b59kFP+ +pqApd0SHnDA5msuTNmERVd/0O/ilnPI4BVc96sTnnsnRnI7H5CloV9Mled1j +OeVzKhTtHHzG3ZNjQ8sFU+EgUtp1uCWnvE5F0ee81ryrcrRrCahUVGcNvR/h +Kaf8TkWIWVeTi2flaN6N7q9TMe/uy6NrXeWU56kI9zOLtjgkR0u7q0nFbfNn +Jb9d5JTvabhzY1NBxnY5/jYfN8s0nDvl9dx3k5zyPg3f329dtnWdHM+bx/lA +GqKNBmeOWSWn/E/D292dTX4vlWMp+8DY4rh9TNJ/cvIgDf3WH+9+dbYc35u3 +oz4NPi434mynycmHdPSy1BnbY4Icj5ofd2I67KJ6bqsYLScv0uF+wGrt42Fy +WLcsYDosjF533GQmJz/SscqDf3RAHzk0LTykwyp+zAOesZw8SceijKbDj7rK +4dMc77/TUXv9ve66DnLyJQObyj7BuI0cLfE8PQP6E84M5/yRkTcZOFa9J+7i +Dxla4vV0Bva+afyLrzLyJwPeeg+F9QoZ2PjLwH9Myu4XIhl5lIEBBrW3Hapk +bLzoZmLXxYfru5TIyKdMTKmZE8fkydByfOdkInnattg9mTLyKhPt3D2X906W +oeV4eGQiWjDUNe2zjPzKxMN9BeMPRsnAjl8mOo3ufKb3Oxl5lomyn052SS9l +KGre3vZZ2H/AIWrXMxn5lgXrX5efdHksw6mWO8zCuXuuhuG+MvIuCz/rYtqu +uinD4JYBzMLyGaLj9Vdk5F8Wzg92d77tIUN2VssLpzIsyy3PyMjDLHR6cz4x ++5gMh1sCNRsdQwzMdhyQkY/ZeFV46IeOswx9WgY6G70vzJ/hu1VGXmZj9qzB +9SM3ypDc8vMqG3FPXvRMsJeRn9no43A4ZKWtDC4tAZ2NYUMGPREvkpGn2bj2 +1q7V4XkytMRV9xxcvBOapAsZ+ZqDatsMudckGVriwC4HtZOX7ek5Vkbe5qDt +1uplTyxkYH9+5SDkZd+rwwbLyN8crLob1v9NXxn02QOHIfbTO47rISOPc5AR +vX3BewMZWsbFNBcne3BLrDrKyOdcWNuN/RDRRoaW7Vifi0Wc/gLLv1LyOhcz +Vy5cHfpDCvbnWS7aG6/uPuSrlPzOxc5nNcaPFVK0XK46F0phzHojkZQ854Dz +a7vwUqWUzjsHFsvvBzcWS8l3DozP/Qlx4Ujp/HOgt2KquCJdSt5zMPXFn9UL +E6WUBxxYe2ia3sdIyX8O3tR8KOsXKaV84CCqobXkwhsp9QEORr852U8TLKW8 +4MCxc5a77RMp9QMOBjb5dXvvJ6X84ECzwCfd6I6U+gIHva9veXrIS0p5wsGw +GW8D8i5IqT9w0O/aQGaEm5TyhYM2+7b88nCVUp/Iww7vvssrD0opb/KgM68h +bqyLlPpFHuwvPZjvuU1K+ZMHn/o3Au5GKfWNPCyJLLs+ZI2U8igPW90TFx+2 +lVL/yIPBw64m8YuklE95KIzeruowT0p9JA+P2u/JsJ0hpbzKg8os7bXvRCn1 +kzzodjS9WzFGSvmVh5Gmem59h0upr+TBsPXYXRvMpJRneeiePM3mQW8p9Zc8 +fHyWNqbESEr5locRw478z7CLlPpMPiI7iLkL2ksp7/Kxziri3plWUuo3+dgf +47Y4/JeE8i8f1oUKtahWQn0nH9yMKye6qyWUh/m4pKNTO0siof6Tj688/nKX +agnlYz6elmfc8SmRUB/KR2eHFTGxeRLKy3zYpRomCjIk1I/ysWD346C2SRLK +z3z85+a13TxWQn1J+/eBh3TmRUooT/ORP1O4d9MbCfWnfOg9cQk/ESyhfC3A +++LAtFsBEupTBdg93ig05L6E8rYAPjnLNsTeklC/KoD/Xl5pzlUJ5W8Bet+0 +7VPlIaG+VQDNmjHmytMSyuMCnL2m+63+qIT6VwEavGxO/90noXwuwMFV/mlt +dkmojxUA247ktN8sobwugFnOqmsd1kuonxUgyDROt8MqCeV3AVatsBqht1RC +fa0A+rXj9HTnSyjPC7CMP+nGL0iovxXg9m4m9cskCeV7IXxnzAoWWUqozxUi +cuOEMcXDJZT3hYC5kUOymYT6XSHyxtuOeNdbQvlfiIgDjn4PjCTU9wph2TYs +yL2zhDwoxNf/wpfu0pNQ/yvEuszCa0t0JORDIRRz3JxGNYipDxbi5rWBGfrf +xORFIYz8+yfIFGLqh4XYcok/P0EoJj8K0bAt3f5ehZj6YiFGb5zyzaVITJ4U +wv/+bYOZOWLqj4U4Nn1CRJdUMflShFzHE9wKRkx9skj7+/vzsaAoMXlTBNVI +uzt7w8TUL4uwzefecKsXYvKnCDtryq1+PhFT3yyCS+SeiCg/MXlUhLdWAYFH +boupfxbh+Kk4nbHXxORTEdY7mKQrPMTUR4twzEHT4H9aTF4VIezDFe+VR8XU +T4ugtBl3ue0+MflVhEnvzEXhO8TUV4u0rjzz27hJTJ4VYcsfRWj7tWLqr8VQ +fBnb442tmHwrRq93EVzbRWLqs8Vw9H0mr50jJu+KYXZk0qKb08TUb4shuPGw +YaSVmPwrxqTHut9SRoqp7xZD/OGmpYO5mDwsRsbKI++/9BVT/y2G1LrA1c1E +TD4WY/7brNNduoqpDxdD03ju8/32YvKyGAnl3SaatRJTPy7G5on3xcENIvKz +WPv7cVSqxTcR9eViTOgiLnmpEJGnxfi0MM9kmFBE/ZmLSQd13QLLReQrF18s +PQx6FYqoT3NxfObmlOtZIvKWi3WbAh+0ShZRv+Yi3H7BjX2xIvKXi2TRqoCK +9yLq21xcjkxJn/daRB5zYbnLR+/VcxH1by7unYlZ3eWxiHzmIsF25Ie9d0XU +x7kY3b1sYPZ1EXnNxfz4t3eHXBRRP+fice8HhmfOishvLhY8P+9dcExEfZ2L +DrcXdR68X0Sel+BaeuHFgztF5HkJrnIaGz9vEpHnJZByHm7XWysiz0sQftEr +ebGtiDwvwZy5T7pdXygiz0tgWhy8NGe2iDwvQZnXnoMdporI8xL0t4o5PWec +iDwvwbo/di7HLUTkeQm83HSmvTITkecl6BB9RFreS0Sel6D3l4PO7buLyPMS +BDGvUy31ReR5CaLalNWvbiMiz0vQvuRprWujkDwvwR6Pj7H364TkeSkWnU+w ++aASkuelKNvpGMIRCcnzUpxTjEuSVAjJ81K0Sy152FAoJM9L8TFNMvZ/2ULy +vBR3Pnw9Y5QsJM9LMW/5Jbe+sULyvBRmMyePH/ReSJ5r3xteum0eKiTPSxE2 +uvHR4GdC8rwUh/MNbAY8FJLnpTBonPu05x0heV6KLGd9387XhOR5KQbcLxui +4yEkz0ux+FkfG81JIXleiujl6FR6SEiel2HX76fr4pyF5HkZZl57M/HpFiF5 +XoapRz89dF8vJM/LEPi0/+VNK4XkeRn6HRjbMGWxkDwvQ0QgpF3nCsnzMnxa +e9NGOFVInpchvOM+y3fjhOR5Gboe6ul5ykJInpdBdj1j4TwzIXlehtvXP574 +Xy8heV4Gq+xeRhmGQvK8DMMSenW70EFInpdhe6Ng/0xdIXlehoxud0Z+bxCQ +5+WY8thmdvBXAXlejtxho1/ZyQXkeTnyhszb3oovIM/LEaAM2BtcIiDPy+Fz +f1n8Io6APC9H2IAV9spUAXlejgXDX425wAjI83Lc7rzKut8HAXleDtOc2XfC +XwvI83IY6W7tOfe5gDwvx6u8ZzmchwLyvBx9JfK3a+4IyPNy5GzoGlN1VUCe +a58n+Ztyo7uAPC9Hts7WaZUnBOS59v4XWoXYHRSQ5xXoP2DEqKxdAvK8Ap5T +9VOnOwnI8wrYnrq59+UaAXleAS/5YTOj5QLyvAJNd7ZXuf4nIM8rMOWR4YMK +CMjzCvgZ97afMlFAnlfApGlg59ujBOR5BRqs4qLlgwXkeQVO7PK0m9pHQJ5X +YFYXXd7F7gLyvALvFkcvz+8oIM8rMKHWIsiktYA8r8ASl4Jy+1988lx7v2ED +lXe+8snzChwd78nJkfHJ80qMLNt8oTWPT55XooPMtON4Lp88r0TX761XO+bw +yfNKTObt23YxmU+eV8L/w6vxr2L45Hklrob/jskM55PnlVg60O+X5AWfPK+E +ztp42Z8APnleif4hD891vccnz7V/n2+f2NebT55XwmCu4fOhF/jkeSX0XcWD +R53mk+eVUJ4QTR99mE+eVyLRw1Ru4cwnzyux1/nKwEGb+eR5FYyujRObrOWT +51Vwi9EbobecT55XYfWE+q9f5/PJc+3nr/ItS2bwyfMq/Lj1QhJtxSfPq/Bo +tXnHuyP45HkV7uia3d9rxifPqxDK2eY1x5RPnlehX+MbgaEBnzyvwpWXETcq +9fjkeRUSqwf6BP7lkedV2LXJX7GlnkeeV2HD64xLA1Q88rwK3yUT9pQKeOR5 +Fbp+2n/zaimPPK+C3K7pyxQOjzyvRvyyde6iFB55Xg1775/Wl2J55Hk1zObN +GjcsgkeeV2Nzms+cpBc88rwatdeWH1gbwCPPq/G1c3y08i6PPK9G//cW3Y95 +8chz7fuUnBNN7jzyvBq7l1Yp3E7wyPNqdNH3WNe0n0eeV2PmGlHq0R088rwa +/sbdhys38MjzatjeGXhyzSoeeV6NwrPdoxIW8cjzatxPE3HNZ/PIcx62XnIv +8pjEo/POw/bvZS+qR/HIdx76zU5ePn4wj84/D789jZPO9+KR9zyEvbn7O9uA +R3mgXdeNet8Mtddh/ech69242c8aqykfeNDkfW1jKq+mPsBDhfeFfu5F1ZQX +2nXs2tdDGF9N/YCHo6q0oZO0z83mBw9923n+cbtfTX2BB5Xt9O9xntWUJzws +D4prW3+gmvoDD0PPZfbtu7Ga8oWHLVzd8dO0+8T2CR4GlNVNXDaxmvKGB9OU +Hn1Wm1VTv+DBIzinaEWXasofHv4bunHlvN9V1Dd4uL3k92ULaRXlkXYd/4vZ +3a6givqHdt8nB8qKPldRPvGw5Ktv7b0XVdRHePgZ63La1qeK8oqHjKGlrk1u +VdRPeLgRc7zM36WK8osHo7RCrwlrqqiv8DC/v92luLlVlGc8xPGXfZoxpor6 +Cw9nLX8ZhfWqonzjob7xwGUTvSrqM3wgOvZ/B75VUt7xtT4lusdVVlK/4eNG +vY1KN72S8o+Pju97Wk6OqKS+w4eDQ8SczY8rKQ/5sBz0oLvb5UrqP3wMHzvm +9s3DlZSPfPitD393V5uDbB/iY2vVIkfvRZWUl3zodG197eSESupHfDztmzx0 +/YBKyk8+riY4mo3Wr6S+xIdjnadz/fcKylM+QgMyal/zK6g/8eHq6B+8TusA +m698yAZscP0dWUF9ig+FcIq9V0AF5S0fPzcttex+tYL6FR/lSd51V49UUP5q +c3t5mfdPxwrqW9pcNhbW2S2qoDzWPu+92V2CrSqof/GxwG1vjrJfBeUzH0fm +MP0HdqigPsZHY8bWuoV15ZTXfCwaeHjitqpy6mfanDWt5B5MK6f85mPu112J +B9+VU1/TPs/3NOnWB+WU53y4WZ4eu9CznPqb9vM6Gu/++8op3wXoHbv6i2xN +OfU5AUY5FE8P1PYUNu8F6NnVcduykeXU7wRoXZVspzYup/wXYNPc8N8ndcqp +7wlwIC901l95GXmgdfxzd6P9BWXU/wTwv9jfqSimjHwQwPWioLvF8zLqgwLM +uDi35wFtr2O9EMDbvv26F8fKqB8KoNicmlywqYz8EMBn5re5moVl1Be1PW0W +J7FhXBl5IgCn9ZmR37W9lO2PAmxv+uosbFtGvgjQqus353hNKfVJbS9p1aeH +N7eUvNH2NmOx/bK4UuqXAtTd9u7ZFFxK/giQPHfy3Mc3SqlvCrC4ITNhtGsp +eSTAFYP2Z946lVL/FMC64bTTgEWl5JMAf4Nu2Z0bV0p9VIARfV3nFvQqJa8E +iOZNMOreppT6qQCPDzwKm6sqIb8ECM9aqbtV+7uF7asC+BZk1RyKKSHPBGAy +5zsdelpC/VWIs5mFszZfLSHfhJhkYXNk1qES6rNCbK91knZeX0LeCZHy37mT +mXNKqN8KsaRY0/uoRQn5J4SpVcQbg24l1He117MN7uX3i0seant//q753QRc +6r9CBB/Y0tM1nUs+CtFxZ8rR3Ldc6sNCVDOX/uvmyyUvhTAI27N/3hku9WMh +hvz9zd26jUt+CtHK+vSmQ0u41JeFGG6vV7tvPJc8FeKph8v+db241J+FGFuz +MH6cLpd8FaJDXmNKg6yY+rQQyswn20Jzi8lb7XpZ9HVfGllM/VoI4+EGrSsf +FJO/QlyyrEqxP19MfVsIh55B7+J3FpPHQrRxWhxiYlNM/VsISxfna2snFJPP +QkwJd5p1uXcx9XEhDtRbBz3XLSavte9dVP5vZEXUz4WY1cDr8iyniPwWYtlc +5yLPiCLq60KMVHvlr7xfRJ5rf9cHt5J1PltEnotwz2H894itReS5CPrzl1Ra +Lyoiz0WoaTv0VNKYIvJcBE3UyvcjjYvIcxG4+iZbz/0uJM9FUCzYujuBV0ie +i3DQQfpcnVxInotwo7q+vvXLQvJcBFOjexPaeheS5yJcUl+w/nqokDwXQdRo +3jptTSF5LsKF/RcWXJpRSJ6LEN922/fxZoXkuQgj1MMkGXqF5LkIIbHCuoWq +AvK8+Xf+4r8RnALyXITk8MTK9u8LyHMR+gmX7bG+V0CeizA73u+yy6kC8lwE +35J6/dObCshzEcotluUenVdAnotg/Xfyy7XDCshzEd75yI6bdyogz0W49cei +e/mXfPJce3/vD9geK8wnz0Vw3Juq//dDPnkuQkRkrqmzXz55LoK7X8aKhNP5 +5LkIU1de99JxyifPRXhadiXAfF4+ea5dv3OJTuOH5pPnYmy5mh00rGM+eS7G +/VcF89pp8shzMS7d8jbI4OSR52KIdy6tPhSeR56L8eI/8bl2PnnkuRj6L6Jz +Tx/LI8/FeFrw0bdybR55Lkbw5E1vB07PI8/FMCp/JVvSL488FyPQx6zbxlZ5 +5LkYG47l6tgJOeS5GB+app0bm8whz8XQOBzfXvecQ56LEfqq61m/ixzyXIx7 +vfX9huzikOdipPf64um7iEOeiyE6+NFAM4JDnosRvZHXfmhnDnkuxu4x9w5c +rcolz8WQvP1Y5e2fS56L4RaWpF7vlEuei5Fz1mrCF7Nc8lyMVr23LVkoziHP +xbBXeaTuep5DnouRss9n4vLtOeS5GBcKto3+MySHPBfDbPd17JFlk+di7DJ3 ++REYnE2ei9Fa2Fv8cEc2eS5Gm9dH7qwbmk2ei2GuaX+7QppFnkvQ+Wi33f2D +sshzCcZ4nAs035ZFnkuQECBP0gzKIs8lmL7n9KpjwkzyXIJSu25lCQGZ5LkE +xy0Mi7M3ZpLnEhx5dCTTt08meS5BRHDaFLPyDPJcglX5xoHOvhnkuQReG7+4 +HVqVQZ5LwHHcuGKKYQZ5LkH6M8GN2Jx08lyC3Te3R/65nE6eS+BcNGfSL+t0 +8lyi9XvUtXe66eS5BP/7NXWY+ec08lyCPRvyT688nkaeS/AzJOjvtPFp5LkE +XJsok2pNKnkuwcHQmROnhKSS5xLwM9+nLdmcSp5LcKHrZ6uefVLJcwkGRg+T ++BWnkOcSDJuoRNH1FPJcu75OXYKS/kshzyWY6vH+1M5WKeS5BP2n6A1KjE4m +zyWQDx7zk7M/mTyXwMptld3NYcnkuQTnjl7zbcdPIs8lWGdfbDzSN4k8lyL5 +XqchbZclkedSVL+Q9PZqm0SeS7HvDn9B6qdE8lwKuMz682Z/InkuhfCKrvv8 +IYnkuRSFdzlDr1UkkOdSrF3VOO7sjQTyXIqOM/cr+1knkOdSbFG+uLn7Vzx5 +LoXpsBe7nF7Hk+dSPKyVh7V2iifPpVjSa+XTpUbx5LkUKRFWJ2anxZHnUry1 +HudS5RpHnkvh8H7a6wEj48hzKToXtao2/syQ51Jk7kn4bDWAIc+luFaVZvvo +/GfyXIrH+1eolopjyXMpZEMii+dZx5LnUtgOxmq35zHkuRTn3U1Dm9rGkOdS +fPK0MmC2fCLPpTjYe0BkbMJH8lyK+Qm1qQ39PpLnUriFDnfefzKaPJfCc87l ++IElUeS5FGO5f6o7josiz6XoH3SkZPi1D+S5FB4mGRGnJJHkuRR2A68f1UUk +eS5Dl5+/en3weU+ey3AgM+bOPXUEeS7D2IQSTtCcCPJchsttzoVV+4aT5zKs +F9d2g/odeS6DTe+FWYkz35HnMizoaP98960w8lyGYe3PbB0vfkuey/A58HxS +rwlvyXMZ+FmJihn6b8hzGf73feZs1xeh5LkMhmfm5YqsX5HnMnjsqP18nP+C +PJdh4dntFuNdQ8hzGSa52/XqYhBMnsswJSvIre3z5+S5DFt3dppuOPkZeS5D +yPN7JmMyAslzGay4y1PWrHlCnssgc/gsuyTxJ89lqH1b0/WI8BF5LsOFt06W +ufV+5LkMSWZnlN3a3CPPZajhTk6f1NmHPJdhU0jhoLHdb5HnMqy+VDqkzsib +PJfBbmWf22Lzy+S5DHsnpvrP6elOnsvw9PT60QVtT5LnMiRWvEz46bWXPNfu +323+/hMfF5HnMpR2SefnFm1iWM/lOPnDT7xh42GG9VwO7+HX4wbXnmVYz+Vo ++l+U4zdcYFjP5WgvX7vI0ucaw3oux6Ddw12bft1gWM/lOJjZaUjM6DsM67n2 +ffKybQ47fRnWczmSDAeM/xbkx7CeyzG5YB/nkvIRw3ouR27YDF5P0wCG9VyO +gnmTZE1xTxjWc+37sPMdzux6yrCeyzHdIvDIY+PnDOu5HJkFvc/tTA5iWM/l +iD20KUR8JIRhPZdjryLau7fFS4b1XA4/16ltTQSvGNZzOWqWJ/6p9HvNsJ7L +8TO8ccn0EW8Z1nM5lnqEGv/uGMawnsvx54W58Uh1GMN6LkfPGr/+JbnvGNZz +OX4rCga0ighnWM/lKPwb2yf0XgTDeq5d/yJ9S/7Z9wzruRzih0U3nuyMZFjP +5dg4eLz39xUfGNZzOdyaan0EiGJYz+U4p5gxwnlkNMN6LkeQv803394fGdZz +OQ4tGBO8p9MnhvVcgXPM3uG1TZ8YNvAVqOR/OTiiLoZhPVfAZXjYo/6KWIb1 +XAH9ZD9REf8zw3quQPhWJ3/bcoZhPVdg9fVRQUt3xzGs5wqsjI2yrpLHMazn +CgR663iv2xnPsJ4r0GHovu/p8niG9VyBnVOG64zcncCwnisQ9+Ca9UV1AsN6 +roChReyR6n2JDOu5Aj8xwnZCfSLDeq7AK9noN96uSQzruQL72t45/k0nmWE9 +V8D64tTwjReTGdZzBea3+3CoomsKw3quwIz8OrXz/RSG9VyBkFLpNqPBqQzr +uQKNZ6bMLHubyrCeK+Af2a6OmZ7GsJ5r//+t28aZmWkM67kCGcH9VuusS2dY +zxUYsTvw7BZlOsN6rsAD2WvXxpMZDOu5AueHzFyY3SWTYT1XYNWJIMOKwEyG +9VyBOvODxqMmZzHsOCswM2hzVFpuFsN6rsCXNjtPhm3PZljPFTh+I6S9RieH +YT1X4t3Rm+bufjkM67kSel7fEo9PzGVYz5V4VtmvrrAwl2E9V6LE4vbP7Mkc +hvVcCX6vC16KSxyG9VyJyMUX3RLLOAzruRJ3t50dsX5EHsN6roTDWVudqNN5 +DOu5EhW7bruX5eUxrOdKrJm31D51cD7Deq5Ezdv4sedc8xnWcyVu/5kk6szJ +Z1jPlSh/U2W337yAYT1XokPSw+uhpwoY1nMl+vfo+Ca1qIBhPVdinbP9t8RR +hQzruRIpe5dHPL9YyLCeKxG7cvOeQ8JChvVcCYt9b6ItUcSwnivh5TLMRuhX +xLCeK7E/JL6TV0MRw3quxITFD75OsC9mWM+VyExd1U/4oZhhPVdCf8sq3t0e +XIb1XAmP66OerXXlMqznStyLMNCzrOQyrOfa9dqwJL3/rBKG9VyJWe68stHP +SxjWcyWseX3tt+iXMqznSnSeteNq0sFShvVcCSduba1dRSnDeq7CdK+30wda +lzGs5yp8vDp1yciwMob1XIUKQ820M33KGdZzFQrrWjv2u1LOsJ6rsEe/Yq7R +r3KG9VyFxE4rP+zYVcGwnqswdM6sxAEVFQzruQrfsvQ+/LeskmE9V0Hey9Zc +kljJsJ6rcPi4QqU7pYphPVch0yvKMjisimE9V0HTKNpZPbyaYT1Xoe2Uwevf +PatmWM9VMNTredPIgMewnqsg9rXqNdyGx7Ceq1DtUGTZ25vHsJ6rMO7hsz1f +OTyG9VyFO4lhD94Y8hnWcxUGZD38tH4Vn2E9V+Gn9G+rJl8+w3quff45hdUP +KvkM67kKyfIBraabCRjWcxV6qt/YSHYKGNZz7ff3+/LrcZiAYT1X4VCJ/v79 +vwQM67kKz7aaOTvNFTKs5yrEdwr94npdyLCeq3AwuKdtYrmQYT1XYXC/xxPm +DhMxrOcq7e+6WX7tjokY1nMVuvsal3RLEzGs5yoszsl8erinmDxXg7t2T58J +zmLyXA3HptOpG+PE5LkaU4pX3P1jJCHP1SgKz6k3dZGQ52rc7bwnJTFZQp6r +kaTLuLTpLyXP1XjpM7FEfkJKnqsR0HTWza1USp6rob9yysnSSTLyXA1ewTRX +nfsy8lwNw/jdRW3/yMhzNRYEmd5r2CQnz9X4WGN0VZUmJ8/VyIxcO/WLpYI8 +V6Ohy9W2Jg8V5Lka66ZbDTnUQUmeq7GvWp7ay1VJnqvhnGXX3kylJM/V4PS0 +N/B3VJHn2vX5PnzQC66KPFcjbW7hyzXL1eS5GhFu3GVp2WryXA0/5/XtDZZo +yHM19KzE42xyNeS5GqFPP74OXFlDnqsx7OtE1fDKGvJcjS7LDS+dH/+FPFdD +MnrolZEnv5Dn2vWyXVXzLPkLea7GpNcdx+oYfCXP1VgSMUhls+Erea6B82dX +aWjoV/Jcg9VdHKxGNX0lzzXo3LnbfIXtN/Jcg5qjL9o3Bn8jzzUYd2vl39Ot +aslzDTxN/Ld4r68lzzXoViJbsexjLXmuwRuvzdIK0zryXAMT8dQd60/Xkeca +/O/8klO1ojryXAPXgSaOGUvryXMNvswJMNGPqSfPNZhiMvajZMR38lz7fXk5 +kVcCvpPnGnDuRg8ZY/qDPNdg4eWVozvd/UGea3Cgoscmxx4/yXMNfMyDJzk/ ++kmea7D94K6AY8MayHMNAo+H3yiIbiDPNaj70j02b9kv8lwDSa8NTrnKX+S5 +BqXOvCmWXr/Jcw0Chv6+sXViI3mugZF/2jWxtJE818C618SICf5/yHMNLLnG +n1SOf8lzDUL99SJfDm8izzVYkWDxafjfJvJcu775kuu99ujEsZ5rMCpVOK1C +oBPHel6DiVeu5pU5tIpjPa+BzayHl0OrW8WxntegfVHi5VY7deNYz2tgvm64 +pf8v3TjW8xrkjZ849sid1nGs5zWIundz4sMpbeJYz2uwaNWQqTuVbeJYz2sw +mZ9w7URI2zjW8xr4JW7KqDrSLo71vAb8lKvOlnZ6caznNVgrXxogX9g+jvW8 +Bm3rYlekrvlfHOt5DYKPqjICLnWIYz2vwctDR0b/FXWMYz2vQWDFtP+enOoU +x3quvd/cP8OdjnSJYz2vwcO9jm4l3Q3iWM9rsMo8pKrrge5x/wcqFa5d + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Hue[0.1421359549995791, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0], + Dashing[{Small, Small}]], + Line[CompressedData[" +1:eJwtVXs4VekadxqXxpQcjyel2GvtKxuhCV2O805KkkE1tafkqOQazUgxKiXT +xZEuI+SS6ELoYowx5XTCO+6MHBrlTJGZYl22DoNMmdDZ62t/z7Of9cfaz2+9 +7/e70YFfbgyeoaOjk6L5Cc+XGyotTwwOQK/DGruqHSL8sfWK+52xATj0+qu/ +esaK8MKqlEj27QAsWbZSNZkiwt3396fN02VgYYHtye4rIgSngHuesxgoPZW1 +6OldEZqWevx20JQB3a7WPoN2EfJyx5m3FjJgnKdnF9Avwup8c/teKQPh2bm3 +nv0pQg2YysiOAY80vcn6mRSGpf4vHpwYiMnTUzmYUuhq2H0typUB5/Oqiu9E +FJocQ82EDGzTqwzztKGQfVsy8tCbgZ7q242vnSkk46kYeKo+Lalyo5DABTBQ +1Zb8ONuHwhByGHgz1rD0rB+FK/p8z2R+wUDcREBhTgiFxluWVTTHMvDbT4cP +1ERTONAhfjpxhAHH1BDTqSMUapadYZPEQK00ufuzFArP1Y5b+Z9j4MXS8/L6 +TAqDCCADzZLDoT4FFApo1fkMjG+/PmOsjEIju/JLw0UMlDQ/CyyvovBF4cV6 +qoyBfRcTxk+3UiiwsaGSgci1Ccpj3RRqhtOszIDXgqT9Gf0UBpIBGfhL84ee +9SMUuiSv3DHQwcDfGD/K+B2FwnRzf9F8P7Qp5sAsGjVkaBhhIHXut0065jTe +GZvqiuMZqFPkZ15X0CiwWzLCgNvont0RTjTuIAszcL/782bfVTQSemewsC3K +wnXTBhoJHYYsuBhKthzYTiO5PhMWbp1NvVWzh0ayrjkLg7/GdSriaSTjiVn4 +e+vYzvJTNBI4GxaGkr2ntmfT+DE5LEyIrD0ci2nUiMUvaAULByNusPK7NPZK +9RMzVrFg6nIlZWUjjcLtNXqxUOp7pz/xEY2aZdtff8bC0bqcEq6fRn9CCAu5 +4csuxL6i0ZEAsuDZpY6w1RWjgKbZGQYe3hwxNBWjhlyNpFnIu6F6ZSIVY1m0 +oEAWPnLoW+O+RIwCG5YnWKgbSq29ulqMfoRgFlacU3nYbhajPRmQhYel4/9+ +HixGQc3ll1io3Blo1BQrRmG6F4UsxOYYLHmSJEZij1IWzt6dr7TIFiOR8x0W +POJfjn9zQ4xEftUshNR4FzrfFyORSyMLBtSAz5x2MRJ621mIM8s2WfirGAkd +3SycapiYHzAqRnJ9fSyU9HyX9VhXgu/XZWHafLrtpJkEyXjDLIibbHSilBIk +cK9ZKCqzTEpzlaAOORxchr7a0fUSfBQnOJgDe6UjfS5Igjc0bgs05sBt/8cW +u+MkmEACgYN3EYafnDgtwU1E0Jr/B6fTzy9L0JoQwkGGgTL86x8kON0lAHLg +ZBbRHtoqwZ+9BUVzQH0/zzy9T4LFjV4aSXNwz3Ja/uG4BA+TgOGgfRX/vNlQ +ihuJQTj4fmmF7yNKigpCMAemzjuPO7hIcVKIg0AOnqWsK+3xlmKnYN/dHLz4 +NMykJ0iK1wW7RXMQnXHbyDFeiodIYHFAH0tY8CxNiuuJ4Th4a7P2wcBNKcqI +YDjQF1s9XlcvxT8FuaRxUKBqMTDuleJ/BHovcpB5VLV56R9SLBDouKa5j6M5 +jxrnyPAACUAO8oq9GqqtZehDDMyByDEpWbJahhIiQM37msTyNwEyfCPA1XPw +0dbo6ZUHZfigTTgclP23yv+DCzK86i44mAP3yvCRT8pl+BUJVA66f+6d965d +hp+SQOBgeftUhNtLGdJE0Bx0PLlWP8dQjn9YCYRo8CaT3CKt5PiTEPdTHCxZ +d3n9PzzkeFmIZ30ebh+ZeZMLkWMMCWge3OSJwaZJclxHAoaHL/SzR5kiOYqI +QXjQpy7YhLbI8ZUQL3Ie+n9x3po7KMcWIQ7seTArCHU9a6TAPMG+LjzM65ht +6b5YgftI4PPQlzG7s0WlwLUksHhI33e3RRavQAtiOB6uH+pQ7LqqwFFBflt4 ++Nf03ubTLQpsEuSygwc232Br6YgCcwV6w3gQVdREdplb4V5SIDz4qzrTZ7lb +4Zr3AQhxx43ig6OscAExMA8b7/vqD+da4e/CeMk8/NNg1/57rVbYIMCl8vB1 +pteZJxNWmJMtHB7qelsf7FJa45ekkHiY1ROYH+lvjatJoPKwOWZN1uxvrHE+ +CQQeGFq3cWeDNQ4JdXSPhzN+Cr2kSWusE+qjloeUFZfC8pyUmCXEfSsPmQuD +KtqilLiHFBwPFg4f/L68VIluJKB5aKtNmWs2pEQzEjA8TAZnDqY72OD7Pufh +po/Ou6EYG22f81AYtfhlaLWNts95qMryZLwMbbV9roa8cI/i0a222j5Xg+4T +P/7H27baPldD71RLooO+nbbP1XA4siihdZedts/VYKl//KRzk522z9VQ63Rc +x9VxkbbP1bBs+Pxg59VF2j5Xw5AoLV5sYa/tczX4Dy8+U3zFXtvnamjRUSVJ +5jugem9MwPJNaijqe7Bv2+cO+H+/T1Zq + "]]}, "Charting`Private`Tag#3"]}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + ListLogPlot, "GroupHighlight" -> False|>|>], + ImageSizeCache->{{4.503599627370496*^15, -4.503599627370496*^15}, { + 4.503599627370496*^15, -4.503599627370496*^15}}]}, + Annotation[ + GraphicsComplex[CompressedData[" +1:eJzsm/dfju//xtPee0/JzAxlO4Rk75ERiYyMsskM2bITGREaRBQq6r6bkvbe +de9ZSUUhvuk+z88/8X33i0ePct3XOM/X8zh6XE9bT5/FXvJycnLLdeTk/v37 +O7KlaJ7nQsz6s6Z54jA5pux7DxzPP6D51UeO+fOY98e9N7ZAz+OTSudj+nNf +3H039MTOPDnmYcOXriy/vdj9NPurdjv9/YOw2pSwc6ppL+YhUX8H1RdHENy2 +MqNubC/y/0/gvonucJ8VvZgT6hdcvr3zJHzsPy9durcXOd5piPvNDyy50ouZ +OEtTfvDZM7h+0q+5b1Qvcvxz+DUx7tXOtF7McXFZ+5MfXoDVL9XrRdX08y4h +2OmC3bbWXsx46wDJovhAGHjvmTZfXZ58/lWwFvQeE9hbnnkvvXfM7i3XsKVr +wa7xTvLkfK5D3mdKP4858szqzp4PxOkXm9+qe8iT87uJQbVjVKfvlWdaDK/t +q+x/C1/t5bINz8mT8w3CSPvC0NMh8sxVG3uOiBm/qpfdfSlPzj8YZgnZ31en +yDPv3un5Qvt43te8YnlyPXfBi1szoIMnz6zM+7GE8zQEsZmpSjU/5Mn13UPn +tN1XjqkpMM0Ul2sPfXMf2bNPbueYK5DrfYDmGYltWkMUmG6yA4L9gzO21yQF +cv2h6LTPUGfOU2DOHXPe2YMXiuKfvxTmrVUg9+MRHFnSi1E7FZjSRf+O+Agi +sbCm6pgCuT+PYTr7uWpDoALz4nbBr8iWx4gWuP7+8ECB3K8w1JmFnvJ9qcAc +fNa4+xLCkKzpM/dvkgK5f09w+MRv4dZcBeaXRy7vWruewMTuZNHrGgVyP5/i +WPW8bRUSBea/u2Wq+Awe6ZFy9T8VyP19hrnTr+Vkqiky1cvDfCeph6M8eNyP +q6aK5H6HY43znuDJAxSZUf8uVzcCe74J4wscFcn9j4DEeMqSmdMVmbP/Hc44 +EmPcrJc9W6xInkck0keahEo8FJk9t9syCiecTqub+SiS5xOFgMmzPYYfVWT+ +u3sFfZ4j69HlDcMvKpLn9RxMmxW5ZncUmYPWXEltH/gCysaD5zc/UyTP7wX+ +rrkZGBOnyPzcfTTz4dFw6fVk+NpURfI8ozFQuulLR74ic8u1xiNwfIm+Povb +T9Qqkuf7EqMuRoz/IVZkdp9c9yW/QuDbkj5rOhTJ836FusyU4TFKSsyl3Yun ++5Ei8tWgulZ9JfL8Y6BxtP7pgN5KzCfdd688LAYn7Q1/zhmqRNZDDCa+Dpq1 +frwSs62r5KCo/2ssSNT5u9lViayP11ixYJy/x1Il5vSeBfAaa2r0R81Zr0TW +y2t05ertHbhTidn98P5dEP7oLAjt8FMi6+cNdKJe6348q8SUnd4bGMcaW+y5 +qUTW0xvMzNuvb/1IiTmq5ysWVR5qrknRSmR9xULuuZfyokQl5ilmdvcKioW7 +5FFIZaYSWW+xeBbju2BFsRKzuPvpd98TzPObuCW7XomsvzgsyHS2HyVVYtr1 +HDAOteHh5dc6lMh6jIOr3O0YvqIyc0/PA3iLx7sWtjroKZP1+RbZvZ1r9lgp +M9PaB3Y/4bd4ssr42YtBymS9voPx8ILzNY7KTH3ZCSJBvzhZYaoyWb/v0BXU +4ddnvjKz5+yy3sHb9eG3sauUyXp+D5+EBDfXTcrMN/ebwzPnvMe66pq6ebuV +yfp+j9GB897OPabMlO+54PdQuKWlMf2CMlnv8TDmCrRGBykzF8sWDAavPCK2 +fKxM1n88TvFm5/yJVmY+/ne5JfFodkiurUxQJvshAXvSHWe+zFBmfvt3OLcE +3HypM/pIoTLZHwnozH6dNa1WmTn13/Kv7v751etDlETKZL8kwtDfOYDZpszs +Wb5rE2E71ufHPjkVsn8S4d27/Vk/TRUmy+/fA0nEguKad/kmKmQ/fUD4gM2z +9tqpMB16FvgHFLTqnzAYrkL21wd0nAk7HD1ehel/a1r3kvmADbX910ydoUL2 +20fo3/g1pWiRCrOwT88Dxujgspnu7ipk/32Ee1TWNc4WFabtv+nf+BG7bk0b +57VXhezHJBjBZg37uApz17/t4ZsEm+MPjFdfVCH7MwmXPu05nR+kwkz593Rb +k+D8IIOJxypkvyYjRTyS/zxahakr25D4ZlaubZigQvZvMgrN5i89mK7C7Ll9 +ncmQHx6fX5GvQvYzA/VPk+44Vqswe07vCAOfPK5nB/JVyP5moJ9L5yFuiwpT +rueLifhzrgynLhWy35k42rD5dYCqKjMic073jmbixvXaTYUGqmT/M7Fk+6d2 +MxtV5sLu3di9RlFxn71nnb0qmQdMWBy5/P2Royqz4x990pmIsM++zpqiSuYD +E0rDnN2t56oyQ83/bYgUMAXTvN1WqJJ5kQKFrrzcK56qzJk9DzgFURGXQtJ3 +qJL5kYJbnWX87wdVmV/D/51gCvr8SUjtf1qVzJMU7Kptd156RZUZ/O/sslPg +Wi934PhdVTJfUjBmg9reiKeqzH+La5ZmKmI//ZidH6NK5k33986+Wm0fVJn/ +pknRvFT4Py3JMf6kSuZPKmbazrg7pkiV+W+1dC8Z8ETPziyvVSXzKBUXqkIe +7xGqMnsutyAVL1UC/wS2qpL5lIoslxdREX9UmT2H00+DyxPpO6aaGplXaRha +qzWq3FCN2TOOlqSBnRA8TGqjRuZXGtx2sOL/2qsxZfEhDY5cVZaekxqZZ2mw +1/jzto+zGrNnHJSnQaRxfMbIuWpkvqVBzt/80ZQVaszjPQMnHcqPRpTM81Qj +8y4d09a3Na7cocYc0LOB0uFydfvvjQfVyPxLxw3hXi2fU2rM/J54kY40C8aw +g4FqZB6mY15Z8Y4Td9SYB/5th9p0TP5oUHH2iRqZj+kwXRfvF/hKjWnzbzlb +Z8B2aKnnzUQ1Mi8zMHOV2/07GWrMT/+Wn0cGuKkaYx4UqJH5mQG/rFsTH1er +MX16BmQGsgf6JT7lq5F5moFx+eZJES1qTJOeDZ8BYWj8wue/1ch8zcSlaXv9 +o1XUmT2n1zcTY+rnr36lr07mbSbmVNuLY6zUmZt6vjIRHpQz7s1AdTJ/MzHT +onpZ7Ch15r/w1D1h4ZfbMCtusjqZx5lgGM+1fTtLnflvOh4UZSJQ01fwdqk6 +mc+foGEQEP5unTpzbc8BP6HucOT2997qZF5/whhbtnP8PnWmcs+A6v6+y3xk +wgl1Mr8/ISlpwMzEi+rMf0/D8OUnFC5ND/wQpE7m+Sc8D7ilm/RIndmT7po/ +4czOQRXJL9TJfM/CfJ2OZuZ7deaff9vNIQuTczd4pqWqk3mfhautCeMyc9WZ +z/4t591ZmO0w6sTnCnUy/7PgatiGXI46c77sgnHWX+tUYZM64UEWBPZHl5R1 +qjO//3sc7VmYKz/sQ7WiBuHDZ8yP6khj6WgwH/y73DGfcY4bdkBorkF48RlX +urj1zf00mDN6buBnhDp5KHeM0CD8+IwBQyPb5CZqMJt68PAZ5lOmxam7ahCe +fMa01kfzjRZrMIP+jfdfn3Fx4aDc3u4ahC/ZmOE6bNzQLRrMnvE8KRthaj9C +xu/RILzJxtgtH/7MPKbB7Bmvx7KRPfipt9t5DcKfbMzZUSDcclODKRt/2XCU +zjnp91CD8Cgb9hvMplyO0mD2jBf5L1CrHjr40VsNwqcv2PJ719x3TA1mz/ad +9gXX16W+yPmiQXj1BXLVzUu5ZRrMnu0R8AXbCxjzulgahF9fcOCg9KFJowZT +tvy+oG1Iv6WjOjQIz76A/Vp7z0IFTWbpv8ermgOzo9OUfLQ1Cd9y8OH3EoMr +ZprMoz0DMAebazKfxvTVJLzLAWaZZRQP12T261mAOfg0mnGoY7wm4V8OQoPs +cqxnaDJzc3q+MDI6In3GIk3CwxxMnhSxzXeNJnNfz0DNxc6CPZ9DNmsSPuai +/NtSftZuTaZVz4LOxVWfy9k/jmoSXubC5dKKYwPPazIzeupVLvjT+Gqrb2oS +fuZi8wmvfVceajJ39AzoXDxZ8jMrI0qT8LT758PTNP+81WT2jCvDPNy2rJg5 +NkWT8DUPt1pmB+zN0WT2jIPleSg/OyD7Tbkm4W0e3nzdY9PK1mTK6lcedkSO +uOzYpEn42/3/89ws/Do1mZqyDYc789mFTEUtwuM8JFkkx6vpajF7lot5Ppa6 +V5QvtdAifM7H+lDTMY/7azF7HseafJxR8axrcdAivM7HBr8bpdMmaTFl9Swf +s0rO9g2eqUX4nQ9vfePipiVazJ7D1efDy19P5LpOi/C8AAvFs3aGeWuR/V4A +B8ZF7177tQjfC9A2I5S33l+L7P8ClGfNE6Rf0iK8L8CG9ysO2AdrkXlQgLqq +Y/evh2kR/hdg+mH/9V0vtch8KEDVeYsv3olaJA8UYGy2MrsqQ4vMiwL8/vkz +el6hFskHBejqinZKq9Ei86MAA+RKTk0QapG8UABuqM39d61aZJ4UYLDZ1Auj +/2qR/FCAIZ6SxW/Vtcl8KUDo6uw/Y421SZ4oRGrLpVsMW20ybwohmNpgPWuo +NskXhShI931cOlabzJ9CPNwqHuA1XZvkjUIcTe9492OBNplHhfg91HXF5dXa +JH8Uwk54Qrf/Zm0ynwoxfuoiccpubZJHCjH34wapxzFtMq8KscV+o7XCBW2S +T7o/r/zP2Yhb2mR+FSIzomHEokfaJK8U4tvk9727XmiTeVaIz2YO617Ea5P8 +UohLCV2ta9O1yXwrxFdmLt+wQJvkmSJkGi9BXrU2mXdF2KzirHRRoE3yTRG6 +vOZOmdOqTeZfEQKjB3do/9UmeacIfNvbo8vUdcg8LMKSrzO7Qo11SP4pwsiF +XSt9+uiQ+ViEtWG7FzsP0yF5qAh7g7Y2GY/XIfOyCO1Tnjp+ddEh+agIzi6S +4bmLdMj8LMLhrwq8aHcdkpeKMGtV4prrW3XIPC3CntM1D/z26ZD8VARfvwmx +m/x1yHwtRr7v80fLL+uQPFWM61yF3bPv6JB5W4xtiTZDpj7VIfmqGBz32vJJ +r3XI/C3GwQbro5OSdEjeKsYd02w75886ZB4X4xEzq3hmqQ7JX8V4f0v11lKW +DpnPxYjYf9hnY6MOyWPFiD1psu1gpw6Z18Xoc0/imiWvS/JZMco/1+wuU9Ul +87sYLcbrE/nauiSvFeNk9JE+nYa6ZJ4X42pfp1daFrokvxXD80rQxr62umS+ +lyDnUuSMSQN0SZ4rwfHlR1e6DdUl874EbgV9Hu0bpUvyXQkib0fb3hqnS+Z/ +Ca7rj65/B12S90ow53h+bZWLLuFBCY4o3LPtNVeX5L8S3PoQ/3LQYl3ChxIM +ynY9v9RNl+TBEizavPOt/1pdwosS6H5dOub1Rl2SD0vwsb2/Acdbl/CjBPvn +Gcwz2aVL8mIJQm3miuYd0CU8KYH7D2XpmaO6JD+WwLzoyMrUU7qEL6UYMFzs +KHdBl+TJUrjaXAmYclWX8KYUG5a+mnsqSJfky1IMc7h+JeueLuFPKZoqTi7W +DdMlebMU41BwZ1WkLuFRKQrG5G4Nf6VL8mcp/M8UZH5/q0v4VArD5tFvZ37U +JXm0FHNdVo67n6pLeFWKb0dCV7Rl6ZJ8Woq2hF2G8/N1Cb9Kcc1j7N6oUl2S +V0sR3G/jYbUaXcKzUry33jpsG1uX5Ncy1BUxruULdQnfyhAzmxfp1KxL8mwZ +tG1XHw5t1yW8K8ObG+HqWr91Sb4tQ/2NZWuOyOsR/pWhb3nb3iZVPZJ3yzBB +aLZyg44e4WEZOsas1ao20iP5twyLh3kELbPUI3wsw8kJaR1FffRIHi7DJNHw +cUsG6RFelkFZumRN2XA9ko/LcGs0e9MaJz3CzzJ49rrhzpuoR/JyGSZ/tXPZ +NU2P8LQMBrpufeRm65H8XI7Ebz86ry3UI3wtx9fkwoL+K/RIni7HpqkJz5Pd +9Qhvu3+ucO7Kyo16JF+Xo3yZlf8Pbz3C33K4bFkbELxLj+TtchSsdno08aAe +4XE5hi0NquQc0yP5uxy9Lu4bFRigR/hcjhzPwtgJl/RIHi+Hkl/0Oul1PcLr +cixONpgYekeP5PNysI8bzl8Rqkf4XY6205l39cL1SF4vxwEr1wH50XqE5xVg +OSV2XInTIzyvgJXvbMOlH/QIzysQPXTQcYtUPcLzCjRGB07mZ+kRnlcgwCpx +RVy+HuF5Bf4+b8kPKNMjPK/AldCTL1bV6hGeV0DOJ+XbSK4e4XkFSnPUIrQl +eoTn3b+fmVzY2KJHeF6B/qWz9xV06BGeV+B1q03wu796hOcVmPT2PUKV9QnP +K1C26sauy1r6hOcV+CCaMOqYoT7heQXynade2G2hT3heCcfROOLdR5/wvBK2 +d4u1Nw3SJzyvBHtbhKvXCH3C80pYV+wcsmWMPuF5JWaubkjdOVmf8Lz7+/Jk +3UMu+oTnlfB8XmJxdq4+4XklluS0iYKX6BOeVyKq5ueJl6v0Cc8rscrlC//T +en3C80pcnr/ElrdFn/C8Ei/HnndS9tUnPK/E+2Xbhww+oE94XglGHyW1pcf0 +Cc8rwVzvVXQiQJ/wvPvzRkUEvr6kT3hehZiR36bxb+gTnleh5tKuDusQfcLz +Koit175Z/Vif8Lyqmw+dB+5F6hOeV0He+8oCVow+4XkVtk7YB/t4fcLzKmhH +q88/wNAnPK/CiUcJ/lmZ+oTnVfix8HuDVZ4+4XkV7Ddh94FSfcLzKhy0sJ5Y +WqNPeF4FD7f+LmO4+oTnVZiV//3GfYk+4XkVgi3GDVdt1Sc8r4JdwyOrAz/1 +Cc+r0cT76iXuZUB4Xg2XCS3anmoGhOfV6L/kkE2drgHheTV0+217sNbUgPC8 +Gubz4q5zbAwIz6vhN2S+wo4BBoTn1ViuPvnHz2EGhOfVkHO8te+ykwHheTWu +2O0K6DvZgPC8GkW3fw1luhgQnlcjjum932OeAeF5Nb6v6bVJaZkB4Xk13Oq0 +FV+tMSA8r4b95eYV7hsNCM+rMfmF5lbd7QaE59VYeL9mctYeA8LzGhQpcRpO +HzYgPK/B0LaY5TNOGRCe12C7Ei9c86IB4XkN1l0cXFN+3YDwvAbDYyf/Cr9r +QHheg00v+JpHHxsQntdgT0Gn6YooA8LzGjzKXjPA6Y0B4XkNbjDlp5gnGhCe +18DpcKO3QqoB4XkNRl4dGtPy2YDwvAasi2wTXqEB4XkNsiwmRNVWGhCe1+D2 +uH0+1SwDwvMaRC2v86kTGRCe18DmXHEsv8WA8LwWvrEp09o6DQjPaxH6od9A +FXlDwvNatL+8tNVG3ZDwvBZz2m/oTtI3JDyvxfdjon4e5oaE57WY2jbnzbk+ +hoTntbjMu5D8zt6Q8LwWP36dWSIeaUh4XosP9iP97CYYEp7XYiDrygTPaYaE +57WYMOlt6LM5hoTnteBN/vzm6xJDwvNa/PyleHjKGkPC81rklcTKB200JDyv +xfHIUUtathsSntehS6d93+J9hoTndXh/4fDehKOGhOd1MGC6u/U/Y0h4Xofr +b1wG3wk0JDyvQ0bn1+96tw0Jz+vQ/mtExtWHhoTndbgzUPLQKMKQ8LwON0eZ +XQuNMSQ8r8P0RZ8fOyQYEp7XYcUe6/qsFEPC8zocObNw4aZsQ8LzOjTFvJBT +LzYkPK9DwbVbP2OrDQnP6+Drf2rmRq4h4XkdthYptps3GhKe1+GNQbJ6Rbsh +4Xk9Li7xvhnyx5DwvB6bNlTf81IxIjyvR5Llz2FOukaE5/Woepa5TMvMiPC8 +HuFJbpYSWyPC83r4dtZfzrc3IjyvR5zJk7eJo4wIz+vxfbrSwxcTjQjP6/F0 +85FlT12MCM/robU9hPtkvhHheT02XNNye77CiPC8Ht/e3/4Q72FEeF6PtTGm +FrlbjQjP62F86fxJ4W4jwvN6fG3q6lI/YkR43oC29U/ujA4wIvu9AWvCM9d7 +BRoRvjegXCfb8/5tI7L/G6BQODyyJtSI8L4B49xvOdtFGZF50IAHH+PG7oo1 +IvxvQKBgY0jmRyMyH7qP3+fufrtMI5IHGrBv1abys/lGZF40QHK6M7u1wojk +gwZs+PNo7Wa2EZkfDbggzXnIlhiRvNCA5lDle17tRmSeNMCn66fH1z9GJD80 +oH/Vvt8nVY3JfGmAaPuGI1b6xiRPNMDzTUETw8KYzJsGfK6QrPfuZ0zyRUP3 +fFTkWg43JvOnAdWKl0+VjzUmeaMBh53MFtydakzmUQMmnvRY5jXXmOSPBhSf +n/R07HJjMp8aEJX+cp6hhzHJIw2o/F7m3rHVmMyrBqhEdXG5e4xJPmmAY8SV +b5VHjcn8asCxgzZB5WeNSV5pQEWtV33tNWMyzxrAXTG1ThpiTPJLA7Kffnis ++MyYzLfu85sjN6lfjDHJMyzcNdmcND/RmMw7Ftxe+Yz2Tzcm+YaFGyZpbz7m +GZP5x8LfaaNn9ao0JnmHhb7hTNUFHGMyD1lQzLgq/6zRmOQfFuyzOlyVO4zJ +fGRhnNkhsY+8CclDLCh1Jv9ia5qQecnCZKunl9eZmJB8xAJzweg3PFsTMj9Z +CNJ9cnjfEBOSl1j4MH71b+0xJmSeshDx/OuMN84mJD+xkNo4eOe6uSZkvrIQ +zVMKMF5hQvIUC+EnIx+Urzch85aFhl178x5vNyH5ioXOkh8DDh4wIfOXhWe/ +OpJXnDQheYuFe713hztfNiHzuPt8Bt1odww2IfmLhchtqUmjw0zIfGahxve+ +4eSXJiSPsdA/vVN7cYIJmdcscJJ5Kb7pJiSfsZC7KnLq3XwTMr+7n5fKp2d5 +VSYkr7Gw5fRvBW2+CZnnLNgmTfN1azEh+Y2F8ufFvV7+NiHznQ3nybb52qqm +JM+xsR4NTX4GpmTes+Hnonjwm7UpyXdsrH2S4r/P3pTMfzawMdBO0cmU5D02 +VCbZ7XvgbEp4wMbWoCOB0+eZkvzHRsyl6rPf3UwJH9g48jPzUNxGU5IH2dh7 +VvPoMV9Twgs2en9MiVp6xJTkQzY6zF0sx5wzJfxgI3cyJP1umpK8yEbsnzAH +21BTwhM2Dt+OVRv0wpTkRza+Vv26PCnelPCl+/uNKyvXppuSPMlGQFmH8uUC +U8IbNvqZuI3MqjEl+ZKNcfdcD+iKTAl/2DB7L2nxajcleZONB6Kk5KxeZoRH +bOxxDvw5VtuM5E825iWeTn1nbkb4xMb08D6jpw4wI3mUjZV203dUjzIjvGLj +4HnbSyenmJF8yoZe28JXY+aZEX51X1/6p/afK81IXmVj2ciaYzmbzAjP2DDk +zPB+sceM5FcOOs73rbh7wozwjYObV38Ib182I3mWgyFZkUlhd80I7zjQ6xt4 +IDncjORbDuYf9RwrjDMj/OMgfd2iPrapZiTvcqDFal2xNd+M8JCDVf5LfjNr +zEj+5eCcsXTkQLEZ4SMHH1OibR7+MCN5mIPPzX/4/ZTMCS85uLcxOfqjvjnJ +xxyoLna5s6G3OeEnByP9tuabDzMneZmDdvfj+9kTzAlPObh4YM3bxFnmJD9z +kOG/MjZshTnhKwdTcpYG3/cyJ3mag6J7cZci9pgT3nIQ/mBrQrq/OcnXHKxc +1+L67Yo54S8Hm6rcPB0emJO8zcFRA4w6+cKc8JgDIaOcx000J/mbg8d/G5+u +/GxO+MyBd3/Bw4Zyc5LHOVh9K0POj29OeM3B7qeDv/drNyf5nIPjYWtfchUs +CL85UKub5fZO34LkdQ5uZPjbhdhaEJ5zUW/jOuXGCAvCcy6i5l+ofwALwnMu +9kc1jUyeb0F4zsWfltztLe4WhOdc1OZNYI7dYUF4zoWZr9r6m0csCM+5GDbh +8ynFSxaE51xMuVnkfj7EgvCcC/tkl352zy0Iz7mwLdbRK0q0IDzn4mFZk1tQ +tgXhORdbWPscfassCM+58Nqi17BObEF4zsWghxo3vH5aEJ5zofLj6nl/dUvC +cy7OfIj7G2tuSXje/fu5+0Z22VsSnndf7+G0de4TLAnPucDxk5klcywJz7no +a3z92YY1loTnXGyYUjhFfYcl4TkXen36lmcdtSQ856Lw3KEXDwMtCc+5cLld +oHjloSXhORcetyf3ux1jSXjORXNb25L4FEvCcy7urHet+FZkSXjOxUe7Nz9n +cC0Jz7m4cq1B8W27JeF59/UmxjpPUrEiPOfi+8RZXfWmVoTnXBgtlQ+4b29F +eM7DGe2LA/ZPtCI85+E6kzXae74V4TkP+yLX/jnkYUV4zsPvgg9lT3dbEZ7z +8MfaSr/ptBXhOQ8PX5oqL7ltRXjOw6l1DLXSSCvCcx5Mw5/u2/vRivCchwlP +pGEO+VaE5zw8PjDnqybbivCcBx+OabxyuxXhOQ83Ri9bZaNqTXjOw/2DcWNW +WFgTnvPwRT7yzcth1oTnPMyYtnN4/6nWhOc8nG81MExeZk14zoNjyPms/Vut +Cc95OOcb9W7hUWvC8+7ruz1r9txr1oTnPMRlTm7Z+tSa8JyHpXP2WEQlWBOe +87Dbv3m8Vp414TkPl2OFaTfY1oTnPDT65A+Z/MOa8JyHr0vXNmlq2hCe83Bw +ZeQpOVsbwnMevIKGeps52RCed9/f+y+2XNtsQ/jNQ0RqXk5Vlg3hNR8TD707 +O8+0N+EzH1qMZ4K2Bb0Jj/k41n7ghMml3oS/fORIou8+zu1NeMvHFuPtDhVG +toSvfIzQ0+o4ssmW8JSP4iUvw+xTbQk/+Wi3njW3eUgfwks++pXMlH8a3Yfw +kY9PyzdOX7jIjvCQj6sVh8xqHPsS/vHhfVZ/tCmzH/O/93H/ex/3v/dx/3sf +97/3cf97H/e/93H/ex/3v/dx/3sf97/3cf97H/e/93H/f7yPK/t7ZhOeNU49 +e09zOLn+JiTNrtecNXYoWf9NWHsi7kNS0mAy/5rwTn5l9OUj9oRfTfgbcJz1 +w3MQuV/NSPh+Smi/aSDZL81IrIubrHZ8AJmXzXCNiTe4F9Gf/D2zGfZBN/dN +qe9H7m8zlg8c5jCsdz+yv5pRofl34dCtfcl8bUZulK6ZV7wd4WUzrPTqB43T +tCPPoxkBjw1/D93Qh+zHZghSLublf7Al87gZWUHzXW8b2pK/ZzbjyhqeY8a2 +3uT5NYM3zevi4mM2ZP82YwSzepuPiTWZ383YoWAY63zHkvC5GaNZIxhxLfTv +mc2o/PXO4es3U7Lfm+HnFxyo993wf337fc4jZtOYJtC+vfOMS4B7/ybQvr3b +eM9WVVP6c18ELH90fq1GE2jfrqyIS0r/2wjat0duGXRS0tYI2rddV8SHhYkb +Qfv2i2K9zXkNjaB9W/6L+4Hl5Y2gfTu5beGCIXmNoH3bofeWcdMz6PHPYbH2 +RutbHxtB+/aKV+/STeLo513CJP6bRZnPG0H7NmtH57eQMPr5V+GUffnRzZBG +0L59P6Gq/cUNej7X8fXYeBX2xUbQvj301NklDqfp+d3EMc7mF8FHGkH7dsG8 +9IHG++j5BqHfgGVmETsaQft2q+XJv3M30fMPxtRBKmcU1jWC9m1+yw+/7BX0 +eu7ikmJpdujCRtC+/Xf7oSMBs+j13UM/dlz0gamNoH3bqdb36v4J9HofwGTn +jC3+oxtB+/auqb7nbg+l1x+K/Huqi+P7N4L27SfOZ0ZybOj9eISH4h2PTcwa +Qfv2i5zhfZfp0/vzGKFz7aeFaDSC9u1tE3KuixTp/QrD9ebCaPyRgvZtA/c7 +jvd/SEH7tnXL+cJeLVLQvp135PKwHWIpaN/2FNz+U8eRgvZt78QJHctrpaB9 ++zF3VllJmRS0b4vnHV7hViAF7dsO6naTWZ+loH17VV/hAp80KWjfnmN9Zpl8 +khS0b/9Yf8P87jspaN8e2Dl3h1OMFLRvV/zYZF0eKQXt2ykmLLkjYVLQvs0z +qBf1vS8F7dtPPE7HFAZJQfv2b2HR0JNXpaB9O+HUpYlOF6SgfXtbjXFC4ykp +aN8OvbHeP+KoFLRvMzzy9m46IAXt26FKFnsH7JKC9u3VornrJd5S0L5tM/qB +zZuNUtC+3TVr+43Da6WgfVvrzIDHrm5S0L69w3z6SOPFUtC+vez34MGCOVLQ +vv0jw2FfoosUtG93HTrdeQVS0L7tYdz38eZxUtC+PYhfttJ5lBS0b6ufstG0 +GioF7dsTD416+rO/FLRvT/T/plfVWwrat82n1+GDuRS0bxuH6ls9MJSC9m3j +jZODT2pLQfv2zkP3Qreo0vXW3cf3NvZbKC8F7dtB/rtMx/2WgPbttNfsLXbf +JaB9e+xVH1WdrxLQvt2l8U74SyQB7dtLniX/EnEkoH37BvfHqMpaCWjfDpYb +efpzuQS0b78ovlufWCgB7dsTOxLGRX+RgPbtCusdZ0IzJKB92/UGN+EmQwLa +t1ObHmadT5CA9u3Tbd7hx2MloH27f9CqOfujJaB9e4tVduSOcAlo3x54yC3e +65EEtG+ffM7bvjZEAtq3bVdcTVpxSwLat+W9Y0MXXZGA9u2vHI7m3PMS0L49 +/n1Tx4xTEtC+3Tu1bPXUoxLQvv2wvmjI5AMS0L69SnvuhvG7JKB9e+OL6u9O +2ySgfbsyc1b9KC8JaN8eceSiocM6CWjfnuebEjhspQS0b+9Y5DRjyBIJaN/+ +vd19lP08CWjfDltSOH+gqwS0b4ddXHytv7MEtG83Lcxs7TtBAtq3+3s6+tg5 +SkD7tlJV9m/b4RLQvn3EsPhW70ES0L7d+SBxlI2dBLRvLx+lVWBlJQHt29KP +ezdamkhA+7bz5nixuZ4EtG//ePthjZmGBLRvH3p6NM5ESQLat1VKTKRGf8Wg +fTvzTVKXQacYtG97JX/g6LWKQfu2KWN/sE6jGLRvH9XZZqwlEIP27ZHiwW7q +LDFo305O8nRTqRaD9u27Z/L0FEvFoH1bYfi043L5YtC+vbEtIuR3lhi0bxsX +/VrfkSoG7dtjWmbntn4Ug/ZtX6v4iqZ3YtC+7Tn5wHFRjBi0b4eU5n7kRIlB ++3bpCf1LtU/EoH3b88QLYdkDMWjfDnA2yMsPFoP27SfS3DFZ18Wgfbvvj922 +zEti0L7NjA8/8/6MGLRvz1PsveHlCTFo345Lu//miZ8YtG/r3P7qe3evGLRv +Z+5vv3Nlpxi0b19xCxp0eosYtG87lX+2OOgpBu3b2rXXt29bIwbt2+0L5UzX +LheD9u3KjCHmCxeKQft20JDJPs6zxaB9u885L4OR08WgfftnWJ18n8li0L79 +KKd5nN5YMWjfHntBFPfXQQzatw3d+/s2DhaD9m2HI+pbqvqJQfv2pVsKdzJt +xKB9e+zVc39fm4lB+7bjQrPgewZi0L4tOjx53RktMWjfHjXt/CIfFTFo39YZ +eGPbil5i0L79KqsrcvIvEWjf1nu+W61fuwi0bzerhZ1RbxaB9m3tMFfrZqEI +tG9XnOmdW8QWgfbtMaK2K29rRKB9+1Xr9Q23y0Sgfft2cP70gwUi0L59dvOZ +EW7ZItC+XeB5x25Mugi0b+uVfTU3ShaB9u0vQw8bfHsvAu3bf/OHqOe9FoH2 +7fj2v78inotA+/algDbeyaci0L6t3KyTsfqhCLRvi5Xdg0bdEYH2bXeuZLn6 +DRFo3y5NLlRouCQC7dv1OQPvxp0RgfbtSDtdk3MnRKB92+X28wOr/ESgfTs2 +xC5h8F4RaN++2f9pxa8dItC+feva+uLszSLQvn3yaOCz4PUi0L79/a3XAq/V +ItC+nWDU78uIZSLQvh2zTdvk13wRaN/e6LF5RPpMEWjftllzyPDSVBFo3w7a +cY25eKIItG9bOMiNNHUSgfbt5fFmm2qGi0D79undjqseDhKB9u3BRi811tuJ +QPv2sgDWAVsrEWjfdkwZca/BWATat+dk/933QFcE2rdbr7yVX60uAu3b66s+ +wFhRBNq3NZ2O2xd0CUH79sH6ncxzP4Sgfdvn1e8/aBGC9u2rKvc57WIhaN+e +ycjcFsUVgvZtW73Wm+51QtC+7X3u/hqdCiFo3x7fPI3JKBSC9u2MiZuSdn4R +gvZt5dNnFllmCEH7dgJ7oF9WshC0b9/3LR69J14I2re1hmsft3wjBO3bVR2e +y9OfC0H79q7d7vHeT4WgfXvGzwuPdR4KQfv2yTt++rHBQtC+3dH2UWnZdSFo +3140mXuo/aIQtG+f6nd6+80AIaj/ejTbodrhuBDUf9V6dSot96AQ1H/ViNSz +27JbCOq/vijZ+0NuuxDUf7U86zo52EsI6r9Ode7XPnSdENR/ZT6OMkt1E4L6 +r1bu+yKXLhaC+q+DBvR9zJsjBPVfL8cs77XPRQjqv567FZ0uDyGo/1q/OFsU +OFYI6r+2jluw02ykENR/VfKqX/B4sBDUf418bn1pUD8hqP+67Pbr3q+shaD+ +6wC3SRqjTIWg/mt2wuZZb/WEoP7rEdPyCkcNIaj/OmP5yPdxikJQ/3VOQW+2 +wx8BqP86ZensFdE/BKD+q6rxCsMBLQJQ/3Xr02bjh2IBqP8q4XxcY8QVgPqv +BT83c87XCkD918GL7kb8LhOA+q/GJ7sidxQIQP1XlSUTeDWfBaD+64SorhWz +0wSg/uuMgKa/bz8KQP3XV83vq2zeCUD91/hOBf7ZVwJQ/3X4qyM2TRECUP/V +Qzvn9OLHAlD/tc/fEIO3IQJQ/7VpVtBno1sCUP/V8srGJ3sDBaD+66DJMY8K +zwpA/Veby30YQ/wFoP6rou/GnwF+AlD/dctV60W1ewSg/qucSydz5A4BqP/q +dv6e65lNAlD/Naj9Fbt8nQDUf533rurKgJUCUP/V63Ta3H2LBaD+q959XZOU +OQJQ/7UkYbNU3UUA6r8+UN2ZvXiyANR/ldplvQweIwD1X+U1zG/XjBCA+q9D +zVX8re0FoP6rvsJI77V2AlD/1TBj4sJ7lgJQ/zXxadaICiMBqP86ZNB+NX0d +Aaj/+k6dVz5LVQDqv652jLtzvJcA1H/d9dF/buxPPqj/OqNE3Mht5YP6r+XZ +Fw8bNvJB/dfzcnKtznw+qP/a0sBatKOeD+q/PqnOvhVUwQf1X7Xdl3xMKuSD ++q/LP+mnsbP5oP7rrG0Pw5XS+aD+60z/wM39k/j4n//aZ6+cyzs+qP9aNIXj +s/4VH9R/VXm8I/ZwBB/Uf31bFpZ14xEf1H/dNtooOvIuH9R/DcpbsDbpBh/U +fw31aajMu8QH9V8try+2qgvgg/qvTStH9Jcc44P6rycuy39rP8AH9V87Axce +++PLB/Vf9ywLzVL05oP6r9i0P091Ax/Uf7XLW3ZZfQ0f1H8NN2fKqy/jg/qv +y5Y4DlGZzwf1XzVbR6nIu/JB/dcFrLHXfoIP6r/e3Mb49HUsH9R/DZ7sHMF1 +4IP6r+/WOY0os+eD+q/ob+SeYccH9V8LRy8e8saSD+q/xu32CLlnxAf1Xx2U +Xoef1uaD+q8tM2Pne6vwQf3X1V9KLs+T44P6r+Jp/p7DOnmg/uv1y32yNb/x +QP1Xo9DeqUIxD9R/3Xie5ZrK4YH6r52bPrvdqeGB+q/D143/tqOUB+q/ht69 +qTcljwfqvx6c5BSn84kH6r/mexwur2HwQP3XLo3kg+HxPFD/VTp0+S2f1zxQ +/3VT0B17xygeqP+6tbnaseMxD9R/3fFuZ1x8CA/Uf41xfBS2/yYP1H89dJQp +N/IyD9R/XeNu8lkcwAP1Xw+6N3WGHuOB+q+v31+8uvQAD9R/lSwcdUHJlwfq +v459058bu4UH6r9qyj8NWbeeB+q/buwSR6uu4oH6r+KvI01fLeaB+q8Wb+LK +F8/hgfqvHsFPRa3TeKD+q93+sXOuT+SB+q/sa/c7hzryQP3XsQ/lv2UO5YH6 +r7z31x3c+/NA/dfspfvffrXmgfqvghnFfv4mPFD/1TUm55iOLg/Uf236fTL5 +rioP1H9NrTYYY9eLB+q/bhhzlxfRyQX1XxWGD/s0+BsX1H910uFVPBdzQf3X +D7MLTQZxuKD+69g98v5h1Vz8z391CNCzKOGC+q+HpmzIvJLDBfVfV68Pu9cr +gwvqv8a6zbrmm8QF9V8zuMse1bzlgvqvF95lfnZ5yQX1Xx28g1RePOOC+q93 +jn9cofOQC+q/pi4e+t7nNhfUfx1uWNUn9woX1H91TYm5PeAcF9R/fWh5T//4 +CS6o/zrr2amrxQe5oP6r+s052v12cUH918ufS87t2coF9V8vFfz+nbyeC+q/ +Cgrub1ZZxQX1X2PPBWbMXcwF9V+nTX9scGU2F9R/NS+LmJ83lQvqv1YF7tyj +PoEL6r/2dvx4bNooLqj/urpr+Y5Dg7mg/mugv9zEF3ZcUP9VPWG/oNqCC+q/ +Wn7ds13VkAvqv4YzXn5y0OSC+q/xilXtKxS5oP6rasWTVr/fHFD/dWdAYtLd +Ng6o/zrnVOrC91IOqP9atdUjsoDLAfVfT4pHpfNrOKD+q/KnivudJRxQ/zUx +iz9SLZcD6r/eet9y3CiDA+q/uiw672+dxAH1X+2mjBvd9y0H1H+10z9/s380 +B9R/fT3894N+Tzmg/uu+Ir2Ftvc5oP6r3u/pT8xucUD915ztmsHalzmg/qvt +3aoBcgEcUP917lOrhU1HOKD+a8IiaFXu5YD6r96/nqxmbueA+q9TLr8a82Qj +B9R/nXDgw/3Tazig/mvYk94X1i/lgPqvNrtHdo6fywH1X+PCINCdzgH1Xz+s +ur6QM4ED6r/Gavg6vBnFAfVfdfeanTk6mAPqvwqvZM92seOA+q83ryQeVrPg +gPqvjrkWRtn6HFD/dVCqhcFZdQ6o/7r5N3vXFHkOqP+abXBr6PdONqj/Ov7h +wqkRLWxQ/zV/0PAXy0VsUP+1cIDL5l4sNqj/+kjyyCeigg3qvwbdXZAyp4AN +6r++tl3iJvnEBvVfZ9m/GHGWwQb1X29qL5th854N6r+a5029FfuSDeq/Gsl7 +mU1/xgb1X18UPs0ruM8G9V+t+aKYlbfYoP5r3lrdj3WX2KD+6/iMb5J1p9mg +/muunNfE2sNsUP/10WzHyOV72KD+a2/bIcNyvNmg/uuZCZqfJnmyQf3XxUev ++zxfyQb1XwNF++yMFrFB/de/tzbX+c1kg/qv4x/o36sBG9R/DTG2dBs/hg3q +v5r87aN9cxgb1H/tdGQmiPqxQf3Xw95nlk+wYoP6r8468g3nDNmg/uubuQmL +ijTYoP6rU+vgcBMFNqj/Om9HcbXbTxao/xr4uo/kVgsL1H89MPpMQZ6QBeq/ +Dq3acFahgQXqv6oLzTVGl7NA/Vfd7worPPJYoP7ruAbfTecyWKD+a+j7F6Nf +fGSB+q+XYn99/BLLAvVf5/cJ+cmPYoH6r3KrUoRdj1ig/mvvyPsnde+wQP1X +OVe3NOurLFD/VW+6/rOBZ1mg/qumH6/fsGMsUP9Vcpg7afg+Fqj/mhZgLhq8 +nQXqv/psv9in7wYWqP9qdHkUz2QVC9R/9f+oMkRlEQvUf13h1N7S4soC9V+N +LrEcKiazQP3XHzei+AmOLFD/9cGK/hq3h7BA/ddb8nZ3fexYoP5rdMGmwGnm +LFD/1eb3K7a+HgvUf734PO5arQoL1H9Nq+8TFPanAdR/9V4fKt7Y3gDqv659 +mX3eVtoA6r9+5zvtrGQ3gPqvuh92Xb9U2QDqv4qW//06vqAB1H9NWbD6NDez +AdR/dbvaMeN8UgOo/2rn4jxqUFwDqP+6IStoWnpUA6j/2np50e5VjxpA/dcW +7ZQEye0GUP+199vBhgcDG0D9196ZeYf/nm4A9V+3za8T+x9uAPVfdTQDVv/d +1QDqv05Zyf10YEsDqP8aamxoL1nbAOq/Lr7V58jKZQ2g/mvJCcP41DkNoP7r +3Sxuef+pDaD+q9f506UBYxtA/dfN36ui6oc1gPqvNlMzFo3u1wDqv/46Y5x+ +yqIB1H99/er2r1y9BlD/1WOdyjf97uNQ/zXnzaipT3/Xg/qvTYUtiuaielD/ +tebqWZvTpfWg/usbXesATko9qP96QJo1cGz3dVP/1Vr5TJf/3XpQ/1W6eNJ3 +5pl6UP91UThTqX13Paj/OvDkF2vrdfWg/uvGcvnRE7ufE/VfbavaxiwYUw/q +v5pnmlqtsKsH9V8DIvJKl+jUg/qvMweuW+ryqw7Uf70579eFwYI6UP+1dObH +bcrFdaD+q/K4MGFpch2o/zqvJbj1TlQdqP/akbTj2OKgOlD/NXtgpd9f/zpQ +//Xax0NVoTvqQP1Xo6ySQKeVdaD+q2vv5eeZ0+tA/Vcma8GHySPqQP3XEw4/ +jV5b1IH6r+2/d18wUakD9V+RkKS2+1stqP/62jbtNLO2FtR/vda+UCr/uRbU +f9V4a+YwLq4W1H91d4+btuFhLaj/6tD3nqH/hVr8z38dOeLm9X21oP5ryJrY +N7e75yD1X73q5nhcnVML6r/K6SpcPuJUC+q/PrHOGLjGthbUf72U6mE3XLMW +1H/1aDuzvf17Daj/Gv0ou/UlqwbUf/XzCI1Y3c0B6r8Kbdf6/XpXA+q/ijnj +3QIf1YD6rx3r5zsYXqoB9V+r06+2XdpfA+q/7lpUdbXDowbUf/Ux5rQtn1MD +6r/a35mqE+FYA+q/zvL3yZPY1ID6r/unMXr3Ua8B9V9/Z3u1zW6rBvVf5/TZ +N2ZTXTWo/7rFvLZ8T1Y1qP86vcU7bc+balD/Vfg9S+B1rxrUf/V3ODZy9plq +UP91ulzT1d6+1aD+q2XSiq/CldWg/usw97JJYd05hfqvZroemxYMrQb1XxXq +MpY3GleD+q/rp8f+OiJXDeq/7i6Mdv4jqgL1Xw8nGxrtKq4C9V9Dz/X2LP1Y +Beq/+p1jGw5+VgXqv04+N91sd3euo/7rVTfV1VEHq0D9V/GGTxnF66tA/deg +Kd+mN82uAvVfHZ0L0jpHVYH6rwUKx4d+786l1H/d/LdlO0epCtR/7aX7bXtK +UyWo/zqgl5Xp1fJKUP+11pjntoBZCeq/tt28avY3ohLUf82YPm76w2uVoP7r +3M4vqcP9KkH914t6qsdjPCtB/dcZncc8bedUgvqvf8JvLD85qhLUfx1i7Te9 +2KIS1H9NaHAyMlSsBPVfH+5+8Hq6tALUf43NWSrv1d1bqP8aXJzTvPdjBaj/ +yvji6rn3SQWo/3riS4nzhksVoP7r2MEL9zvvrQD1Xze3egq011SA+q+ZM08e ++TKtAtR/nVfWZHlgcAWo/2ruGPdKz6AC1H8duzjCIuRnOaj/OqnI29WAXQ7q +v0bs3mjm97kc1H/V2Jp5ID+mHNR/rWecn2kQXA7qv+q93rnL5Xg5qP864M+v +cq9N5aD+a68Zx9bvnVcO6r/au6m0+o4uB/VfnwTs2LXaohzUfx3ZPDtllHw5 +qP+qXvg7s1NYBuq/Sr483hSdXwbqv54YbH16/rsyUP/V2F5PofZeGaj/et6h +LtPtVBmo/+puFv4mZWsZqP+q6Dk30mRhGaj/6rBj++VVTmWg/uv4WE/nC5Zl +oP7r7vYZ4c/ky/A//3WHNPSVsBTUf3XubNB5mlcK6r8umL699ExcKaj/OrQx +sGjp3VJQ/1U+opdQ+0QpqP96x3309zivUlD/VdN1Xu2MOaWg/muz0sCj6SNK +Qf3Xpvilb4cal4L6r+WaJl4nf5WA+q/iWV7bUhtKQP3XPe6CZ40ZJaD+67X6 +9naF5yWg/qu50R0npasloP7r+cazM1r2loD6r9zf/RWyVpaA+q9nd52ddX5y +Caj/mqK06ftouxJQ/3VI4yB+tkoJqP8amcRpmy0tBvVfO5bM/RNXUAzqv2bE +ptWqvi0G9V9tOAt2zrhTDOq/Tk0JubDjaDGo/xpc0a55bH0xqP9aPXhB/gGX +YlD/dcafcc9XDSoG9V/fBAkP9dcqBvVfb3QNNqz+WgTqv0a+3b34YEkRqP/q +4fNJ88/7IlD/Ne5dvvn2kCJQ//V0SPaS1GNFoP7rhKVXAuU8i0D91ydVFx/1 +dykC9V+5J9M8Rw8sAvVfN17KDR+kUQTqv959Ueyi3FQI6r+ev3FVL7ugENR/ +5W2dX783thDUf42ayTupHFQI6r9qRiXkHztYCOq/PilODK5dVQjqv0aMWx/T +Z1IhqP9qVP1COM+mENR/DQuyM1jXqxDUf117MF9uOacA1H99/3fiyZEZBaD+ +a5P7oc1tzwpA/dfoF7onQs4VgPqvdyw1QwZ4F4D6r58tvp4JnlMA6r9y9yTq +NQ0pAPVfE9Y1qA7ULgD1X7eNuLP7Ul0+qP/Kj0msuxqaD+q/+r9Ob1zjmQ/q +v+adcHT6apcP6r/2stw0bzYvD9R/dZMGfPJ+lgfqv2b6Bo1ZtDkP1H89W7xp +eNeAPFD/1W7bFewU5oL6r979d/wIi8glPOdBgWPJu78lF9SHVXy5/9bqgbmE +5zz0b1K9WSPIAfVjtQ8YbOsdnkN4zseIgJNh/TflgPqyqY9E6U19cwjP+Zi0 +89iyg5wvoP5s5XKDqtRHXwjP+Tg0WL8sd90XUJ92/4P9X4KtvhCe8xEXkTXe +rjob1K9dVmQctj04m/Ccj8B1X/33LssG9W0LPNYtGa+fTXjOx+en7GtJeZ9B +/dtt1ze/67rwmfCcj+2l08b+nPEZ1Mfd/HfY5TfynwnP+VD7OWFQ/+QsUD93 +59qiY0sPZRGe89ERGf5n4ugsUF+3fGG8SX3TJ8JzPvZETxkzPvITqL/L+vI2 +a96GT4TnfJzVTXY0s/oE6vP2SRjEDynLJDznY9AYCUqvZBKed99fT53w9JmZ +hOd8TAh4e3Rrr0zCcz56j1fpm5aQQXjOh6jfiI6CXRmE53w4+i9bfn1QBuE5 +HycPXA5WZqUTnvOx2q3MeGhwOuG5ABl3tAYoLUgnPBegPopvGaiUTngugO8t +1qxPH9IIzwXADueuV7vSCM8F4FyUP+06II3wXICS2wUDL9ekEp4LsGrZ71En +rqUSngugMWWXxGZGKuG5ABslUde3/UwhPBfAfFCUt+fLFMJzAe63il4reKYQ +ngswz2Lpk/lGKYTnAmTGOR6emsUkPBcgZsaoHXV+TMJzAdzfTnxpO5RJeC6A +dmmveuNkBuG5AF92piY72jIIzwW4XJe1+MGpZMJzAR7uWiKdz0siPBdAOOBd +mcuMJMJzARb3wwr/Zx8JzwU4ddo8+q/SR8JzAT6ccdRjbPxAeC7AHkvbd0mp +iYTnArimtn7qtEkkPBfAP9p++64jCYTnApyZdiGlT0U84bkAI8u76jVGxROe +C9A7fH+F/eX3hOcCBJhkxx3lvyM8F2B5nysH5PGO8FwInY6fFu+D3hKeC7H7 +y8dbdxrjCM+FGJlaURA+LY7wXIgLiidf1wfHEp4LsYbXaoDGN4TnQiy0nJ2T +NuUN4bkQszTcnm278ZrwXIhBqse9RvNiCM+FSA47lW7hFEN4LgQrJ008WfMV +4bkQat+nTPWLiiY8F0L/uEs+d8YLwnMhAra0Jh9iRRGeCzH7xObBo/0iCc+F +GHt6uYWOXgThuRDjc8L9lZ49IzwXwmur1iT9cU8Jz4WIfHbHZER2GOG5EI7l +izJXrnxMeC6E0D1ZeJ4fSnguRGtMs+5+zgPCcyHOxng65LeHEJ4LkW53XGKg +eIfwXIjm8nGfx2oHEZ4LsT6ypO9IwxuE50KsOF85oM3oKuG5EMuXWt3k9b9A +eC6Ez5hPodPMThOeC/Hk2JrhxUpHCM+FSKt5ntoR6EN43v38brJ2HU6cQ3gu +RKXOZ1Z+6XqGjOciHPkRwlu7bh9DxnMRrtpfYfZrPcGQ8VyEv2rxHt9wliHj +uQiqolVzHIIuM2Q8F6HvNnu/vz+vMWQ8F2HPF60BH4ffYsh43v19xoJN7luD +GTKei5Cubzv6W3gIQ8ZzEcYV+xaclzxgyHguQv7ryQ1m5o8YMp6LUOwyVviX ++Zgh43n3969PqR/3fsKQ8VyESYPD9j80fsaQ8VyEL8WWJ7dmhDNkPBchae/6 +SN7+SIaM5yL4iBOuWg5+zpDxXIQQvwlKJuwXDBnPRWhelNZVG/KSIeO5CB2x +v+dNGhLDkPFchPkB0ca/NF4zZDwXoSuqv/HQxtcMGc9FMGsO6V2R/4Yh47kI +v8TFtr3iYhkynotQ8ifJKvpOHEPG8+77X6rpwDrxliHjuQi8+6XXHm99x5Dx +XIR1/UZf/b7kPUPGcxH8/7YGsRHPkPFchJPiyUO2D01gyHguQnjowm/BlokM +Gc9F2DtrRMROrQ8MGc/FOMnwsW/9+4EhG/hi1LK+7hnS9pEh47kYO+xfP+gt +TmLIeC6GZkYIt5SVzJDxXIxYL8/QxdUMhoznYqy4Mix8/jYmQ8ZzMZYmxc+o +EzEZMp6LEXZV7urqrSkMGc/FUB/o+/2zKIUh47kYW8fbyw3dlsqQ8VwM5r3L +M841pjJkPBdDf3DS/nrfNIaM52J0YMhip/Y0hoznYrwQDn911S+dIeO5GL5K +tw59k8tgyHguxoxzE2LXnctgyHguhqvy+701upkMGc/FmFzU1rj9biZDxnMx +IisFm4z6fWLIeC7G7+Pjp1TFfGLIeC5G6DvlNsakLIaM593//8ZN4y9fshgy +nouRHWGzQm71Z4aM52IM2RZ2YqPkM0PGczHuCV/6/T6SzZDxXIxTA6bMztX5 +wpDxXIxlh8P1a8K+MGQ8F6Ot/x7jYeNyGLLlLMaU8A3xWfk5DBnPxfiquPXI +6825DBnPxTh0LVK1SS6PIeO5BG8OXO9/OiSPIeO5BCqB39IOjclnyHguwdNa +m7aSknyGjOcSVAy+2ZE7roAh47kELIuzgeLzBQwZzyV4N/ecf1pVAUPGcwlu +bzoxZM2QQoaM5xK4n1gsF3+skCHjuQQ13jdPVxUWMmQ8l2Cly3y3T/2KGDKe +S9AckzLypF8RQ8ZzCW52jeVqFxQxZDyXoPpV3fJd/YsZMp5LoJ5+/0r00WKG +jOcS9DbVePWptJgh47kEq7e7fUsbVsKQ8VyCTJ9Fcc/OlTBkPJcgaemGnXs5 +JQwZzyUY7PsqwQGlDBnPJQjcMWghJ6SUIeO5BLsiU7QCO0sZMp5L4DT3XouT +WxlDxnMJvnxaZsN5X8aQ8VwCzY3LGm6bljNkPJcg4Mqwp6v8yhkynktwJ05P +xaG2nCHjeff9Wjvvc2/nCoaM5xI4n26oGv6sgiHjuQQzGqzdNmpWMmQ8l0Db +ecul9D2VDBnPJfAsb21dXlPJkPFcikmBMZP6zKhiyHguReKlCfOGvq5iyHgu +RY1+08TjVtUMGc+lKGlT8LC5WM2Q8VyKnZo1041+VjNkPJciTWvp+y3eNQwZ +z6UYOM05zbamhiHjuRTfclTez1xQy5DxXAqRxeL+/LRahoznUuw7JJbKj69j +yHguxZfAeIeI13UMGc+laPrN3VpvX8+Q8VwKpfH91rx5Ws+Q8VwKfRWz60Z6 +DQwZz6XgBTta2C9sYMh4LkW9e6mD5dUGhoznUoy6/3RnS0EDQ8ZzKW6lvb73 +Sp/FkPFcCtuc+x/WLGMxZDyXokPwp9ffYBZDxvPu659WUn+vlsWQ8VyKDJFt +r0l2bIaM51KYNb5ayN/KZsh43v35Nl9/PnzNZsh4LsXeCs1du36yGTKeS/HU +y26753QOQ8ZzKVK0or/6XeEwZDyXYk+E2eK0ag5DxnMp+tk8dJo+iMuQ8Vza +3eucQ5QPchkynkthGGxcYZDFZch4LsXcvC9P9pnxCM8bUb5qp5XTdh7heSM8 +/h77tI7JIzxvxPiyJbe7jPiE540ojc1rN9/BJzxvxG3tnZlpGXzC80akyzN2 +KPYWEJ434nnQmArRYQHheSMe/T3h718pIDxvhObS8UcqxwoJzxvRUDzRT+6u +kPC8Efop20qVuoSE542YFW5+p3O9iPC8EYnNRpekWSLC80Z8ebdqwlcHMeF5 +Izp1LimZ3BcTnjdi9STHAXvVJYTnjfCtF32y8JMQnjdie85yVTuphPC8EQVm +bnqhHlLC8+77892+b1S5lPC8EVnTS56vXNRIeN6IOP/yBVm5jYTnjQjZvkZV +b14T4XkjVBx5oxbmNxGeNyL6SeLLsKXNhOeNGNQyRmpf20x43gidRfrnT43+ +SnjeCP7wgReHHvlKeN59vxYva36a8ZXwvBFjX2qMlNNrITxvxLy4vtKFa1sI +z5uwPdlPEB3dQnjehBU67o7D/rYQnjdBW9vAVbz4G+F5E5oPRKn+jvhGeN6E +UTeW/jnWq5XwvAlnTEI3Xl3TSnjeBIMK4ZIFia2E5014FbhBUGPeRnjeBBPe +hC1rjrURnjdB7dS8o//X3nkGVXmmYRiVoljjsIKV7xTOgQPqOURBsRxLFMta +dpWTtcSuiJIssRBr1MSVFSxBUcQoghVrjBo78oiFsopiTRTBglhwjxUVpWR/ +nPt7582v/bmz8/y8Zr6Z7+czc819v/fr4jeOe263ztF6jskdWOa453bri56p +nvVOljnuud0a4hl4vCTgreOe/+d/+XmH41LfOu653Xo58ZjR3Oyd457brf1i +h7atn/jOcc/t1mkFXmPHeL133HO7da0hrUNk8nvHPbdbw6dPSZ3lV+6453br +ltkH468eK3fcc7v1zQuP9PxBHxz33G4taT5q3KXSD457brf+Fnk3xLLio+Oe +262pvh/jJwZXOO653fqnlOzlDx9VOO653dq7efChoJTKDPSzLTebnHg2pioD +/ey9KW6Hd5uqM9DPHpLpf8JUVZ2BfvZPV0pWNv/KSe1nt8l60LngvpPazw6O +W5Z/64saaj97cPeNsXuLaqj97NrXz8TWiKip9rMNI0yWlA811X52frvgwOg1 +tdR+9tGkVcEbQ5zVfnb/MGOniFJntZ/d8V7m8rk7XdR+9o9nxuYWRruq/ex7 +55dFWmxuaj97+JOBqU/61Vb72S5v0odkDauj9rPTvnmWm7rUXe1n754R3baq +uK7az95S0LnP5vn11X52/qVK07johmo/e+Pfxyz61eMTtZ8dZthZ2GiaB8Fv +3TH3bp0+Rrz3NvfdN5/0jfYm+K12HbvbKuLE+28ttgYsuZnqTfBb+2LXtbl9 +xFt9D875Wm6RW543wW81SnZpParYW30fLiJpw57CD94EvxW62qXibG3xXtzM +ZBeb2UMh+K2gVbZDP3uL9+NGuByd3NdfIfitglN7z78LUtT35G4/XaZL76EQ +/Fb6haU3kgYq6vty71+f67BiuELwW7PKR21bP0lR35u796/5szOmKQS/ZYmf +5FH5raK+P5epX3pzSJxC8FsPOqwynE1U1PfosnXzwwduVQh+q2z09pqv9yvq ++3Q7swvHHUhXCH5r+o8LypblKup7dZF9Fpi+v6kQ/Fb/5jEz1hQrBL9VI7tO +37MvFYLf6lwyXGlUrRD8VnZ41szZ9TQEvxXf5Kcsp2Yagt86Y9yUuN2oIfit +Hq++nDK1vYbgt07e/Dx7UE8NwW+NiGrZZehfNAS/Feyu+9vs0RqC39qzIn5P +xpcagt8qvTsr3zhPQ/BbXXNfjz0QqyH4LfvSAZWjkzQEv1Xu7RdqSdMQ/Nac +qbseGY5oCH7LIzg1rvt5DcFv7Rt0uHjRdbxv8Mi68Mz6nY+L8b7BI+uGiI5r +o99oCH6r77WnUwOctQS/9fDK7pfuHlqC30reZXvTWK8l+K265qLevdppCX7r +jD0+c/NnWoLf6rTSFhoQpiX4rSv7yk7cn6gl+K2jY8c1yIrWEvxW9Hq3drdi +tAS/teJIU1PLJC3Bb4XOe1b2wy4twW9NyhiwLeikluC33JSHAxvmaQl+a5Zn +UuMWd7UEvxV7rrzpqFdagt/aWfDzuhvOOoLfqmpWdWGJp47gt7RZ/k5RJh3B +b+3Y3ypmdRcdwW+lWIsyXw3WEfxWW5NFs3KCjuC3esz4tOWUWTqC36qe6t7t +H8t0BL/VdmKC5n6KjuC31riZIr77RUfwW+09p+aF5+oIfks56NUsoUhH8FvH +W1UZ6pTpCH4rr+eT+9nueoLfOtjh0KDrip7gtzyCxi42B+sJfqswrt++ggF6 +gt968OfJjQsm6Al+a9qavQ0s8/QEv6X5fkHzwtV6gt/66N/n4sPdeoLfctX6 +3uh3Vk/wW1ttOW6N7ugJfitxoS2sw1s9wW9VL1x//XxDH4LfSk7rf+6Unw/B +b3lbYpbqPvMh+K3kjEUH3o/yIfitusOmVXWf40PwW/t/TR9Za60PwW/1Ohrx +stsBH4Lfunn1jld1ng/Bb4XkVU7t8cyH4Lcu39pytqG7geC39lfE9Ij0NRD8 +Vrt+KYO/CDUQ/Nbeb2vvfjzJQPBbPQyLJnrEGAh+6yvXpFclOwwEv+WqrPUP +zzEQ/Fbxb0HDNpQaCH7Lc2t4lxUNjAS/5XW5fqtegUaC3ypaUz8/x2Yk+K2E +6UdyfOYZCX5r+9zLxvGbjQS/dazq6+xlOUaC33q0yW3YvpdGgt/yPpQRea2Z +L8FvjbTlJ9Tr5UvwW7MWN5g3McqX4Lf+enKQ6/MNvgS/9U+38TOO5/oS/NZ3 +if2X3yr3JfitM3dyL443+RH8Vr2CcZsiR/oR/FbYzN7r6v/gR/BbJRrn82PP ++RH81vLhRpeYCj+C34rrtHFycnsTwW8ltphw6EKUieC3WpprvQjZZyL4rQuZ +cU087SaC36qYmFiaYPYn+K3dA52q7TP9CX5rW1Tgs/BT/gS/lb6ub0l/9wCC +30qOCE17NSyA4Lecbw1/cnpvAMFv3anMWWR2bU3wW/MjdyzIHd+a4LdauS5e +EpTVmuC3MtsvdupiaUPwWx2fryrN39yG4Lfs3qvnaVu2Jfitkc8Dl6eltiX4 +rRwnW4yuqfl/bj8TfmtH0cXpIz430+3zLU7vzyhR2au4wLb5ql3lp1/PHBUy +VHzP+5u8v8n7m7y/yfubvL/J+5u8v8n7m7y/yfubvL/J+5u8v8n7m7y/yfub +vL/J+5u8v8n7m7y/yfubvL/J+5u8v8n7m7y/+f+yv4n80ohdm03JrsFqXgmM +fBJY7ds5GPkjMPJGYOSLwMgTgZEfAiMvBEY+CIw8EBj5HzDyPmDke8DI84CR +3wEjrwNGPgeMPA4Y+Rsw8jZg5GvAyNOAkZ8BIy8DRj4GjDwMGPkXMPIvYORf +wMi/gJF/ASP/Akb+BYz8Cxj5FzDyL2DkX8DIv4CRfwEj/wJG/gWM/AsY+Rcw +8i9g5F/AyL+AkX8BI/8CRv4FjPwLGPkXMPIvYORfwMi/gJF/ASP/Akb+BYz8 +Cxj5FzDyL2DkX8DIv4CRfwEj/wJG/gWM/AsY+Rcw8i9g5F/AyL+AkX8BI/8C +Rv4FjPwLGPkXMPIvYORfwMi/gJF/ASP/Akb+BYx+Fxj9LjD6XWD0u8Dod4HR +7wKj3wVGvwuMfhcY+Rcw+l1g9LvA6HeB0e8Co98FRr9Lvg+C0e+S74Vg9Lvk ++yEY/S75nghGv0u+L4LR75LvjWD0u+T7Ixj9LvkeCUa/S75PgtHvku+VYPS7 +5PslGP0u+Z4JRr9Lvm+C0e+S751g9Lvk+ycY/S75HgpGv0u+j4LR75LvpWD0 +u+T7+Uxl9LvkeyoY/S75vgpGv0u+t4LR75Lvr2D0u+R7LBj9Lvk+C0a/S77X +gtHvku+3YPS75HsuGP0u+Z4LRr9LvueC0e+S77lg9Lvkey4Y/S75ngtGv0u+ +54LR75Lv+b//cM8Fo98l33PB6HfJ91ww+l3yPReMfpd8zwWj3yXfc8Hod8n3 +XDD6XfI9F4x+l3zPBaPfJd9zweh3yfdcMPpd8j0XjH6XfM8Fo98l33PB6HfJ +91ww+l3yPbf/4Z4LRr9LvueC0e+S77lg9Lvkey4Y/S75ngtGv0u+54LR75Lv +uWD0u+R7Lhj9LvmeC0a/S77ngtHvku+5YPS75HsuGP2uAV7T5yfsDyT0u8Kv +WpwHk5n3N3l/k/c3eX+T9zd5f5P3N3l/k/c3eX+T9zd5f5P3N3l/k/c3eX+T +9zd5f5P3N3l/k/c3eX+T9zd5f5P3N3l/k/c3eX+T9zd5f5P3N3l/k/c3eX+T +9zd5f5P3N3l/k/c3eX+T9zd5f5P3N3l/k/c3eX+T9zd5f5P3N3l/k/c3eX+T +9zd5f5P3N3l/k/c3eX+T9zd5f5P3N3l/k/c3eX+T9zd5f5P3N3l/k/c3eX+T +9zf/i/1N+C17Sfclxyeb1fcHNW7JG7qdNqvvD9bd6b/Iyceivj/YNSrmRZ9E +i/r+oHKhXpSmZaD6/qAtrPpO+qlA9f3BwsxLj4tjP1XfH/wlrU/lppR26vuD +HsmFdUvDgtT3B+O77nkcvCeEfgfqMWVU + "], {{{}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{ + Polygon[CompressedData[" +1:eJwl2VMQIDgWBdBp27Zt27Zt27Zt27Zt27Ztc6b3dO3HqVTy/yr3JgkatqvY +NuA///wTgNVB/7/+3QciMEFw/E8wghOCkIQiNGEISzjCE4GIRCIyUYhKNKIT +g5jEIjZxiEs84pOAhCQiMUlISjKSk4KUpCI1aUhLOtKTgYxkIjNZyEo2spOD +nOQiN3nISz7yU4CCFKIwRShKMYpTgpKUojRlKEs5ylOBilSiMlWoSjWqU4Oa +1KI2dahLPerTgIY0ojFNaEozmtOClrSiNW1oSzva04GOdKIzXehKN7rTg570 +ojd96Es/+jOAgQxiMEMYyjCGM4KRjGI0YxjLOMYzgYlMYjJTmMo0pjODmcxi +NnOYyzzms4CFLGIxS1jKMpazgpWsYjVrWMs61rOBjWxiM1vYyja2s4Od7GI3 +e9jLPvZzgIMc4jBHOMoxjnOCk5ziNGc4yznOc4GLXOIyV7jKNa5zg5vc4jZ3 +uMs97vOAhzziMU94yjOe84KXvOI1b3jLO97zgY984jNf+Mo3vvODn/ziN//y +H3/4O/wBCEggAhOEoAQjOCEISShCE4awhCM8EYhIJCIThahEIzoxiEksYhOH +uMQjPglISCISk4SkJCM5KUhJKlKThrSkIz0ZyEgmMpOFrGQjOznISS5yk4e8 +5CM/BShIIQpThKIUozglKEkpSlOGspSjPBWoSCUqU4WqVKM6NahJLWpTh7rU +oz4NaEgjGtOEpjSjOS1oSSta04a2tKM9HehIJzrTha50ozs96EkvetOHvvSj +PwMYyCAGM4ShDGM4IxjJKEYzhrGMYzwTmMgkJjOFqUxjOjOYySxmM4e5zGM+ +C1jIIhazhKUsYzkrWMkqVrOGtaxjPRvYyCY2s4WtbGM7O9jJLnazh73sYz8H +OMghDnOEoxzjOCc4ySlOc4aznOM8F7jIJS5zhatc4zo3uMktbnOHu9zjPg94 +yCMe84SnPOM5L3jJK17zhre84z0f+MgnPvOFr3zjOz/4yS9+8y//8Ye/F38A +AhKIwAQhKMEITghCEorQhCEs4QhPBCISichEISrRiE4MYhKL2MQhLvGITwIS +kojEJCEpyUhOClKSitSkIS3pSE8GMpKJzGQhK9nITg5ykovc5CEv+chPAQpS +iMIUoSjFKE4JSlKK0pShLOUoTwUqUonKVKEq1ahODWpSi9rUoS71qE8DGtKI +xjShKc1oTgta0orWtOFvgGtHezrQkU50pgtd6UZ3etCTXvSmD33pR38GMJBB +DGYIQxnGcEYwklGMZgxjGcd4JjCRSUxmClOZxnRmMJNZzGYOc5nHfBawkEUs +ZglLWcZyVrCSVaxmDWtZx3o2sJFNbGYLW9nGdnYQT5CNz0whdxazmcNc5jGf +BSxkEYtZwlKWsZwVrGQVq1nDWtaxnpEC8wiGM4yhDGEwgxjIAPrTj770oTe9 +6EkPutONrnShM53oSAfa0462tKE1rWhJC5rTjKY0oTGNaEgD6lOPutShNrWo +SQ2qU42qVKEylahIBcpTjrKUoTSlKEkJilOMohShMIUoSAHyk4+85CE3uchJ +DrKTjaxkITOZyEgG0pOOtKQhNalISQqSk4ykJCExiUhIAuITj7jEITaxiEkM +ohONqEQhMpGISATCE46whCE0oQhJCIITjKAEITCBCEgA/harPwrWf/zLb37x +kx985xtf+cJnPvGRD7znHW95w2te8ZIXPOcZT3nCYx7xkAfc5x53ucNtbnGT +G1znGle5wmUucZELnOccZznDaU5xkhMc5xhHOcJhDnGQA+xnH3vZw252sZMd +bGcbW9nCZjaxkQ2sZx1rWRP0/x12FStZwXKWsZQlLGYRC1nAfOYxlznMZhYz +mcF0pjGVKUxmEhOZwHjGMZYxjGYUIxnBcIYxlCEMZhADGUB/+tGXPvSmFz3p +QXe60ZUudKYTHelAe9rRlja0phUtaUFzmtGUJjSmEQ1pQH3qUZc61KYWNalB +dapRlSpUphIVqUB5ylGWMpSmFCUpQXGKUZQiFKYQBSlAfvKRlzzkJhc5yUF2 +spGVLGQmExnJQHrSkZY0pCYVKUlBcpKRlCQkJhEJSUB84hGXOMQmFjGJQXSi +EZUoRCYSEYlAeMIRljCEJhQhCUFwghGUIAQmEAEJwN/HlT9BzD//8ptf/OQH +3/nGV77wmU985APvecdb3vCaV7zkBc95xlOe8JhHPOQB97nHXe5wm1vc5AbX +ucZVrnCZS1zkAuc5x1nOcJpTnOQExznGUY5wmEMc5AD72cde9rCbXexkB9vZ +xla2sJlNbGQD61nHWtawmlWsZAXLWcZSlrCYRSxkAfOZx1zmMJtZzGQG05nG +VKYwmUlMZALjGcdYxjCaUYxkBMMZxlCGMJhBDGQA/elHX/rQm170pAfd6UZX +utCZTnSkA+1pR1va0JpWtKQFzWlGU5rQmEY0pAH1qUdd6lCbWtSkBtWpRlWq +UJlKVKQC5SlHWcpQmlKUpATFKUZRilCYQhSkAPnJR17ykJtc5CQH2clGVrKQ +mUxkJAPpSUda0pCaVKQkBclJRlKSkJhEJCQB8YlHXOIQm1jEJAbRiUZUohCZ +SEQkAuEJR1jCEJpQhCQEwQlGUIIQmEAEJAB/H1j/BDb//MtvfvGTH3znG1/5 +wmc+8ZEPvOcdb3nDa17xkhc85xlPecJjHvGQB9znHne5w21ucZMbXOcaV7nC +ZS5xkQuc5xxnOcNpTnGSExznGEc5wmEOcZAD7Gcfe9nDbnaxkx1sZxtb2cJm +NrGRDaxnHWtZw2pWsZIVLGcZS1nCYhaxkAXMZx5zmcNsZjGTGUxnGlOZwmQm +MZEJjGccYxnDaEYxkhEMZxhDGcJgBjGQAfSnH33pQ2960ZMedKcbXelCZzrR +kQ60px1taUNrWtGSFjSnGU1pQmMa0ZAG1KcedalDbWpRkxpUpxpVqUJlKlGR +CpSnHGUpQ2lKUZISFKcYRSlCYQpRkALkJx95yUNucpGTHGQnG1nJQmYykZEM +pCcdaUlDalKRkhQkJxlJSUJiEpGQBMQnHnGJQ2xiEZMYRCcaUYlCZCIRkQiE +JxxhCUNoQhGSEAQnGEEJQmACEZAA/P1k+aMv/se//OYXP/nBd77xlS985hMf ++cB73vGWN7zmFS95wXOe8ZQnPOYRD3nAfe5xlzvc5hY3ucF1rnGVK1zmEhe5 +wHnOcZYznOYUJznBcY5xlCMc5hAHOcB+9rGXPexmFzvZwXa2sZUtbGYTG9nA +/wDe7FD+ + "]]}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwV1vOfEAYYBvDLtnldtm2bl12Xedm2bdu2uYUtbLkht8UtbnHtez98P89f +8D7PGxQSGtw9XEBAwKHwAQFhKQIiEJFIRCYKUYlGdGIQk1jEJg5xiUd8EpCQ +RCQmCUlJRnJSkJJUpCaQNASRlnSkJwMZyURmspCVbGQnBznJRW7ykJd85KcA +BSlEYYpQlGIUpwQlKUVpylCWcpSnAhWpRGWqUJVqVKcGNalFbeoQTF3qUZ8G +NKQRjWlCU5rRnBa0pBWtCaENbWlHezrQkU50pgtd6UZ3QulBT3rRmz70pR/9 +GcBABjGYIQxlGMMZwUhGMZoxjGUc45nARCYxmSlMZRrTmcFMZjGbOcxlHvNZ +wEIWsZglLGUZy1nBSlaxmjWsZR3r2cBGNrGZLWxlG9vZwU52sZs97GUf+znA +QQ5xmCMc5RjHOcFJTnGa7/ieM5zlHOf5gR+5wEUucZmf+JkrXOUa17nBTW5x +m1/4ld/4nTvc5R73ecBDHvGYP/iTJzzlGc95wUv+4m9e8Zo3vOUd7/mHf/nA +Rz7xmS985T++EXb84QhPBCISichEISrRiE4MYhKL2MQhLvGITwISkojEJCEp +yUhOClKSitQEkoYg0pKO9GQgI5nITBayko3s5CAnuchNHvKSj/wUoCCFKEwR +ilKM4pSgJKUoTRnKUo7yVKAilahMFapSjerUoCa1qE0dgqlLPerTgIY0ojFN +aEozmtOClrSiNSG0oS3taE8HOtKJznShK90IK+9QetCTXvSmD33pR38GMJBB +DGYIQxnGcEYwklGMZgxjGcd4JjCRSUxmClOZxnRmMJNZzGYOc5nHfBawkEUs +ZglLWcZyVrCSVaxmDWtZx3o2sJFNbGYLW9nGdnawk13sZg972cd+DnCQQxzm +CEc5xnFOcJJTnOY7vucMZznHeX7gRy5wkUtc5id+5gpXucZ1bnCTW9zmF37l +N37nDne5x30e8JBHPOYP/uQJT3nGc17wkr/4m1e85g1vecd7/uFfPvCRT3zm +C1/5j2+EDX84whOBiEQiMlGISjSiE4OYxCI2cYhLPOKTgIQkIjFJSEoykpOC +lKQiNYGkIYi0pCM9GchIJjKThaxkIzs5yEkucpOHvOQjPwUoSCEKU4SiFKM4 +JShJKUpThrKUozwVqEglKlOFqlSjOjWoSS1qU4dg6lKP+jSgIY1oTBOa0ozm +tKAlrWhNCG1oSzva04GOdKIzXehKN7oTSg960ove9KEv/ejPAAYyiMEMYSjD +GM4IRjKK0YxhLOMYzwQmMonJTGEq05jODGYyi9nMYS7zmM8CFrKIxSxhKctY +zgpWsorVrGEt61jPBjayic1sYSvb2M4OdrKL3exhL/vYzwEOEuiR/R8JPkdP + + "]], + + Line[{2080, 922, 923, 924, 925, 926, 927, 928, 929, 930, 931, 932, + 933, 934, 935, 936, 937, 938, 939, 940, 941, 942, 943, 944}]}, + "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwl00OQIAgCAMHe6bFt27Zt27Zt24uxbdu2bdu2LyPukf/6VKLGHSq3/ysg +IOB6YEDAOtazgY1sYjNb2Mo2trODnexiN3vYyz72c4CDHOIwRzjKMY5zgpOc +4jRnOMs5znOBi1ziMle4yrXA/3fe4Ca3uM0d7nKP+zzgIY94zBOe8oznvOAl +r3jNG97yjvd84COf+MwXvvKN7/zgJ7/4zR8CggYE/EUQAglKMIITgpCEIjRh +CEs4whOBiEQiMlGISjSiE4OYxCI2cYhLPOKTgIQkIjFJSEoykpOClKQiNWlI +SzrSk4GMZCIzWchKNrKTg5zkIjd5yEs+8lOAghSiMEUoSjGKU4KSlKI0ZShL +OcpTgYpUojJVqEo1qlODmtSiNnWoSz3q04CGNKIxTWhKM5rTgpa0ojVtaEs7 +2tOBjnSiM13oSje604Oe9KI3fehLP/ozgIEMYjBDGMowhjOCkYxiNGMYyzjG +8zf/8C//MYGJTGIyU5jKNKYzg5nMYjZzmMs85rOAhSxiMUtYyjKWs4KVrGI1 +a1jLOtazgY1sYjNb2Mo2trODnexiN3vYyz72c4CDHOIwRzjKMY5zgpOc4jRn +OMs5znOBi1ziMle4yjWuc4Ob3OI2d7jLPe7zgIc84jFPeMoznvOCl7ziNW94 +yzve84GPfOIzX/jKN77zg5/84jd/CAjmf4IQSFCCEZwQhCQUoQlDWMIRnghE +JBKRiUJUohGdGMQkFrGJQ1ziEZ8EJCQRiUlCUpKRnBSkJBWpSUNa0pGeDGQk +E5nJQlaykZ0c5CQXuclDXvKRnwIUpBCFKUJRilGcEpSkFKUpQ1nKUZ4KVKQS +lalCVapRnRrUpBa1qUNd6lGfBjSkEY1pQlOa0ZwWtKQVrWlDW9rRng50pBOd +6UJXutGdHvSkF73pQ1/60Z8BDGQQgxnCUIYxnBGMZBSjGcNYxjGev/mHf/mP +CUxkEpOZwlSmMZ0ZzGQWs5nDXOYxnwUsZBGLWcJSlrGcFaxkFatZw1rWsZ4N +bGQTm9nCVraxnR3sZBe72cNe9rGfAxzkEIc5wlGOcZwTnOQUpznDWc5xngtc +5BKXucJVrnGdG9zkFre5w13ucZ8HPOQRj3nCU57xnBe85BWvecNb3vGeD3zk +E5/5wle+8Z0f/OQXv/lDQHD/E4RAghKM4IQgJKEITRjCEo7wRCAikYhMFKIS +jejEICaxiE0c4hKP+CQgIYlITBKSkozkpCAlqUhNGtKSjvRkICOZyEwWspKN +7OQgJ7nITR7yko/8FKAghShMEYpSjOKUoCSlKE0ZylKO8lSgIpWoTBWqUo3q +1KAmtahNHepSj/o0oCGNaEwTmtKM5rSgJa1oTRva0o72dKAjnehMF7rSje70 +oCe96E0f+tKP/gxgIIMYzBCGMozhjGAkoxjNGMYyjvH8zT/8y39MYCKTmMwU +pjKN6cxgJrOYzRzmMo/5LGAhi1jMEpayjOWsYCWrWM0a1rKO9WxgI5vYzBa2 +so3t7GAnu9jNHvayj/0c4CCHOMwRjnKM45zgJKc4zRnOco7zXOAil7jMFa5y +jevc4Ca3uM0d7nKP+zzgIY94zBOe8oznvOAlr3jNG97yjvd84COf+MwXvvKN +7/zgJ7/4zR8CQvifIAQSlGAEJwQhCUVowhCWcIQnAhGJRGSiEJVoRCcGMYlF +bOIQl3jEJwEJSURikpCUZCQnBSlJRWrSkJZ0pCcDGclEZrKQlWxkJwc5yUVu +8pCXfOSnAAUpRGGKUJRiFKcEJSlFacpQlnKUpwIVqURlqlCValSnBjWpRW3q +UJd61KcBDWlEY5rQlGY0pwUtaUVr2tCWdrSnAx3pRGe60JVudKcHPelFb/rQ +l370ZwADGcRghjCUYQxnBCMZxWj+B9/8/Pk= + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Hue[0.1421359549995791, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0], + Dashing[{Small, Small}]], + Line[CompressedData[" +1:eJwVw4c6lQEAAND/Ut1bkZEXuK/kETxAHkRCRhlRysheZWsIIUUZZaZhRNki +Kcf5vhNNS0+9EQqCION8OAhumukts8w2x9vmmme+Bd7xroUWWWyJ9yy1zPs+ +sNyHPrLCSqus9rE11lpnvQ022mSzLbba5hOf2m6HnXbZbY+99vnM577wpf2+ +csBBh3ztsCOO+sYx3/rOcSd87wcnnXLaGT/6yVnnnHfBRZf87LJf/Oo3v7vi +qmuu+8MNN/3pL7fcdsdd99z3wEN/e+Sxfzzxr6f+879BJAhCxhjrBS96ybAR +L3vFq8YZ7zUTTDTJZK+bYtQzDi9hHg== + "]]}, "Charting`Private`Tag#3"]}}], <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{0., 1.}, {-16.34123920227253, 2.0794415416798357`}}, + "Frame" -> {{True, True}, {True, True}}, + "AxesOrigin" -> {0, -16.341239202272494`}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> Directive[ + GrayLevel[0], FontFamily -> "Times", FontSize -> 10], "AspectRatio" -> + GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0], + Dashing[{Small, Small}]], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Exp[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, {Log, Exp}}|>, + "Primitives" -> {{{}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJw8nXVYVE0UxpHu7hRRVExUsH3F7g5sRDEwsAMTO8FEFANFJRRFQQUUd2lE +uht22d4lFFBQ0A+5Mx//+OwD7u69d+b83plzzju27l6LPOTl5OReKsvJ/fu3 +I+xb/lz3BZj5Z3XjuMFybOa1G07kHNRs8pJj/zru+WnfzS3Qc0tTaX9Cf78L +994POrkzW459xPDVdI73Pux5ltGk3Ur//hCsNsXunGTag31YbO+o+vIoAlpW +pFSP6kH+/0k8MNEd4rW8B3tszfyrd3aegpfDlyVL9vUg73cGkj7zfAv9erDj +ZmrKDzh/DjdOeTf2Du9B3v8Cfo+Lfr0zqQd7dHT6gc+PLsHqt+qN/Ar6eVcQ +4HzJbltzD3aM9VnpwhhfGHjunTxPXZ58/jVw5vcc6dtTnn0/uWfkni3XsaVz +/u4xzvLk+9yAvNfEPm6z5dkV7d0fiDMvN79Td5Mn3+8W+leNVJ2yT55tMaSq +t7LPbTQ5yGUYXpAn39cfwxzygs4EyrNXbux+R0z7XbH03it58v0DYBab8WNV +gjz73t3uH7SO4TdlF8iT67kHfvTqvm18eXZZ9s/Fdc8CEZWaqFT5U55c3320 +T97jd1xNgW2muEx70NsHyJh1anuduQK53odonBbXojVQge3KvCG4P+tG9Riv +QK4/CO0OKersuQrsOSMvurjxg1Dw67fC3LUK5H48hhNHdjl8pwJbtvDfOz6G +WCKqLD+uQO7PE5jOeqFa66vAvrxd+Dvs2xNECKd3fHyoQO5XMKrNgk7veqXA +HnDeuOsSgvFZ02vO33gFcv+e4sjJDtHWLAX218dT3zd3PoWJ3an8N5UK5H4+ +w/GKudtKpQrsf3fLVPE53JLD5Gp+KZD7+xxzplzPTFVTZKuXBO8arx6CkoDR +P6+ZKpL7HYLVLnsDJvRVZIf/u1zdUOz9LorJdVIk9z8UUuOJi2dMUWTP+vd2 +xmEY6Wq99PkiRfI8wpA8zCRI6qbI7r7dluE46XxG3cxLkTyfcJydMMttyDFF +9r+7l9vrBdIfX90w5LIieV4vwLZZnmV2V5Hdf7VfYmu/l1A2HjCv8bkieX4v +8Xf1Ld/IaEX2l653Mx8Sgak9ng5Zm6hInmcE+sk2fW3LUWRvuV5/FE6v0Ntr +UevJKkXyfF9h+OXQMT8liuyuL9d1ya/h+66w1+o2RfK8X6M6NWFIpJISe0nX +4Ol6pAh73b+6WV+JPP9IaByreda3pxL7adfdKwmOxCkHw1+zBymR8RCJcW/8 +Z64fo8Ru6Sw8JLZ/g/lxOn83T1ci4+MNls8f7eO2RIk9pXsAvMHqSv3hs9cr +kfHyBp1Zevv67VRidz28fxeEPzrzg9q8lcj4eQud8De6n84rsZmv9xbGUcYW +e28pkfH0FjOyD+hbP1ZiD+/+iUK5m9r0+AglMr6iIPfCQ3lhnBL7NDujawRF +YY30cWBZqhIZb1F4Hrlr/vICJXZB19PvuieY6z1uS0aNEhl/0Zif6uIwXKbE +tut+w2hUhYSUXG9TIuMxGtPl7kQKFJXZe7sfwDs82b2g2VFPmYzPd8jo6VK5 +10qZndTar+sJv8PTlcbPX/ZXJuP1PYyH5F6sdFJm6zNfELH6BZ8VJimT8fse +nf5t3r3mKbO7v136e3hOf/R91EplMp4/wCs21nX6JmX22weNIamzP2BdRWX1 +3D3KZHx/wAjfue/mHFdmy3df8Aco3NbSmHJJmYz3GBjzhFoj/JXZi5gBgwEr +jkosnyiT8R+D0/xZmX8ilNlP/l1uYQwaHT9XlcUqk/kQi73JTjNepSizv/97 +O9dY3HqlM+JonjKZH7Foz3iTPrlKmT3p3/Cv6Pr9tRsDlcTKZL7EwdDH5Sy7 +RZndPXzXxsF2lNfP/XIqZP7EwbNn6/M+mipsjve/BxKH+QWV73NMVMh8+oiQ +vptn7rNTYTt2D/CPyG3WP2kwRIXMr49oOxd8JGKMCtvn9uSuIfMRG6rsV0+a +pkLm2yfo3/w9MX+hCjuvV/cDxoiA4hlr1qiQ+fcJa8LTr9dtUWHb/ov+9Z+w ++/bk0R77VMh8jIcRbFZzT6iwd/+bHrviYXPiofGqyypkfsbjStreMzn+KuyE +f0+3OR4uD1PYeKJC5utnJEiGCV5EqLB1mQmJ72Yl2oaxKmT+fkae2bwlh5JV +2N23r/0z5IfE5JTmqJD5zELNs/i7ThUq7O6vd5SFNLcbGb4CFTK/Wegztf0w +75sKW677h42YC9NZzp0qZL6zcax285uzqqrs0NTZXTOajZs3qjblGaiS+c/G +4u1prWY2quwFXbOxa4yi9AF37zoHVRIP2LA4evXHYydVdts/+iSzEeqQcYMz +UZXEBzaUBrussZ6jyg4y/zchEsAWTvZ0Xa5K4kUCFDqzs/zcVdkzuh9wAsJD +rwQm71Al8SMBt9uLBT8OqbKbQv59wQT0+hObaH9GlcSTBOyuanVZ4qfKDvj3 +7TISML1G7uCJe6okviRg5Aa1faHPVNn/BtdMzUREpf2clROpSuJN12uXXVot +H1XZ/6JJ/txE+DwrzDROUyXxJxEzbKfdG5mvyv43WrqGDPji5+eWVamSeJSI +S+WBT/aKVNndl5ubiFcqvn98m1VJfEpE+tSX4aF/VNndb6efhKlPZe/Zamok +XiVhUJXW8BJDNXZ3OFqcBG5swGCZjRqJX0lw3cGJ+eugxmbkQxKceKocPWc1 +Es+S4KDx510vFzV2dzgoSYJY48S0YXPUSHxLgpyP+eOJy9XYJ7oDTjKUHw8t +nOuuRuJdMiavb6lfsUON3bd7AiVj6rXtHRsPqZH4l4ybon1aXqfV2Dnd8iIZ +SRaswYd81Ug8TMbc4oIdJ++qsQ/+mw5VyZjwyaD0/FM1Eh+TYbouxtv3tRrb +5t9wtk6B7aAi91txaiRepmDGStcHd1PU2Gn/hp9bCniJGiMf5qqR+JkC7/Tb +455UqLG9ugNkCjL6ecc9E6iReJqC0Tnm8aHf1Ngm3RM+BaKgmAUvOtRIfE3F +lcn7fCJU1NndX693KkbWzFv1Wl+dxNtUzK5wkERaqbM3df+kIsQ/c/Tbfuok +/qZihkXF0qjh6ux/4qkrwsI7q3Zm9AR1Eo9TwTKeY/tupjr7X3Q8JE6Fr+Yu +4bsl6iQ+p0HD4GzI+3Xq7LXdb5iG6iNh2z94qpN4nYaRtlyXmP3qbOXuANX1 +utN8WOxJdRK/0xAf33dG3GV19r+nYfgqDXlLkn0/+quTeJ6GF2dv68Y/Vmd3 +q7vGNJzb2b/080t1Et/TMU+nrZH9QZ395990c0zHhKwN7kmJ6iTep+Nac+zo +1Cx19vN/w3lPOmY5Dj/5pVSdxP90TDdsQVadOnsec8E476N1Oq9BnfAgHUKH +Y4uL29XZP/49jtZ0zJEf/LFCUYPw4QvmhbclcXQ02A//Xe7IL7jACz4oMtcg +vPgCv05eTWMfDfa07hv4BUHObsptQzUIP76g76CwFrlxGuyGbjx8gfnEydHq +0zUIT75gcvPjeUaLNNj+/8L77y+4vKB/Vs81GoQvGZg2ffDoQVs02N3heXwG +gtV+Bo7Zq0F4k4FRWz7+mXFcg90dXo9nIGPAM0/XixqEPxmYvSNXtOWWBpsJ +fxlwks0+5f1Ig/AoAw4bzCZeDddgd4cX+a9Qqxg04PE7DcKnr9jSsXvOe7YG +u3v6Tv6KG+sSX2Z+1SC8+gq5isYlvGINdvf0OPsV23NZczs5GoRfX3HwkOyR +Sb0Gmxl+X9EysM+S4W0ahGdfwX2jvXeBgia76N/jVc2E2bHJSl7amoRvmfjY +sdjAz0yTfaw7AGZic2Xqs8jemoR3mcBMs5SCIZrsPt0DMBNpI1iH28ZoEv5l +IsjfLtN6miY7K7P7B8MiQpOnLdQkPMzEhPGh23at1mTv7w6oWdiZu/dL4GZN +wscslHxfIkjfo8m26h7QWbjmdTXj5zFNwsssTL2y/Hi/i5rslO7lVRYEkwVq +q25pEn5mYfNJj/1+jzTZO7oDdBaeLv6VnhKuSXja9fshSZp/3mmyu8OVYTbu +WJbOGJWgSfiajdvfZp3dl6nJ7g4Hy7JRcr5vxtsSTcLbbLxt2mvTzNVkM8uv +bOwIG3rVqUGT8Lfr/2e7Wni3a7I1mQmHu/O4eWxFLcLjbMRbfI5R09Vidw8X +8xwsWVNassRCi/A5B+uDTEc+sddidz+O1Tk4p+Je/c1Ri/A6Bxu8bxZNHq/F +ZpZnOZhZeL53wAwtwu8ceOobFzQs1mJ3v11NDjx89MTT12kRnudigWTmzmBP +LTLfc+HIuuzZ44AW4XsuWqYF8df7aJH5n4uS9LnC5CtahPe52PBh+UGHAC0S +D3JRXX78wY1gLcL/XEw54rO+85UWiQ+5KL9o8dUzTovogVyMylDmlqdokXiR +i45fvyLm5mkRfZCLzs4I56RKLRI/ctFXrvD0WJEW0Qu54AXZPHjfrEXiSS4G +mE26NOKvFtEPuRjoLl30Tl2bxJdcBK3K+DPKWJvoiTwkfrtym2WrTeJNHoST +aq1nDtIm+iIPucm7nhSN0ibxJw+Ptkr6ekzRJnojD8eS297/nK9N4lEeOgZN +X351lTbRH3mwE53Utd+sTeJTHsZMWihJ2KNN9Ege5nzaIHM7rk3iVR62OGy0 +VrikTfRJ1+eV/DkfelubxK88pIbWDl34WJvolTx8n/ChZ+dLbRLP8vDFzHHd +yxhtol/ycCW2s3ltsjaJb3loYmcJDHO1iZ7JR6rxYmRXaJN4l4/NKi5Kl4Xa +RN/ko9NjzsTZzdok/uXDN2JAm/ZfbaJ38iGwvTOiWF2HxMN8LG6a0RlkrEP0 +Tz6GLehc4dVLh8THfKwN3rPIZbAO0UP52Oe/tcF4jA6Jl/lonfjMqWmqDtFH ++XCZKh2StVCHxM98HGlS4Ees0SF6KR8zV8atvrFVh8TTfOw9U/nQe78O0U/5 +2OU9NmqTjw6JrwXI2fXi8bKrOkRPFeAGT2HPrLs6JN4WYFuczcBJz3SIvipA +3ZqqkvFvdEj8LcChWutj4+N1iN4qwF3TDDuXLzokHhfgMTu9YEaRDtFfBfhw +W/X2Eo4Oic8FCD1wxGtjvQ7RYwWIOmWy7VC7DonXBeh1Xzo9XV6X6LMClHyp +3FOsqkvidwG+Ga+PE2jrEr1WgFMRR3u1G+qSeF6Aa72dX2tZ6BL9VgB3P/+N +vW11SXwvROaVsGnj++oSPVeIE8uOrXAdpEvifSFcc3s93j9cl+i7QoTdibC9 +PVqXxP9C3NAfUfMeukTvFWL2iZyq8qm6hAeFOKpw37bHHF2i/wpx+2PMq/6L +dAkfCtE/Y/rFJa66RA8WYuHmne981uoSXhRCt2nJyDcbdYk+LMSnVnuDOk9d +wo9CHJhrMNdkty7Ri4UIspkjnntQl/CkEGt+KsvOHdMl+rEQ5vlHVySe1iV8 +KULfIRInuUu6RE8WYbqN39mJ13QJb4qwYcnrOaf9dYm+LMJgxxt+6fd1CX+K +0FB6apFusC7Rm0UYjdy7K8N0CY+KkDsya2vIa12iP4vgcy439cc7XcKnIhg2 +jng345Mu0aNFmDN1xegHibqEV0X4fjRoeUu6LtGnRWiJ3W04L0eX8KsI191G +7Qsv0iV6tQgBfTYeUavUJTwrwgfrrYO3cXWJfi1GdT7reo5Il/CtGJGz+GHO +jbpEzxZD23bVkaBWXcK7Yry9GaKu1aFL9G0xam4uXX1UXo/wrxi9S1r2Najq +Eb1bjLEisxUbdPQID4vRNnKtVoWRHtG/xVg02M1/qaUe4WMxTo1NasvvpUf0 +cDHGi4eMXtxfj/CyGMqyxauLh+gRfVyM2yO4m1Y76xF+FsO9x801/HF6RC8X +Y0KT3dTdk/UIT4thoOvaS26WHtHPJYj7/rP9+gI9wtcSNH3Oy7Vfrkf0dAk2 +TYp98XmNHuFt1+8VLvit2KhH9HUJSpZa+fz01CP8LcHULWvPBuzWI3q7BLmr +nB+PO6RHeFyCwUv8y+qO6xH9XYIel/cP9z2rR/hcgkz3vKixV/SIHi+BknfE +OtkNPcLrEiz6bDAu6K4e0ecl4J4wnLc8SI/wuwQtZ1Lv6YXoEb1egoNW0/vm +ROgRnpeC4xzX5hetR3heCqtdswyXfNQjPC9FxKD+JywS9QjPS1Ef4TtBkK5H +eF6Ks1Zxy6Nz9AjPS/H3xbecs8V6hOel8As69XJllR7heSnkvBK+D+PpEZ6X +oihTLVRbqkd43vX3qZ/z6r/pEZ6Xwr5o1v7cNj3C81K8abYJeP9Xj/C8FOPf +fUCQsj7heSmKV97cfVVLn/C8FB/FY4cfN9QnPC9FjsukS3ss9AnPy+A0Akc9 +e+kTnpfB9l6B9qb++oTnZeBuC53uMVSf8LwM1qU7B24ZqU94XoYZq2oTd07Q +Jzzvel3yWffwVH3C8zK4vyi0OD9Hn/C8DIszW8QBi/UJz8sQXvnr5KuV+oTn +ZVg59asgbb0+4XkZrs5bbMvfok94XoZXoy46K+/SJzwvw4el2wcOOKhPeF4G +Vi8ltSXH9QnPy8Be75F/8qw+4XnX5w0P9X1zRZ/wvByRw75PFtzUJzwvR+WV +3W3WgfqE5+WQWK99u+qJPuF5eRcf2g/eD9MnPC+HvKfffE6kPuF5ObaO3Q+H +GH3C83JoR6jPO8jSJzwvx8nHsT7pqfqE5+X4ueBHrVW2PuF5ORw2Yc/BIn3C +83IcsrAeV1SpT3heDjdX+6kjefqE5+WYmfPj5gOpPuF5OQIsRg9RbdYnPC+H +Xe1jq4O/9AnPK9DAb/KQ9DAgPK/A1LHftN3VDAjPK2C/+LBNta4B4XkFdPts +e7jW1IDwvALmc6Nv1NkYEJ5XwHvgPIUdfQ0IzyuwTH3Cz1+DDQjPKyDndHv/ +VWcDwvMK+NntPtt7ggHheQXy7/wexJ5qQHhegWi25wG3uQaE5xX4sbrHJqWl +BoTnFXCt1lZ8vdqA8LwCDlcbl6/ZaEB4XoEJLzW36m43IDyvwIIHlRPS9xoQ +nlciX6mu9swRA8LzSgxqiVw27bQB4XkltivxQzQvGxCeV2Ld5QGVJTcMCM8r +MSRqwu+QewaE55XY9FKgeeyJAeF5JfbmtpsuDzcgPK/E44zVfZ3fGhCeV+Im +W36ieZwB4XklnI/UeyokGhCeV2LYtUGR374YEJ5XgnOZa8LPMyA8r0S6xdjw +qjIDwvNK3Bm936uCY0B4XonwZdVe1WIDwvNK2FwoiBJ8MyA8r8KuqITJLe0G +hOdVCPrYp5+KvCHheRVaX13ZaqNuSHhehdmtN3XH6xsSnlfhx3FxHzdzQ8Lz +Kkxqmf32Qi9DwvMqXOVf+vzewZDwvAo/f59bLBlmSHhehY8Ow7ztxhoSnleh +H8dvrPtkQ8LzKowd/y7o+WxDwvMq8Cd8edu02JDwvAq/fisembjakPC8CtmF +UfL+Gw0Jz6twImz44m/bDQnPq9Gp07p/0X5DwvNqfLh0ZF/sMUPC82oYsNe4 +2p8zJDyvxo23Uwfc9TUkPK9GSnvTD707hoTn1Wj9PTTl2iNDwvNq3O0nfWQU +akh4Xo1bw82uB0UaEp5XY8rCL08cYw0Jz6uxfK91TXqCIeF5NY6eW7BgU4Yh +4Xk1GiJfyqkXGBKeVyP3+u1fURWGhOfV2OVzesZGniHheTW25iu2mtcbEp5X +463BZ/XSVkPC8xpcXux5K/CPIeF5DTZtqLjvoWJEeF6DeMtfg511jQjPa1D+ +PHWplpkR4XkNQuJdLaW2RoTnNdjVXnM1x8GI8LwG0SZP38UNNyI8r8GPKUqP +Xo4zIjyvwbPNR5c+m2pEeF4Dre2BvKfzjAjPa7Dhupbri+VGhOc1+P7hzscY +NyPC8xqsjTS1yNpqRHheA+MrF0+J9hgRntegqaGzU/2oEeF5LVrWP7074qwR +me+1WB2Sut7D14jwvRYlOhnuD+4YkflfC4W8IWGVQUaE97UYvea2i124EYkH +tXj4KXrU7igjwv9a+Ao3BqZ+MiLxoev9e907YJdqRPRALfav3FRyPseIxIta +SM+0ZzSXGhF9UIsNfx6v3cw1IvGjFpdkmY+4UiOiF2rRGKR836PViMSTWnh1 +/nJr+mNE9EMt7Mv3d5xSNSbxpRbi7RuOWukbEz1RC/e3uQ0sC2MSb2rxpVS6 +3rOPMdEXtV3xUZFnOcSYxJ9aVChePV0yypjojVoccTabf2+SMYlHtRh3ym2p +xxxjoj9qUXBx/LNRy4xJfKpFePKruYZuxkSP1KLsR/Gatq3GJF7VQiW8k8fb +a0z0SS2cQv2+lx0zJvGrFscP2fiXnDcmeqUWpVUeNVXXjUk8qwVv+aRqWaAx +0S+1yHj28Ynic2MS37q+32y58X0ijYme4eCeyeb4eXHGJN5x4Praa4RPsjHR +NxzcNEl6+ynbmMQ/Dv5OHjGzR5kx0Tsc9A5hq86vMybxkAPFlGvyz+uNif7h +wCG9bbpymzGJjxyMNjss8ZI3IXqIA6X2z7+5miYkXnIwwerZ1XUmJkQfccCe +P+It39aExE8O/HWfHtk/0IToJQ4+jlnVoT3ShMRTDkJfNE1762JC9BMHifUD +dq6bY0LiKwcRfKWzxstNiJ7iIORU2MOS9SYk3nJQu3tf9pPtJkRfcdBe+LPv +oYMmJP5y8Px32+flp0yI3uLgfs89IS5XTUg87vo+/W+2OgWYEP3FQdi2xPgR +wSYkPnNQueuB4YRXJkSPcWCf3K69KNaExGsO6j7zE3YlmxB9xkHWyrBJ93JM +SPzuel4qac+zy02IXuNgy5kOBW2BCYnnHNjGT97l+s2E6DcOSl4U9HjVYULi +OxcuE2xztFVNiZ7jYj1qG7wNTEm858J7quKh79amRN9xsfZpgs9+B1MS/7nA +Rl87RWdTove4UBlvt/+hiynhARdb/Y/6TplrSvQfF5FXKs7/cDUlfODi6K/U +w9EbTYke5GLfec1jx3eZEl5w0fNTQviSo6ZEH3LRZj7VcuQFU8IPLrImQNrn +linRi1xE/Ql2tA0yJTzh4sidKLX+L02JfuSiqfz31fExpoQvXa83rihbm2xK +9CQXZ4vblK/mmhLecNHHxHVYeqUp0ZdcjL4//aCu2JTwhwuzD9JvHq2mRG9y +8VAc/zm9hxnhERd7XXx/jdI2I/qTi7lxZxLfm5sRPnExJaTXiEl9zYge5WKF +3ZQdFcPNCK+4OHTR9sqpiWZEn3Kh17Lg9ci5ZoRfXdeXnNb6a4UZ0atcLB1W +eTxzkxnhGReGddM8X+41I/q1Dm0Xe5feO2lG+FaHW9d+iu5cNSN6tg4D08Pi +g++ZEd7VQa+378HPIWZE39Zh3jH3UaJoM8K/OiSvW9jLNtGM6N06aHGal2/N +MSM8rMNKn8Ud7Eozon/rcMFYNqyfxIzwsQ6fEiJsHv00I3q4Dl8a/wj6KJkT +Xtbh/sbPEZ/0zYk+roPqoql3N/Q0J/yswzDvrTnmg82JXq5D65oTB7hjzQlP +63D54Op3cTPNiX6uQ4rPiqjg5eaEr3WYmLkk4IGHOdHTdci/H30ldK854W0d +Qh5ujU32MSf6ug4r1n2b/t3PnPC3DpvKXd0dH5oTvV2HYwYYfuqlOeFxHUSs +Ej4vzpzo7zo8+Vv/bMUXc8LnOnjaCx/VlpgTPV6HVbdT5LwF5oTXddjzbMCP +Pq3mRJ/X4UTw2lc8BQvC7zqoVc90fa9vQfR6HW6m+NgF2loQnvNQYzN94s2h +FoTnPITPu1TzEBaE5zwcCG8Y9nmeBeE5D3++ZW3/tsaC8JyHquyx7FE7LAjP +eTDbpbb+1lELwnMeBo/9clrxigXhOQ8Tb+WvuRhoQXjOg8PnqX3sXlgQnvNg +W6Cjlx9nQXjOw6PiBlf/DAvCcx62cPY77Sq3IDznwWOLXu06iQXhOQ/9H2nc +9PhlQXjOg8rPaxd91C0Jz3k49zH6b5S5JeF5199n7R/W6WBJeN51vUeS1q0Z +a0l4zgNOnEotnG1JeM5Db+MbzzestiQ852HDxLyJ6jssCc950OvVuyT9mCXh +OQ95Fw6/fORrSXjOw9Q7uYp+jywJz3lwuzOhz51IS8JzHhpbWhbHJFgSnvNw +d/300u/5loTnPHyye/trGs+S8JwHv+u1iu9aLQnPu643LsplvIoV4TkPP8bN +7KwxtSI858FoifzZBw5WhOd8nNO+3PfAOCvCcz5usDkjPOdZEZ7zsT9s7Z/D +blaE53x05H4sfrbHivCcjz/WVvoNZ6wIz/l49MpUefEdK8JzPk6vY6kVhVkR +nvNhGvJs/75PVoTnfIx9Kgt2zLEiPOfjycHZTZpcK8JzPrzqTGOUW60Iz/m4 +OWLpShtVa8JzPh4cih653MKa8JyPr/Jhb18NtiY852Pa5J1D7CdZE57zcbHZ +wPDzUmvCcz6cAi+mH9hqTXjOx4Vd4e8XHLMmPO+6vjszZ825bk14zkd06oRv +W59ZE57zsWT2XovwWGvCcz72+DSO0cq2Jjzn42qUKOkm15rwnI96r5yBE35a +E57z0bRkbYOmpg3hOR+HVoSdlrO1ITznw8N/kKeZsw3hedf9ffByy/XNNoTf +fIQmZmeWp9sQXgsw7vD783NNexI+C6DFei5smd+T8FiA460HT5pc6Un4K0Cm +NOLek6yehLcCbDHe7lhqZEv4KsBQPa22o5tsCU8FKFj8Ktgh0ZbwU4BW65lz +Ggf2IrwUoE/hDPlnEb0IHwVIW7ZxyoKFdoSHAlwrPWxW6dSb8E8Az/P6I0zZ +fdgVqZYJkSwBQmqy9q5aPpRtyqtc9qSg4f/XDO8a8Lx+0vn7mkMI7xoQP6tG +c+aoQYR3DVh7MvpjfPwAwrsGvJdfEXH1qAPhXQP+nj3B+enen/CuEbE/Tosc +NvUjvGtEXHX0BLUTfQnvGjE9Msbgfqg94V0jHPxv7Z9Y04fwrhHL+g12HNyz +D+FdI0o1/y4YtLU34V0jssJ1zTxi7AjvGmGlV9N/tKYd4V0jzj4x7Bi0oRfh +XSOECZezcz7aEt41It1/3vQ7hraEd43wW813StnWk/CuEfzJHpcXHbchvGvE +UHbFNi8Ta8K7RuxQMIxyuWtJeNeIEZyhrOhvlHeNKPv93rHpuynhXSO8vQN8 +9X4Y/v96qX1Yte4ew////oGXm0+pod7/75eX0+ngfkDn/88Lrhw348kxrf+/ +z4t9B4b84Wn8/31DD8oyHl9U//96lFriF6etUPv/eleK5z0Wz1L9/35wUq9s +d1ym8v/9Ckxan1F9QPn/+zmak3j1SJjS//d79tK+Y7dKFf9/HjF3b4x8MEbx +/+eVN2LksAO3Ff5/nvarHByDfsn//7xVi5Iu9dgq//94WODy4FJETY//x8vI +y1fyytf0+H88DU6rG1fJlft/vL3OF/hZ7JT7fzwuThzw0eHPXxYdrxFBKu9f +OPxl0fHsWGL8Ueb2h8XouwZMsxgZ7RzUyWL0XwOMgtKv8oUdLEYfNuBxv9/X +PUZ2sJj504Cy7bVjHH1/sxh92QCBxVr3HOkvFjPfGtDSZBifN/8Xi9GnDQg+ +HHW9ILadxczPBmze6/n4UP92FqNvG+BvHzpq+8M2FjOfG7Cn0nS9m2kbi9HH +DZh1ackQrTs/Wcz8b0Dundi+Q81/shh93QCDvOz3lx//YDHxogFjTIbFCQb+ +YDH6vAFNkx+baH5qZTHxpQHevUzcMua1shh93wC103OPNfNaWEw8aoAJf+yW +1cdbWMz6oOv++m4QVpq3sJj41fV5paLF8+OaWcz6ogHnTII2XlvdzGLiXQOG +31zy53iPZhazPmlA48Fw1Y7Q7ywmPjZAW9tgumTRdxazvmnAcp01ToP/fmMx +8bQB2z97CyMivrGY9VE95kb3li1Y+43FxN96jHqlMUxO7xuLWV/Vo3bR0sZn +KU0sJl7XQzCk3+VBR5tYTDyvh85C/YunRzSxmHhfj/7fRsocqhpZDA/qEfE0 +7lXwkkYWw4t6qDjxhy/IaWAxPKlH4PbVqnpzG1gMb+oR7VMyPz2rnsXwqB7p +UwpfrFhYz2J4VQ+3Hw69w0tkLIZn9cg1c9ULcpOxGN7VY3vmMlU7mZTF8LAe +u2rEaRbeUhbDy3qsGu/Ud5+6lMXwtB7tOleUTB5IWAxv6/H1/cqxTY4SFsPj +esQ1Gl2RpYtZDK/rMTPE/G77ejGL4Xk99BO2FSl1ilgM77vuV8E4b7l7Ihaj +B+qhuWTM0bJRIhajF+rx+O9JH58yIYvRE/V44T+yVHxEyGL0Rj2S5Vk7FHsK +WYweqccd7Z2pSSkCFqNX6lEUld1qvkPAYvRMPcYUL77TaSRgMXqn6/78PZ62 +js1nMXqoHiUrd1o5b+ezGL0kw5zsr0/3m/FZjJ6SwTDAuNQgncdi9JYMk9xc +ApUP8ViMHpOhj80j5yn9eSxGr8mwN9RsUVJFHYvRczIkaEU0efvVsRi9J8Mz +D7vt7lPqWIwelGFfqebu3b+4LEYvyrr0edOvR2+4LEZPymBW/3qBYCuXxehN +GVLEtj3G23FZjB6VYefkwpr7VRwWo1dlaBP+6fE3gMNi9KwMtpkPPq5eymEx +eleG20lv7r/W57AYPSzD8AfPdn7LrWUxernr89cUOVpeq2UxeloGfoCThcOC +Whajt2XQVzG7YaRXy2L0uAxKY/qsfvushsXodRkaOnhbaxxqWIyel+Grb4xj +6JtqFqP3Zdh/WCKTH1PNYtYDMogtFtkLkqpYzHpBhu+ZKh9mzK9iMesJGfpN +dkmyraxkMesNGZK0lnzY4lnJYtYjXdevWTnF6FcFi1mvyFDYouBmc7mCxaxn +ZKjUbxh3wqqCxax3ZIi7MnbuoDflLGY9JMN438jxvaaVs5j1khTuJc3NyyrL +WMx6Sgptly1XkveWsZj1lhTTaq1dN2qWsZj1mBQuZ2rLhzwvZTHrNSnWrJ37 +padLKYtZz0lxN1pPxbGqhMWs96Q46zf42UrvEhazHpRCc+PS2jumJSxmvSjF +17SlNnUfilnMelIK5zn3vzm7FrOY9aYUu8MStHzbi1jMelQK3x39F9QFFrGY +9aoUA3a9jnVEEYvhuRTxSzbs3FdXyGJ4LkWq18Lo5xcKWQzPpVi13fV70uBC +FsNzKXqaarxOKypgMTyXQj35gV/EsQIWw3MpKl5XL9ttX8BieC7Frc5RPO3c +fBbDcykaIxOGnfLOZzE8l2LF1HmuaX3yWQzPpaj0vHWmPC+PxfC8636dXCQX +czyPxfBcijubTg5cPTCPxfBcivdzLvgkleeyGJ5LwbE47yu5mMtieC5F6YBb +bVmjc1kMz6V4VmXTUliYw2J4LoWK7/ekwyNzWAzPpXh78Ib9mcBsFsNzCQ5f +D1NtkMtmMTyXoElx69E3m7NYDM8lmBiyISY9J5PwXIIW+73Gg0dnEp5LsPRI +iH5l8FfCcwlO9504K0vnK+G5BPdFr7w7jmYQnkswcFvwyY3SL4TnEmSE2iyX +W/WF8FyCCTdvGX/9mk54LkHQe+UuGKYTnkvQcWLMxPLINMJzCcLKhJuM+qQR +nnf9//yW+u33UgnPJZiu/GFfpW4q4bkE0y6MjVp3IYXwXIJdSrcPf5dLITyX +4KVoyOtr3smE5xK0YeAi59YkwnMJ9AfEH6jZlUR4LgH7/tVpF+oTCc8l2DrG +QW7QtkTCcwnU++368UWcQHguQfA1uWurtiYQnkuwJD5mWrWYTXguwXK/wSHz +trEJzyWI8nAPWlTBIjyXQDMlkFfE+Ux4LsEOhzcPe0riCc8lqOI07R3Y8onw +XIJTLC+H5r8fCc/F2DdzaOhOrY+E52KEBC34HmAZR3guxinJhIHbB8USnovh +87fZn4sYwnMx1vUZce3H4g+E52LwHxRdf7L1PeG5GEeLNB05J98RnotR+Cfe +KuJuNOG5GL8lBbY9oqMIz8UwawzsWZrzlvBcjM5we+NB9W8Iz8WYdzbC+LfG +G8JzMdqiOuaOHxhJeC5G48KkzqrAV4TnYgR6j1Uy4b4kPBfDSxJ7zXLAC8Jz +MeL3rQ/jHwgjPBfja4Hlqa0pIYTnYowfEHzgkfFzwnMxCt6cVj/h+ZTwvOv1 +1FGiv+wnhOdi5LyZUGtm/pjwXIzRBbtyL0ofEp6LkaxvO+J7SCDhuRh7U+Zv +WrM1gPC86/VXrb6fhtwmPBej9zYH77+/rhOei6EqXjnb0f8q4bkYf9Vi3L7j +POG5GNcc/Nh9mk8Snnfd/5+B/LXr9hOei1Cm84WTU7Se8FyEYbc4u4/EzQbD +cxGSKl8ktvl6geG5CE+Prx5SoHQUDM9F8BqZFjTZ7AwYnouwbInVLb79JTA8 +F2H5xbK+LUbXwPBchPVhhb2HGd4Ew3MRGktGfxml7Q+G5yIk252QGijeBcNz +Ec5HujvmtAaC4bkIzZGNugfqHoLhuQiiNZ9FFwVBYHguglPJwtQVK56A4bkI +Yc/vmgzNCAbDcxE8tmqN1x/9DAzPRRiTGeKj9Pw5GJ6LMOrMMgsdvVAwPBdh +1snNA0Z4h4HhuQhntzR/PswJB8NzEfRPTM3hTXsJhuciqP2YOMk7PAIMz0Xg +ZCZJJmi+BsNzET4Hn062cI4Ew3MR+que8BjBjwTDcxFmarg+33bzDRiei7DA +clZm0sS3YHguwmp+swHq34LhuQiXFE+9qQmIAsPzrueXWJobMjkaDM9F2PP1 +0+279dFgeC6CTtsviw/+78DwXIhlvfwOyuM9GJ4LcdYkI/qY4D0YngvRM+RA +qcPVD2B4LsSwks4ajeExYHguxLnJlxJ6lcaA4bkQPhEO23cfjQXDcyGmJzan +tdvEgeG5EHstbd/HJ8aB4bkQH8856bE2fgTDcyFOnzGP+Kv0CQzPhVjUB8t9 +nn8Cw3MhRH3fF0+dFg+G50I82r1YNo8fD4bnQlytTl/08PRnMDwX4uvOxM9O +tiwwPBdCu6hHjfFnFhieC7Hm3bhXtoPYYHguROS04TuqvdlgeC5EarTTkUnp +bDA8F2KuxZKn84wSwPBciAfN4jcK7glgeC6Eef9wT/dXCWB4LsRGafiNbb8S +wPBcCI2Ju6U20xLB8FyIlUs7hp+8ngiG50IU3sntd7UyEQzPhai7LH9met8k +MDwXAjtcOl/vTgLDcyF23ebMTPuYBIbnQtSECyx9lZKZcC8vRMpdrb5K85PB +8FyAVa7FxoMCksHwXIBTB68GKHOSwYQ/AZx8li670T8FDM8FEPcZ2pa7OwUM +zwXoOUald1JsChieCzD27LtjW3ukguG5AInuOiHJM1LB8FyA/iOlKPJLBd3f +6hXbXxBYnAqG5wKc1/3sZGaVBrofxvn6Ln3uhjQwPBdgb8TEkWPC0kD3z0oW +xJjUNKSB4bkAbWEhf8aNSAfdb9u5Nv/4ksPpYHgugNqvsf3tP6eD7s9t/jv4 +6lv5L2B4LsD2osmjfk37Arqft+3G5vedl76A4bkAX55xr8dnfwHd/8t1W7d4 +jH4GGJ4L4LuuyWff0gzQ/cKl+cbB2wMywPBcgOjQ9DF2FRmg+4sHHh74GmD1 +FQzPBTg8QL84a91X0P3IsmUG5YmPv4LhuQDjdx5feqjuK+j+ZeJjcXJD70ww +D1iAoWdPBdtvygTd79Q+aLCtZ0gmGJ7zYd+geqtSmAm6P6r46sDtVf2ywPCc +D4U6S/6DLVmg+6me9jt+Bodmge632m3zw05RFuh+7PmCTUM6+2aD7tem7vIf +uXBzNuh+rqvsbJrn82zQ/d4elpvmzuJng+4HZ590cm6yywHdL/Z5k1y/2j0H +dD9ZEBlXfS0oB3S/edvQu3uuVOeA7kfHrqtV7aedC7pfzdsbp9cwMBd0P/uL +RdO5gNm5oPvddy01A/t65oLuh0e81D0ZeCEXdL+8Yc3hzS3Pc0H30z/8HXdq +WEou6H772kM5csvqckH344P97QzW9cgD3a83qngpmmuTB7qfHzp6fWSv8Xmg ++/1PC+ICqlbmgeYDNMNjc44fygPNF4TP4J9S9s8DzSfwt86r2ReVB5pvuHjz +ml5Gbh5oPuLey4Kpyg15oPmKjVeyQvpr5IPmM3inktxH9MsHzXc8Lb/82H5q +Pmg+ZOwSP18593zQfMmZwIzFicfzQfMp0e9zzLcH5oPmW9y80jT/fMgHzceE +vduz6FBhPmi+5mbnAMOKpnzQfM5bf9Fhe60C0HzPtD+jX6zsXwCaD6oYMD/n +4NQC0HxRQGmr5vH1BaD5pEkJgZd2HCsAzTfZ1M3fOe1uAWg+KiUqqUr1XQFo +vqpt8Zw/0bkFoPmssPi6llmyAtB818D6/oIMlULQfFiC0qYfI+wKQfNl53ef +n3lxQiFoPo3XYa+QvqIQNN92sf78tG/7CkHzceZGd52VrhWC5uuu17S2Krwo +BM3n7V0jfF6fUgia75PM9NiWWFsImg8s0TTxOPW7EDRf2BCz5N0g4yLQfGKj +Ur9jyUOLQPONmtPnVk2bXQSaj7y7ZsSPaI8i0HylfGgPkfbJItB85qB63/wl +94pA853zp2wvOhddBJoPdWmv1XmWXYT/86U7ZEGvRUWg+dQ9rdNCnssXg+Zb +x0S5u1yyLAbNxzru2H51pXMxaL5W0X1OmMmCYtB87hqzkLcJW4tB870XHatT +XU8Xg+aDjR30FKruF4Pmi08OsD4z730xaD5Z+vXJpoicYtB8s3peR2q7qBg0 +Hz2scVbCcPkS0Hz107M7dq+yKAHNZzu4qjTvGlECmu/uMe34+n1zS0Dz4X3/ +/C7x2FQCmi/Xe7Nz99QTJaD59BrWxRkGASWg+XaNrakHcyJLQPPxoXs2mnl/ +KQHN14/P95xuwC0BzeePWhRqEfirBDTfb+4U/VrPoBS0HmBucYPlwQGloPUC +qTNOHf06uRS0nmBzs7tQe3UpaL3BqAELDrjsKwWtRzj5tdBlw5VS0HoF1tfp +7vueloLWMwQUZDbu+1QKWu8QlblE3qOwFLQe4tGeh2+myEpB6yVia52NDBXL +QOspBlp7TymwKAOtt/gTcnPZqeFloPUY09qPu9vOLgOt17isp3oi0r0MtJ5j +TvvXxCHeZaD1HilTRk95dL0MtB6k5dY1s7+hZaD1IlXGfNf57DLQepK+PaxM +r5WUgdab9ND9vj2hoQy0HmXz32/b65TKQetVchVODPphWQ5az+LkkpvUPrwc +tN7Ff+L3KQ2zykHrYSQb0lIK1peD1stcc1VdFX6oHLSeZsKFKWZ7/MpB6228 +L3ANBzwvB63HCbrQ073oUzlovc6Rz4ZGuwvKQet59uRFuPwRl4PW+6yfEvX7 +qFwFaD2QQnXKsnrjCtB6ITNdt03zB1WA1hMNXlM8PnhyBWi9kWX88ibRigrQ +eqQpcg3Xeu6qAK1X8nE8PmzWuQrQeibRj3Shx/0K0HqnKd88k/a+rQCth9pi +XlWyN70CtF5qdq/9IzdVV4DWU3VkeLTMaqkArbc6MJnVs5d6JWg91kwfr2yp +TSVovZbD3Uk6oU6VoPVcXsZ1LctmV4LWe+1eWH6tza0StB6sIvlay5UDlaD1 +Ym3r5zkaXqkErSeT1I1x9X1cCVpvJrJd6/37fSVoPZq3W1DoqsxK0Hq1iMcZ +za84laD1bG4t57a3/qgErXe7kuhmN0SzCrQe7ql1Sr/VtlWg9XJyugpXjzpX +gdbTeVTPdrs2uwq03i5wddTbO25V+L8eb9jQWzf2V4HW6zn2vm/oc6kKtJ5v +zZroyRseVYHW+2m8M3McHV0FWg94vXWBTP5LFWi94BvbpDPsqirQekLExqvt ++V4FWm/Y2rHnkolKNWg94knHX0ZvLKpB6xXZnPkfJwytBq1nnN5z2UX2lGrQ +ekej9EJf5xXVoPWQ1z8dLg/aUQ1aL5nRr8z7r081aD1lW/yO44v8q0HrLed+ +C2i+G14NWo+pPDpYVPS5GrRes2jGp23KBdWg9Zy35v6+NEBYDVrvOaPfuiVT +f1eD1oOeDc0uWqxTA1ovap5qarXcrga0ntS2vGXk/JE1oPWmG0vkR4ybXQNa +j9rv1Fdr63U1oPWqC0PYSq17akDrWWWLxv9gn6sBrXe1Vj7X6XOvBrQe9qAs +vd+oVzWg9bJvdbuoklADWk9bee28zZmiGtB624a8b4rm4hrQetzMt8MnPeuo +Aa3XdVun8l1ftRa0nvfN6zu/s/RqQet9f58zTj5tUQtaD2wzKWXhiD61oPXC +m3+Uh9cMrgWtJ/a4eKbo7Kha0Hrje+m8EvtJtaD1yIUnDWMSZ9eC1isvut3r +6IqltaD1zEHGhg7StbWg9c4TV/DSDm6pBa2H1tE8u+rv7lrQeult86olPkdq +Qeupe6ZmH/l7pha03rrnuwGGh3xrQeuxv2knxErv1ILWazdfXbhn5eNa0Hru +Den+k5PDa0Hrve2mugzv3zVuaD2467W2aRfja0HrxRPmrzrDS60FrScXL/vb +NCa3FrTeXPfj7htXympB69F/CJx3lnFrQevV177KuGgrqwWtZ/dcHyTZ2DVP +aL17Uk0v/+A/taD18JdfRF+vUuGA1svbdLzm6utxQOvpI3I3+U4254DW29+W +t7vnZccBrcd/uNxe485ADmi9/s+b4YJYJw5oPb/RFY5j6QQOaL3/cufWb9+6 +4grtB/D5pDJQZSEHtF/A6OpwvslKDmg/gdf2y716b+CA9hsknTUXD9jOAe1H +kB7hjR+ynwPar6Dpze8z+DgHtJ9Bb4r+837nOaD9DnLTXZOsr3FA+yF6hj04 +pdsVh2m/hNzKBFHnvzhN+inm9Qr8JQjngPZbXIn6/elrFAe0HyPow8sRLz9x +QPs1Rtfu2nQhhQPaz6H7Q2G5WzYHtN9DXWSuMaKEA9oPMqh8w3mFWg5ov8jB +Eedys0Uc0H4S3ze9pLe/cUD7TebuKKhw/cUB7Udxbh4QYqLABe1XeTsndmG+ +Bhe0n8VFR772QhdXab/LEc9zy8ZacUH7Ydqd2LHiPlzQfhmTv720bw3mgvbT +BBpbuo4ZyQXttxnzUP9+Jbig/Th/b2+u9p7BBe3X8RXvtzNayAXt51l07IbX +ixVc0H6fc2M108a7c0H7gXraDhyc6ckF7Rd6PMspbNleLmg/UZacx7iqI1zQ +fqMxKd+l685wQfuRstfqfqq+wgXtV7IWiCNX3OaC9jO9zHuWnfuAC9rvZCTv +YTblORe0H8o8e9LtqFdc0H6pW9pLp9l84IL2U810eDn0PIsL2m/1xnaxqzSN +C9qP5X9vfsLsLp1I+7UeSx97hZZyQfu58vpO3dyjS2fSfq+c/kNeLuvSobQf +bMyjBZNCu3Qq7RfLMLg96EeXjqX9ZJs7uLsndulc2m/WP9HC4HyXDqb9aE5Z +FkYZXTqZ9qvd8os7omZRB9rPJvLLmDXVrg603013n9m5Y106nPbDRWnscnzb +pdNpv9zHlTcW1HXpeNpPFx0Moe6UOtB+O5s9w9rHzKkD7ccLftrz0voldaD9 +emMPfnxwpmsdQfv5Jl59PfJp1zqD9vt5/n66it21DqH9gLELoVXWtU6h/YJz +nlktaOhax9B+Qtt75X3lutY5tN8wc7tmgHbXOoj2I+p1THlq1rVOov2K+/P1 +Fth2raNoP+ObIR0P+3Sts2i/o53+xVv2EXWg/ZB2E0eP6P2uDrRfcurCiz7W +8XWg/ZS3P3w7YZRSB9pvGZcuGKaWVQfaj6mcVvqgvbAOtF/zlGR4sqCyDrSf +s3yrW1gurw6033P26cQFH2R1oP2gO8/Gxd9rqQPtF1Utfdrs3VEH2k8ao1je +ulyRB9pvGsJ6leaoyQPtR7Vs2rtd1ZAH2q+qHntAWGHBA+1n9fWRG/fSjgfa +77qqc9mOwwN4oP2wPZ0+HZ88nAfaL1vuu3Ov+lgeaD+teXHovOxJPNB+28lT +nhj4zeKB9uNGXfBNmbOIB9qvK8x9sFllJQ+0n/dKbkfH5/U80H7fq18KL+zd +ygPtB1a/NVu7z24eaL/wzOenrxUc4oH2Ez+yvK9/4iQPtN94ekLknb4XeKD9 +yEMMy3tl+fFA+5UTFw364HWHB9rPfPfEp+U6j3ig/c6Onv4qL5/zQPuhL71P +/TL1FQ+0XzqFt/Rx5TseaD91lOvM67vieaD91qvWB9/vkcID7cc+PHFDql8m +D//3azue1bMo5IH2c4/aK+8TXMED7ff+OCvPpH8dD7Qf3FmHX/pCwgPtF1cY +MjhtwHceaD/5hpH3+KHtPNB+88QKg5F2Pfig/egNHac+31Plg/arT4/MPK6j +ywftZxdOK/D2MeGD9rtnLDnwrsmaD9oPz/9ww3GNPR+0X37UI/nvqYP4oP30 +3OsP2gc58UH77e0OjJp9YxwftB/fLeCZuHkyH7Rf3+JtdMmi2XzQfn5J0zDT +14v4oP3+GzslEaor+aB+AJryzwLXreeD+gWMemvPi9rCB/UTkC4YfklpFx/U +b+DNh8vXlhzkg/oRHFrT0B50nA/qV7B6jckXyVk+qJ/B4WNsuWFX+aB+B5FO +j4MP3OKD+iHseL8zOiaQD+qXsLWxwqntCR/UT2GT/10Hp3A+qN+CbNCy215v ++KB+DJ0anw+FxPBB/Rpy3I6UVLL4oH4Oh8Y7R+uk8UH9HoLu3dKbmM0H9YMY +sm7M9x1FfFC/iPZNX1zvVvJB/SQ2XuRMT6zjg/pNGAX1TBRJ+KB+FDeu9srQ +/M4H9auQTPZxH9zOB/WzWPW18OpcOQGo38W3GVHzPFUEoH4YjkpvQs5oC0D9 +MqL3uAXeNxKA+mnkjVg08K2lANRvA/ZGa1LsBKB+HO/XOQ8tdhCA+nUETHAJ +5TkKQP08bm1jpTWNEoD6fcznjLr+CwJQPxDN5uEq8tMFoH4hSxc7DVSZJwD1 +EwkxZ8urLxWA+o3YZS+9qr5aAOpHgk0HslU3CED9SvYuDUpX9BSA+pm0+y44 +/meXANTv5ORV+e+tBwWgfigNK4baS48LQP1SLG8ssqo+KwD1Uwnyqi3LviIA +9Vvxz56/Nv6mANSPZdsIo4iwewJQv5Z3xcHpNx8LQP1cVJ7siDoSKgD1e8mf +WOe1/rUA//vB9NonN/W9ANQvZoaP72b7eAGon8zMbY9ClJIFoH4zy9L0k7gZ +AlA/Gu01iz/F5wlA/WqeVmTc9i8VgPrZfKvlLNxRIwD1u7koJ9fsIhCA+uGU +ZFw+YlgvAPXLmVYoqec1C0D9dHZ/8pkT9UsA6rezyin67okeQlA/nvfq/JKZ +qkJQv56B/Q+o6esIQf184p6lDy01EoL6/RimjFtw31II6gekrzDMc62dENQv +aJC5io+1gxDUT0hew/xO5VAhqN+QzC79VcBIIagf0UPVnRmLJghB/YoKYzfL +1KcKQf2M9B7omiTMFoL6HXmcSZqzf5EQ1A9p7vtyv74rhKB+Sf6tr7kl64Sg +fkquF+9PP7dJCOq3JDe1nT1shxDUj2nLNeuFVXuFoH5Nirs2/jrrLQT1c7K5 +2os10EcI6vfUf0Lk47zzQlA/KEu/jU/3+QpB/aIaZvp/MbotBPWT6vU30OBd +oBDUb8pNO/PMoidCUD+qIa+P2jSECkH9qmLaFQTnXwtB/axeN34ot3kvBPW7 +mna24e+7T0JQP6yx4Z3LZyUJQf2yVBaP5Vd+EYL6aRmf6gzbkSsE9dsasPBe +aEexENSPK/fX5rqLVUJQvy5p3afVRjwhqJ/X1meNxo8kQlC/L1Xj5YZ9vwlB +/cAmLpm1POKnENQvbHZuT67jHyGon9i0ZcM+RCuKQP3GjpqWlDppiED9yDJi +N898pycC9Svr6zpeY7ipCNTPbOmdNz1fW4tA/c7CXlhf6d9HBOqHpuRRM//J +ABGoX1rz6Pk7zYaJQP3UahZliH1HiUD91i7cjkiWhwjUj+1q5LIe+6eKQP3a ++vft/YQ/WwTq52a1Zn/YkkUiUL839pNws0RXEagf3CSXPq2D1olA/eIsz0+f +EOAhAvWTe1m476fcdhGo35xGmJ7dlj0iUD86rdenk7IOiUD96o5lOFY4nhCB ++tmd7nNm+62zIlC/u4UTeIdbL4tA/fDaWj4pLb0hAvXLO3XXWz8qQATqpzft +16UnOo9EoH57u/esifF8JgL14ytvc1+W/EIE6tenNUT7hOVbEaif34NdBSP2 +xohA/f5iuf280z+LQP0Alc+cW2iZIgL1C0wZtyl+51cRqJ/gmMbJbFaeCNRv +0PPCg9U6pSJQP0JbveZba6pFoH6FM1ip28J5IlA/w2sqD+paJSJQv0Ov1x1/ +8E0E6od4qGYn+8JPEahfoqbzCYfcThGon+L68o8wVhSD+i02+72TX6UuBvVj +nJ3xd/9DXTGoX6NTwtD7tcZiUD/HpWc5B22txKB+jwOMXmmstxOD+kGe2eO0 +8lF/Mahf5LIYs02VQ8SgfpIWjnLDTJ3FoH6T/juusxeNE4P6UdqsPmx4ZZIY +1K9yo9vmockzxKB+lpHbtE1+zxOD+l3GGvX5OnSpGNQP88c7j/keq8Sgfpmn +jvk+D1gvBvXTvH19fUHGZjGo3+Yt+2elv3eIQf04owLtYgfsE4P6dU698+Lg +Sm8xqJ9nmJ2uyYWTYlC/z5rMfveiz4lB/UCLPucp1F4Rg/qFruFJl6nfFIP6 +iUqU1/gPvysG9RtVbtRJWfVIDOpHeuVsC//UMzGoX2lM69/foS/EoH6mf3MG +qme/EYP6nX4ddMTg+wcxqB+qXnGTudFnMahfaq77XbuRyWJQP9Xzm88Ndc0Q +g/qt3gnImXIoVwzqx/q6+caGO8ViUL/WkeIWv3eVYlA/19JzPbPyuWJQv1ft +4OnWjSIxqB9so1rwOfVGMahfrN6LPWp9WsWgfrKv0zvDJvwWg/rN6vS7uW15 +DwmoH+3wyRcXeqlIQP1qxUcmrDunJQH1s3VaYBZw30AC6nc76tqFv2/MJKB+ +uFduK9xNtZGA+uU6HlXfUt5HAuqna7jGflf9AAmo3+6oS+Lov44SUD/ex5mN +o/VGSUD9en8FV8v3miAB9fPtdcHDYNgUCajfr//ACV4usySgfsBlKQPNFyyQ +gPoFty6QM127TALqJ6xddWP7ttUSUL9h55IvFofcJaB+xH6u/v3PbJGA+hWn +Hmi967dTAupnrHOnade9fRJQv+PopAdvn3pLQP2Q5yr23PDqpATUL5kdE3Lu +wzkJqJ9y7597bNlXJKB+y09lWSPTb0hA/ZjPuhhk5wRIQP2a3U++FBU/lID6 +ORed1L9S9VQC6vccWJT1qS5cAuoH7T7h4AlxpATUL3qXVUxpw3sJqJ/0yG+z +spo/SUD9po3zf69vS5SA+lFvbAkN7EiXgPpVKwyZfEIuRwLqZ33vXLaeYpEE +1O/6c7y7q0qFBNQPe5hkgKs6RwLql31MZ5uxllAC6qdtyjoQoFMvAfXb9vj8 +sU6vWQLqx536Nr7ToF0C6tetUmgiM/orAfXzPvzsWLSJkhTU7/vnu4+rzTSk +oH7gLptjJOZ6UlC/cNmnfRstTaSgfuLLhmvlWllJQf3G2x/GDbexk4L6kR81 +LLjds78U1K9cqTyjw3aIFNTP3N7dycvOSQrqd96wILW591gpqB968OVF1+1d +pKB+6cGL8+b1my4F9VPv2L5muMNcKajf+o6FztMGLpaC+rHP3ZXgO3iFFNSv +fejRy4aO66Sgfu5lqTNrhntIQf3eN76s+OG8TQrqB79Se86GMbuloH7xj2ry +B044KAX1k++ZWLxq0jEpqN/8mA8NbdNOS0H96Jvq6jTnXJSC+tXLe0YFLfST +gvrZ2y6/Fr/8thTU7/7UC/72tYFSUD/8foddYzweS0H98rdYZYTtCJGC+unb ++6+cfSBCCuq3f6bFM+RElBTUjz+x4VH6xVgpqF//9Ju82FssKaiff6n1jnNB +KVJQv/9xbbGjI75KQc8DeFlwryYuTwp6XkCA3LAzX0qkoOcJ3OT9HF5WJQU9 +b2Dx88+/xXVS0PMIOjXei36LpaDnFYy65qWq0yQFPc8g6Q13i90PKeh5B/4+ +u01Hd0hBz0OQ21ffZ4G8DPS8hJ2H7wdtUZWBnqdgvHFCwCltGeh5C8ZB+lYP +DWWg5zGYT6nGR3MZ6HkN43y+65X3lIGe5zDu8PBnv+xloOc9qJ+20bQaJAM9 +D6K/oHiFy3AZ6HkRbsa9n2weLQM9T6Lz8Jl2P8hAz5v4meK4P26qDPQ8iqUd +AwYIZ8tAz6vYYT5lmPEiGeh5Flrn+j6Z7ioDPe+ic+b2m0fWykDPw7AZ8dDm +7UYZ6HkZq8Rz1ks9ZaDnaQQpWezru1sGet4Gyy1736aDMtDzOIJurvcJPSYD +Pa9jW6VxbP1pGeh5HrGnr4xzviQDPe+jQ5Q/6NQ1Geh5IE/dzkTm+ctAzwvh +G9SIez+QgZ4nkmDCkTsaLAM9b6T05ybrkjAZ6Hkk/drn7HCOlIGeV/Jz/U3z +e+9loOeZzLY+t1Q+XgZ63snK3qL5Xkky0PNQHNXtJnC+yEDPS5HMPfJfTfcT +0nQYxgEcU1AQggpcglgHNY1MlDJqxBenFoLRUty8rCAi15/J+mvKkJpuaWrY +Kiym5LYOgpMghllUeJge1lgblKVgmAul9XtWS8O6OLt8j+/hfZ/v8/DwXj/6 +xrACeirubzUzH2YU0Fs5/0r9VzevgB7L6eWB9S9RBfRaQpa+vaaYAnouuYnu +SEpCAb2XbYbH+4fWuF8eOH5GxrDOfXLjgjro+J4moCczXLu70pkpoDfjDZbk +NWwV0KN5EjO5VdkCejVPK+xl0R0CejbvBzPqJgoE9G4uacxdA8UCejiq5iPG +W/sE9HLK583919UCejr5i76xFo2A3k7yYqvFViOgx9Ob9jEwrBXQ61lKrLUF +9AJ6PpqidHvqKQG9n5Uca7L2rIAeUP6uhuwRk4BeUPiYvzDrmoCeUHu0yfvI +IqA3VNxxu760k/Nx4Ff7ofTFHs7jHoZezv3x3mf//SgP9LkeONnvXXw1/fvt +9LC/Xhxeen5iepT93IH+2bhf5WP+LtRtPpP78DXz2lG603iwaor5OvF2VXt8 +T4h5rNj0ztCi+8T6N///b1uaQgusZ8FR/YTHE+P7N1BmLLL+WOV7VzH72ffG +n+R9M2w6V/fJzDjoTV3OunIuY3sc9Kia7dU2QwHPWrwIuibjB+LYADzl8Wg= + + "]]}}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, {{}, {}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJw113c8le0fB3DZ21kOx4zS0PC0t0+StGjQQ6XSLk9FSUMa2gvtPahkFAkN +Kt2EkL23M7VLRajU7zh9f+ePep3X4T73dV/f6/P+sFrmO3elspKSUqr8n+7/ +f8V8KXVZNhvTfnt9Hj9Yifn73hu7i7bqtvgqMT92+TzdfHoN2N4vNTpv/P9z +P1x6OGjPhkIlZgcv3lkUuBmbIvNa9Nv+//PbYL4qZcMk4x7M9rd9hmjeDcKF +1vlZjaN70O/vwVUjlp2vRw9mXNOskPMb9sLXNtfdfXMPut5+vLNxDS0P68Gk +TtNVHnDoIE7tDfzcO7YHXf8wfo5PvrfhRQ9mTHLOlrTrR2H+U/NUad3/v+84 +Low82uu/bz2YxxYH3s95HAquj7+jq7Yyff8JiGb1HBXaU5m5ktkzYdOak1jT +NWvj2JHKdD+noOw70cZ7hjJT16n4Quy/u/qBtrcy3d8Z9G8YpTl5szJjatfQ +Wz34LFpslfJ4h5Xpfs9hqG1J+P7LysyCFYorYsrPunmX4pXp/i9AkJL3fWG6 +MnPpouKFtrGylsIyZVrPJciSvfp2yJSZmsJ2N0nkZSRlZ6jVtyvT+q6g03FT +2C4tFUag+q/+oMSryJu+d53ERIXWew2fp6S26g1UYTz/XhDidsnoHhNUaP3h +6LTN0mZcVJiZo444eMvCUfbjp4rLYhV6HhEYIfpwLHaDCvNhTvcVI/D23Zv6 +2l0q9HxuwHj6HU1hqApzbN3rnzFfbiDutfOvJ9dU6HndRKMgfJ9fvAoz4BBf +voSbSNP1nfnnmQo9v1vYsefXm7UFKsyrCKeH37puwajX3tL79Sr0PCOxq87l +v+r3Kkz30zJWvQ3vzBilph8q9HxvY+bkk/nZWqqMdtVNvwnaUai6MKb9hLEq +Pe8oeDn4X7Dvq8rEdi+XFQ3/r28eF49Qpecfjff8iW5TJ6sy07svx4/BKE+L +ebfnqtJ+xCBzqFH4e29VRvG4zWKxZ+R+bYGvKu1PLA7YT/e226nKdD+9Yus7 +yIkIWW53TJX26w4YS48CwUVVpr9XWEZbv7tQ5w9w/XxblfbvLv54nQlNSFZl +cuVXM7GLg1OPW3aLM1RpP+PQ78OqVx1Fqsyakx+DMCIevX3ntu1pUKX9jcew +Y9Fj29+pMvKbky/5HkIflFt7dajSft9DY3a6XYKaGuMuHx75liLmXv/Gbxw1 +2v8E6OxsiuzbU425JX96VTcTsNeW92PGIDWahwSMv39u2tKxakxrV/m2t33u +Y1aqwZ/Vzmo0H/fhMWtMsLe7GjNZMQD34VXPGTZjqRrNy310FbA399ugxsg3 +r3tB+G0wK7wjUI3mJxEGsfdZTw+pMX9vLxH8JL6p/xk1mqdETC3cwrGIUGOG +KV5JqPXWcn4Wp0bzlQSlOyvV56SqMfuYPPkEJWHR+4jLNdlqNG9JuJ3gN8uj +TI0pk+++/JnAJXD8mrwmNZq/ZMzKdrAd9kGN6aW4YDIaoqKqTnao0Twmw1np +fEKzqjrjr9iAB7ixcfa3IWx1ms8HyOvpUO9vrs68aOsn3+EHuLWAf/tuf3Wa +14fg2xUfqR+hznD+3iBSOGVpKpPUaX4foutcR6C1qzqjuLuch/Bxvv519AJ1 +mudH8E1J8XRepc4kXv0clT3jEZbU1Te6bFKn+X6E4aEuD2buUmeUFQt+BJWz +ejqTj6rTvD8GX/pab/g5dWbu34HBgPlB78xuqNP8P8Y+2fT833HqzI3u5ZY/ +xuchaQ01Kep0HlLgnzlianyWOvO1+3KeKTgTbzA8qESdzkcKOvPu5zg2qDOT +use/Tv75iVMD1d6q03lJBS/Y4QDTqs4oxndxKqxG+7YHKGnQ+UmFT8+22za6 +GowosHtDUjGrrP5hkZEGnacniOq7etrmXhrMEMWAP0HxN84erp0Gna8n6Dh4 +c0fcWA0m+KyjfGSeYHlDH69JUzTovD0F5/TPiaVzNJgSa8UGY/iFyqmLFmnQ ++XuKRbE5JyVrNBir7vT/+BQbzzqOWblZg87jMxjC0ku8W4PZ2H08/J7Bcvc1 +/sJjGnQ+n+H4S//9Rec0mPTu3f32DA7Xshjc0KDzmob0d0Ob78RpMKy/BxJf +BVX6vBQNOr9pKBG4um/L1GAUj68zDcp2j4uqizToPD9HU+SziyPqNBjF7QU9 +x0vvU3mhzRp0vp/Dxqlzu/SLBqOkeDF4fNj5+cguDTrvDHYKV98/oKnJRGfP +kJ9oBqdPNawq4WrS+Wfgtu5lm8BSk5ktP43yGUX1VbH/EltNygMGpkEh3yNG +aDId3fpkMoi2zTslmqhJ+cBAbbDDIouZmky4SfeBSAfz2tHH00OT8iIdKl2F +BWHLNJmpig1OR2z08cuZ6zUpP9JxtrOy+fs2TaYlqvsG02H9OyWjz35NypN0 +bGxoc3AP02QudN9dXjqcm5S27r6kSfmSjlHLtTZHR2oy3cM1TTcDSS/bpxcl +aFLeyN87+Om1PtFkutOk1CUDwZHl+fyXmpQ/GZhqNeXSqFJNpnta5CMD2dvb +B/9t0KQ8ysDR2ss3/N9oMorlFmcgXiP0d+g3TcqnDOQ43Y2N/q3JKC7HeQGn +Wx8eMlpalFcvMKhBb1gVT4tRxJHbC4hTLgz+YKlF+fUCnutFj//YajF/68ML +jJBqitgjtSjPXsBW5/cDawctRhEHVS/wVmf3lKEztSjfXkAp2CRioocWs1sR +OJlQj/in3GWZFuVdJhyXtn6cv16L6as4QJlwOrHu14ptWpR/mTj9ZrOe7z4t +pkhRLzLxwvT54G2hWpSHmXCpLFu/56IWs7X7ODRkwv4pt/rQLS3Kx0wYL3kc +GHpPi7HsHmeLLFgNqlh2JlWL8jILUxd4Xr2YpcW87B4/7yxIM3RGXSvWovzM +QmDO2fE36rQYX0VAZiGvX2BqZLMW5WkWxhSZPIv+osUYKQ58Ft6EP55955cW +5Ws2jjtuDo7T0GYUt9c7G6OaXBfe42hT3mZjRp3tuwRzbWaV4pWNqHP5YxL7 +aVP+ZmOqad28pGHaTHd5kicsAguE05LttSmPs/GcP9PqwTRtpjsdt73NRqiu +3+sH7tqUzy+hwz0Q9XCJNrNYccGXaNwRs+6Rjzbl9UuMshI7PA7QZtQVASV/ +32UyNGWPNuX3Szx71ndq6jFtpns3ePEvUeKeGfrknDbl+UvcOXCW9SxCm1G0 +u88vcXBD/+q0u9qU7zlwNej4zDzSZn53H7chObAvWL7sRYY25X0OTnxLGZNd +oM3c7h7nTTmYPmTYntxqbcr/HDjzWlEg0WZc/y4Yh4L19pV80iYPcvDadqdb +Zac28717O9pyMFN58JM6VR3yIReusR0vRAY6zLXu5Y7KxWHpza1vTHTIi1yE +dUmbPtvoMFMUDzAX4SO91Tv+0SE/ctF3UEyr0ngd5pOCh1yYTHRM1nbWIU9y +4fgtwtVwrg5zrjvef+bi2Oz+BT0X6ZAveZjiPHjMoDU6jCKeJ+Thplb75bH+ +OuRNHkavefJ76i4dRhGvu/KQNyDSx/OIDvmThxnri9+sOaPD/I2/PIz4MGNv +4HUd8igPtssFE0NidRhFvCi/glbdoAERD3TIp1dY82vjzIeMDqM4vo6vcGpJ +xt38Vzrk1Sso1X12l1bqMIrjceAV1hU/d+kS6ZBfr7B124frRh91mL/j9wqt +A23ch3XokGevIL6v7z9bRZep6N5ezXwIdjqq+errkm/5ePLLjRsm0GV2KgIw +H6vrsyMTeuuSd/nANEFWmZ0uY6MYwHy8HP58e8dYXfIvH+HneuVbTNFlCvIV +LwyNi86cMkeXPMyH/YTo//y8dJkARaAWYEOxf+7l1brkYwGqvro352zSZcwV +A12AE74hee07dcnLAjgd99jV74guk6X486oAzY7NWgvP6JKfBVi9Z2VA2HVd +Zr0ioAtwy+1HTlasLnkq/9zuhe7vB7qMIq54hThvVj11dLou+VqIs1+mH9ic +r8so4uDfQlQd6puXWKVL3hYiscXf8ptYl/n751ch1sf8EzLiky75K//9Qk/T +wE5dRvfvgcNFV3EJo6pHHhfimWnaYy2WHqMYF5MiuC+qrnI31SOfi7A03HjU +jT56jGI7vIpwUGNZ45cheuR1EZYHnq5wnKDH/P3zrAjTyg/1vjBVj/wugg+H +X/bJTY9RXK6pCCuD2W+dl+iR58WY/W7ahps+enTeizHk+TGfHlv0yPditE4J +ly0N1qPzX4yqHJfXmcf1yPtiLH/ksdX2gh7lQTEaa3ddPXVTj/wvxuQdwUu7 +4vUoH4pRe8T0lU+qHvWBYozOUxfXZulRXhTj148fcS4letQPitHVFTfyRb0e +5Ucx+iqV7xv3Ro/6QjGk4ZZXH37TozwpxgDBpKPD/+hRfyjGwGXv5z7Q1qd8 +KUb4wrzfo/n61CdKkPHl+NnnVvqUNyV4PUloMW2QPvWLEhRn+t2oGK1P+VOC +62vf9V05WZ/6Rgl2ZnY8bJ+lT3lUgl+DnD1CFupT/yhBrzd7WH1W61M+lWDs +pDnv0jfpUx8pwcynyz9479KnvCrBGtsVFipH9amfyL+v6veh6LP6lF8lyI4W +/jMnQp/6Sgm+2j/q2XVXn/KsBLmCIUvuPtan/lKC4yld3xZn6lO+laCFKWjm +FetTnylFNt8NhXX6lHelWK3hoHbstT71m1J0rZw5ccY3fcq/UoTGDejQ/6NP +facUzVbnh1dqG1AelsKtZWpXON+A+k8phs7umu9rbUD5WIrFNzfNdRhsQH2o +FJvPrf3EH2tAeVmKtomRI1qcDKgflcLB6b1dwRwDys9S7GhRkcUtMqC+VIpp +C1K9Tq01oDwthf/++muBAQbUn0rhFzguaVWwAeVrGYr87kT8G2JAfaoMp6Qq +m6ZfNKC8LcN/qZYDJ0UaUL8qg2RRQ9WE+waUv2XYJrTYOeGZAfWtMlw0zuvl +kGtAeVyGCCanbGqFAfWvMjw6q3nWXWRA+VyG6C07fFd8NKA+VoakvUb/bes0 +oLwug/WV9845yizqZ2Woyq3fVKnJovwuwxf+0tRmfRb1tTLsjQuy7uSxKM/L +cKL3yHt6pizqb2VYFnZuRW8rFuV7OfKPx0yZ0JdFfa4cu//dOd9zEIvyvhye +xdYRAcNY1O/KEXM+zursGBblfzlOcYY3PQSL+l45Zuwuaqh1YpEH5QhSuWLV +YyaL+l85zj55HN9/Lot8KEf/POcj7p4s6oPlmLN6w4PgxSzyohysFvdR91ew +qB+W42lbH67Eh0V+lGOLC9fFaCOL+mI5wi1nvnXZyiJPyrGoXf3DwZ0s6o/l +MCkNmp+xj0W+VKCv3bsRSkdZ1Ccr4GwZdmDiCRZ5U4Hl7vdm7jvHon5ZgcFD +ToXlXGGRPxX4VL13Lusmi/pmBcag+OKCGBZ5VIHiUQVro+6xqH9WIPhgcfb3 +ByzyqQK8z8MfTH3Koj5agZlO88dczWCRVxX4GhTu0ZrDon5agdaUjTzXIhb5 +VYGT3qM3x1awqK9W4ILNih1a9SzyrAKPLNYO/k/Mov5aicbS5yeL3rDIt0ok +TJfFjPzMoj5bCX2rhTvC21jkXSUST0dp6/1iUb+tRNPpeV5BymzyrxK9q1o3 +f9JkU9+txLg3gvnLDdjkYSU6Ri3WqzNkU/+txNzB3ufmmbHJx0rsHfeio9Sa +TX24EhPe2o1x688mLyuh/sHNq9KOTf24EmeHi1d5jWSTn5VY1uP0Itl4NvXl +Sti39HLa6MgmTyvBZXlaK01nU3+uQurX9s6Ts9nkaxVa0kqK+3iwqU9XYdWk +lDtpi9jkrfxzlcNh81ewqV9XoWqeeXC7D5v8rYLTmsUHLmxkU9+uQvHCkRHj +t7HJ4yoMdj9XI9nFpv5dhR7HAoaFHmCTz1XIX1aSNO44m/p4FdQC45Z8OMUm +r6swN407Pvwim/p5FcS7ea4e4Wzyuwqt+7MvsaPY1NersNXcuW9RHJs8r4Zo +ZGpHWDKbPK+Gud90nvsTNnlejbhB/XebZrDJ82p8jAu1b85hk+fVOGCe6pFc +xCbPq/HnzpeiA5Vs8rwaYeF77y5oYJPn1VDyTf86VMomz6tRka8Vrf+eTZ7L +fz47reTjFzZ5Xo0+FdMDijvY5Hk17n+zvPDwD5s8r8aEB48Qrs4hz6tRueD0 +xhA9DnlejSdvxw3bxeOQ59Uocph0dJMphzyvwYjhCPKx5pDnNbC6VKa/qj+H +PK+B+L9o55X/cMjzGlhUbxi4ZhSHPK/B1IXCjA32HPJc/r4qjbXdiUOe12DZ +nXLTQzM55HkN3PJb315w45DnNYit/7EnfgGHPK/BAqdXzS+XcsjzGoS4ulnJ +1nDI8xrEjz4yUt2PQ57X4NG8dQMHbOWQ5zV4bq2m5b6LQ57XgFm6snTPAQ55 +Lv++YdGh949zyPNaJAz96th8mkOe16L++MYOi8sc8rwW7ywWJy68wSHPa+U+ +dG69EsMhz2uh7BM2S5TAIc9rsXZcAGwfc8jzWujHabtufc4hz2uxJyIlOCeb +Q57Xon32d6F5IYc8r4XtKmzaWsEhz2uxzdRifEU9hzyvhbdnH6dRUg55Xotp +Rd9PX33PIc9rccF0jJ3mNw55XotewgjzrT845HkdPslaVr7rwSXP6+A07ov+ +Mi0ueV6HPm7bLRtZXPK8Diyb/64tNuaS53UwcUk+JbHkkud1CBzoqrK+L5c8 +r8O/2vbtPwZzyfM6KI04GxAykkue1yGs18YDve255HkdSs//HMQ4ccnzOiQz +Plu8XbjkeR2+e/VYpTaPS57XwbNRX/WeF5c8r4NtyGePRSu45Hkd7O/qrmWt +45LndZh9td4+x59LntejVE0i3L+DS57XY1Brwr9T9nHJ83qsU5NF6R7jkuf1 +WHJsQH3VKS55Xg+7JPufUZe45Hk9Vt1t1t15g0ue18O/uNPYI5ZLntcjIs+r +78hELnlej9OM8kSTVC55Xo+ROz76qGRwyfN6DD0xKOFLLpc8r4fomNhIVsIl +z+uRYzoutqGGS57X4/yYAN86EZc8r0fsv42+jW+55Hk9LA+XJTV/4ZLnDfBL +Snds7eSS5w0If2LTT0OZR543oC3++FpLbR553oAZbadZEzg88rwB33e9tfE2 +4ZHnDZjUOiPxsDWPPG9AiOxo2kNbHnnegPafB93eDeWR5w14Yjs0sNc4Hnne +gH6isHHLHHnkeQPGTXgQfnsGjzxvgMw+N7HFjUeeN+DHT9UdE7145HkDCsuT +lM+t4JHnDdgdM8ztyzoeed6ILoO2gLkBPPK8EY+O7ticspNHnjeCyyzy7HOQ +R5434lSi04CLoTzyvBFZnS3f2ed55Hkj2n7+k3XiOo88b8TFfu+vG0bzyPNG +nBkmOBmewCPPGzF5Tu6NISk88rwRHv4WTTnpPPK8EUEHZ89elccjzxvxKeGu +knYZjzxvRPHJsz+S6njkeSP8gvdNXSHlkeeNWFuq2mbykUeeNyKRm6Zd3cYj +z5twzM3nzOXfPPK8CauW111ZqWFInjfhmdmPwSNZhuR5E2pvZ8/TExiS502I +euZp9t7KkDxvgl9nU0iRrSF53oRko1sPUocZkudN+D5Z7frd8YbkeRMiVwfN +i3QyJM+boLfusvSWqyF53oTlJ/U873gYkudN+Pro/JPH3obkeRMWJxibFqw1 +JM+bwD9+ZO+bTYbkeRNaPnV1aQcZkudCtC69dXH4AUM670J4RWUvXRlqSL4L +UWWQt+zqeUM6/0KolNjF1IcbkvdCjFl01qFXrCHlgRDXniaP3phkSP4LEfp6 +xeXsp4aUD/LrW1/a0ivbkPqAEAELVlUdKjKkvBDi/f7OvG/VhtQPhFj+O2Lx +arEh5YcQRz/kXxe/N6S+IMTncPUrK9sMKU+E8O364d3y25D6gxB9agN+7dXk +U74I8Xbd8iBzDp/6hBDLEos/PTflU94IkVv9fqmPDZ/6hVCej6pSMzs+5Y8Q +daoh+6pG86lvCLFjpGDWpUl8yiMhxu/1nrdyJp/6hxBlRyZEjv6XT/kkRGxm +vAvPm099RIia75WLOtbyKa+E0Ijtkkr9+dRPhBgRHfa1Zief8kuIXdssz1Ud +4lNfEaK6YWVTw0k+5ZkQUo9JjR8u86m/CJEX+eSG6m0+5Zv8/mYoTbBJ4FOf +EeGS0epnrql8yjsRPO/5Dg/O5FO/EeG00YvEp4V8yj8R/jgOn9ajhk99R4Te +UYzmLAmf8lAE1awTyrc/8qn/iGCb0+Gs3sGnfBRhjGD7O19lI+pDIqh1pv0U +6xpRXopgbx4ZssTIiPqRCMys4YkyKyPKTxHOsW7tCBhoRH1JhCdjF/7SH2VE +eSpC9J2WKYkORtSfRMj4OGDDkplGlK8ixMnUDvA9jKhPiRC1N+Za1VIjylsR +hBs3F95YZ0T9SoTO8va+27YaUf6KcPtnR5rHXiPqWyJc6bkpyiHEiPJYfj/9 +T7eNuGBE/UuEmP8yng2/aUT5LEK931WefbwR9TER+mR26s9NMaK8FkGSJkv3 +yzSifiZCwYKYSZeKjCi/5ful8fJ2Ya0R9TUR1uz/paLfbER5LoLVM0c/zy9G +1N9EqLpT1iP+lxHluxgO9lZF+prG1OfEWArhp0CuMeW9GIFOqtu+WhhTvxNj +8a304ABbY8p/MbAitJfqSGPqe2JoTOgVcM3BmDwQY+25oNDJLsbU/8RIOF53 +6LunMfkgRtCP7O3JK4ypD4qx+ZDuzl1+xuSFGD2fpse6BxlTPxSjw8TJbNRh +Y/JDjAJ7vLc5Y0x9UYyk3zeHWIUbkydi7DifpNX/rjH1RzFaan+GTHhsTL7I +36+YX7M405j6pBgHKjvUQ4qNyRsxbIw8h+bUG1O/FGPMFeetrLfG5I8Ygkfv +v6xsM6a+Kca1t8/ScnoIyCMx/B1Cf4zWF1D/FMMldX/GQxMB+STG5Cjr4ZP6 +CqiPijG/1+T1dcME5JUY245YHd87UUD9VAx26+x7o1wE5Jd8fZkv237MF1Bf +FWPe0Ppd+asE5JkYPMkUn7v+AuqvEnQc6V19aY+AfJPgzIn2N+dDBNRnJRiY +E/Ps5iUBeScBu3fo1rQoAfVbCVx3Lhv9JllA/kmQuWSOtVWGgPquBHqibx5r +iwTkoQQLgt1+MfUC6r8SHOZ/GNrvnYB8lOBpepzl9XYB9WEJcj//brZRMyEv +JbiyIi3uKceE+rEEmnOdLi7vaUJ+SjA0cG2RyWAT6ssStC3avUU8zoQ8leDY +Vq8HqdNMqD9LkBU8P+mmhwn5KsHEfPcLV1eaUJ+WoPRK8vFofxPyVoKoa2tT +MoNNqF9LMH/JF+evYSbkrwSraj2XDblmQn1bgp1cDNt714Q8luDN8yqZNNWE ++rcEN/58jJyfa0I+S+DT5/V1YZUJ9XEJFp7NUgpsNiGvJdgUOeC7TZsJ9XMJ +dt9cHC9VMSW/JdBqnOb5kGNKfV2C01nBvS5bmZLnUjRZOk88/Y8peS5FrOvR +pmswJc+l2BL7aWiaqyl5LsXvLwXrviwyJc+laCgcx4xeb0qeSyHw01p6JsiU +PJdi8LjcfarHTclzKSaeKV105LIpeS6FbZqTTa87puS5FFZlBuzSVFPyXIrr +lZ88z+WZkudSrBEFjPCrNSXPpVi5hi1c8s6UPJei/3Wd0yt/mJLnUmi0nzgS +rG1Gnktx8EnynyQTM/Jc/vMFAUO7bM3Ic/l6d7xYsmicGXkuBXbvzS6fYUae +S9Gbf+r2ci8z8lyK5RNLJmqvNyPPpWBb967K2WlGnktRcnj73euhZuS5FE7n +i1XDrpuR51J4n7e3OZ9gRp5L8bm11e1xuhl5LsXFpc7VX0vNyHMpnvZK/DFF +akaeSxF2Uqj6oM2MPJevNzXJYYKGOXkuxffx07qajM3JcykM3ZUPXLU1J89l +OKh/rO+W8ebkuQynGNFwH1dz8lyGgJjFv7d7m5PnMvwqflIZucmcPJfht4U5 +59N+c/Jchuvxxupu583Jcxn2LXmuVRFjTp7LYBwVGbD5qTl5LsO4Wx9uDiky +J89luLF1Rouu2Jw8l8FXYvxYvc2cPJfh9PB5Cyw1LchzGa5uSx7lYWpBnsvw +SjkmMX6wBXkuwxTHDXZ9JlmQ5zIc+cblpc2zIM9lGHH5SM6WtRbkuQyH/WIf +zt5pQZ7L13d+2vSZJy3IcxmSs+2/rI20IM9lcJ/hbxqbYkGey7Ap+PNYvUIL +8lyGkKQ3L06LLchzGT76Fg20b7cgz2VocV/8SVfXkjyXYdv8mH1KVpbkuQwr +zw3yEYy0JM/lz/fq3TUnV1uS3zJEZxTm1+ZYktfNGL/94SEX457kczP0nt9+ +3TqrJ3ncjF1tW/cYHe9J/jYj/33cpRsFPcnbZqzhrxtSbWhFvjbjH7ZeR9Aq +K/K0GWVu8TdtM6zIz2a0WUyb+XmgNXnZDJvyqcqRcdbkYzNe/rti8uw5vcjD +Zpyo3i6oH9Gb/GuGzyHOcGPGhqnLNktPeN6MqKYC/4Ue/zD/AyuUhSE= + "]], + Line[CompressedData[" +1:eJxTTMoPSmViYGCQAGIw/eRO2KLL7+yX3z9bHB1ucICHSbtd7OY7+2Vvndrn +8OgfeFglss794Tv7vV73eTwtdA9s+/z3SsXLd/ZxDVt2792rfaA75/nvlR/f +2W9jilzbW6N1IOHpBaXbP9/Z/2+tf/g9SfOAadwuTx6m9/Y7vzW/0ErTOMB1 +fXGBLdd7+133tthx1qsfuO/fOz1P6L29+4YdwnNWqB3YcqJs33yp9/Za06aU +OtxXPdDpCDLxvX2Yhp6hnoLqAbBx2u/tb/D8D9DNVDlgDAbv7c+uEpBM3aF8 +gGONTFSK9Xt7WcH7mpY8ygfuqrA1TnV+b9+6SOSPbrLSgU1z3y8/5v3e/vnB +7nPndyseAHr23Pfg9/Ynpvm5TxdRPBDTf+irRsx7+/6Yp6ZHsxUOGIINfG// +1Dm1O6hO/gDItO6c9/YGB25n54vLHbj9sy5rT8l7+1xmkc2OM2UObCjKmPi2 +5r29yUOD/Vs+Sh1ofR24Q671vf3N39sMP3ySOAB0HNDL7+2rqmb0CX4TOQAA +5nzCNg== + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJw113dcje8fBvBk5EdGUZEtMrJCNpeZr52QjEg2Zc/MqOxkJTJKqBBSUpSn +vcdpnnZn73NChYh+p56P84/XeXU853nu+3Nf7+sMcNpru1VXR0enrLWOTvO/ +jSFf85c42eBDVkCcZqIG7HtH7PGc5+FgrsGv07tiDt3agQPGB3e27/Hv7/vg +YRdwaUNHDU50fz2f73oIpSURsUlNavr8MYzdMeycsk6N43Jzy/avTmL+6qjA +QIWa/v9ZvCow2J7DU2Nq9bJrd/ecg26mw1E7rpqu547PdTbLRuSo8XGBvq7F +BU9Y9t8xeW7yv+tfhG3nLX3vxKgxOSLtyOfHl7H6TWSSScS/77uK6ZJ3y1Ne +qhHV10O5PMoLfJeGb36B/77fGxMyrgXc9lPjQVL/twd23MDD6LL6V7f+3c9N +fDk9RU9wRY3yhpYvxMjzF1ZYuv+7v9s4Ldz+yvekGr1GVw5q53YHnCVJQ40P +/7tfHwwesqpnsIsaa7e0XBG1vc81Ld727/59MXuYnmfrjWrcv9fyguTrD9eM +1f+e5z6utinK8LdRozTnxwrhMz80OR8/6bHg3/M9wGBBROjR2Wr0bGPXeeS7 +h5hQuc/7yNR/z/sIJnusd7iNV8OevSD2z9538e7If8/vj9wH7W2jzNVYPPHS +LEexP57O8hwr7PdvPQLwWOHyxKSnGqrlzVcMwKus0YNWGf5bnyfwXzx8jl9H +Na44S3+HfH2C3VOzbsrb/FuvQNysyQvFXxUsLhhrHyEQ3RzuWT38oaL1e4q+ +Xy/ltfqqQmbAvMjaP0+Rc/LaKBeFitbzGZykd/9WCVVoXq0ebZ5j18epP+0q +VbS+z/FEtKC4sFiFDtzAfdM7BEGx5MRqe46K1jsIlh3MZvDTVXjR/Lhdg7F2 +kGzZ3kQVrX8wFvX1XKUbq8LC5ssZh+DHplum9yNVtB8hGNqw2GXCWxValrv3 +C5T82NaXG6Ki/XmBeBO+zslAFZpXjzPwJcTdquWDHqpov17iqaP72zwfFYat +v55QP/QVGmX5I895q2j/XiH6/NVpEy6rkK69munoUOyuMI5Wn1fRfobC/9Ym +t+BTKuy4oT4Jq9dgHHMObTuqov19Df+2vQ4N2a+C9ua0j/wG6+SLNyl3qWi/ +36Df+Ef93m1RYaV2eLRbij8LnG+d2KCi/X+LTp5Dnsy3V+GpdvW4gW/hYjp3 +rLGtiubhLVY1WlhIF6lQ96fwmNw8DD+SLQ9/nKei+QjDn+PuDdehwtyWAQiD +o/GgJ9snq2hewjBMUrxm1jgVtJvX/EDocL6ffp+RKpqfd5h2fNyzX+YqsLen +fe/2zaCsv4rm6R1M51bhk6kK41pe4TD2N+zzqLuK5kv7fssM33OdVTgfl6Gd +oHDsOf7Af0f7f/MWDp1D6sE2uioUaHdfuybwcdvfY3KjkuYvAolhgh1m35Uw +a7lgBCZ5723f5YuS5jECfzpGyn7LlTjYsgHvseL5599yoZLm8z1uiX6MK61U +IrF+qHaH38NXZ6x7OldJ8xqpzbf71R/zlDBkbxDTfkZPDs1U0vxGoqSvi6d/ +shItd5cWifm3RNG3GSXN8wckaB6nXYpW4t3DmqCURR/gXrcr6Ey4kub7A8x9 +1i46EqqEbssDf8COPhkhLkFKmvcoDD1uH7U1QAlbdmBw7qXYeYOfkuY/CgNW +e8euvqPEk+bHLYyC7q5w/+XXlXQeovFFKNRffEmJb82Xs4/GlA+an9bnlXQ+ +otE/oXjd7FNKzG4e//JoPK7OHzHjqJLOy0es7bx485T9SrSM74aP2PKq/PuE +3Uo6Px9RmrKgetxWJfiuzRvyEWNOXuluuVFJ5+kTluyL9xq1RgnLlgH/BJfl +E6xHrFDS+fqERmeHccOXKOF2Z452ZD4hcEXe0qHzlXTeYhB4xfaG+Swl8ga2 +bDA0Nim1g6Yq6fzFwNzJaq+ZlRIDmtNfHYO2ZRmNA0Yr6TzG4mT3gjv9hymx +v/l47ItFw6OP4/qZKel8xsJuXCdOnz5KxDfvbm0sVDGHtvQ2UdJ5/YxZ26MU +pgZKdGUPJH68/7S+Z0clnd/POP7sVIRJWyValq/hM/QKTVRGTQo6zwxS3sX+ +6dagQMvtnWSw9fMnoUGtgs43gx7MEd8uagV0Wl5xONVlt3EnqYLOexzGKizs +O/AVCE5ZpD3Rcfgc62SvV66g8x+H+545Bm2KFLDRnkbtjKL16DlndHIVlAdx +2FIX7NeYpsDPZn2S4mCc/3vTzwQF5UMcJn5dmF0bo4C/afOBiMe+PlElmkgF +5UU8nGYcPSN/q8B/LRscD7+i7BjhCwXlRzyKzhperXyqwJeg5hvUfv7sK1nx +IwXlSTw8ZnXLyfVVwLf57jLi8VSVPTHtpoLyJR6DfhwYEHdVgebhWqCfgLio +IM8PngrKmwQsadN/8+uzCjSnSf6SBEQkPnz31FVB+ZOALne/7Lt/SIHmadGO +DFKO1N+7vkdBeZSA6/Y+w9x3KNDyuJwETOCm9zrmpKB8SkDnypvOu9cr0HI5 +w0TU2+j02GCnoLxKRGnyCFMbGwVa4mhFInxGzNg7a6GC8isRAy9u7TZ2rgJs +fUjEr8Aq3YEzFJRniQjIqplsMEmBljjgJmLSZXlEk6WC8i0R3R3M96ktFDjT +EjhJsDzZYUfZYAXlXRKu3ml9L6WfAkNaDlCSNt8uNoX1VFD+JcHKpqfvg24K +5LbUiyTIT8zY6NlJQXmYhHFzLi3fq6fA0ebjUJmELkNv7V7dSkH5mIQ3aX9C +ZvyWo1/zOPdNhsHLA/8bXC+nvExGzf8CPTvUyJHaPH6OyegcOL9vjUxO+ZmM +Es/+2fkCOfa2BGQyJsrrrr+vkFOeJuNN7c3Nd4vlMGk58Mm465s79xhHTvma +ggvbPcfYZ8jRcnuDUsBxumc2MUlOeZsCg+Ivpkaf5djW8kpB5sgT3b59kFP+ +pqApd0SHnDA5msuTNmERVd/0O/ilnPI4BVc96sTnnsnRnI7H5CloV9Mled1j +OeVzKhTtHHzG3ZNjQ8sFU+EgUtp1uCWnvE5F0ee81ryrcrRrCahUVGcNvR/h +Kaf8TkWIWVeTi2flaN6N7q9TMe/uy6NrXeWU56kI9zOLtjgkR0u7q0nFbfNn +Jb9d5JTvabhzY1NBxnY5/jYfN8s0nDvl9dx3k5zyPg3f329dtnWdHM+bx/lA +GqKNBmeOWSWn/E/D292dTX4vlWMp+8DY4rh9TNJ/cvIgDf3WH+9+dbYc35u3 +oz4NPi434mynycmHdPSy1BnbY4Icj5ofd2I67KJ6bqsYLScv0uF+wGrt42Fy +WLcsYDosjF533GQmJz/SscqDf3RAHzk0LTykwyp+zAOesZw8SceijKbDj7rK +4dMc77/TUXv9ve66DnLyJQObyj7BuI0cLfE8PQP6E84M5/yRkTcZOFa9J+7i +Dxla4vV0Bva+afyLrzLyJwPeeg+F9QoZ2PjLwH9Myu4XIhl5lIEBBrW3Hapk +bLzoZmLXxYfru5TIyKdMTKmZE8fkydByfOdkInnattg9mTLyKhPt3D2X906W +oeV4eGQiWjDUNe2zjPzKxMN9BeMPRsnAjl8mOo3ufKb3Oxl5lomyn052SS9l +KGre3vZZ2H/AIWrXMxn5lgXrX5efdHksw6mWO8zCuXuuhuG+MvIuCz/rYtqu +uinD4JYBzMLyGaLj9Vdk5F8Wzg92d77tIUN2VssLpzIsyy3PyMjDLHR6cz4x ++5gMh1sCNRsdQwzMdhyQkY/ZeFV46IeOswx9WgY6G70vzJ/hu1VGXmZj9qzB +9SM3ypDc8vMqG3FPXvRMsJeRn9no43A4ZKWtDC4tAZ2NYUMGPREvkpGn2bj2 +1q7V4XkytMRV9xxcvBOapAsZ+ZqDatsMudckGVriwC4HtZOX7ek5Vkbe5qDt +1uplTyxkYH9+5SDkZd+rwwbLyN8crLob1v9NXxn02QOHIfbTO47rISOPc5AR +vX3BewMZWsbFNBcne3BLrDrKyOdcWNuN/RDRRoaW7Vifi0Wc/gLLv1LyOhcz +Vy5cHfpDCvbnWS7aG6/uPuSrlPzOxc5nNcaPFVK0XK46F0phzHojkZQ854Dz +a7vwUqWUzjsHFsvvBzcWS8l3DozP/Qlx4Ujp/HOgt2KquCJdSt5zMPXFn9UL +E6WUBxxYe2ia3sdIyX8O3tR8KOsXKaV84CCqobXkwhsp9QEORr852U8TLKW8 +4MCxc5a77RMp9QMOBjb5dXvvJ6X84ECzwCfd6I6U+gIHva9veXrIS0p5wsGw +GW8D8i5IqT9w0O/aQGaEm5TyhYM2+7b88nCVUp/Iww7vvssrD0opb/KgM68h +bqyLlPpFHuwvPZjvuU1K+ZMHn/o3Au5GKfWNPCyJLLs+ZI2U8igPW90TFx+2 +lVL/yIPBw64m8YuklE95KIzeruowT0p9JA+P2u/JsJ0hpbzKg8os7bXvRCn1 +kzzodjS9WzFGSvmVh5Gmem59h0upr+TBsPXYXRvMpJRneeiePM3mQW8p9Zc8 +fHyWNqbESEr5locRw478z7CLlPpMPiI7iLkL2ksp7/Kxziri3plWUuo3+dgf +47Y4/JeE8i8f1oUKtahWQn0nH9yMKye6qyWUh/m4pKNTO0siof6Tj688/nKX +agnlYz6elmfc8SmRUB/KR2eHFTGxeRLKy3zYpRomCjIk1I/ysWD346C2SRLK +z3z85+a13TxWQn1J+/eBh3TmRUooT/ORP1O4d9MbCfWnfOg9cQk/ESyhfC3A +++LAtFsBEupTBdg93ig05L6E8rYAPjnLNsTeklC/KoD/Xl5pzlUJ5W8Bet+0 +7VPlIaG+VQDNmjHmytMSyuMCnL2m+63+qIT6VwEavGxO/90noXwuwMFV/mlt +dkmojxUA247ktN8sobwugFnOqmsd1kuonxUgyDROt8MqCeV3AVatsBqht1RC +fa0A+rXj9HTnSyjPC7CMP+nGL0iovxXg9m4m9cskCeV7IXxnzAoWWUqozxUi +cuOEMcXDJZT3hYC5kUOymYT6XSHyxtuOeNdbQvlfiIgDjn4PjCTU9wph2TYs +yL2zhDwoxNf/wpfu0pNQ/yvEuszCa0t0JORDIRRz3JxGNYipDxbi5rWBGfrf +xORFIYz8+yfIFGLqh4XYcok/P0EoJj8K0bAt3f5ehZj6YiFGb5zyzaVITJ4U +wv/+bYOZOWLqj4U4Nn1CRJdUMflShFzHE9wKRkx9skj7+/vzsaAoMXlTBNVI +uzt7w8TUL4uwzefecKsXYvKnCDtryq1+PhFT3yyCS+SeiCg/MXlUhLdWAYFH +boupfxbh+Kk4nbHXxORTEdY7mKQrPMTUR4twzEHT4H9aTF4VIezDFe+VR8XU +T4ugtBl3ue0+MflVhEnvzEXhO8TUV4u0rjzz27hJTJ4VYcsfRWj7tWLqr8VQ +fBnb442tmHwrRq93EVzbRWLqs8Vw9H0mr50jJu+KYXZk0qKb08TUb4shuPGw +YaSVmPwrxqTHut9SRoqp7xZD/OGmpYO5mDwsRsbKI++/9BVT/y2G1LrA1c1E +TD4WY/7brNNduoqpDxdD03ju8/32YvKyGAnl3SaatRJTPy7G5on3xcENIvKz +WPv7cVSqxTcR9eViTOgiLnmpEJGnxfi0MM9kmFBE/ZmLSQd13QLLReQrF18s +PQx6FYqoT3NxfObmlOtZIvKWi3WbAh+0ShZRv+Yi3H7BjX2xIvKXi2TRqoCK +9yLq21xcjkxJn/daRB5zYbnLR+/VcxH1by7unYlZ3eWxiHzmIsF25Ie9d0XU +x7kY3b1sYPZ1EXnNxfz4t3eHXBRRP+fice8HhmfOishvLhY8P+9dcExEfZ2L +DrcXdR68X0Sel+BaeuHFgztF5HkJrnIaGz9vEpHnJZByHm7XWysiz0sQftEr +ebGtiDwvwZy5T7pdXygiz0tgWhy8NGe2iDwvQZnXnoMdporI8xL0t4o5PWec +iDwvwbo/di7HLUTkeQm83HSmvTITkecl6BB9RFreS0Sel6D3l4PO7buLyPMS +BDGvUy31ReR5CaLalNWvbiMiz0vQvuRprWujkDwvwR6Pj7H364TkeSkWnU+w ++aASkuelKNvpGMIRCcnzUpxTjEuSVAjJ81K0Sy152FAoJM9L8TFNMvZ/2ULy +vBR3Pnw9Y5QsJM9LMW/5Jbe+sULyvBRmMyePH/ReSJ5r3xteum0eKiTPSxE2 +uvHR4GdC8rwUh/MNbAY8FJLnpTBonPu05x0heV6KLGd9387XhOR5KQbcLxui +4yEkz0ux+FkfG81JIXleiujl6FR6SEiel2HX76fr4pyF5HkZZl57M/HpFiF5 +XoapRz89dF8vJM/LEPi0/+VNK4XkeRn6HRjbMGWxkDwvQ0QgpF3nCsnzMnxa +e9NGOFVInpchvOM+y3fjhOR5Gboe6ul5ykJInpdBdj1j4TwzIXlehtvXP574 +Xy8heV4Gq+xeRhmGQvK8DMMSenW70EFInpdhe6Ng/0xdIXlehoxud0Z+bxCQ +5+WY8thmdvBXAXlejtxho1/ZyQXkeTnyhszb3oovIM/LEaAM2BtcIiDPy+Fz +f1n8Io6APC9H2IAV9spUAXlejgXDX425wAjI83Lc7rzKut8HAXleDtOc2XfC +XwvI83IY6W7tOfe5gDwvx6u8ZzmchwLyvBx9JfK3a+4IyPNy5GzoGlN1VUCe +a58n+Ztyo7uAPC9Hts7WaZUnBOS59v4XWoXYHRSQ5xXoP2DEqKxdAvK8Ap5T +9VOnOwnI8wrYnrq59+UaAXleAS/5YTOj5QLyvAJNd7ZXuf4nIM8rMOWR4YMK +CMjzCvgZ97afMlFAnlfApGlg59ujBOR5BRqs4qLlgwXkeQVO7PK0m9pHQJ5X +YFYXXd7F7gLyvALvFkcvz+8oIM8rMKHWIsiktYA8r8ASl4Jy+1988lx7v2ED +lXe+8snzChwd78nJkfHJ80qMLNt8oTWPT55XooPMtON4Lp88r0TX761XO+bw +yfNKTObt23YxmU+eV8L/w6vxr2L45Hklrob/jskM55PnlVg60O+X5AWfPK+E +ztp42Z8APnleif4hD891vccnz7V/n2+f2NebT55XwmCu4fOhF/jkeSX0XcWD +R53mk+eVUJ4QTR99mE+eVyLRw1Ru4cwnzyux1/nKwEGb+eR5FYyujRObrOWT +51Vwi9EbobecT55XYfWE+q9f5/PJc+3nr/ItS2bwyfMq/Lj1QhJtxSfPq/Bo +tXnHuyP45HkV7uia3d9rxifPqxDK2eY1x5RPnlehX+MbgaEBnzyvwpWXETcq +9fjkeRUSqwf6BP7lkedV2LXJX7GlnkeeV2HD64xLA1Q88rwK3yUT9pQKeOR5 +Fbp+2n/zaimPPK+C3K7pyxQOjzyvRvyyde6iFB55Xg1775/Wl2J55Hk1zObN +GjcsgkeeV2Nzms+cpBc88rwatdeWH1gbwCPPq/G1c3y08i6PPK9G//cW3Y95 +8chz7fuUnBNN7jzyvBq7l1Yp3E7wyPNqdNH3WNe0n0eeV2PmGlHq0R088rwa +/sbdhys38MjzatjeGXhyzSoeeV6NwrPdoxIW8cjzatxPE3HNZ/PIcx62XnIv +8pjEo/POw/bvZS+qR/HIdx76zU5ePn4wj84/D789jZPO9+KR9zyEvbn7O9uA +R3mgXdeNet8Mtddh/ech69242c8aqykfeNDkfW1jKq+mPsBDhfeFfu5F1ZQX +2nXs2tdDGF9N/YCHo6q0oZO0z83mBw9923n+cbtfTX2BB5Xt9O9xntWUJzws +D4prW3+gmvoDD0PPZfbtu7Ga8oWHLVzd8dO0+8T2CR4GlNVNXDaxmvKGB9OU +Hn1Wm1VTv+DBIzinaEWXasofHv4bunHlvN9V1Dd4uL3k92ULaRXlkXYd/4vZ +3a6givqHdt8nB8qKPldRPvGw5Ktv7b0XVdRHePgZ63La1qeK8oqHjKGlrk1u +VdRPeLgRc7zM36WK8osHo7RCrwlrqqiv8DC/v92luLlVlGc8xPGXfZoxpor6 +Cw9nLX8ZhfWqonzjob7xwGUTvSrqM3wgOvZ/B75VUt7xtT4lusdVVlK/4eNG +vY1KN72S8o+Pju97Wk6OqKS+w4eDQ8SczY8rKQ/5sBz0oLvb5UrqP3wMHzvm +9s3DlZSPfPitD393V5uDbB/iY2vVIkfvRZWUl3zodG197eSESupHfDztmzx0 +/YBKyk8+riY4mo3Wr6S+xIdjnadz/fcKylM+QgMyal/zK6g/8eHq6B+8TusA +m698yAZscP0dWUF9ig+FcIq9V0AF5S0fPzcttex+tYL6FR/lSd51V49UUP5q +c3t5mfdPxwrqW9pcNhbW2S2qoDzWPu+92V2CrSqof/GxwG1vjrJfBeUzH0fm +MP0HdqigPsZHY8bWuoV15ZTXfCwaeHjitqpy6mfanDWt5B5MK6f85mPu112J +B9+VU1/TPs/3NOnWB+WU53y4WZ4eu9CznPqb9vM6Gu/++8op3wXoHbv6i2xN +OfU5AUY5FE8P1PYUNu8F6NnVcduykeXU7wRoXZVspzYup/wXYNPc8N8ndcqp +7wlwIC901l95GXmgdfxzd6P9BWXU/wTwv9jfqSimjHwQwPWioLvF8zLqgwLM +uDi35wFtr2O9EMDbvv26F8fKqB8KoNicmlywqYz8EMBn5re5moVl1Be1PW0W +J7FhXBl5IgCn9ZmR37W9lO2PAmxv+uosbFtGvgjQqus353hNKfVJbS9p1aeH +N7eUvNH2NmOx/bK4UuqXAtTd9u7ZFFxK/giQPHfy3Mc3SqlvCrC4ITNhtGsp +eSTAFYP2Z946lVL/FMC64bTTgEWl5JMAf4Nu2Z0bV0p9VIARfV3nFvQqJa8E +iOZNMOreppT6qQCPDzwKm6sqIb8ECM9aqbtV+7uF7asC+BZk1RyKKSHPBGAy +5zsdelpC/VWIs5mFszZfLSHfhJhkYXNk1qES6rNCbK91knZeX0LeCZHy37mT +mXNKqN8KsaRY0/uoRQn5J4SpVcQbg24l1He117MN7uX3i0seant//q753QRc +6r9CBB/Y0tM1nUs+CtFxZ8rR3Ldc6sNCVDOX/uvmyyUvhTAI27N/3hku9WMh +hvz9zd26jUt+CtHK+vSmQ0u41JeFGG6vV7tvPJc8FeKph8v+db241J+FGFuz +MH6cLpd8FaJDXmNKg6yY+rQQyswn20Jzi8lb7XpZ9HVfGllM/VoI4+EGrSsf +FJO/QlyyrEqxP19MfVsIh55B7+J3FpPHQrRxWhxiYlNM/VsISxfna2snFJPP +QkwJd5p1uXcx9XEhDtRbBz3XLSavte9dVP5vZEXUz4WY1cDr8iyniPwWYtlc +5yLPiCLq60KMVHvlr7xfRJ5rf9cHt5J1PltEnotwz2H894itReS5CPrzl1Ra +Lyoiz0WoaTv0VNKYIvJcBE3UyvcjjYvIcxG4+iZbz/0uJM9FUCzYujuBV0ie +i3DQQfpcnVxInotwo7q+vvXLQvJcBFOjexPaeheS5yJcUl+w/nqokDwXQdRo +3jptTSF5LsKF/RcWXJpRSJ6LEN922/fxZoXkuQgj1MMkGXqF5LkIIbHCuoWq +AvK8+Xf+4r8RnALyXITk8MTK9u8LyHMR+gmX7bG+V0CeizA73u+yy6kC8lwE +35J6/dObCshzEcotluUenVdAnotg/Xfyy7XDCshzEd75yI6bdyogz0W49cei +e/mXfPJce3/vD9geK8wnz0Vw3Juq//dDPnkuQkRkrqmzXz55LoK7X8aKhNP5 +5LkIU1de99JxyifPRXhadiXAfF4+ea5dv3OJTuOH5pPnYmy5mh00rGM+eS7G +/VcF89pp8shzMS7d8jbI4OSR52KIdy6tPhSeR56L8eI/8bl2PnnkuRj6L6Jz +Tx/LI8/FeFrw0bdybR55Lkbw5E1vB07PI8/FMCp/JVvSL488FyPQx6zbxlZ5 +5LkYG47l6tgJOeS5GB+app0bm8whz8XQOBzfXvecQ56LEfqq61m/ixzyXIx7 +vfX9huzikOdipPf64um7iEOeiyE6+NFAM4JDnosRvZHXfmhnDnkuxu4x9w5c +rcolz8WQvP1Y5e2fS56L4RaWpF7vlEuei5Fz1mrCF7Nc8lyMVr23LVkoziHP +xbBXeaTuep5DnouRss9n4vLtOeS5GBcKto3+MySHPBfDbPd17JFlk+di7DJ3 ++REYnE2ei9Fa2Fv8cEc2eS5Gm9dH7qwbmk2ei2GuaX+7QppFnkvQ+Wi33f2D +sshzCcZ4nAs035ZFnkuQECBP0gzKIs8lmL7n9KpjwkzyXIJSu25lCQGZ5LkE +xy0Mi7M3ZpLnEhx5dCTTt08meS5BRHDaFLPyDPJcglX5xoHOvhnkuQReG7+4 +HVqVQZ5LwHHcuGKKYQZ5LkH6M8GN2Jx08lyC3Te3R/65nE6eS+BcNGfSL+t0 +8lyi9XvUtXe66eS5BP/7NXWY+ec08lyCPRvyT688nkaeS/AzJOjvtPFp5LkE +XJsok2pNKnkuwcHQmROnhKSS5xLwM9+nLdmcSp5LcKHrZ6uefVLJcwkGRg+T ++BWnkOcSDJuoRNH1FPJcu75OXYKS/kshzyWY6vH+1M5WKeS5BP2n6A1KjE4m +zyWQDx7zk7M/mTyXwMptld3NYcnkuQTnjl7zbcdPIs8lWGdfbDzSN4k8lyL5 +XqchbZclkedSVL+Q9PZqm0SeS7HvDn9B6qdE8lwKuMz682Z/InkuhfCKrvv8 +IYnkuRSFdzlDr1UkkOdSrF3VOO7sjQTyXIqOM/cr+1knkOdSbFG+uLn7Vzx5 +LoXpsBe7nF7Hk+dSPKyVh7V2iifPpVjSa+XTpUbx5LkUKRFWJ2anxZHnUry1 +HudS5RpHnkvh8H7a6wEj48hzKToXtao2/syQ51Jk7kn4bDWAIc+luFaVZvvo +/GfyXIrH+1eolopjyXMpZEMii+dZx5LnUtgOxmq35zHkuRTn3U1Dm9rGkOdS +fPK0MmC2fCLPpTjYe0BkbMJH8lyK+Qm1qQ39PpLnUriFDnfefzKaPJfCc87l ++IElUeS5FGO5f6o7josiz6XoH3SkZPi1D+S5FB4mGRGnJJHkuRR2A68f1UUk +eS5Dl5+/en3weU+ey3AgM+bOPXUEeS7D2IQSTtCcCPJchsttzoVV+4aT5zKs +F9d2g/odeS6DTe+FWYkz35HnMizoaP98960w8lyGYe3PbB0vfkuey/A58HxS +rwlvyXMZ+FmJihn6b8hzGf73feZs1xeh5LkMhmfm5YqsX5HnMnjsqP18nP+C +PJdh4dntFuNdQ8hzGSa52/XqYhBMnsswJSvIre3z5+S5DFt3dppuOPkZeS5D +yPN7JmMyAslzGay4y1PWrHlCnssgc/gsuyTxJ89lqH1b0/WI8BF5LsOFt06W +ufV+5LkMSWZnlN3a3CPPZajhTk6f1NmHPJdhU0jhoLHdb5HnMqy+VDqkzsib +PJfBbmWf22Lzy+S5DHsnpvrP6elOnsvw9PT60QVtT5LnMiRWvEz46bWXPNfu +323+/hMfF5HnMpR2SefnFm1iWM/lOPnDT7xh42GG9VwO7+HX4wbXnmVYz+Vo ++l+U4zdcYFjP5WgvX7vI0ucaw3oux6Ddw12bft1gWM/lOJjZaUjM6DsM67n2 +ffKybQ47fRnWczmSDAeM/xbkx7CeyzG5YB/nkvIRw3ouR27YDF5P0wCG9VyO +gnmTZE1xTxjWc+37sPMdzux6yrCeyzHdIvDIY+PnDOu5HJkFvc/tTA5iWM/l +iD20KUR8JIRhPZdjryLau7fFS4b1XA4/16ltTQSvGNZzOWqWJ/6p9HvNsJ7L +8TO8ccn0EW8Z1nM5lnqEGv/uGMawnsvx54W58Uh1GMN6LkfPGr/+JbnvGNZz +OX4rCga0ighnWM/lKPwb2yf0XgTDeq5d/yJ9S/7Z9wzruRzih0U3nuyMZFjP +5dg4eLz39xUfGNZzOdyaan0EiGJYz+U4p5gxwnlkNMN6LkeQv803394fGdZz +OQ4tGBO8p9MnhvVcgXPM3uG1TZ8YNvAVqOR/OTiiLoZhPVfAZXjYo/6KWIb1 +XAH9ZD9REf8zw3quQPhWJ3/bcoZhPVdg9fVRQUt3xzGs5wqsjI2yrpLHMazn +CgR663iv2xnPsJ4r0GHovu/p8niG9VyBnVOG64zcncCwnisQ9+Ca9UV1AsN6 +roChReyR6n2JDOu5Aj8xwnZCfSLDeq7AK9noN96uSQzruQL72t45/k0nmWE9 +V8D64tTwjReTGdZzBea3+3CoomsKw3quwIz8OrXz/RSG9VyBkFLpNqPBqQzr +uQKNZ6bMLHubyrCeK+Af2a6OmZ7GsJ5r//+t28aZmWkM67kCGcH9VuusS2dY +zxUYsTvw7BZlOsN6rsAD2WvXxpMZDOu5AueHzFyY3SWTYT1XYNWJIMOKwEyG +9VyBOvODxqMmZzHsOCswM2hzVFpuFsN6rsCXNjtPhm3PZljPFTh+I6S9RieH +YT1X4t3Rm+bufjkM67kSel7fEo9PzGVYz5V4VtmvrrAwl2E9V6LE4vbP7Mkc +hvVcCX6vC16KSxyG9VyJyMUX3RLLOAzruRJ3t50dsX5EHsN6roTDWVudqNN5 +DOu5EhW7bruX5eUxrOdKrJm31D51cD7Deq5Ezdv4sedc8xnWcyVu/5kk6szJ +Z1jPlSh/U2W337yAYT1XokPSw+uhpwoY1nMl+vfo+Ca1qIBhPVdinbP9t8RR +hQzruRIpe5dHPL9YyLCeKxG7cvOeQ8JChvVcCYt9b6ItUcSwnivh5TLMRuhX +xLCeK7E/JL6TV0MRw3quxITFD75OsC9mWM+VyExd1U/4oZhhPVdCf8sq3t0e +XIb1XAmP66OerXXlMqznStyLMNCzrOQyrOfa9dqwJL3/rBKG9VyJWe68stHP +SxjWcyWseX3tt+iXMqznSnSeteNq0sFShvVcCSduba1dRSnDeq7CdK+30wda +lzGs5yp8vDp1yciwMob1XIUKQ820M33KGdZzFQrrWjv2u1LOsJ6rsEe/Yq7R +r3KG9VyFxE4rP+zYVcGwnqswdM6sxAEVFQzruQrfsvQ+/LeskmE9V0Hey9Zc +kljJsJ6rcPi4QqU7pYphPVch0yvKMjisimE9V0HTKNpZPbyaYT1Xoe2Uwevf +PatmWM9VMNTredPIgMewnqsg9rXqNdyGx7Ceq1DtUGTZ25vHsJ6rMO7hsz1f +OTyG9VyFO4lhD94Y8hnWcxUGZD38tH4Vn2E9V+Gn9G+rJl8+w3quff45hdUP +KvkM67kKyfIBraabCRjWcxV6qt/YSHYKGNZz7ff3+/LrcZiAYT1X4VCJ/v79 +vwQM67kKz7aaOTvNFTKs5yrEdwr94npdyLCeq3AwuKdtYrmQYT1XYXC/xxPm +DhMxrOcq7e+6WX7tjokY1nMVuvsal3RLEzGs5yoszsl8erinmDxXg7t2T58J +zmLyXA3HptOpG+PE5LkaU4pX3P1jJCHP1SgKz6k3dZGQ52rc7bwnJTFZQp6r +kaTLuLTpLyXP1XjpM7FEfkJKnqsR0HTWza1USp6rob9yysnSSTLyXA1ewTRX +nfsy8lwNw/jdRW3/yMhzNRYEmd5r2CQnz9X4WGN0VZUmJ8/VyIxcO/WLpYI8 +V6Ohy9W2Jg8V5Lka66ZbDTnUQUmeq7GvWp7ay1VJnqvhnGXX3kylJM/V4PS0 +N/B3VJHn2vX5PnzQC66KPFcjbW7hyzXL1eS5GhFu3GVp2WryXA0/5/XtDZZo +yHM19KzE42xyNeS5GqFPP74OXFlDnqsx7OtE1fDKGvJcjS7LDS+dH/+FPFdD +MnrolZEnv5Dn2vWyXVXzLPkLea7GpNcdx+oYfCXP1VgSMUhls+Erea6B82dX +aWjoV/Jcg9VdHKxGNX0lzzXo3LnbfIXtN/Jcg5qjL9o3Bn8jzzUYd2vl39Ot +aslzDTxN/Ld4r68lzzXoViJbsexjLXmuwRuvzdIK0zryXAMT8dQd60/Xkeca +/O/8klO1ojryXAPXgSaOGUvryXMNvswJMNGPqSfPNZhiMvajZMR38lz7fXk5 +kVcCvpPnGnDuRg8ZY/qDPNdg4eWVozvd/UGea3Cgoscmxx4/yXMNfMyDJzk/ ++kmea7D94K6AY8MayHMNAo+H3yiIbiDPNaj70j02b9kv8lwDSa8NTrnKX+S5 +BqXOvCmWXr/Jcw0Chv6+sXViI3mugZF/2jWxtJE818C618SICf5/yHMNLLnG +n1SOf8lzDUL99SJfDm8izzVYkWDxafjfJvJcu775kuu99ujEsZ5rMCpVOK1C +oBPHel6DiVeu5pU5tIpjPa+BzayHl0OrW8WxntegfVHi5VY7deNYz2tgvm64 +pf8v3TjW8xrkjZ849sid1nGs5zWIundz4sMpbeJYz2uwaNWQqTuVbeJYz2sw +mZ9w7URI2zjW8xr4JW7KqDrSLo71vAb8lKvOlnZ6caznNVgrXxogX9g+jvW8 +Bm3rYlekrvlfHOt5DYKPqjICLnWIYz2vwctDR0b/FXWMYz2vQWDFtP+enOoU +x3quvd/cP8OdjnSJYz2vwcO9jm4l3Q3iWM9rsMo8pKrrge5x/wcqFa5d + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Hue[0.1421359549995791, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0], + Dashing[{Small, Small}]], + Line[CompressedData[" +1:eJwtVXs4VekadxqXxpQcjyel2GvtKxuhCV2O805KkkE1tafkqOQazUgxKiXT +xZEuI+SS6ELoYowx5XTCO+6MHBrlTJGZYl22DoNMmdDZ62t/z7Of9cfaz2+9 +7/e70YFfbgyeoaOjk6L5Cc+XGyotTwwOQK/DGruqHSL8sfWK+52xATj0+qu/ +esaK8MKqlEj27QAsWbZSNZkiwt3396fN02VgYYHtye4rIgSngHuesxgoPZW1 +6OldEZqWevx20JQB3a7WPoN2EfJyx5m3FjJgnKdnF9Avwup8c/teKQPh2bm3 +nv0pQg2YysiOAY80vcn6mRSGpf4vHpwYiMnTUzmYUuhq2H0typUB5/Oqiu9E +FJocQ82EDGzTqwzztKGQfVsy8tCbgZ7q242vnSkk46kYeKo+Lalyo5DABTBQ +1Zb8ONuHwhByGHgz1rD0rB+FK/p8z2R+wUDcREBhTgiFxluWVTTHMvDbT4cP +1ERTONAhfjpxhAHH1BDTqSMUapadYZPEQK00ufuzFArP1Y5b+Z9j4MXS8/L6 +TAqDCCADzZLDoT4FFApo1fkMjG+/PmOsjEIju/JLw0UMlDQ/CyyvovBF4cV6 +qoyBfRcTxk+3UiiwsaGSgci1Ccpj3RRqhtOszIDXgqT9Gf0UBpIBGfhL84ee +9SMUuiSv3DHQwcDfGD/K+B2FwnRzf9F8P7Qp5sAsGjVkaBhhIHXut0065jTe +GZvqiuMZqFPkZ15X0CiwWzLCgNvont0RTjTuIAszcL/782bfVTQSemewsC3K +wnXTBhoJHYYsuBhKthzYTiO5PhMWbp1NvVWzh0ayrjkLg7/GdSriaSTjiVn4 +e+vYzvJTNBI4GxaGkr2ntmfT+DE5LEyIrD0ci2nUiMUvaAULByNusPK7NPZK +9RMzVrFg6nIlZWUjjcLtNXqxUOp7pz/xEY2aZdtff8bC0bqcEq6fRn9CCAu5 +4csuxL6i0ZEAsuDZpY6w1RWjgKbZGQYe3hwxNBWjhlyNpFnIu6F6ZSIVY1m0 +oEAWPnLoW+O+RIwCG5YnWKgbSq29ulqMfoRgFlacU3nYbhajPRmQhYel4/9+ +HixGQc3ll1io3Blo1BQrRmG6F4UsxOYYLHmSJEZij1IWzt6dr7TIFiOR8x0W +POJfjn9zQ4xEftUshNR4FzrfFyORSyMLBtSAz5x2MRJ621mIM8s2WfirGAkd +3SycapiYHzAqRnJ9fSyU9HyX9VhXgu/XZWHafLrtpJkEyXjDLIibbHSilBIk +cK9ZKCqzTEpzlaAOORxchr7a0fUSfBQnOJgDe6UjfS5Igjc0bgs05sBt/8cW +u+MkmEACgYN3EYafnDgtwU1E0Jr/B6fTzy9L0JoQwkGGgTL86x8kON0lAHLg +ZBbRHtoqwZ+9BUVzQH0/zzy9T4LFjV4aSXNwz3Ja/uG4BA+TgOGgfRX/vNlQ +ihuJQTj4fmmF7yNKigpCMAemzjuPO7hIcVKIg0AOnqWsK+3xlmKnYN/dHLz4 +NMykJ0iK1wW7RXMQnXHbyDFeiodIYHFAH0tY8CxNiuuJ4Th4a7P2wcBNKcqI +YDjQF1s9XlcvxT8FuaRxUKBqMTDuleJ/BHovcpB5VLV56R9SLBDouKa5j6M5 +jxrnyPAACUAO8oq9GqqtZehDDMyByDEpWbJahhIiQM37msTyNwEyfCPA1XPw +0dbo6ZUHZfigTTgclP23yv+DCzK86i44mAP3yvCRT8pl+BUJVA66f+6d965d +hp+SQOBgeftUhNtLGdJE0Bx0PLlWP8dQjn9YCYRo8CaT3CKt5PiTEPdTHCxZ +d3n9PzzkeFmIZ30ebh+ZeZMLkWMMCWge3OSJwaZJclxHAoaHL/SzR5kiOYqI +QXjQpy7YhLbI8ZUQL3Ie+n9x3po7KMcWIQ7seTArCHU9a6TAPMG+LjzM65ht +6b5YgftI4PPQlzG7s0WlwLUksHhI33e3RRavQAtiOB6uH+pQ7LqqwFFBflt4 ++Nf03ubTLQpsEuSygwc232Br6YgCcwV6w3gQVdREdplb4V5SIDz4qzrTZ7lb +4Zr3AQhxx43ig6OscAExMA8b7/vqD+da4e/CeMk8/NNg1/57rVbYIMCl8vB1 +pteZJxNWmJMtHB7qelsf7FJa45ekkHiY1ROYH+lvjatJoPKwOWZN1uxvrHE+ +CQQeGFq3cWeDNQ4JdXSPhzN+Cr2kSWusE+qjloeUFZfC8pyUmCXEfSsPmQuD +KtqilLiHFBwPFg4f/L68VIluJKB5aKtNmWs2pEQzEjA8TAZnDqY72OD7Pufh +po/Ou6EYG22f81AYtfhlaLWNts95qMryZLwMbbV9roa8cI/i0a222j5Xg+4T +P/7H27baPldD71RLooO+nbbP1XA4siihdZedts/VYKl//KRzk522z9VQ63Rc +x9VxkbbP1bBs+Pxg59VF2j5Xw5AoLV5sYa/tczX4Dy8+U3zFXtvnamjRUSVJ +5jugem9MwPJNaijqe7Bv2+cO+H+/T1Zq + "]]}, "Charting`Private`Tag#3"]}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + ListLogPlot, "GroupHighlight" -> False|>|>, + "DynamicHighlight"]], {{}, {}}}, AspectRatio->NCache[GoldenRatio^(-1), 0.6180339887498948], Axes->{True, True}, AxesLabel->{None, None}, @@ -247866,51 +319085,52 @@ RRZ73xIf+NBHlvrYMsutsNL/LE1VbA== AbsolutePointSize[6], "DefaultPlotStyle" -> { Directive[ RGBColor[0.368417, 0.506779, 0.709798], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.880722, 0.611041, 0.142051], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.560181, 0.691569, 0.194885], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.922526, 0.385626, 0.209179], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.528488, 0.470624, 0.701351], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.772079, 0.431554, 0.102387], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.363898, 0.618501, 0.782349], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[1, 0.75, 0], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.647624, 0.37816, 0.614037], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.571589, 0.586483, 0.], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.915, 0.3325, 0.2125], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.40082222609352647`, 0.5220066643438841, 0.85], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.9728288904374106, 0.621644452187053, 0.07336199581899142], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.736782672705901, 0.358, 0.5030266573755369], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.28026441037696703`, 0.715, 0.4292089322474965], - AbsoluteThickness[1.6]]}, "DomainPadding" -> Scaled[0.02], + AbsoluteThickness[2]]}, "DomainPadding" -> Scaled[0.02], "PointSizeFunction" -> "SmallPointSize", "RangePadding" -> Scaled[0.05], - "OptimizePlotMarkers" -> True, "OptimizePlotMarkers" -> True, + "OptimizePlotMarkers" -> True, "IncludeHighlighting" -> "CurrentSet", + "HighlightStyle" -> Automatic, "OptimizePlotMarkers" -> True, "CoordinatesToolOptions" -> {"DisplayFunction" -> ({ Identity[ Part[#, 1]], @@ -247957,9 +319177,12 @@ RRZ73xIf+NBHlvrYMsutsNL/LE1VbA== 3.89589520291317*^9}, {3.895895271545879*^9, 3.895895275840711*^9}, 3.8958953293644733`*^9, {3.895895362899164*^9, 3.895895381283474*^9}, { 3.895895473711299*^9, 3.89589553649675*^9}, {3.895895569428412*^9, - 3.895895590369933*^9}, {3.89589567271375*^9, 3.895895716043603*^9}}, + 3.895895590369933*^9}, {3.89589567271375*^9, 3.895895716043603*^9}, + 3.901787289434287*^9, {3.901851280551629*^9, 3.9018512943405733`*^9}, + 3.901851505336063*^9, 3.901852517373062*^9, 3.901852608369501*^9, + 3.901852981140617*^9, {3.9018530201549873`*^9, 3.901853026573902*^9}}, CellLabel-> - "Out[1157]=",ExpressionUUID->"748b8c87-a57b-4327-9d6e-09c0cc709fab"] + "Out[323]=",ExpressionUUID->"b877bb9e-0e3a-4d2d-8d2a-3ac1e852688a"] }, Open ]], Cell[BoxData[ @@ -247969,7 +319192,7 @@ Cell[BoxData[ ";"}]], "Input", CellChangeTimes->{{3.895644356342157*^9, 3.8956444178300333`*^9}}, CellLabel-> - "In[506]:=",ExpressionUUID->"19108f9b-a286-4a4d-8711-2275dffce260"], + "In[103]:=",ExpressionUUID->"19108f9b-a286-4a4d-8711-2275dffce260"], Cell[BoxData[ RowBox[{ @@ -247978,7 +319201,7 @@ Cell[BoxData[ ";"}]], "Input", CellChangeTimes->{{3.895644420219701*^9, 3.895644432458469*^9}}, CellLabel-> - "In[1158]:=",ExpressionUUID->"f44f0687-525e-4984-a7b8-4110a53275cb"] + "In[104]:=",ExpressionUUID->"f44f0687-525e-4984-a7b8-4110a53275cb"] }, Closed]], Cell[CellGroupData[{ @@ -248018,7 +319241,7 @@ Cell[BoxData[ 3.895573695514819*^9, {3.895846024098033*^9, 3.895846034328885*^9}, { 3.895847533946335*^9, 3.89584753443054*^9}}, CellLabel-> - "In[844]:=",ExpressionUUID->"4f08c176-9a98-4b00-abc2-1251966dc44a"], + "In[105]:=",ExpressionUUID->"4f08c176-9a98-4b00-abc2-1251966dc44a"], Cell[BoxData[ RowBox[{ @@ -248034,7 +319257,7 @@ Cell[BoxData[ 3.89583902303053*^9, 3.895839026671109*^9}, {3.895846042874374*^9, 3.895846047902389*^9}}, CellLabel-> - "In[845]:=",ExpressionUUID->"7d8f1df2-cc38-480c-8c31-980577e06f80"], + "In[106]:=",ExpressionUUID->"7d8f1df2-cc38-480c-8c31-980577e06f80"], Cell[BoxData[ RowBox[{ @@ -248072,7 +319295,7 @@ Cell[BoxData[ 3.895563875536582*^9}, {3.895565678234394*^9, 3.895565682338421*^9}, { 3.8958460555542803`*^9, 3.895846065602522*^9}}, CellLabel-> - "In[846]:=",ExpressionUUID->"998c3c30-af7c-4339-8441-71708dc25e88"], + "In[107]:=",ExpressionUUID->"998c3c30-af7c-4339-8441-71708dc25e88"], Cell[CellGroupData[{ @@ -248113,15 +319336,15 @@ Cell[BoxData[ 3.8955638691763277`*^9}, {3.895565686634589*^9, 3.8955656871302013`*^9}, { 3.895846070089632*^9, 3.895846082778267*^9}}, CellLabel-> - "In[847]:=",ExpressionUUID->"1143bb1e-5bc3-4093-91c1-1613dd2013c6"], + "In[108]:=",ExpressionUUID->"1143bb1e-5bc3-4093-91c1-1613dd2013c6"], Cell[BoxData[ RowBox[{"{", RowBox[{ RowBox[{"\[Mu]", "\[Rule]", - RowBox[{"-", "5.20239375049889486438056660591702777102`20."}]}], ",", + RowBox[{"-", "5.20239375049888412212558231310268094326`20."}]}], ",", RowBox[{ - "q1", "\[Rule]", "0.99996696122711953117208156916716653671`20."}]}], + "q1", "\[Rule]", "0.99996696122711787377419442987248555538`20."}]}], "}"}]], "Output", CellChangeTimes->{{3.895386117088763*^9, 3.8953861951346083`*^9}, 3.895386231847042*^9, {3.895386520303944*^9, 3.8953865243931313`*^9}, { @@ -248141,9 +319364,9 @@ Cell[BoxData[ 3.895570416449636*^9, 3.895571092344377*^9, {3.895628608980299*^9, 3.895628630633853*^9}, {3.895629846822892*^9, 3.8956298683797617`*^9}, { 3.895630235010113*^9, 3.89563029104084*^9}, 3.8958390314431257`*^9, - 3.895846084370646*^9, 3.89584754192391*^9}, + 3.895846084370646*^9, 3.89584754192391*^9, 3.901787291500964*^9}, CellLabel-> - "Out[847]=",ExpressionUUID->"c6661539-f377-44f0-bdae-94b28c7d9574"] + "Out[108]=",ExpressionUUID->"22c20a9d-5ebc-46f9-81d8-3640371d1b21"] }, Open ]], Cell[BoxData[ @@ -248210,7 +319433,7 @@ Cell[BoxData[ 3.895846089954735*^9, 3.8958461183676023`*^9}, {3.895847694411689*^9, 3.8958476945025043`*^9}}, CellLabel-> - "In[849]:=",ExpressionUUID->"b7f21af0-7164-457d-8568-2acc11e6d627"], + "In[109]:=",ExpressionUUID->"b7f21af0-7164-457d-8568-2acc11e6d627"], Cell[CellGroupData[{ @@ -248246,23 +319469,23 @@ Cell[BoxData[ 3.895564208495466*^9, {3.895565733347131*^9, 3.895565733835043*^9}, { 3.89584613199331*^9, 3.8958461434319963`*^9}}, CellLabel-> - "In[850]:=",ExpressionUUID->"7e93248a-ca98-4de6-9fcc-35cbc3a3a9c6"], + "In[110]:=",ExpressionUUID->"7e93248a-ca98-4de6-9fcc-35cbc3a3a9c6"], Cell[BoxData[ RowBox[{"{", RowBox[{ RowBox[{"\[Epsilon]", "\[Rule]", RowBox[{ - "-", "1.409728805946086616046457058256462440941170967191463255738204942971\ -55207028087`50."}]}], ",", + "-", "1.409728805946086616046457058256462440941170967191463255738177138261\ +58687548351`50."}]}], ",", RowBox[{ "\[Mu]", "\[Rule]", - "11.2800060488958134429305064730956003797472016996092182336738493318582115\ -4265176`50."}], ",", + "11.2800060488958134429305064730956003797472016996092182336734412144461651\ +1634437`50."}], ",", RowBox[{ "q1", "\[Rule]", - "0.75067157033956607939985029555927519372035945225768845942452054626157368\ -26552`50."}]}], "}"}]], "Output", + "0.75067157033956607939985029555927519372035945225768845942449659493996540\ +37685`50."}]}], "}"}]], "Output", CellChangeTimes->{{3.895560161987636*^9, 3.895560183491417*^9}, { 3.895560222659933*^9, 3.895560247461233*^9}, {3.895560291818879*^9, 3.895560376021306*^9}, {3.89556045993384*^9, 3.8955604648368073`*^9}, { @@ -248274,9 +319497,9 @@ Cell[BoxData[ 3.895566506382016*^9, 3.895566785883479*^9, 3.89556703970986*^9, 3.895569560911169*^9, 3.895570289187194*^9, 3.895570551461274*^9, 3.895571376475663*^9, 3.895629120637138*^9, 3.895839735153392*^9, - 3.89584670036791*^9, 3.8958477700080976`*^9}, + 3.89584670036791*^9, 3.8958477700080976`*^9, 3.901787321201144*^9}, CellLabel-> - "Out[850]=",ExpressionUUID->"3603b5d3-c2de-4776-9d54-a743ea4d9967"] + "Out[110]=",ExpressionUUID->"fd4ec326-4b2e-4e80-a297-5f2235b2925c"] }, Open ]], Cell[BoxData[ @@ -248336,7 +319559,7 @@ Cell[BoxData[ CellChangeTimes->{{3.8958478004913073`*^9, 3.895847830689329*^9}, { 3.895847988811502*^9, 3.8958479889843063`*^9}}, CellLabel-> - "In[857]:=",ExpressionUUID->"237dcf06-1246-43f7-b10f-f9ef10083d5f"], + "In[111]:=",ExpressionUUID->"237dcf06-1246-43f7-b10f-f9ef10083d5f"], Cell[BoxData[ RowBox[{ @@ -248353,7 +319576,7 @@ Cell[BoxData[ 3.89583902303053*^9, 3.895839026671109*^9}, {3.895839841276908*^9, 3.895839845286035*^9}, {3.8958461763264236`*^9, 3.8958461875185413`*^9}}, CellLabel-> - "In[852]:=",ExpressionUUID->"6935352f-5a80-493b-bb9b-9dac758f5a2f"], + "In[112]:=",ExpressionUUID->"6935352f-5a80-493b-bb9b-9dac758f5a2f"], Cell[CellGroupData[{ @@ -248395,15 +319618,15 @@ Cell[BoxData[ 3.8958398667414417`*^9, 3.895839921666144*^9}, {3.895846192029249*^9, 3.895846202038563*^9}}, CellLabel-> - "In[853]:=",ExpressionUUID->"170d9fee-bf3e-476f-9d5b-9ec2937977d8"], + "In[113]:=",ExpressionUUID->"170d9fee-bf3e-476f-9d5b-9ec2937977d8"], Cell[BoxData[ RowBox[{"{", RowBox[{ RowBox[{ - "\[Mu]", "\[Rule]", "11.28782634631266915286320480332353653376`20."}], ",", + "\[Mu]", "\[Rule]", "11.28782634631266915286320196367868032096`20."}], ",", RowBox[{ - "q1", "\[Rule]", "0.99976413499401228557671994467313104176`20."}]}], + "q1", "\[Rule]", "0.99976413499401228557671909776574594804`20."}]}], "}"}]], "Output", CellChangeTimes->{{3.895386117088763*^9, 3.8953861951346083`*^9}, 3.895386231847042*^9, {3.895386520303944*^9, 3.8953865243931313`*^9}, { @@ -248424,9 +319647,9 @@ Cell[BoxData[ 3.895628630633853*^9}, {3.895629846822892*^9, 3.8956298683797617`*^9}, { 3.895630235010113*^9, 3.89563029104084*^9}, 3.8958390314431257`*^9, { 3.8958398709943657`*^9, 3.895839922918577*^9}, 3.895846700800753*^9, - 3.895847854317582*^9}, + 3.895847854317582*^9, 3.901787322453773*^9}, CellLabel-> - "Out[853]=",ExpressionUUID->"0f286eac-f364-48ea-9174-c77ce732b84d"] + "Out[113]=",ExpressionUUID->"078e0ccb-ab28-46ba-a39b-08ffd8f46229"] }, Open ]], Cell[BoxData[ @@ -248497,7 +319720,7 @@ Cell[BoxData[ 3.89584623397443*^9}, 3.895846746932127*^9, {3.895846854579322*^9, 3.895846861005821*^9}, 3.8958485533156*^9}, CellLabel-> - "In[879]:=",ExpressionUUID->"d0f6d32b-342d-435c-b9c5-a6284e85784e"], + "In[114]:=",ExpressionUUID->"d0f6d32b-342d-435c-b9c5-a6284e85784e"], Cell[BoxData[ RowBox[{ @@ -248575,7 +319798,7 @@ Cell[BoxData[ 3.895842233757841*^9}, {3.895842334120142*^9, 3.895842338904079*^9}, { 3.8958462578785067`*^9, 3.895846290266058*^9}}, CellLabel-> - "In[855]:=",ExpressionUUID->"9b7d3369-626c-42e0-b1e9-21d4c5c8b78b"], + "In[115]:=",ExpressionUUID->"9b7d3369-626c-42e0-b1e9-21d4c5c8b78b"], Cell[CellGroupData[{ @@ -248664,31 +319887,31 @@ Cell[BoxData[ 3.8958425998701487`*^9}, {3.895842738801176*^9, 3.8958427434368773`*^9}, 3.895843808956408*^9, {3.895846299034176*^9, 3.8958463244259863`*^9}}, CellLabel-> - "In[856]:=",ExpressionUUID->"3625a4af-0c32-4208-b560-53a8b17d59c7"], + "In[116]:=",ExpressionUUID->"3625a4af-0c32-4208-b560-53a8b17d59c7"], Cell[BoxData[ TemplateBox[{ "Power", "infy", "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \ -\\\"0``-23.187456630168555\\\"]\\) encountered.\"", 2, 856, 507, - 31992412955784148511, "Local"}, +\\\"0``-23.187456630168555\\\"]\\) encountered.\"", 2, 116, 59, + 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{3.8958479561549997`*^9}, + CellChangeTimes->{3.8958479561549997`*^9, 3.901787409892013*^9}, CellLabel-> "During evaluation of \ -In[856]:=",ExpressionUUID->"957c2001-c8ae-441c-8545-6b962163f120"], +In[116]:=",ExpressionUUID->"07943fbb-14ad-41e1-834b-b22cbe7fe8b9"], Cell[BoxData[ TemplateBox[{ "Power", "infy", "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \ SuperscriptBox[\\\"0``-23.187456630168555\\\", \\\"2\\\"]]\\) encountered.\"", - 2, 856, 508, 31992412955784148511, "Local"}, + 2, 116, 60, 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{3.895847956163865*^9}, + CellChangeTimes->{3.8958479561549997`*^9, 3.901787409892872*^9}, CellLabel-> "During evaluation of \ -In[856]:=",ExpressionUUID->"0b499d93-c05e-47f0-82fe-16e8c4732970"], +In[116]:=",ExpressionUUID->"fcf13648-4733-4402-88ad-012e24d19605"], Cell[BoxData[ TemplateBox[{ @@ -248696,13 +319919,13 @@ Cell[BoxData[ "\"Indeterminate expression \\!\\(\\*RowBox[{RowBox[{\\\"(\\\", RowBox[{\\\ \"0``-23.813989810895023\\\", \\\"+\\\", RowBox[{\\\"0``-23.502447122119953\\\ \", \\\" \\\", \\\"\[ImaginaryI]\\\"}]}], \\\")\\\"}], \\\" \\\", \ -\\\"ComplexInfinity\\\"}]\\) encountered.\"", 2, 856, 509, - 31992412955784148511, "Local"}, +\\\"ComplexInfinity\\\"}]\\) encountered.\"", 2, 116, 61, + 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{3.8958479561709146`*^9}, + CellChangeTimes->{3.8958479561549997`*^9, 3.901787409899061*^9}, CellLabel-> "During evaluation of \ -In[856]:=",ExpressionUUID->"16c2596a-5a63-4be7-a2dc-9ca703503de6"], +In[116]:=",ExpressionUUID->"032d44be-5b0b-4df9-80ef-5abd088d342a"], Cell[BoxData[ TemplateBox[{ @@ -248710,13 +319933,13 @@ Cell[BoxData[ "\"Indeterminate expression \\!\\(\\*RowBox[{RowBox[{\\\"(\\\", RowBox[{\\\ \"0``-46.897511741598045\\\", \\\"+\\\", \ RowBox[{\\\"0``-46.72472110287788\\\", \\\" \\\", \\\"\[ImaginaryI]\\\"}]}], \ -\\\")\\\"}], \\\" \\\", \\\"ComplexInfinity\\\"}]\\) encountered.\"", 2, 856, - 510, 31992412955784148511, "Local"}, +\\\")\\\"}], \\\" \\\", \\\"ComplexInfinity\\\"}]\\) encountered.\"", 2, 116, + 62, 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{3.895847956178072*^9}, + CellChangeTimes->{3.8958479561549997`*^9, 3.9017874099042273`*^9}, CellLabel-> "During evaluation of \ -In[856]:=",ExpressionUUID->"711092a1-834e-4083-8753-6672ad2f2ea7"], +In[116]:=",ExpressionUUID->"c81f3b4c-3f06-4d47-ac86-367b2042ec99"], Cell[BoxData[ TemplateBox[{ @@ -248724,25 +319947,25 @@ Cell[BoxData[ "\"Indeterminate expression \\!\\(\\*RowBox[{RowBox[{\\\"(\\\", RowBox[{\\\ \"0``-41.882568788081564\\\", \\\"+\\\", \ RowBox[{\\\"0``-41.47061208663757\\\", \\\" \\\", \\\"\[ImaginaryI]\\\"}]}], \ -\\\")\\\"}], \\\" \\\", \\\"ComplexInfinity\\\"}]\\) encountered.\"", 2, 856, - 511, 31992412955784148511, "Local"}, +\\\")\\\"}], \\\" \\\", \\\"ComplexInfinity\\\"}]\\) encountered.\"", 2, 116, + 63, 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{3.8958479561851263`*^9}, + CellChangeTimes->{3.8958479561549997`*^9, 3.901787409907782*^9}, CellLabel-> "During evaluation of \ -In[856]:=",ExpressionUUID->"487b8dc9-ae81-4815-9a91-85699d8102ce"], +In[116]:=",ExpressionUUID->"a5661b9d-1039-41a6-93a7-9966c437dd93"], Cell[BoxData[ TemplateBox[{ "General", "stop", "\"Further output of \\!\\(\\*StyleBox[RowBox[{\\\"Infinity\\\", \ \\\"::\\\", \\\"indet\\\"}], \\\"MessageName\\\"]\\) will be suppressed \ -during this calculation.\"", 2, 856, 512, 31992412955784148511, "Local"}, +during this calculation.\"", 2, 116, 64, 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{3.895847956201355*^9}, + CellChangeTimes->{3.8958479561549997`*^9, 3.901787409911281*^9}, CellLabel-> "During evaluation of \ -In[856]:=",ExpressionUUID->"fe7c7453-497f-45db-bd5d-33c45f90a7dd"], +In[116]:=",ExpressionUUID->"a1a431c4-5227-43ff-b115-36710c7c751d"], Cell[BoxData[ TemplateBox[{ @@ -248755,25 +319978,25 @@ dimensions \\!\\(\\*RowBox[{\\\"{\\\", \\\"3\\\", \\\"}\\\"}]\\) at \ \\\"q1\\\", \\\",\\\", \\\"x\\\"}], \\\"}\\\"}]\\) = \\!\\(\\*RowBox[{\\\"{\\\ \", RowBox[{RowBox[{\\\"-\\\", \\\"1.408944231982703683`20.\\\"}], \\\",\\\", \ \\\"30.435188688960659136`20.\\\", \\\",\\\", \ -\\\"0.999999999999999999`20.\\\"}], \\\"}\\\"}]\\).\"", 2, 856, 513, - 31992412955784148511, "Local"}, +\\\"0.999999999999999999`20.\\\"}], \\\"}\\\"}]\\).\"", 2, 116, 65, + 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{3.895847956208596*^9}, + CellChangeTimes->{3.8958479561549997`*^9, 3.901787409914963*^9}, CellLabel-> "During evaluation of \ -In[856]:=",ExpressionUUID->"b07f3702-be52-4702-acdc-5f245f266eaf"], +In[116]:=",ExpressionUUID->"8ad20f35-8828-41d2-af3c-edfe6f11d7ee"], Cell[BoxData[ TemplateBox[{ "Divide", "infy", "\"Infinite expression \\!\\(\\*FractionBox[\\\"1\\\", \ -\\\"0``-41.06247099126185\\\"]\\) encountered.\"", 2, 856, 514, - 31992412955784148511, "Local"}, +\\\"0``-41.06247099126185\\\"]\\) encountered.\"", 2, 116, 66, + 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{3.89584795646677*^9}, + CellChangeTimes->{3.8958479561549997`*^9, 3.901787410185438*^9}, CellLabel-> "During evaluation of \ -In[856]:=",ExpressionUUID->"6989c6b2-6860-4f7b-952f-f1a3623c1219"], +In[116]:=",ExpressionUUID->"4ec204f0-a196-47e2-893f-2cdc8980ac30"], Cell[BoxData[ TemplateBox[{ @@ -248786,13 +320009,13 @@ dimensions \\!\\(\\*RowBox[{\\\"{\\\", \\\"3\\\", \\\"}\\\"}]\\) at \ \\\"q1\\\", \\\",\\\", \\\"x\\\"}], \\\"}\\\"}]\\) = \\!\\(\\*RowBox[{\\\"{\\\ \", RowBox[{RowBox[{\\\"-\\\", \\\"1.4089424355635587688`20.\\\"}], \ \\\",\\\", RowBox[{\\\"-\\\", \\\"24.677534953170916991`20.\\\"}], \\\",\\\", \ -\\\"0.999999999999999999`20.\\\"}], \\\"}\\\"}]\\).\"", 2, 856, 515, - 31992412955784148511, "Local"}, +\\\"0.999999999999999999`20.\\\"}], \\\"}\\\"}]\\).\"", 2, 116, 67, + 23709011628153109055, "Local"}, "MessageTemplate"]], "Message", "MSG", - CellChangeTimes->{3.895847956473853*^9}, + CellChangeTimes->{3.8958479561549997`*^9, 3.901787410190565*^9}, CellLabel-> "During evaluation of \ -In[856]:=",ExpressionUUID->"828288af-1628-43c6-9d03-4d14aa5bf492"] +In[116]:=",ExpressionUUID->"a7010193-3469-4183-b391-c8658bce8c69"] }, Open ]], Cell[CellGroupData[{ @@ -248838,33 +320061,34 @@ Cell[BoxData[ 3.895844062620912*^9, 3.895844135180216*^9}, {3.8958473040315247`*^9, 3.895847363126795*^9}, {3.89584807576842*^9, 3.8958480771839237`*^9}}, CellLabel-> - "In[863]:=",ExpressionUUID->"4c7f6e5b-ccd9-42c5-bb26-a214a5ab37ae"], + "In[117]:=",ExpressionUUID->"4c7f6e5b-ccd9-42c5-bb26-a214a5ab37ae"], Cell[BoxData[ RowBox[{"{", RowBox[{ RowBox[{"\[Epsilon]", "\[Rule]", RowBox[{ - "-", "1.409717099067169634508752759064332578707252567073269369804`30."}]}]\ + "-", "1.409717099067169634508752759064332578723762555980085237283`30."}]}]\ , ",", RowBox[{ "\[Mu]", "\[Rule]", - "11.2798366858636599492898806084374865325514664641418223535127`30."}], + "11.2798366858636599492898806084374865327983655519557066078992`30."}], ",", RowBox[{ "q11", "\[Rule]", - "0.7506603813683578617230773821484949612121016185862865581263`30."}], ",", + "0.7506603813683578617230773821484949612249198526643533810353`30."}], ",", RowBox[{ "q12", "\[Rule]", - "0.9965230560244744732003142015585582527571235109035067360369`30."}]}], + "0.9965230560244744732003142015585582528659126802944762703947`30."}]}], "}"}]], "Output", CellChangeTimes->{{3.895843468653039*^9, 3.895843471484922*^9}, { 3.895843514867545*^9, 3.895843546526408*^9}, {3.895843637586643*^9, 3.895843681626339*^9}, {3.8958437252599573`*^9, 3.895843729127893*^9}, { 3.89584384926155*^9, 3.895843874430005*^9}, 3.895844136241147*^9, - 3.895847363725059*^9, {3.895848060992968*^9, 3.895848078022149*^9}}, + 3.895847363725059*^9, {3.895848060992968*^9, 3.895848078022149*^9}, + 3.901787410631658*^9}, CellLabel-> - "Out[863]=",ExpressionUUID->"6a571d8d-15e5-4d01-88de-32ef557d90b2"] + "Out[117]=",ExpressionUUID->"cce76430-d588-4de9-9257-b33d7f592d01"] }, Open ]], Cell[BoxData[ @@ -248962,7 +320186,7 @@ Cell[BoxData[ 3.895894215930985*^9, 3.8958942286112633`*^9}, {3.8958942777743196`*^9, 3.8958943113327312`*^9}, {3.89589451507366*^9, 3.8958945171211367`*^9}}, CellLabel-> - "In[1117]:=",ExpressionUUID->"2212a513-9a58-4bb3-afca-d8b21595d786"], + "In[118]:=",ExpressionUUID->"2212a513-9a58-4bb3-afca-d8b21595d786"], Cell[CellGroupData[{ @@ -248971,13 +320195,14 @@ Cell[BoxData[ CellChangeTimes->{{3.895894145137562*^9, 3.895894147088678*^9}, { 3.895894234770913*^9, 3.8958942355627117`*^9}}, CellLabel-> - "In[1118]:=",ExpressionUUID->"1002a8b7-143a-4253-a17d-6b223c8c32dd"], + "In[119]:=",ExpressionUUID->"1002a8b7-143a-4253-a17d-6b223c8c32dd"], Cell[BoxData["101"], "Output", CellChangeTimes->{{3.8958941474848757`*^9, 3.8958941620184803`*^9}, - 3.895894235693274*^9, 3.89589447276724*^9, 3.8958945562077847`*^9}, + 3.895894235693274*^9, 3.89589447276724*^9, 3.8958945562077847`*^9, + 3.9017874235473423`*^9}, CellLabel-> - "Out[1118]=",ExpressionUUID->"9a7bbe43-a6f4-41ed-a65b-097d6c157eca"] + "Out[119]=",ExpressionUUID->"59acd86a-c2e6-4317-905b-d87145aed435"] }, Open ]], Cell[CellGroupData[{ @@ -249110,14 +320335,16 @@ Cell[BoxData[ RowBox[{"{", RowBox[{ RowBox[{"{", - RowBox[{"Red", ",", - RowBox[{"Point", "[", - RowBox[{"{", - RowBox[{ + RowBox[{ + RowBox[{"EdgeForm", "[", "Black", "]"}], ",", "Red", ",", + RowBox[{"Disk", "[", + RowBox[{ + RowBox[{"{", RowBox[{ - RowBox[{"E1RSBp", "[", "fTest1", "]"}], "[", - RowBox[{"[", "1", "]"}], "]"}], ",", "0"}], "}"}], "]"}]}], - "}"}], ",", + RowBox[{ + RowBox[{"E1RSBp", "[", "fTest1", "]"}], "[", + RowBox[{"[", "1", "]"}], "]"}], ",", "0"}], "}"}], ",", + RowBox[{"Offset", "[", "2", "]"}]}], "]"}]}], "}"}], ",", RowBox[{"Text", "[", RowBox[{ RowBox[{"Style", "[", @@ -249128,8 +320355,40 @@ Cell[BoxData[ RowBox[{"FontSize", "->", "10"}]}], "]"}]}], "]"}], ",", RowBox[{"Scaled", "[", RowBox[{"{", - RowBox[{"0.15", ",", "0.85"}], "}"}], "]"}]}], "]"}]}], "}"}]}], - ",", + RowBox[{"0.15", ",", "0.85"}], "}"}], "]"}]}], "]"}], ",", + RowBox[{"Text", "[", + RowBox[{ + RowBox[{"Style", "[", + RowBox[{ + "\"\<\!\(\*SubscriptBox[SuperscriptBox[StyleBox[\"E\",FontSlant->\"\ +Italic\"], \"-\"], RowBox[{\"\[NegativeThickSpace]\", \"\[NegativeThinSpace] \ +\[NegativeThickSpace] \[NegativeThickSpace]\[NegativeThinSpace]\", \ +RowBox[{\"1\", \"\[NegativeVeryThinSpace]\", \"RSB\"}]}]]\)\>\"", ",", + RowBox[{"Directive", "[", + RowBox[{"Black", ",", + RowBox[{"FontFamily", "->", "\"\\""}], ",", + RowBox[{"FontSize", "->", "10"}], ",", + RowBox[{"ScriptMinSize", "->", "7"}]}], "]"}]}], "]"}], ",", + RowBox[{"{", + RowBox[{ + RowBox[{ + RowBox[{"E1RSBp", "[", "fTest1", "]"}], "[", + RowBox[{"[", "1", "]"}], "]"}], ",", "0.00045"}], "}"}]}], "]"}], + ",", + RowBox[{"Line", "[", + RowBox[{"{", + RowBox[{ + RowBox[{"{", + RowBox[{ + RowBox[{ + RowBox[{"E1RSBp", "[", "fTest1", "]"}], "[", + RowBox[{"[", "1", "]"}], "]"}], ",", "0.000045"}], "}"}], ",", + RowBox[{"{", + RowBox[{ + RowBox[{ + RowBox[{"E1RSBp", "[", "fTest1", "]"}], "[", + RowBox[{"[", "1", "]"}], "]"}], ",", "0.00035"}], "}"}]}], "}"}], + "]"}]}], "}"}]}], ",", RowBox[{"Frame", "->", "True"}], ",", RowBox[{"FrameStyle", "->", "Black"}], ",", RowBox[{"LabelStyle", "->", @@ -249150,495 +320409,498 @@ Cell[BoxData[ "\"\<\[Mu] - \!\(\*SubscriptBox[\(\[Mu]\), \(0\)]\)\>\""}], "}"}]}]}], "\[IndentingNewLine]", "]"}]}]], "Input", CellChangeTimes->CompressedData[" -1:eJwl0ltIUwEcx/GTlsGUClkTXGqO4xq4kYiYiAxMCREZLmVeiFrNIyqaOOhB -nZtbxES8ITO0NFoXJuIlmMGcbuvBFGdBrYHa2MTLbIqhTE1BNM/v+PDn8/bl -9/CPf1J7nwohCEJAH3RKc7gFYX8zE73uBOjTURLIt87JYAvv2QN4/cI8oy9P -9RhKuB1lsE3DaSukNYq0nVBc8to4QZsqNr6DyZ3RUivtVivJePGKxwZdGoED -kkXf96ClWrYPpT2cS5O0J7zTqzA/9h8PsmvfkzCUf1gNhyYrG6Gyz94DVerK -l/BOjcwEM7SFS7DeueSF0w8dPmjw1wdgyfjzHRjoNTRN0W5HTjfDBoJzCq1F -FGFDt3iZDWcpdRT0q/wxUJ68S0LBW1kibPnkEkL2uCIF6gLBe5BUpGvhtW98 -HXz0448edmdVdcD0UZEBCn/eegX7LU9NcLHixSDT5w6MwHjRuRvCNDN0Fei/ -QPvBygw03bzthCyJfAHG5eZtwa5Y9TGMMOsZa2YVQTt25bOOoOWAcwJDgqX/ -oZ18w3LQUnUJ4bB5OLz9F/7jg2cIKlfXf8PSscseWKwp34RJFb2MUxufb7jR -Vd6Ng5KJjxnwa/ko467bmw3F5jXGMz7tN5A= +1:eJwl0ltIUwEcx/GTqcEUC5kbuPIyjkt2oZCoEBmYIRIytpR5SdRaR1Q0adCD +tTY3iXmdEVPsSktlImbBDLbpth680DKoNTAbm1jNlijJzApC8/yOD38+b19+ +D//MKy0XqRiCIIT0Qa+iiFcSv5EvCvqzYMhAyaDA+UYJO/g3qmDKgbeMoWLN +ZSjjma7CHh2np5TWItH3QWnFQ4uD9rTU8gzm9KUqnLRrXSRjbFLABX26bA8k +y95tQXuT8hdU9HPipmh3+LuHoTztNx+yW4ZIeFDwpwmOTTXcgur77n6o0TYM +wDPNSivM05cuwVbvUhDOVHtC0BxujcCKyfafMDJovj1Nu5480wZvEpxd6Cyj +CBe65ctsOE9puTCsCR+DtTmbJMx+qhTBjpc+MWRPqk5BQyRaCElVrh4eWRAY +YM3770Z4r6DRBHMnJGYo/nD8AXxkv2aFn+rvjDJ93uPnMFOy76r4rA36Soyv +oXt7ZQ5aM054IUtWuwjTLxSvwbtp2n8w0WZkbJ5XRd3YJWf9hfZtzg6MiVb+ +h27yCctDS13PSoBt4wm9H/Efw4ExqP7y7TOsfHEoAMt1dT/gyfpBxunVV0f9 +6KrPpUOZYyQPztZNMG76g+eh1PaVMfaSqJObvJHfzpWb4EJQmJhKu97t4sE9 +74pDrQ== "], CellLabel-> - "In[1120]:=",ExpressionUUID->"e76828e5-167e-462f-84fd-25cbc4226d0f"], + "In[250]:=",ExpressionUUID->"e76828e5-167e-462f-84fd-25cbc4226d0f"], Cell[BoxData[ - GraphicsBox[{{{}, GraphicsComplexBox[CompressedData[" -1:eJzs3Hk0VtH/OHoiJVKJzMoQEhKZh7d5nscyz/M8z2PKVFGUISJTaKTMmSKl -iMgQGkgpEkWUobvPZ93v7+51713r3j/uf/fTP63XejzPOWcP773P2ee9ORx8 -jZx3kJCQMOwhISH+p9ZdFx2++LuN5H//l5dcUP8ceYz9ptMb4275/7Mv0QhV -P0M+xRDIeqvnufzZrNbCHuR/Z0N+cZT3y4cx619+ihw1NbIYujYo7130Pqkb -uWScLe/9wLC8PY9feBfyPV3rt+zvR+XNbpN4P0GWcdNsp+ackNcWybTrRPYo -PXYxLvedPDRwmHQgJ493Ttzi/Ch/Sr5GvR1ZJc19qZp2Rv5Yl5JMG3JNfabv -I9ZZeTatIaFWZNqWr5YPFL7I0w44cj5GPmTONpAW81V+l9kKfQsyy9CdbeGR -efmNibOUzcgKSb0nL6gvyi/Z0281Eh7IclZzX5L/9KVsqQF57c4Sx8XmZflx -b/FP9ci3Hh05lMX8S77/19PROuQMp5FsvXMr8p3h5i8eIZfPSZE83F6Vr//3 -pfUh8ozpaFtT4pr87aSwmlrkvWTpWmfo/8gXUVGW1yBX7Q3iOFf7Vz47Mzf3 -AXI1+diAhM2mfAoD/4X7yAGNzEtO9NvyMQVNcfeQX4lXcJCP/5MP5NIOuov8 -wseX8twwCbhWTrjeIepniKy1sZMUrE54Wd5GFlZhy2Cs3wGGjzb1qpEP7qj8 -XPCADFRlLihVIRe5Lwiq1pCDdAebeCVyknXUKGXjThBSv3vsFnJ2xymLL90U -wNUnz1ZB1McuYZHxsV3AaPxqfzkyfV/rh7fLu4F63Ja87D/1Y7vwZf8eILVd -WitBbjGr+7AhRgW/P8XN30RevX9Wj9aeGr55HHhfjMzkUfyC5/JeeL9U/LoI -2e3K0s9Tz2lgKETk6Q3kHR2CIqxk++HZZmdjIfKKn5PD1dL98DjB+E4BcozX -nvcUmgfgwe5PRdeRt6+fjfVcOgDlF4Oy8pFzZ6rYOvNoIZ9uZ3Ieso1SV/Zu -jYNwKS87MheZY2/iU9n1g3D2CI9vDjI5J2mmVTUdhJfXOVxDTj3WMuZsTw/e -AupmV5FPvstMNGM+BA41o5rZyMGWLeECI4fATNJNLgtZOqi/bDaLAbRb14Wv -IPvvv78Sa8YICiop3JeRyS7nmf5hZoJTvUyMmcjvFOib9KeZ4JhBFVUGUR6t -y/Txt5mBfUT630VkhhgN27RwFqC1evHzAtFeNIMvemmywq5py8/pyPufHizk -ZGWDDdeF8TTkDrLN1OplNlj6HtWXikxJByY7e9lhNnBvRwqydhnXhmDZYRj/ -U/AwmagPo8yOTMUj0B8rdOs88vTv5fXlB0fgyc62/HNE/wktqKjj4oD6NP1L -SUR7epj5+t1VDrh94EPCWeTj9O9j3ak4oeiaX0gi8Xtz5XWm8ZyQzUbqkYDM -84Ip9uY6J6SWZFrHI/dThUzr+XNBzDFOwzhkB2aK+TPzXBB4r0Yllmj/v9hL -O124wU1MWTIGuf0yO3PyDDdYNQ8dj0becvKwqLQ/CoaKToejiPbQ7h149ONR -UOtZoY0krs8uJ2jDngekdZMoIpATJq18+D/xwIkh+r9hRP8VOhHwwJUXuM6U -fw9FnjiZePnSAi8wvhf/GEJ8zvdi+kkAH+x17hkORpZVDQnV/csHpPPmz4KQ -TU/9cD6eeAx++801ByL/rcrrstrLD/O/w+4FIP/6/abqQw4/vI+iLPFHnstc -Fa47ehyGd+Rd9SP6R72y32TtcXiWzJ/qi3x7czvBSFkAHtM0R/sgH/t++izD -sADUZGn7eyPPlgdl8LsIQjnzpJMXcmKkc1fqH0HIL/I67Ym8+4qgvMRFIcjg -2dL2QNbJbGE9wX0Czt6+AO7Iv+UWY/2bT0C4CLuoG3LYNZWu7WPC4N1wl8eV -iMdN7AJfQ4TBQR6YXZDjygeTQ7uEwbzr1V5noj98YfWqpD0J2lp2pE7IdwZE -3GLsT4LCwNKKA/K1ifp9v++fBDGz+Dl74nxIOlf3kYrAsckDk3bIbHRjd14Y -igC7w81Xtsg3+Jdf8JWIwME5kSc2yLt+BX7iWRWBXT5P6qyJ/sosnt6tLgqb -v4yrrIjzuxt5mjxfFJbCPxVYIrPG/X43vSgKs/+CMi2I8znf/chO+RS8TdqZ -dAb5mXZQYHTOKeinuhp2mvh79b93JRdPwZNMHi9z5CCDpn+ZKmLQwFBva4Z8 -kSxhKzlfDG4XqBubIlMdT1Fg+yUGxVxjaiZE/D3ub6+nLQ7ZlW7Sxshivip/ -WErFIfXEH0Ej5KdDwcVJW+IQ+yiFwxC5juHDr1RzCQiUYaY3QH6j2Z16vEYC -3Dqqdusjm0v1k7julQRrdZlNXeQ/nymXZd0lwbDvxQ8dZL61hd+3uyVBzdhq -RpuIT0cN79ZxSoH0+MKIFvK++qDaM3FScMI2uleTKN9ff25mv5MC7tm9rRrI -Z7M/M3nISQOjZ+EDdSJ+qZc8GrkuDXuXhcrUkP0YNg5ObErDjtC2HFXk08vM -QyHWMvB7Uz9dBZmOKTOzslUG5hM+xCojvy/VWQw4IgsfdvsHKhHx5M/15NcJ -sjB8kdRVETlW5STJs1lZeE532UKBaN/bDKtGmnLwOI9TD4j6cGzdFXhHDmqO -1CrKI3Ov/xjjpZWHinJlMTlkcfIRSv9QecgXGOaTJdoHw5qM3pQ8ZNQ4scog -X04VP9CmDJAkubpPGjmTZPj4kyqA8NYkMink9WAxBkFKBfBRObQmgXyE8s+U -opwCOPSWfxNHbkuear7hrwDmBhLvxIh4+qshQa1cAXRGegZPEdcrdZFcfEIB -FKxOd4sS8WzHhYM++xWB9r7hWcIrXvvVrdkUgaGN5zU/8s77rXsfS+lCudSy -1O60322RdHfVP0aYwH/nU/+dT/13PvXf+dR/51P//5hPkRp01p5M/T/i/1/1 -yV7A4v//k5MT4/WI8Yht20CpX07u/zLeiE3PNYggX/M7kLCoqgj8buG3TyJv -zJZw1kcqAvsiZZEw0R+erff0PFCEg0F5V04Qn6svqRyZU4Rdf/nPCyEbcF/1 -amdXgs3Y5ghB4niBHLS3TJVgeaeOjwDyVZeV3aPpSjCbNml/HDmE7jq3bpcS -vD3gbUqMdxZyA5J7NpXg1bUtjWPEeEQXyERzShmesF2U5UPu25WZd9pLGRpK -2IV5if6bbJfxtVQZ7hy7x8WDXDyk+Kp9ShmK7wHDUWI8bm49/u6QClwVG9jD -jUwdkBqhaKACqc1225zIcQbxGd9SVCBWcXmZgxh/Sm8pjT9RgaCe+NkjyLah -Qi57t1XATZd2/DDyDWv3gXOSqmA9dPMlO9F+5MbMVANVweiMaDsbsshhzlca -d1VB7f2TWlZi/PxFvy/zqyrIOJtUsBDn51y1wHZUDU7Mf8pjJsqndEvuh50a -cPsHX2RCfnDCdJy8QA2Y1nYmMBL1U8GWbTOuBnujrwYzIHPmflPfpFeHHWS8 -7ocIa4U8mzJSh7Xkeit65MHU4u87MtRhnkbDgI4YzxICL3r2qcOHrDHlg0T/ -iGVKYKDSgGFmdwla4njdn29QaGrA86I//AeQd9qYtEme14BWnlT2/cgnvpA0 -3OnWgJrbzLT7kOWc79s7k2tChUj1ThoiXtLqZNkqa8L1Bpk/1MibQtO81xM0 -IUP+5QIVstJw2QpzpyYkdVl92IPM3LX4eoJUCyK0vg9RIl+Rkcp/p6gFPgPR -PbuRm7qO8nAlaIGjGU3zLqL/7A7XqezUAvPJwrsUxO+VsHzxI9MGHYcTN3ci -P/rF9CpcRRsU59qyyYn4slwy9SRJG8R8DFLIkFlJ9y7o9WgD/8qHqB1E/T55 -McRMqQOHI/z9SJFfL4T78GrrwEGSHU4kyCnMw9lBF3Rg97nL5v8uoPItbeTa -MaADm1Rc2tvIDAECP1/R6sJyZq38FnL8a4OJKVNd+MygIrKJ7K/n3Xg8Vxfe -Fgwf3UBOjv3m0jipC6+4nJn+Io/PGrWeO6IHXZWr1H+Qd7Ua5V1x0oOGE+dI -1pGrR+vfvL+lB3ceHVr5jbzu6a/t+V0PimUqvqwi31y5NCImog9XOyQmVojv -k6kaQag+pKk/6/+FfI1hJvd8iz7E9p3u/In8uMVHZRepAQQZf320jCy/y2nr -K5cBuI+HVy4Rf59bPTuvZgDWtnsKfiDfen5intrDAIxm8zIWkaVI5zd0LhiA -uufxs9+RPau+7Lx93wBklptDF5DVWRXWjg0bgHCojuc8stt59tanawbAvTVp -8w355XSLRgKLITAleht9RW4ac0qyAkOgodxWnUN+VuvrYOpoCDsuXZT6gkym -o9bvdd4Q1ugOC35GPr5iV3+z2hAW8u4dmUVmclWnXntlCB+OKNB9Qj71KKPZ -fcUQ3pQP7JpBphKZqf3LaATPBew3PhJ2WJmplDOC1prlxQ/IenPJ0qEORlAr -mTD9HvlcUFC5w3kjqGilHXmHvOdB7iGv20ZwXaXk+RTyivC38CuDRpDZK/p4 -EtnJxqVt7LcRJBl03Z9AfuvGNiLDagwRIyalb5ErRQXvtCgag4/V7LVx5BSV -TlFLV2NwnA5OG0NWrGE4w3DBGE67UcSOInsdDKVerjEGncWrASPI5t6ScnNj -xqAYxOvyBnmLs/Pt5rYxiP+tPzNMtL9PycNCR02AP05DdwhZ4/o+5hhtEzhM -Ma7wGtnV4UveN3+T/95///f++7/33xf/v7v/Lvv7wpSYD2y7v7dRmjMFUXH/ -cWK8Tabtvlx00ho6/A9ZE+OdlFykbrSsAxjcaf5AjCdBJyjX+N47w7s5Oyci -njekhJMMx7mDFzfFHBEv77ee5orl8IYN22pPIl5J7eBPz7bzg5R8gx9EPJhz -LtBeOBEADKOrAS+RZZnjjndtB0IZbf7vDuR/8u/OsfUFwyk9hYh65I/S5g3r -eaHQmTK7dRv5ix87s5lbOBh0p8bfRDZe2dgpLhYJ70mEd+YQ8U5G900OaTR4 -y75JvkCMZ/07P8b0xcBGaAR1InLO1qhCkE4cpNYezghDVmur2zV/Mh4Yf3Qd -9CHiO9PmEhdDApTze1xzJM7HxpDv8GYCnHLZx3IG+XOuwPjkh0R4UvywUA95 -anpAyLr7LBhOneFUQd5Xq2dSVJkE7xn/lUkhS0ucDSq/cA58TEqPnUB+UczW -5Ot/HjYvad7hJo4fla2/aZIMqS8WhZmR96+fstWRTAGmXVkP9yEnytMdtGNJ -hQolKcmdyI7tzNkS26kgFvOu+W86ii9n/9EMfUiDJ42JsIT8LESzSuRJOhit -8j2ZRf5Tq59hVnYBPgj3q00gO49JfZM/fxF8vAJ7B5A1tUk+zrtdgq0KRr2n -yGaqdf1CRzMgbebxYDOyVDM1d292BjAddjR9QHy/r5FcgyITKix2j5cj73k8 -efZWSCaIX71jdR354Hx0w/znTOgaNPqQiRy5ebH2gPllMNq77nge2X77Wwpz -z2X4qFHwJQq5J8VMn0LiCvieVfIMIL7/JZbhTfkV2Gr7suiKDCf/rZ47lAXp -G+kB1si9YWbbLOeygFlC5LcRstXnZeWs1Sy4FTAaroHMO8X79odTNojfjdqS -QyZlkxoWGs6G7q8c8aLIcp8MVA2Vr4Lx0R7yY8iyB5IUzGuuwkc7r2R25MfK -I2MKHNfA7/oBajrkua0THDQZ12B7tO4SJTJ7t5tA2/Y1SD9odfAf8fxKSWmv -iXcOMOuTXltB5nF0H3k5kQOVqeXM35CNw0LyjmnlgsRT7cL3yAfMv3t6NORC -N+kyxxvkoVA560s8eWAid7WsF7mRvjMuJysPpsNkjrUjF+t2ziXtyAe/hx9u -P0L+I+tfYe6XD/9+JAlXI+sdWezf+y4fLhw//rAIudpfxf+W9nVgcR2QuIp8 -j8KriLfxOlTeDG5OQw4R0vRJ4ykAyXfMEI8s/er2wtCVAnjK1N4Zgvw00USQ -lLQQTEyd1byQyxu+KR70KYSZjD299si8zylk90wUgv/Le7rmyIysgoKf1W/A -v12mgzrIZgqveCoe3oALyn9NlJAzyHkKyvcXAWvsjTEJZNY3obILakVQ1aRi -JYg8+/VPOHd0EUj+/vqeE5nqC9jo1xZBz8lLjozIsVrDy15fi8DU+9SXvciF -4ZJy0YeLYebWuAcZcnv/J40402II+BSzuI7uJyvLNdhC0oqB5Ah3wCLylHdv -p01HMVy0fL46gzx5p0dReq0YWK/5hI8jazFn39wteBOqXx/c6kdeL4j5/tzh -JkjRNMZ1IQ+79vDH5NyEHk0b8ibkZ2uVDkf7b4JZElnyPeSQ46cL2shK4FP7 -Laoy5E9aG9M6UiUQsKl7KQ95P/1DmT6fEiCV/EWbgezyMu+eQmkJXArMuZqE -zKXcplYxXgJs9+SYI5HLvvBRku4rhepv0wV+yDkDI9u6KqUgzZPM4YLM+rzt -xMXwUnhmL1hmifzE6nVJx91SMCt4zWeInD6z4jA3UwqzY6G31ZBrL+wM3sFU -BoF0bMKyyFG+bz/u0yv7X/ffH9IVH+xPLPvv/fd/77//e//93/vv/95///f+ -+//2/psu3f3UIHF+rvfUgnJMYDftX94BYr47R7eXpc0Etq6lsrxCPsA4NPVu -1gSW2Vj29SMLHKq91UZtCp9Lqnf0IdeMp1k/FjWFiWOyv18Q7ZdTaWHUwhRe -3Xv5tZdoLx7PVPYlmEKXmPXUc2TyIXZzx0pTaGz+PvAMefSQ8cHhAVO4oxjT -1YOsHBHnbr9uCjd7aBqeIv9teqVJdcQMrureqO5GLiMJLR1UN4O0oRM3uoj5 -c1+j+0NfM4g70375CeHFuZTaa2YQ9N7gXCdyppfDan+bGbg7fwwn7kcsojOv -U8yZgc28v3c7Uf6Bi74W+83ByH+HfRvRnu9v2/ZKmoP62mWTVuSJKybWZvbm -IBPNpfEY2cz30untFHMQJnso00LcDwRbKnXWmMPRFJUTzUT7qPanujlhDkz7 -3nA2IV9/e620gPw00GQ7H2pETkss2n4oeBrIWH5TNiB3vfTYM2d2GtaKzm3V -IR8NmW4QjzsNCzwMy4+QT/T++1tUeRo+3q749BB58FJPB8/QaXgjIjlWi6y0 -qbXds3kaehuevahB3iitKD7LcwZa5c+0PUB+6L+rwMrgDNR2fa25T7Tni0Uf -9SPOwC2tiPJ7yIw2j+xsSs/A9YE9eXeR33tmUSX3n4FMs/wLd5AjbXKGXq6f -gXOTx+OJ+zXWb7xVAlwWEOHQElSNvOPJo7gKXQvwndNxqyLaS0a7hlyYBTj6 -TFlWIgdOvf71/aYFnF7x1r+FbLAvMbCxzwJ0I7aVKpDzTQIbb6xbgCLJJfFy -5J+5hvVFXJYgfu4wfxkRH6NGbVr0LOE49X22UqI85HqLf4ZbwuHLCgdKkL1r -//orl1kCHeMgOXH/WKKg2nF3wBJ2F9qvFxHx50lm/KlNS9ji+jl/A1k0dah0 -mNcKflYmvC9E3qlByXbJ2Ao+nzg4VIB8gUJu0jHWCiYelTy9Tpwv89lho2or -GJA51ZSPfOTQvzXLUSvo6ui6k4fc0jouGUtmDY3qpsW5yJe2tVJaTljD3b7Z -LOL+NWA8a+KglTXcNA5JvkZcjx05Z1KyNVwbp4i6isxfvqy3/5E1pNle881G -/vSgzeTRR2uIm+V1zEKODZo8GkRjA8GeDWZXiOO3tD/UkbEB92UNrcvIIXxL -v+TdbMAmdFwuk+gv7H/eaGXbgPGW+8kMZLm2IF2/ThtQT/zLfYkoj1EK3Xs/ -bECWMo3xIjE+jFn37GKzBeFLLNTE/fWb5yL3wrVs4Sj97X9pxP2yguYaeZgt -MOfL/kol4reR7+XKMlug4ej7nIIsmxwd6DpkC2QV1m+TkU09q9VukNjBusBi -33lkS40g92sMdrBQE9NxDjlVKJvlupAdfJTc9ygJ+SL9JbM7qnbwpvXGrbPI -7w7OHXxhZQe9KsLXift7jTPHdVcD7aCtt/1SAnLYsa8bx9PsoNbAMDEeOXuk -jsn3ph3cGvkYEoc80ixU1NpoBwVWAR6xyMckl5KZB+0gc3qHTQzx+7du9CXM -2cE5tyuG0UQ8cPrsuvbPDiIXuVSjkPsfBhiGMdiDb9BDyUgivr5eS9x5wh6c -/qoIRBDl8UHwT6GaPZyOe3M4HHn/7GCVio096FK4HCSeP3h2lV3+HWwPSum/ -KUKROeX9qh5esAdx2vN/g4ny/rw5H1NmD8dzGBaDkDMOsxqaPraHI+y3PgYi -DzGWDEm8sQe6Usk3AUT/6fb05vluD5T8z5/5I1eNmzBy7HSArXtnWvyQNbsV -+3nZHOCn2Ld7vsg9d5lTpMUc4EtzRAnx/MPU56XSGV0HmFCkuuaN/DtZYzXR -2QEGevJTvYj+cjwprynaAbp1BWI8ifbKEy2wle0AjUMt/h7IbV9OlGnfdYC7 -Z3Sd3Yn6Dy3eLnvqADffT512QyYNeCtC/d4Brjn76LgS4y/5nEzMmgOkz2+D -C9F+ZycObu5zhDj/S6LOyJdNXj5M4nOE4LXDvE7IWQeGGVkUHcEj+j4z8XyG -1IJWuuWMI9iQKdI4IK/OVNG6BziCccogqT3RXsa7r3OkOYL6PodVW6J9DV96 -PVviCLLZP+dskF+OSd191OIIJ1kSJ62R7xr+OZb5xhGOFh8csCLGD6rdCmGL -jsDMW/rEEvmRcOk3j11OsO/OqXoL5BfK+7ndjzgBmWh3FfF8SIv1yucAKSdY -bzAtPI1c/jFUINnICb7Lf840J9oHBc3SLU8n+NgVkmSG3KBWefzNWScY0doV -boosVlg8Tl3oBL0D17xMkP01jVf0652gzYzPzhj5cSt7SOGAEzycbDA2Ivpz -lduZ9a9OcMtBU92QKP9vuTnWZM5QMDcubYCsk3ZYqJ/VGS77eAjpI3N1eNBp -iTvDuZW/HMTzK9/PS6qD+s4QGZFGr4tsFHL8saO7M/iSsFLqILfvzgkiTXQG -p3O3N7WI8tNrcKm67gxnqOWWNJHNqWkv2tQ5g+7lvhkNZHfGE7NsA86gxGgz -qo5cbVLgMvfVGSQKF3vVkPOqF6lbyVzgOHdsqyoyJXXj60I2FzhSta+GeH7G -4Xy1JlXCBeiEi8qUifH5JndFvKELUNYJ5yoh11TsrE70dIFtmY50RWR73fcN -GUku8LPDME4BWdnK8sWtGy7wRX06EJCfpPBOvWh0gcm+AFd5ZPKg1bk/Qy4w -YExmKUfUR0HEV5FFF+gev6InS9S3p994yG5XaLLlVpIh2id7Vm03pyvcnX0o -Jo38+lV5wGE5VyjxVD1GPN8b8vM+cNbcFa4tv2GVRP7aeT/1l78rpIe67Jcg -bCo37p3uCvFbv8nEkb8nzqz9LHeF4MTza6eI9uEd+jGhwxU8KBnnRZGLfg9k -sE26gu2lW+9EkHM7XpA8+e0KxvRSr08iDwuoCwQecAON/Ofdwsihejw0QgJu -IMth0Ug8X5xd0i/+peYGJyu+3RZC5rt098MTezfgEYwsEiTqy1C4pzDKDZhr -qbIEkEkoe/TPXnODfVLXzx9HLhB0Cw6qcQPyNoFIfuQ949Sivn1usK7y2OcY -8uaTB4lBc27wvVfXgQ85Ucnc4SyZO3w0eGfKi5ymQNpbyO4OIyM+mjzILvL1 -j55IucMLq3+yR4n2pXv2yC8Td2ibviRMPP88QB26U9DPHR66HeHmIq6npNjW -P80dKhfvM3AS9fWEib+93B0KghSpOJATCtZtmTrd4fLfwe3DyBWzFptRU+5w -Ps7hJzvRP3vt/n5bd4dIil+zbMT1rwqaOtJ5gF964jgrsnPi112fT3iAEy1d -HwuyA0Xr3kBtDziTU9pOPH91jxm33+PqAXrsYg+ZkLvNvf9VJ3iAUml3BSPy -ede7H80LPUCC3yyfgRhv5obJaJo8QOD+54uHkOdCWO3733jAEfHQBHpk231d -KznLHkDfsiuEjvg+JU2T915PoFTKcT+IvECvcFvnmCds9/BZ0yKXSpc8FVP1 -hF+6jQYHiPMfSCQ/Zu8JX4Y0VfYjn4g5ac8T7QmTZ95KEM+HMyT/jQrmesLg -e4/jNMhHZZWd4JEndDtvsO8l4guVOanloCc0zafRUiN/87pWFffdE+76s1JQ -EfHA2cnyPqUXlKzd/kNJ1J84x75vR70gJ1ru+27kDatTHYJKXpBO1v9hF1H/ -qbu8I228ID7FZpgCubN8F81QhBeE7PvRQzyfFukpvXHqmhd4ZMc2kxPtUdiI -rajWC2xZ9t8jQxY1PpdAP+AFJsVFN3cgK3Q39WYteIEG78mrpEQ8UPZaZqP0 -Brk7HSkkxHhQxfzz/lFvOClqFP0v/Xfb1XWNZ7pK3sDTOO23jeyiFu27YuMN -LBDotIWs9VRhpjTSG/Z1k53eRN5sP3zYNscbyLWztDeQOy5XsnM98oY/A9xA -PD9Pv+U3tTToDd/NHon8QT4Rvcf82aI3TE+q8qwjn7u673wllQ+MOIwwrRHP -2xNY3LP4fODFnMve38Tz4zcv15NVfaDdZ41kFdmLu/vkeQcfeLhyfuUXYYrK -fZdifaAygnHuJ3IWzZGLRdd9oJCkcmIZ+Vbv8K3mRh+4fE7qFfH8nqc9yPLD -iA+cp+7t/IGc7H6/mGbFByIvW9QtIl8RlQlVO+ALfozzld+Rb0e8GD0v5AvO -hZEFC8hcycxtr7V94Qw3deY8cualT3y87r6gV3X97Ddkgdtz+5PO+YKysGDY -V2S+d3MBCyW+IFH32HMOmW53lZZ1hy8IyOrZfiGely/8ujL6zhc4Ot8ZfUam -58/Ustz0BXoNXzVifaG/gFdshdoP9vT/k/qE7CQVG9rG4gfbxhmCM8ixG5Ie -l/j94Nf4EY5porw+ApWTlB/M2T6g+4j8Xj/utJyGH0zOKu7+QFzfwTl9FnM/ -GPR8vfEO+YiNy48tZz94uuzwYyqdeL40f2o2yA+aQn9NTyJnKHuwDyb6wb2t -xBFifWNO5E1lx2U/KEmk632LnKbP8aau2A9yKMsejyM/fKhSeP++H1y4JPZg -DLlvQpzsXpsfxNM/LR1Fls5fIq3p94OQfLOcEWS2o145jVN+4MnxJe0NMjdF -WVf3gh/YVoTGDiMbceecHdnwAxPB3YFDyK8eK4/M7/EHjdocl9fIta4V9TuZ -/UFO6pjFIPIEdRcP9zF/EGlr1CXWX2oX8jnUJf2BR1VL8RXy/jyRch91f2B5 -8fZUP/LWn/SaPDN/2G/oyddHtH+lByovnP2BfHSD5SXy2z/lFiTB/vDHKn3f -C+SUlZCfUmf9YXGalawXedXk+J6wK/4w7Xbn9zPk6ZRXN5pu+sPooty3HmQH -Xb8HpDX+8CKof4pY/7lXwiKn2+EP7X9tBruR9779oFAw4A+P4n50dSGXJw00 -Lb/3h0qKuIYnyD4Jm7e0fvhDYfr+253IZD9DKW9t+8MV2uIbHUR9Spu/paQJ -gPM5J6+0I7fuvM/kxxYAUeyd59qQYyC3+61AAPiVGkW0ItPQH5/UlA0AZ/4Z -78fIg79iLB9rB4DF/UD7FqI9Jt9TFLMMAD1xclNifepZy1j6A48AUG7J0mgi -2mMDg4xIRABIKh2VbST67/tUrfqUABB49uhEA/K3fsNGhdwA4NBT46on+o/N -haT+WwFAPzxyqI6o3xu2d+waAmCPheueR8iKY/NCaz0B8O/92lYtclyy2e7L -owHwyzl5uQZ5yrFb4uSXAJibZ5wl1sf6jGybh38HwJR/5dh9ZGF/ncwoikAY -XJN6eY+IT3vr6vkOBcLT6N62u8ht5U8Fxo8GQjOZZe0dIh59r1y8IBYI91Lm -y28jk3Skb6ipBkLpvqi8auQmoQJDctNAyMmmvliFXOW/50e3E5rqsBTEVxLr -ccvkr9OCAiGhWDD4FlG/0LpuejYQQnhb3SqQzzO523NnBYLnHT0rYv2uwFlq -z1pJINiJvtcvI9q7iNNyX20gmDT6Kpcijzw8TF/5JBA0gUSiBHlFsyQweSgQ -5Loz+G8i7wzkpfKaCQQRbQ72YuQdI7Pjxr8CgXfwwYEi5NOyTO+ALAhYzJV2 -3kBezNyiFz4YBPunXq8XIIt/ehXLzRUEOx0dF4j1Q1H7fiY20SD4M/frfT4R -/8wkp5mUg2DR5+xQHlGfwnqjzMZBML1C15NL9Ndww7XDjkEwGlHWlEO0p9Gz -CscCg+Alifjda8iUNAIPxBODoP3c0+KryAl/09Q1rgTBI2rz7GwiPmZ9I7Up -CYKqy1+Ss4j+snDxXWhtEBQyhkVdQc4R6JjIfhIEVwp3+11GLrvSu1Y/FATJ -3LmOxPpmQdiq8LuZIIiqOmaegRysfiFp90oQ+As3aV0i+kvcu1/i5MHgXKcl -fxFZv0E8wp0uGCxkJ05eQNbO/nSoiDsY9Ds9j6Yj6w1IPHt7KhiUNTYZ04j+ -JBqfzqQaDJL96dSpyMeu0TpYmQaDoAkbSQry/Vl59RLnYOB4e+cXsb76lt5C -ejE4GA7ZyX85h2wj0Cgldy4Y9nzuf5uEnCRdo5xxNRj+edr2nyWOZ33d7Et5 -MKws/+hIRM5ueeWnVB8Mc6FxjxKQT6aWZRT3BMPU1v7KeGL9kzTsIflYMLxO -LL4eh1zsdPOt51wwPKUUyYhF9vsXRzq6HgzNlzoTY9KJ53v+vGqUIXCP3jg0 -Gvn70UGtRqYQKM2f8SDWf8udSDyE+UMglyPIJpIYX8A2sVo6BC5UkBtFEPGb -UT+bXzsEEgSzVcOJ/m4mUnjHMgRCa49KhSEftfe6fsorBDyl6gRCic9v2F9s -iwoBuza1IyHIvRGhAXoXQsBUdfRgMLLvGTr1jwUhoPnCdVcQsnHxjT1hd0NA -3nD9L7H+fP1RfDNtWwiIjCYv+hPj5W+u0w9ehQCvNdO0H/Jo69I74w8hwDpT -+caXGM9djPX+LoXAfnfp5z7INfINpaWkobDzR2+LNxHPL6d/MKINhb9Blve9 -iOOVypPs5AqFxb/zJZ7ILA/kdjSLhsJMXNQ1DyLezP/5FKQSCqMUe9PckXdF -fSoXMQ2Fl+kFMW7I1gm+aivOodBBKxRArI8foKXpbAwJhUc5rc4uyAdFTzEm -ng+FKnb9M87Idz+YqRvkhMKN0vc6Tsiduxd0OSpD4Qq/n4Ij8ftpHvy/G0Mh -+T7JKQciPklqTfT3hkKUeCavPREfB8lsqydCwb+Fg8UO+eOuAw/TFkLBRamG -xhY5JGJhzHcrFCyeKe2wQaaqP/DSnCYM9PWGVq2I8pTcSlM+HAYqw45fLZG7 -XQQYRIXDQNJiZdIC+V8WmxePYhgIfjg7cIZoH6QGKWxGYcDpQt91mvj+iLI3 -o2MYHFooqzcn4uvRiEMMQWFAFSBebYYsNR+UyJQUBv/WnhaaEvF4T33N4ath -sBJtftkEWd6g6+axijD4SjaXZIxc581hJNEQBlMpYeHE+wOPN4zbNJ6Hwet9 -lN6GyCJh03PWb8OgJzvXzgC5WtWkL2Q+DJpZ+E30kVuqTnpe2QyD+8VN6nrI -GoNkHbV7w6GUV1tGlzi/bpO+UfZwyL0zIaRDtIeQexf/nQiHi6JenNrI12pv -kB1XDIeExk16LeRbAjX8FkbhEAoXKDWRP/sH7bjgGA5e3Wxb6sR48zMo5UlQ -ONhp311SQz68qdS6lRQOpoPyn1SJ8bDJ54bMtXDQNH81qoIcKpZyPPpWOMhP -2b5QRv5gxG3f2RgOoo5LrUrI5kOv5alehAPv17gaReQJI74n5pPhwOp7oFwB -eUa9caHiezgcWC3OBeTaHSxNG9vhsDNS5II88qPbM8eM90fAX5InccT7FQPq -VdJ3OSLgxznjIFnk9jsU89SiETBD/clVBtn/UqaUr0oEjF0OspRGtgj6xP3G -NAJeMu7Ul0J+05hbLe8aAR2F2UqSyFGXdV5Wh0VAHTePuARy4s7cJNbUCKiq -qjsmjsy8yDKSkR8BN4TV2cSQn/wNaNl9JwKy6kb3nyLq97asxNnWCEiWdSP/ -z/se5oyqZAMREN25vnYSmfZw+aekjxHgr5EyL4zc8eccHfWvCHDpZ3p/ghg/ -71gNZZNHgqVJ1Wshov30Pz/MdSgS9N9KPxUk4l2H/3otbySo2L1oFEB+3bhu -qSEVCVKfLe8cR+atJ9P8oBUJgl4LRfxEPDsv2RppFQmcP6OyiPdNMp/INTH7 -RMKhsL3JfMgRr5tkHsdGAtV2QSQv8vonc0XHzEggOSvky0O0h9+Pn1OXRMIK -ZZvDUWSJ/qtDjQ8j4eslfTNuZNu/6dYeTyPhHf0HTS7khiFtF/axSHid7yfH -SYy3EbELb75GQg8H6UkOZKex4cWMjUhorsjkPkJcT/4fT/29UXBfkJPxMHK9 -9HWHA4ejoKy2hop4H+bBsufgiHAU5Eop/2NF3uhgrL+hFAUX24Z+siAP+hvT -eplEQaKq02dm5Mq0vo8yLlEQ+mJlnIn4fWsp7n1hUeBlmNTHSPTvdc2x2ZQo -sB+l72BAVj1Zu9mWHwWm1uUPDyEbXj+WUXAnCrRmxG/RI7NlOmTGtEWBvHtP -PvE+znleun8Og1Eg+sP80kGif0qsjmrNRAFf8FwCLbLlmcfM4qtRwLoRFnIA -+cIM91PuXdFwIJ7SYz/yy/lPY4eYooFiV571vv/073pD6uPR6F6Q35AGWTrB -7MROuWj4Qdusshc5/Ee0H6l+NMzkaEtSE+PJta8HSe2jYYx98jgVspuiGSN5 -YDT0lXod3kPEH+/4qD1J0dDBv0VLvC8UcOYY0F2Lhrr7Fyh2I9/7S23LURkN -1eLsfymQe0gX3p5sjoYbLXe/70RmGjv3QLUvGrKU4CM58s0bhR+t3kdD8rNX -w2REvBVZdQ9djoZoPbtnO4jz5dTVzCaLgYDhpWZS5IJ695g6+hhwsYi/R0L0 -r2Wa3RO8MWD54UAJ8b7SgXOkszukY8DA5ebVbeR/7yjohHRiQGVBJHULWY51 -9oqVTQxIBTyJ3kSeOuDoeNEvBoTWjf03CKtrxD9JiAHOmE9Of5FL/1gtbGTF -AAN58Ok/yAn0HiUSFTFAlbpTZx2ZX1aqLKQxBkj2X4U1ZIn2rKWGFzGwms0j -+pt4v6lM/ez2VAx8ZannWUVWL2azVV+KgXfF6szE+1QujDOxV3bEwhDv2N5f -yOxHnGen6WKh544b6U/kX1H+F8V4Y6FF9M/KErLuzZHwNKlYuN+YMvcDef2B -Y/kn7VgoA+bJReSqyDlaRZtYyOuuevUdOTFKrLXILxYuass8WUDOfMdSQZYY -C4mDL+rmkU3CvF+6Z8dCmLlVFfE+l8LLTYGhiljwmloo+IqsurugB5piwd4x -OnMO2WgnZ/69l7Fg+nVv0hfkcTW7cs73saDlWxj2Gdnir8BcznIswKqQ1yyy -38bdE5Q74kA0ss32E7L2O6ckdao44CM1MJ5BPtxUEnSeLg7Yzn9Qm0Z2DB3d -fMYWBwf2+kt/RHYy8mKj5o0DiiukQh+QqSf63xgIx8EG42UO4n2z0hXPo9ek -4uBHISf9O+T8N/ep3ivFwSfu2t1TyFq7qJL5dOJgrEp5cwK5wXkuN9A0DvqE -h3+8JY53uF6h3SYOOuucZsaRSR7NRtK4xUGd7OrIGFFff6a1bPzjoLozqXcU -+dF+9jv3IuKgSONQ6whRHv4UN3ecjYOs/vIHxPtukdNUx8wvxEGKiUTZMHJZ -VKHinatxEP22J2eIeH+uUmievCgOAuxOp79G3uAQPGpTGQeun+diB4n6Wd7z -o6EmDiy9wgMHkNMCpDToW+LA4Cel6yvkZH1+4cDuOFANy7PoR15K0C9/3R8H -Utv8en3IdWYsVaJjcSB0tlnxJfE+mxOJzNWPccC5R0fsBfKdK/6n/36LA4aM -ST7ifbxc1mlSu5U4oD7kzfocefFst3jPVhyQXN/a9wyZr/jS7xO74mGV4yJZ -D/LurIcKefvj4VsF+1o3Ud/9t+gomOPhneC9b13E+2o0H/0DueJhqBbePSHe -b7N/bT4tEA/PpAYGO5GVj/zsNhKPh5Y2u+4O5OXlR81dEA8PVJcbiPcBPXqv -SEpqxkPZi/jbbciW30hl7xjFQ54hbVErMsX7kG4uq3i4NHrzymOiPSS4D+c7 -x0Oitej5FmSZPwZu9L7xEDbzJKIZ2byuNC4jLB683U18mpC/hEyy7k2IB/sf -n+wbkUlV/GTT0uLBLDjYtAGZPmDs3Z7seNDa2KlZj9xUdIEsvTAeZNRyhGtS -/9/n88L2CCmRv1uTr/dpaHWpRbghS4HI1y1VCXbecftGiyifUiyRnzumlf38 -bVBhS7re+8dEPm780kOx/IyCloTjXptE/m2KolAnw/T1Fqu2OWki/zbu2naP -5enrLbZ7TMOJfFvrwWSTd3P5LYPUD+qJ/Nqvdl+OPk7Pb/nzdHOVyK9dyjn3 -SwPyW9ikJE8R+bXv8qLGxLbyWhIdHQOIfFqmIjsajq68Fn+1mPtE/qyu341F -1ct5LYLTyYtEvmzCQi+Jnktey5Z4ogCRLzudyxR3EvJaeFW9PYj82OtHlw9w -sua1/KRWv0Xkw3KUFZJHbea2lFzc/5nIf9Ut6Ztqfp/bEt/7nIvIfy3WPzoa -0p3bMtgeYE/ku3Icv2Bleie35a0/1Q0iv5WNl3GR5lpuy8epK5OlyOxaMXtc -E3JbBHdSMxP5rWETEq/4fXNbyOcCzYl81pnSTJ4T1rkt1edeZBH5qzau7eb6 -Orkt7h9pXxP5qvWzTHOesrktZ9c19xH5qgvbXx66C+a2KL700yHyU2dfNsic -PJzb8sXqfAqRj3p++CjF3QO5Lc1VF54S+adbLy8L9ZOj49UlkhH5p/JT5f/i -13Nadse6KxD5pskPaQqbF3JaqCkgmsgvpcnLeeHzMafFTJu86RLxvt6oaXnG -SE6LoH7jbyKfNHibMpbhZU7LyAEbUSKf1PHw9zt/O3Jarl356UvkjzZ0TPuJ -NOS01A2H3CbyRXV2Fg3U381pCX/zbY7ID5VSPnXubFlOC89VvaNEfqiY0MPZ -y9dzWsgYbtoT+aAn/+n2TV3JaTE9PVtA5H+u76fItkvLaXGwYHxL5HvaUtGS -MCTmtPizyRwi8j33s9eLbEXktPQU6xoR+Z1u6Zvl+wJzWqq+6F8k8jkLxPo4 -tT1zWmx/KPYS+Zw6ow9qbjvmtAg1clEQ+ZyP+JtunLTKabFQXVUk8jcn3Qc9 -Rk1yWo5n1UUT+ZqdbbTP8nVzWj6XuTYS+ZmC93RzotRyWgYjdq8S+Zhp6b9n -QyGn5eTBXGEiH1NGuuhDmmROi64vkxeRf9kexPO27mROi/ullAoi3zLYXlB5 -jT+npS/w6zSRL8MzeLqikz1H9jGrFLsr1h/jUsPOOGO2eFyR5Yj5yqOnr+wx -+wa+2WOH+dTakKoNZj7FzjgrzBmGRc0WmGv5fH6fxjzy9PhJc8zyAuOepphF -zoSUG2Ne0yX7aIj59Z44FgPMlBkLpnqYp6c0MnQwl6xk9Wrh5zc8QK6JeSl6 -U14d/71lhnBVzIeFuGqVMYeJsX9XxGy5i5JXATN16YydPOZBqrt5sph/yLsN -S2O+I0dLI4VZf/dtdQnMLDfE4sUwm++41ySKWUOEceUkXj8n/AWFMdv9aXQR -wnwg8+cNAcwXfjOP82Nm5helPYZ567iMNi/mqE2Rs0cxT+QzP+bCj7fn5yoH -ZkONRqEjmH+Z+rmyY04XZShixb//8c4YM+Y621MHmDAP3a3SZMC80b8/gR5z -cLtL00HMSYm3fx7AHMA4zb8f7w+xuxxpMK80sOZTY6bv5hjag5m39BAVJWY/ -sw2lXXj5T76K2Im5SSyrhgyzuqPGN1K8fTkvcJBgTpaJO0O8H/o/7vlMlrmJ -mdM19NlfzO9a3v5bx6wwJyixhvnCVz+fVcx7OkrKfmHe8nk6uYy5+tfYwSXM -Z/QntBYx6yT0xS9g7k2/1/ANM4Nv/I85zOHHlHm+YD7VsmI1i/kS79UrM5j7 -PHl7P2IWS7lF8gEzVRyTxDvM2QYR3pP48Td6S95iHo2lejuGmfm9zP5RzNxM -VmpvMMsKeUUNYS5j96oZxP3Ncu4V5oTLMuz9mGMPUZm8xDwd0pvSi3m5Nrzt -GeYffYyrTzFzdVfwd2MezOWxe4JZTic7uwPz3clfvW2Y3bSVSFox38+JE2vB -/KLrrkcT5u1XL2804O2l6e1wHea2s2OUj/DyFHoqX4uXZ2NJ4APM/Vz+t+5h -lvQVmrqDOT9/4sBtzPKVYWpVmJ1zdkbewmzqnnCvHLMR64+ZUsw3H2gzlmBO -PZajU4xZ7+xw3A3Mop0kjwowR8+wfs3HHDjHx5aH+fQgt2EOZv/C/UlXMZMZ -LDRkYTb+XL9wGXO5feCRTLx/drKbXMLssrvp/AXM1qdUm9Mwe6i1L6bg7UH+ -OGcyXh9s50zPYe6YGUg+i3kog6olAS+/o+I/4jCfLTbgjMX7/05L02jMNEam -yZF4+z0HzeGYc0qZFkMxa1bPHAnBrJ5fYByEn2+Q+rkAzCD5scEPc+esx7wP -3t+iP7F5YxYi0TPwxHzDuyLBHf+9p0sPXfHr3cP/xRkzi7QxkxPmD6Ze2g6Y -P1sHR9th9jPyuWeD+Ymo+UcrzEd3CB+0xDz2eF3lDN6fXR+EmOPls33mlike -/xJ/jhtjllqLoDLCnH7ml6wB5pdVlj56+PXO197QwczLsjmghcdn6VM7NDF7 -aVmJqmM21ApyUsX7u3RUtjLmPyxBTxUxKy9argHmmQeifPKYWV03Tsvi8Z6m -NkUas3eFRZMk5r2iP7+J4+PNg3AWMcxXOJe1RTGTnj8ddRLz3Lt7t0/g/Z9/ -bVIQby8eQnsFMB8qNJXjx3z+qZc3Hz7ezgQW8ODjyW+PPm68fLYMtzgxk2zw -CXJgPrL4w+owZsfR8nQ2vD/V67aw4J9f+DTPhLnSwpOFER/vD09rHcJ8cEIj -gg5z+8UblbSYfaQ/j+3HbP+Odfc+zJMRyhJ78fFvv4ULFeaYQrtsSsyCPOZd -uzAHV8j92onHc046TnJ8vLv21mAH5oxdmbEkmL/4S9wl3t/5X78/2je5iblM -woRqA/OVK71SfzDLzAu7rWGelj9/dRXzi4t9Xb/wv58g+7WMOZibn2MJc6uH -gv4iZou7atELmC/8kK3+hjlPiGt8DvNTzz8UXzCbVrSdmsWc9jHYYQZzNTNr -xkfMf41qHr/H3JIqOT+FWazzLuMk5lt/6NTe4ud70jNwDPNF9wdFI5hTbn7p -G8Y/n9y78RrzRwZuvkHMPSb8pq8wJ1w5ktCH+fQwxb0XmNMZpiaeY7a3Ltn9 -DDNr+Rmxp5hJf/6z78JsrHj1YidmsyzW5nbMevOXv7RijlX7c/AxZoFyfYVm -zPl7rno1Yt4b9DKnHvOz6Z9djzDTmFMu12LmHtzHVoMf35BC8z7mV6MLQXcx -zzs9KbqNeXY95WUV5h1ZCuu3MJ8T/8JVgbn2Q/R/3r/4H/dfJo8swcysG1le -jHly3/TgDby8JiS3CjC/vBfLdx1z4oU64zy8vQdNxeRgbndeqbyKuc9uYzgL -8zGXn/8uY2YIGefPxDyRcd/0EuamutC4C5hXvwhVp2Ee5B55k4L5src3STLm -yM4V/nOYB7i8TM9iHs4cik3A3Et9vCoO81pWwHAM5kL+qu0ozGT9g3yRmN1j -vxqFYz4Iy1GhmFX3fi0Pxqz8bWAgELPByK2//pjvDfpx++HxbJJPzwdz0NpA -qBdmO073Yg/Md6yXe93w8690X3HBHELxms0Zc3gQv7oj3h5/BfjZ4+07qTrX -FjMP33CnNebQqYV5S8wqZat0Fnj5xy7KncZs6z3qYob5lM/9SyZ4vE4IbzDC -3FYl8tEAj3efJyj1MadKBIvoYr5/fdtCG/ODQ2GJmnj/K/tYrY73Ty2ZYVXM -/uRJm8qYo4ZbuZXw32ua01HA43X9jmB5zFW91AWymFdWKLql8fIXX16QxBxw -6QWdBGYhkquyYnh9JBs4iWJeOLaRdhL/vZns2hP4+PXoyIQg5hPF+TsE8PhS -RsHPj3/eZW/Ih9lo83YYD+YMvbkb3Hi8bD7Yw4nZQ+nE4hF8vPskTX8Y82ix -uCwb/nkEpyML5mWf7RQmzGqxvfcZ8PZTfW6UHu//KyLbB/Hfs3jFTYt/f8pS -ez9mxegxfxq8PUmr5lBjJj90s3UPPp7s+/FpN+YlHgGqXfh4ZH3m5E7cNSHm -ZJhP8pyNJsVc1Bj/n/XT/3G1l+/zLcxXQffHBuabIiz0fzHTqY1Lr2MWijhv -9xuz6Kuj51Ywu6g+rP6JmWpKZHAJs+PV4t+LmGv9SVi/Y5b11Vecx6x06aLL -V8y7Xz9O+4J5QGLq/izm9+0Lb2YwB3sv/P2IeVRu8vAHzOoiLSrvMFNqp7tP -YrZJ1rn4FnPqt82aMfz4/gWjI5gD2YU2hzE/Wrp7ZAjz+Nxh1UHMnLvi3V9h -fq39+kIfXt71B2teYA7VVB15jnlph+vfHsyTM2HsTzHHLEQodWFmZPN26cS8 -GKyf2o6X9xrH3VbMZsWfBlsw+wRdW23C3OcnzdSI+eHVPtl6zK6fDeweYeaz -60qsxSxDzlfxAPPH15G99zBbv2z7fgfzr6Vf+29j/qTAeKoKc1CLgPktzLOO -JyPKMUdI8BSU4u1Dgqr9JmYmpw/TRZhvPS7beQNv3yqWfAWYs9dJtPPx+nl7 -1TsXs/431oxrmG35rtRkY57P+jN8BfMpYYO1TLz+/l5lysC8Z6VP5iJmVrZV -63TMv4Op41Ixv90+eDMZ748NVF3nML8o/jV7Fm/vLS92JWLeuTvrWDzmHUk6 -2rGYc0VXvaIxU9NdvBiJuZCH4X445gzPjMFQzEdn/vwMxlx0wZguCLOyZ4FY -AGbDqFEzP/x6OkjDfPD+JsWa64U58zNPkwfevno4Jtwwf5mi3nTB/JlvjtUZ -M0dlrZwj3n9tfG3s8fPRYom1xfzSs/6GNea0J8rtlnj/Nmz/cAY/n0OCpKcx -b9Gmcpjh7U1tVNEEs90DOgcjzAImSgkGmPeesLuph1lVyadTBzPDRa9pLcxd -tJY7NPH6GZDiVMfc3LNbSRVzynqPvTIerx1D4xUx0+9mKAbMp7+Ut8vh50PK -+0EGL1+za/+k8Ov5vMYuibnygYa8ON6eG1KsT2Em3WyKEsFsHzmZL4zHF4nF -JiG8/E8sjQtgdnOeXufHDGNdDMfw9p18TZwXc0OIhelRfLy5uTeIC+/vex9c -5sCsVafy4DDmg4XPXrFhXuiSXWTB6+d4MTUz5pGBVX5GzB510pqHMB+a9HWl -w8ymlJ1Ei7ltrqpkP2aFgfsdNJg/rJe/p8Y843Bhaw8+3tE4sVBi/p/9z5ck -NP8VfqNq+z/vn/Nif/c5Yj3PoQ9iOxMUQUXiWAqxP45Qt40y+5wiPOaKSSP2 -w7E+MZDlp6sEgSNPLhD733gU/A5qrFGCMv2/l4j1uxHzU7PrDMpw+RLHZWL9 -Tsxm/rNQtDKEXZXKItbvYlyiIy2nlSHbSekqsX5H8U67NEZdBY79lckh1u8k -vtU75NxWgQBznjxiv5qnLpwNtw6owt0o0uvE/jSXPs+X3QtRBVaPvgJiP5rq -h0GCdyZUYeNw6g1ivU5piNWgWEENkgslion1uskN5X3pZWpA9vnNTWK9TrFI -08t7jzpU/XYqJdbrBr6mu6n6qkPzwKcyYr3ueoPXTrphdQgOMq0g9ovxKVdX -GZfUgEPTdbf2IluRRfFkF2jAFBNlFbE/jPSY6x31HZqwm1WnmlifC/rs9nbJ -RRNG5mJvE+tzyeWTdzNeaEJSXOkdYn3uuNYRAV5hLTCZabxLrM+VNmUYP8zS -gvgD7feI9TnJvFQeyT9aoEVVf5/Yv6VY2aO8xlobFgcKH/xnv5bnacNcndpw -2yG0htifpX1NqyaNRwc62hVqif1Yqo3IFL+l6oDX/N9aYj0ukGF/AvzQgfnp -sofEepyS7MuQNGNdiKlQfESsx635Xj7SX68L9lL9/9lfRZb5cdwuVj0YztGu -I/ZTqbEqz5GI0wP6p411xP4pT/bfcrf5pAeOHYz1xH4pR3pZViM19GEj1a2e -2B/l9hsL2Yzb+sDKVVlPrL+VsdoW6e42APLUt/XE+huHxat4PWkD+Nm2WU+s -vz2z5X+i5WUAXN37Goj1t13qrM5KhQYwmnuwgdjf5M9OQzexAQPQkd/dQOxn -kuAb1Me1wxDaar7XE/uXFIuKZO89ZQh+a0/qif1KdrwXa//pbAjXqdPqifW2 -qgl+/aFrhhD/U6meWG+T82tRuvfcEGwr5+uI9bYH7dn55zYMIfBkUh2x3vah -z97ijKARup59dcR6296QF7G8tkYgXpf6iNhPxCYomno5wwgcan8/JPYTUbPn -JK3rNCL2Y39I7B9iXeNkE7JiBEncxbXEfiFlTYvcIjzG8DfnfQ2xvqZQ5WH6 -1dwYzD/Q1BDra8yXEpbyU4xh6q/QA2J97abxh9+azcbQOwf3ifW18nZB918L -xmBRpXiP2N+jIZJOI4fdBPrh1F1iP4/ju+WzJA1MAOKvytah/h3RtLuK5lY8 -nNrFK0zM326sdA5efhAPfBfquR4ik74Si2Bsjge2gxoMxHxu4ODEzRtd8UCb -O7anBtlxVECbrz8eKA67b99HpggrC6gZjYeN0j/LxPyup6CNUf5jPPzgT529 -i6wk/Vv2xbd4+HSfeZyY7wUkd707sxIP4+LVL4n5XjR1+dbXrXjoa5FpJ/aP -S7hyKDdyVwJ0Kr2sJeZ/bqKX79McSID6Z1YVlch3tbKVS5gToFrvex4xH5SK -u24oxZ0ARcPRFyuQDz/hfjsgmADZFjQJxPwwRqt60l0iAVI+FAaXIatk3zlN -rpgAMS4n3In5IplHpW6RVgIELLRZlSC3jrA2y5kkgGuAgQExf2wWPlc0aZ0A -VusflIuRDemctqJcE8Agxl+CmE82OYkNsvsngCr5juPEfHJaO5ylMyIBpFMv -sxciS0jfm3A5mwBC+7loifll9GezA3svJgDX1dqd15HzdZeaH15LAAZWlT95 -yF41G2+tixOA+ubwAjHfvFrwx3t3dQKQ8jl/yEE2mvIIevgwAVbvrA4R80/1 -pIHv9q0J8E30XA+xv57pYvX4/mcJ8L7xUDMxH3Wvi5HoGEyAIai4m4Ws395L -ETiR8L+1d+bvVO3tH0eDUqJkOolMTTKEypBucRSZkplMm0OSrThlfIi91x7W -TkrhFI4hirZ6JKHiJEOHBrM6yFTRQIbIlPh+Pue6znP6A74/7h9f13bZ21pr -r3W/rtv7vqGudk82rk8ZWusObh6Ig3LzuqRExCJRr6Y7R+LgTrMTG9erRofS -pS7OxMF1x49RuF7NSBzMMxWgwdXu8JMJiLPjd+fxr6ZBgreQD65fk0pFJcrF -aVC3I94W98P++f+7vPTl3nge2T+cRvUXaPnhdZeUmcn7PzD941XHePT7fJtm -xkPlaBAWpGKO6+HTXcH6u7bRIPDrw314fuAJuSVfJjRpQIm00MT1sehmNfHi -vTRw4O9WZiOWLA/LOX2ABubMQGlcL38b88vQOUwDEF5YzUT8XCJ22XdnGmhf -Os+H6+cVVWYvq7xpsE1abhLXzx71B9eSgTTYmPHf97h+rjDhLzkSSoN1yoZd -cYhbLs5Xy8TSQJDb1IDraclDlXofSBp80/CqOovPr0Wr9L3LNBgrGb+H6+vW -snJP2u80eLc3Lj8a/z2jS0Rs82jQUbUuHdfbBxM1lJSKaNBgeu1CFP68tKcZ -Uw9pUNWgRcf198J759intTQotasJjcDnW8GvLqORBgWddgG4Hqe+ivj1TAcN -Mj0H3MMQzwxtJKze0iBp8PQRXJ+X0jtmt3ymAfvE8gN4vqLH8j0tAtM0iP6S -rIvr9WPilcK9fHQICduiiuv1d0kL3HIhOvgtlG4KQezAjSpIXU+Ho3TT9bh+ -55TVrImSpYONUIfgKcRBHl4tblvpYHLB/1sQ4sGz3VOGmnTQk5gbwfX8tb01 -kcp76aCeRr4JRLw4lum56gAdFBU2vMT1fZPeYs4XazpI5XHrAxAbfz1u2uVM -h9VqeytwvX9X9+ShWm868Bc/L/RHHOuRerMwkA5Tum45uP7//C3sWHooHT49 -+pzih3i4P4nGiaVDr0k0B/vAUoWYbxEcOrQ9WxODfUBJqKoxIIkOdTYZwT74 -/qg8vOiWQYeKV+q+2A+s93I4Nvl0uONW6UxB3GurGnzgLh2uvz1siX1B87bn -3b0VdEj17zf0RDxdf9dK+086JIye0sb+4Ey5Z6zaTAf6aYGt7oj7qJMXt3TR -Ifxb4gbsEyaKfLqKA3QIjFUUOYrYfNRPZ9MoHSiCxQLYL7KiHybIztLBIf7n -KewXd8rIfbJLCDAXa/+I/YJe+cvPcsIEGF75pRvPv0yZfJ0pL0mAttxUE/aN -spGztsryBGzLZdTYI+6hvXHdrkKArIpkGfYPwZrE+xq7CFh35wbXFvG6OYUT -OkCA4B6dDOwjKVylkP1mBMyX1yXaIK77bVuDuS0BY0bODOwnB8uroxzdCBio -+xhujY+vORHt40dAh1VEIPYVqWK+1uBTBDS0CXlZ4vtFZl14XCQB1S6pdthf -MieCgi8RBJT2qZiaI26oZ5fnJhBQ4Fuuj30mOjzf8f4VArKGLdSxz0QmUowb -rhGQFNytYIq4u9s05t0tAsiZQAnsN8NuY0LzpQRERy+sPIB4zvLzwPoqAkKW -Jnz/GbGhQpuI+nMCjpFy49h3ypi6rEMvCTgqWvgOzwfl8ymy8usjwCbZ8C/s -PzvbWynEJwIOyDQ/M0RcuVOrJmeSAL1sr0fYh1xW+AbXLhCgvvVL0T7Ej+UE -At+vYIDi7bjr2I/yz6TfFRJjgJS22NW9iOONG0zUNzJA+MG1eOxLpZPbFe23 -MIDfUDtWD3GX+iHzqJ0MmKqt+RX7k1JLVUWOPgOGzO2PYX8SGFOJaDBhQG/z -gCueX7qmXebsrDUD2hzPWGOfGlyi2qjswoD67uXGu/D9bPekr60PAyq8U3Zj -vypzFToYR2VA0cct27UQt6cKBhWFMeB6UNlG7FvVtvSet3EMSP1qunYnYpCU -TpKIZ8CFyI6l2L9MCt0TD6UwgM5/fAb712fZkfaYLAaEM+eG8PxU8yueHiVc -BlCFOb3Yxyw41moj9xhAubShVQXxlWQ7oy2VDHCULniC/exU4spUr6cMMM/Y -+wD72UXOCp30NgYYKr+4tRXxqpohqc4eBuziumVhX1O9a2kg9ZEB23aOXN6M -2HVZfY7jBANkS6NZ2N8mU2esfvvOADEDkSglxOrxofqdgkwQrM4Iwj63oNjv -v3EdE+ZNNbzxfNdPvU0dXjJMGGuodMB+N/frG/LGZiYM2Nkc2oT43LM7USMa -TOjs7DfAvrePr+v2bn0mNHgG75RF/Kf33KazJkyoHhRQxv7XE1HQ8tSaCWUn -LknJIJZYiK+WcGFCwRfF1dgHazv0J7x9mJAVVrwojX9e1dariMqE5IWfJ7AP -dlmeEhYIZwJJbx+UxM/TSq3pIzQmxAj5dmI/VDXUkc2NZ0LIhakX4oiH7svE -zaQw4ZgE8zH2RTmrGAXLbCa4pUneE8Pfx8MLC9kFTLBRyMvD/phpoiM5V8KE -A3k6aWsRc98/O37kMRP01eoTsE8el3ea5T5jgnqxM00Ef/9Fo6qWv2SCkt6n -M9gvjZa9qqX0MUGqMuI4nn9bFLtiSeUnJggfWOWOfdNUrzBc9isTBJ6n2qxC -TBGnboteZMKUzQ4T7J+GKm/W9q5kwdCrch3sn29rCzT3r2dBn5vlDuyf455U -To4sC9redssJIr6a/+eGldtYUO9PFVuO78/Rzm+pWiyoGF1YvgzzaE1/uwEL -ik4nzOH5u2UGXIl9piy48U1uRAA/z7puxd44woLU2MJ+fsTFAVSFdW4suCC4 -v50P8Y2q8zP/8WMBEd9ct0ii622gcMnQKRaEi1HKFxBbrQg1do5iAfXKl/9+ -R+yXSCutY7CAIke7No/4gXS4h+5FFjjmiqV8QzzvM6fHTWWBhUoOOYd4fcBN -M9nrLDC8ox09i3i57Q5OYiELdu2pPYXnAzeFKC4KPmTB9gr7X6YR29lp5UbX -skDWeNBpCrEudzFqqpEFYvVnLL4ibnXfwQjqZMEKa0HDScRLCLfKj+9YMN+W -ojWBWN57j8ovoywYd9m65QvinkD3J/2zLBjoK/tpHPE2s9CLHkvZ0OlrtmYM -sXjd5nM9a9jQONzBP4q4Ynhtibs0G6qDj3/9jFj1Q/eaPkU2lM3MfRhGvP8n -jWSKGhtuRXNeDyG+sPa2+aAOG7KWyjR9QmzN+a4WYMyGZLKg+iPi3SE1MG7J -BlLUoPQD4uNv8/4T5sSGmOQXN98jdlWy+cDnzYZfZdx/H8TzgQu8Y8hANhzL -Hrk4gHiXPNNIPIwNbltjiHd4HrEdZWdWHBuO3BYJx/OTiw6mmavFs+GAduaJ -N4hfbn19oTyFDfoPNDz7ERva1fNZZLNB3fCxbR/i+7arUl8XsEHpic3BXsTn -e8w8qKVskLZ4o9eD+GTHDkv+KjYItwSrdSOmOBz1SXrOBgGnJQqvEV+5fDZn -+ys2THdfEu9CfIzPSPhxPxuGvJVWdiJW0jL73WmYDX0fi+f/Qrx6Yp/j+BQb -2oNMxl4h1tR6vofDT0L91/a3LxEfFbsKm1eT8Eek76t2xBO9NtQqCRKK+Kef -tiEeXJte7SFPwg0m849WfLytlGFBhYQ0YamiFnw8/C73pe8m4cKlvNxmfL25 -Xr6xbz8JhLTulSbEY0caL/WZkxCRUX+uEb9f7mgOzYEEqrLLWTxfOqAto3OL -Fwne3E8hLxCLnCe1XwSQ4Lgz0u854kWuS1HIGRIsSle5PkOcpvXQdkMsCfsN -0qye4vnV9o5SNRwSdlXvMKpHrBXUuRiYTMJ2s4pddYjDBcdWSmeRINdoue1P -xC3NxrtruSSI2ffIPEGcWhBLDy4hYUUXVbQWsXmW/eSmxyTMey4uwfOufd4F -EE3PSBgfTJiuQmzffnJP7EsSBk9sGnqM51cviApp9ZPQ+aWwpxJx5vrZ+YEh -EhrD9rc8Qlwy3S5ydYqEmoXm2j8Qj96xM7Lm50AZnXK/Ap+fMIXLy1Zz4JbQ -REE5vl7zh5dWSHAg+wIt8yHi/gbPy6flOZAssf4ynrddkbbDSH0HBzhpOcz7 -iFPGvgt/2s2BGIVdkWWIzVripnP3c+DXvFpqKeKsEP8FigUH/NUcKCX4dQ1P -BXlHDrgVD9rf+3setrh3nxcHjuiFmhUjXqqvU5l5ggMHKwUN7iKeFQ3VpYRy -/pdnerk2tkEpjsPLM/HyTLw803lenukf5uWZeHkmXp7pX+blmXh5Jl6e6Yf7 -Py/PxMsz/cC8PBMvz8TLM/3wvOflmXh5ph+Yl2fi5Zl4eaYfnm+8PBMvz/QD -8/JMvDwTL8/0L/PyTLw8Ey/P9C/z8ky8PBMvz/Qv8/JMvDwTL8/EyzPx8kz/ -P3kmSr7kLZwX+f7E1qot1g7s3w9xSxHbTS2cCbhrBwOzhTdxP82g6MOahXd2 -cLjfNx/306wm70uck7CH9nThPNxPK7q0IUHc1B7uqOf+vU84w6Qk7Gq4PSim -qOb+vT84TbPtJ649+Lddv4b3Bbeu0M1Ofm0Pj9+LZuP9wO/+OvVWeI0D+DcH -ZOJ9wNU6xG9nwQEyLpb+jvf/RmluqBo56QBZWyfTcP/s1a0uT6dsB7iVLJ+K -+2fPTlJPV7Q6gMBruIL7Z/OykXyyyxyhZ8YqBffPXPXyBSJ2O0L0Z6sk3D9j -KmRGNfs5gvwDuIT39VaJCwYpXXGEpR7yF/F+XjENojP4qSP4vp44j/fxpgU8 -flT+zREIzdJzeP9uRyZFTkDVCTK8AkjcL9vHEJkzcneCL/6iLNwvk7lDPRyT -4AQPza8TuF9mcnmzYmmlE+gKqtJwvywstjv407gTcNNyzuJ+2a08XUNpRWew -XC0cjffljkj2c4ztnMHdwTcS78fVLA938CecQSayMAzvw3XzzM8kS5yhNmLo -NN5/m3tbPODGe2dItpcMwf2xXjWfkkdSLtC4Svsk7o9Jm+rRWs1coCB9fyDu -j10Fg5Y3ES4QsMrwOO6PndNdl/OZ6wIW9up+uD/G1nGfnXjtApxIER+8r9ZS -9knLV2FX8Ivu88T7aR/eHNGY2OcKG92z3fA+2o6oqPXDQa4w9JO9C94/e3Pd -+ui+TFcQvTfjgPthrDpnalOz6//yTPqd4wMPBY7C/wEV+Und - "], {{{}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, - {RGBColor[1, 0, 0], Opacity[0.2], EdgeForm[None], - GraphicsGroupBox[PolygonBox[CompressedData[" + GraphicsBox[{{{}, + InterpretationBox[{ + TagBox[GraphicsComplexBox[CompressedData[" +1:eJzs3Hk0VtH/OHoiJZqUzMoQEhIZw9uYeZ7KPM/zPM9kShRliMhMI2UuQ6QU +ERlCiZQiUUQZuvt81v3+7l733rXu/eP+dz/903qtx/Occ/bw3vucfd6bzcZT +z34HCQkJ3R4SEuJ/as114aG0360k//u/3MT8+hfIo6y37N7qd8n8n315n0D1 +c+QzdL7MFd0vZOIynxR0I/+LC/jFVtYnE8SofeUZctjk8GLg2oCMe+GH+C7k +4jGW3A/9QzLWXF7Bncj3NM3fsX4YkTG6TeL+FPmsk2obNfu4jLpQhlUHskvJ +ibSonPcy0MBm0I6cONYxXsH+UeaMTI1yG7JiivNSNc2MzIlO+bOtyDX1GZ6P +mGdlWNQGBZ4g07R8NX0g+0WGpt+W/THyEWOW/pSIrzK7jFZoW5CZBu9sCw7P +y2yMx1E2I8vG95y+pLwos2RNu9VIuD/T/pzzksynL6VLDchrd5bY0pqXZcbc +RT/VI1c8OnYkk/GXTN+vZyN1yOl2w1laCSsyHcHGLx8hl81JkDzcXpWp//fl +yUPkGcOR1qbYNZnb8UE1tch7yVLVLtD+kSmkoiyrQa7a68eWUPtXJisjJ+cB +cjX5aL+YxaZMEh3vpfvIPo2MS3a02zIR+U1R95Bfi5azkY/9k/HlUPe7i/zS +w5MyYYgEHCvHHe8Q9TNI9qSxgxTMTrmZ3kYWVGRJp6/fAbqPNrWqkQ/tqPyc +/4AMlM5ekq9CLnRe4FeqIQfJdhbRSuR487ARysadIKB890QFclb7GZMvXRTA +0SvDUk7Uxy5BobHRXUCv//pAGTJt75Opd8u7gXrMkrz0P/VjufDlwB4gtVxa +K0ZuMaqb2hChgt+fouZvIa/ej9OisaaGby4HPxQhM7gUveS6shc+LBW9KUR2 +urr088yLfTAYIPTsJvKOdn4hZrID8Hyzo7EAecXLzuZayQF4HKN/Jx85wm3P +BwrVg/Bg96fCG8jbN+IiXZcOQlmaX2Yecs5MFUtHLg3kHd6ZmItsId+ZtVvl +EFzOzQrNQWbbG/tMav0QxB3j8sxGJmcnzTCrPgzBZXU215GTT7SM2lvTgjuf +stE15NPvM2KNGI+ATc2Iahayv2lLMN/wETASd5LORJb06yudzaQD9SfrgleR +vQ/cX4k0ogdZxSTOK8hkV3IN/zAywJkeBvoM5PeytE3a0wxwQqeKKp0ojyfL +tNG3GYF1WPJfGjJdhIplSjAT0Ji9/HmJaC+q/mluqsywa9r0cyrygWeHCtiZ +WWDDcWEsBbmdbDO5epkFlr6H9SYjUx4Gg509rDDru7c9CVm9lGODv/QojP3J +f5hI1IdeRnuG3DHoixSouIg8/Xt5ffnBMXi6szUvgeg/gfnldRxsUJ+ifTme +aE8PM968v8YGtw9OxcQhn6T9EOlMxQ6F170CYonfmyurM4xmhywWUpcYZK6X +DJG31tkhuTjDPBq5jypgWsubAyJOsOtGIdswUsxfmOcA33s1ipFE+//FWtLh +wAlOIgriEchtV1gZE2c4wax58GQ48padi0ml9XHQlbM7Gka0hzZ33+Mfj8O5 +7hWaUOL6rLL9Nqy5QFIzniIEOWbCzIP3ExecGqT9G0T0X4FTPg8cuYHjQtn3 +QOTx07FXLi9wA/0H0Y8BxOc8L6ef+vDAXvvuIX9kKaWAQM2/PEA6b/zcD9nw +zA/7k7En4LfXXLMv8t+q3E6zvbww/zvong/yr99vq6ayeeFDGGWxN/Jcxqpg +3fGTMLQj95oX0T/qFbwmak/C80TeZE/k25vbMXoKfPB4X3O4B/KJ7+fj6Ib4 +oCZT3dsdebbML53XgR/KGCfs3JBjQ+07k//wQ16h23lX5N1X+WXE0gQgnWtL +3QVZI6OF+RTnKYi7fQmckX9LL0Z6N5+CYCFWYSfkoOuKndsnBMG94S6XIxGP +m1j5vgYIgo0MMDogR5UNJAZ2CoJx5+u99kR/+MLsVklzGtTVrEjtkO/0CzlF +WJ8G2f6lFRvk6+P1+3/fPw0iRtFz1sT5kHSs7icVghMTByeskFkOj955qSsE +rDa3Xlsi3+RdfslTLASH5oSeWiDv+uX7iWtVCHZ5PK0zJ/oro2hql7IwbP7S +rzIjzu9u6HnyPGFYCv6Ub4rMHPX7/fSiMMz+88swIc7nYtcjK4Uz8C5+Z/wF +5Ofqfr7h2Wegj+pa0Hni75X/3hVfPANPM7jcjJH9dJr+ZSiKQANdvaURchpZ +zFZingjczlfWN0SmOpkky/JLBIo4Rs8ZEPH3pLe1lrooZFU6Seoji3gq/mEq +EYXkU3/49ZCfDfoXxW+JQuSjJDZd5Dq6qV/JxmLge5aRVgf5rWpX8skaMXBq +r9qtjWws0UfiuFcczJXPbmoi//lMuSzlLA66vS9/aCDzrC38vt0lDuf0zWbU +ifh0XPduHbsESI4tDKsh76/3q70QJQGnLMN7VIny/fXnVtZ7CeCc3ftEBTku +6zODi7Qk0LsWPFAm4pdy8aPhG5Kwd1mg9ByyF93GofFNSdgR2JqthHx+mXEw +wPws/N7UTlVEPsyQkVH55CzMx0xFKiB/KNFY9DkmBVO7vX3liXjy50bimxgp +GEojdZRDjlQ8TfJ8VgpeHL5iIku07226VT1VaXicy64FRH3YPtnle0caao7V +yskgc67/GOWmkYHyMgURaWRR8mFK70AZyOMb4pEi2gfd2lmtSRlIr7FjPot8 +JVn0YKsCQLz46n5J5AySoZNPqwCCn8STSSCv+4vQ8VPKgofikTUx5GOUfybl +pGXBpqfsmyhya+Jk801vWTDWEXsvQsTTXw0x58pkQWO4e+AMcb0SaeSi47Ig +a3a+S5iIZzsuHfI4IAc093XjCK+4HVA2Z5EDulauN7zIO+8/2ftYQhPKJJYl +dqf8bg09fFf5Y4gB/Hc+9d/51H/nU/+dT/13PvX/j/kUqU5H7enk/yP+/1We +6AEs/v8/OTE2WosYj1i2deT7pKX/L+ONyPRcgxDyda+DMYtKcsDrFHz7NPLG +bDF7fagcsC5SFgoS/eH5enf3Azk45Jd79RTxufKS4rE5Odj1l/eiALIO5zW3 +NlZ52IxsDuEnjufLRlNhKA/LOzU8+JCvOazsHkmVh9mUCeuTyAGHb3BqdsrD +u4PuhsR4ZyLdL75nUx5eX99SOUGMR4d9GfadUYCnLGlSPMi9uzJyz7spQEMx +qyA30X8TrdK/lijAnRP3OLiQiwblXrdNKkDRPaA7TozHzU9Ovj+iCNdE+vdw +IlP7JIfI6ShCcrPVNjtylE50+rckRYiUW15mI8afkgr5saeK4NcdPXsM2TJQ +wGHvtiI4adKMHUW+ae7cnyCuBOaDt16xEu1HetRIyVcJ9C4It7EgCx1lf61y +VwnOfXhay0yMn79o92d8VYKz9gblTMT52VctsBw/B6fmP+UyEuVTsiX9w+oc +cHr7pzEgPzhlOEaefw4Y1nbG0BP1U86SZTF2DvaGX/OnQ2bP+aa8SasMO8i4 +nY8QVgt4PqmnDGuJ9Wa0yAPJRd93pCvD/D4VncPEeBbjm+baqwxTmaMKh4j+ +EckQQ0elAkOMzmI0xPG6Pt+kUFWBF4V/eA8i77QwaBW/qAJPuJJZDyCf+kLS +cKdLBWpuM9LsR5a2v29tT64K5ULVO/cR8ZJGI9NSQRVuNJz9Q428KTDNfSNG +FdJlXi1QIcsPla4wdqhCfKfZ1B5kxs7FN+OkahCi9n2QEvnqWYm893Jq4NEf +3r0buanzOBdHjBrYGu1r3kX0n93BGpUdamA8UXCXgvi9YqYvXmTqoGFz6tZO +5Ee/GF4HK6qD3FxrFjkRX5aLJ5/Gq4OIh04SGTIz6d4FrW514F2ZCttB1O/T +l4OMlBpwNMTbixT5zUKwB7e6Bhwi2WFHgpzEOJTld0kDdidcMf53CZVvSSPH +jn4N2KTiUN9GpvPh+/maRhOWM2pltpCj3+iMTxpqwmc6RaFNZG8t98aTOZrw +Ln/o+AZyYuQ3h8YJTXjNYc/wF3lsVu9JwjEt6Kxcpf6DvOuJXu5VOy1oOJVA +so5cPVL/9kOFFtx5dGTlN/K6q7e663ctKDpb/mUV+dbK5WERIW241i42vkJ8 +n0xJDwK1IUX5ed8v5Ot0MzkXW7Qhsvd8x0/kxy0eirtIdcBP/+ujZWSZXXZb +Xzl0wHksuHKJ+Puc6tn5czpgbrkn/wdyxYtT89QuOqA3m5u+iCxBOr+hcUkH +lF1Pxn1Hdq36svP2fR04u9wcuICszCy7dmJIBwQDNVznkZ0usj55tqYDnFsT +Ft+QX023qMQw6QJDrLveV+SmUbt4M9CFfZTbSnPIz2s9bQxtdWHH5TSJL8hk +Guf63C7qwtrho/yfkU+uWNXfqtaFhdx7x2aRGRyVqdde68LUMdnDn5DPPEpv +dl7Rhbdl/btmkKmEZmr/0uvBCz7rjY+EbVZmKqX14EnN8uIUstZcomSgjR7U +isdMf0BO8PMrs7moB+VPaIbfI+95kHPE7bYe3FAsfjGJvCL4LfjqgB5k9Ag/ +nkC2s3BoHf2tB/E6nffHkd85sQyfZdaHkGGDknfIlcL8d1rk9MHDbPb6GHKS +YoewqaM+2E77p4wiy9XQXaC7pA/nnSgiR5DdDgVSL9fog8biNZ9hZGN3cem5 +UX2Q8+N2eIu8xd7xbnNbH0T/1l8YItrfp8QhgeMGwBulojmIrHJjP2OEugEc +pRiTfYPsaPMl95u3wX/vv/97//3f+++0/+/uv0v/vjQk5gPbzh8s5OcMQVjU +e4wYbxNpuq4UnjaHdu8j5sR4JyEdqhkuZQM6d5qniPHE7xTlGs8He3g/Z2VH +xPOGpGCSoShncOOkmCPi5f0n5zki2dxhw7LalYhXEjt4U7OsvCApT+cHEQ/m +7PPVF075AN3Iqs8rZCnGqJOd275QSpP3ux35n8z7BJZefzijJRtSj/xR0rhh +PTcQOpJmt24jf/FiZTRyCgadruToW8j6Kxs7RUVC4QOJ4M5sIt6d1XybTRoO +7lJvEy8R41nfzo8RvRGwERhCHYucvTUi66cRBcm1R9ODkM+11u2aPx0N9D86 +D3kQ8Z1hc4mDLgbKeF2u2xLnY6HLc3QzBs447Ge6gPw5h29sYioWnhY9LNBC +npzuFzDvigPdyQvsisj7a7UMCivj4QP9v1IJZEmxOL+ySwngYVBy4hTyyyKW +Jk/vi7B5WfUOJ3H8sCztTYNESH65KMiIfGD9jKWGeBIw7Mp8uB85VubwISum +ZCiXlxDfiWzbxpgltp0MIhHvm/+movgS92/f4FQKPG2MhSXk5wGqVUJPU0Fv +lefpLPKfWu10o9JLMCXYd24c2X5U4pvMxTTwcPPt6UdWVSf5OO90GbbK6bWe +IRsp1fUJHE+HlJnHA83IEs3UnD1Z6cBw1NbwAfH93kZyFYoMKDfZPVaGvOfx +RFxFQAaIXrtjdgP50Hx4w/znDOgc0JvKQA7dTKs9aHwF9Pau215Ett7+lsTY +fQU+quR/CUPuTjLSphC7Cp5x8q4+xPe/RNK9LbsKW61fFh2R4fS/1YQjmZC6 +kepjjtwTZLTNlJAJjGJCv/WQzT4vK2SuZkKFz0iwCjL3JPe7H3ZZIHo3bEsa +mZRFYkhgKAu6vrJFCyNLf9JR0lW4BvrHu8lPIEsdjJc1rrkGH63cElmRHysM +j8qyXQevGwepDyPPbZ1i25d+HbZH6i5TIrN2OfG1bl+H1ENmh/4Rz6/k5fca +uGcDozbp9RVkLlvn4Vfj2VCZXMb4DVk/KCD3hFoOiD1TL/iAfND4u6tLQw50 +kS6zvUUeDJQ2v8yVCwbS10p7kBtpO6KyM3NhOujsiTbkIs2OufgdeeD1cOr2 +I+Q/Ut7lxl558O9HvGA1staxxb697/Pg0smTDwuRq70VvSvUbwCTY7/YNeR7 +FG6F3I03oPKWf3MKcoCAqkcKVz6Iv2eEaGTJ17cXBq/mwzOGto4A5GexBvyk +pAVgYGh/zg25rOGb3CGPAphJ39Njjcz9gkJqz3gBeL+6p2mMTM/Mz/9Z+Sb8 +22U4oIFsJPuaq/zhTbik8NdAHjmdnCu/7EAhMEfeHBVDZn4bKLVwrhCqmhTN ++JFnv/4J5gwvBPHfXz+wI1N9AQvt2kLoPn3Zlh45Um1o2e1rIRi6n/myF7kg +WFw6/GgRzFSMuZAht/V9UokyLAKfTxGL6+h+srJMhSUgpQhIjnH6LCJPuvd0 +WLQXQZrpi9UZ5Ik73XKSa0XAfN0jeAxZjTHr1m7+W1D95tBWH/J6fsT3Fza3 +QGJfY1Qn8pBjN29E9i3oVrUgb0J+vlZpc7zvFhjFkyXeQw44eT6/lawYPrVV +UJUif1LbmNaQKAafTc3LucgHaB+e7fUoBlLxXzTpyA6vcu/JlhTDZd/sa/HI +HAqt58rHioHlnjRjKHLpFx5K0v0lUP1tOt8LObt/eFtTsQQkuRLZHJCZX7Se +SgsugefW/KWmyE/N3hS33y0Bo/w3PLrIqTMrNnMzJTA7Gnj7HHLtpZ3+OxhK +wfcwi6AUcpjnu4/7tUr/1/33VKrcgwOxpf+9//7v/fd/77//e//93/vv/95/ +/9/efx9OdT4zQJyf471zftkGsJvmL3c/Md+dO7yXqdUAtq4nM71GPkg/OPl+ +1gCWWZj29yHzHamtaKU2hM/F1Tt6kWvGUswfCxvC+Amp3y+J9ssuvzBiYgiv +77362kO0F5fnivtjDKFTxHzyBTL5IKuxbaUhNDZ/73+OPHJE/9BQvyHckYvo +7EZWCIlytl43hFvd+xqeIf9teq1KdcwIrmnerO5CLiUJLBlQNoKUwVM3O4n5 +c2+j80NPI4i60HblKeHFuaTa60bg90EnoQM5w81mta/VCJztPwYT9yMm4Rk3 +KOaMwGLe272NKH/fRU+TA8ag573DupVoz/e3LXvEjUF57YrBE+TxqwbmRtbG +cDacQ+UxspHn5fPbScYgSPbwbAtxP+BvKt9RYwzHkxRPNRPto9qb6ta4MTDs +f8vehHzj3fWSfPLzsC/L/kgjckps4fZD/vNAxvSbsgG585XLnjmj87BWmLBV +h3w8YLpBNOo8LHDRLT9CPtXz729h5Xn4eLv800Pkgcvd7VyD5+GtkPhoLbL8 +ptp29+Z56Gl4/rIGeaOkvCiO6wI8kbnQ+gD5ofeufDOdC1Db+bXmPtGe0wo/ +aodcgAq1kLJ7yPQWj6wsSi7Ajf49uXeRP7hmUiX2XYAMo7xLd5BDLbIHX61f +gISJk9HE/RrzN+4qPg4TCLFp8atG3vH0UVS5pgl4zmk4VRHtJb1NRTrIBGw9 +Jk0rkX0n3/z6fssEzq+4a1cg6+yP9W3sNQHNkG35cuQ8A9/Gm+smIEdyWbQM ++WeObn0hhymIJhzlLSXiY9iIRYuWKZykvs9SQpSHdE/Rz2BTOHpF9mAxsnvt +X2+FUlM4TD9ATtw/Fssqtd/tN4XdBdbrhUT8eZoRfWbTFLY4fs7fRBZOHiwZ +4jaDn5UxHwqQd6pQslzWN4PPpw4N5iNfopCesI00g/FHxc9uEOfLGDekV20G +/WfPNOUhHzvyb810xAw62zvv5CK3PBkTjyQzh0Zlw6Ic5Mvbakktp8zhbu9s +JnH/6jOWOX7IzBxu6QckXieux4qcPT7RHK6PUYRdQ+YtW9Y68MgcUiyve2Yh +f3rQavDoozlEzXLbZiJH+k0c99tnAf6uDUZXieO3tD3UOGsBzssqaleQA3iW +fsk4WYBF4Jh0BtFfWP+8VcuyAP0t59PpyNKtfppeHRagHPuX8zJRHiMUmvd+ +WIAUZQp9GjE+jJp372KxBMHLTNTE/fXbF0L3gtUs4Tjt7X8pxP2yrOoaeZAl +MOZJ/Uom4ree55XKUkvYx9b7OQlZKjHc13HQEsjKzd8lIhu6Vp+7SWIF63yL +vReRTVX8nK/TWcFCTUR7AnKyQBbTDQEr+Ci+/1E8chrtZaM7Slbw9snNijjk +94fmDr00s4IeRcEbxP29yoWTmqu+VtDa03Y5BjnoxNeNkylWUKujGxuNnDVc +x+B5ywoqhj8GRCEPNwsUPmm0gnwzH5dI5BPiS4mMA1aQMb3DIoL4/YqbvTFz +VpDgdFU3nIgHdp8d1/5ZQegih1IYct9DH90gOmvw9HsoHkrE1zdrsTtPWYPd +X0W+EKI8pvj/FJyzhvNRb48GIx+YHahStLAGTQqHQ8TzB9fO0iu//a1BPvU3 +RSAyu4xX1cNL1iBKc/GvP1HenzfnI0qt4WQ23aIfcvpRZl3Dx9ZwjLXioy/y +IH3xoNhbazhcIv7Wh+g/Xa7uXN+tgZL3xXNv5KoxA3q2nTawde9Cixeyapdc +HzeLDfwU+XbPE7n7LmOSpIgNfGkOKSaefxh6vJK/oGkD43JU192RfyeqrMba +20B/d16yG9FfTsbnNoXbQJcmX4Qr0V65wvm2smygcbDF2wW59cupUvW7NnD3 +gqa9M1H/gUXbpc9s4NaHyfNOyKQ+74SoP9jAdXsPDUdi/CWfOxuxZgOp89vg +QLTf2fFDm/ttIcr7srA98hWDVw/jeWzBf+0otx1y5sEheiY5W3AJv89IPJ8h +NaGRbLlgCxZkcvtskFdnqmicfWxBP2mA1JpoL2NdN9hSbEF5v82qJdG+hi6/ +mS22Bamsn3MWyK9GJe4+arGF00yxE+bId3X/nMh4awvHiw71mxHjB9Vu2aBF +W2DkLnlqivxIsOSbyy472H/nTL0J8kuFA5zOx+yATLiring+pMZ89bOPhB2s +NxgWnEcu+xjIl6hnB99lPmcYE+2DYt9ShasdfOwMiDdCbjhXefJtnB0Mq+0K +NkQWKSgaoy6wg57+624GyN6q+iva9XbQasRjpY/8+AlrQEG/HTycaNDXI/pz +ldOF9a92UGGjqqxLlP+3nGxzMnvInxuT1EHWSDkq0MdsD1c8XAS0kTnaXQ6r +idpDwspfNuL5lefnJaUBbXsIDUmh1UTWCzj52NbZHjxJmCk1kNt2Z/uRxtqD +XcLtTTWi/LQaHKpu2MMFauklVWRjapo0izp70LzSO6OC7Ex/apal3x7k6S1G +lJGrDfId5r7ag1jBYs855NzqReonZA5wkjPyiRIyJXXjmwIWBzhWtb+GeH7G +Zn+tJlnMAQ4LFpYqEOPzLc7yaF0HoKwTzJFHrinfWR3r6gDbZ9tT5ZCtNT80 +pMc7wM923ShZZAUz05cVNx3gi/K0LyA/TeKefNnoABO9Po4yyOR+q3N/Bh2g +X5/MVJqoj/yQr0KLDtA1dlVLiqhvV6+xgN2O0GTJKX+WaJ+smbVd7I5wd/ah +iCTym9dlPkelHaHYVekE8Xxv0Mv9YJyxI1xffsssjvy1437yL29HSA10OCBG +2FB6zD3VEaK3fpOJIn+PnVn7WeYI/rEX184Q7cM98GNMuyO4UNLPCyMX/u5P +Z5lwBMvLFe+FkHPaX5I8/e0I+rQSb04jD/Ep8/kedAKVvBddgsiBWlz7BPic +QIrNpJF4vji7pF3065wTnC7/dlsAmefy3amn1k7AxR9ayE/Ul65gd0GYEzDW +UmXyIZNQdmvHXXeC/RI3Lp5Ezud38vercQLyVr5QXuQ9Y9TCnr1OsK742OME +8ubTB7F+c07wvUfThgc5Vt7YJo7MGT7qvDfkRk6RJe0pYHWG4WEPVS5kB5n6 +R08lnOGl2T+p40T70ow79svAGVqnLwsSzz8PUgfu5PdyhodOxzg5iOspLrL0 +TnGGysX7dOxEfT1l4G0rc4Z8PzkqNuSY/HVLhg5nuPJ3YPsocvmsyWbYpDNc +jLL5yUr0zx6rv9/WnSGU4tcsC3H9q/yGtoddwCs1dowZ2T72667Pp1zAjuZw +LxOyDcWTvb7qLnAhu6SNeP7qHDFmvcfRBbRYRR4yIHcZu/+rjnEB+ZKucnrk +i453PxoXuIAYr1EeHTHezA2R7WtyAb77n9OOIM8FMFv3vXWBY6KBMbTIlvs7 +V7KXXYC2ZVfAYeL7lPua3Pe6AqV8tvMh5AVa2dsaJ1xhu5vHnAa5RLL4mYiS +K/zSbNQ5SJx/fyz5CWtX+DKoqngA+VTEaWuucFeYuPBOjHg+nC7+b4Q/xxUG +Pric3Id8XErBDh65Qpf9ButeIr5QGZOaDrhC03wKDTXyN7frVVHfXeGuNzMF +FREP7O1M71O6QfHa7T+URP2Jsu3/dtwNssOlv+9G3jA7084v7wapZH1Tu4j6 +T97lHmrhBtFJFkMUyB1lu/YNhrhBwP4f3cTzaaHukptnrruBS1ZkMznRHgX1 +WApr3cCS6cA9MmRh/YQY2n43MCgqvLUDWbarqSdzwQ1UuE9fIyXigYLbMgul +O0jfaU8iIcaDKsaf94+7w2lhvfB/qb9br62rPNeUdweuxmmvbWSHc+GeKxbu +wAS+dlvIas9kZ0pC3WF/F9n5TeTNtqNHLbPdgVw9U30Duf1KJSvHI3f4088J +xPPz1AqvyaUBd/hu9EjoD/Kp8D3GzxfdYXpCiWsdOeHa/ouVVB4wbDPMsEY8 +b49hcs7k8YCXcw57fxPPj9++Wk9U8oA2jzWSVWQ3zq7TF2084OHKxZVfhCkq +91+O9IDKEPq5n8iZ+46lFd7wgAKSyvFl5IqeoYrmRg+4kiDxmnh+z9XmZzo1 +7AEXqXs6fiAnOt8v2rfiAaFXTOoWka8Knw08d9ATvOjnK78j3w55OXJRwBPs +C0LzF5A5Ehlb36h7wgVO6ox55IzLn3i4nT1Bq+pG3DdkvttzB+ITPEFBkD/o +KzLP+zmfhWJPEKt77DqHfHh3lZp5uyfwSWlZfiGely/8ujry3hPYOt7rfUam +5c1QM930BFoVz3PE+kJfPrfICrUX7On7J/EJ2U4iMrCVyQu29dP5Z5AjN8Rd +LvN6wa+xY2zTRHl9BCo7CS+Ys3xw+CPyB+2o89IqXjAxK7d7iri+Q3PaTMZe +MOD6ZuM98jELhx9b9l7wbNnmx2Qq8Xxp/sysnxc0Bf6ankBOV3BhHYj1gntb +scPE+sac0NvK9iteUBx7uOcdcoo229u6Ii/Ipix9PIb88KFiwf37XnDpssiD +UeTecVGye61eEE37rGQEWTJvibSmzwsC8oyyh5FZjrtlN056gSvbl5S3yJwU +pZ1dC15gWR4YOYSsx5kdN7zhBQb8u30HkV8/Vhie3+MNKrXZDm+Qax3L63cy +eoO0xAmTAeRx6k4uzhPeINTaqEmsv9Qu5LEpi3sDl5Ka3GvkA7lCZR7K3sD0 +8t2ZPuStP6k1uUbecEDXlaeXaP/yDxRf2nsD+cgG0yvkd3/KTEj8veGPWer+ +l8hJKwE/JeK8YXGamawHedXg5J6gq94w7XTn93Pk6aTXN5tuecPIovS3bmQb +Ta8HpDXe8NKvb5JY/7lXzCSt2e4NbX8tBrqQ976bks3v94ZHUT86O5HL4vub +lj94QyVFVMNTZI+YzQq1H95QkHrgdgcy2c9Ayoptb7hKU3SznahPSeN3lPt8 +4GL26attyE923mfwYvGBMNaOhFbkCMjpesfnA14leiFPkPfRnpxQlfIBe94Z +98fIA78iTB+r+4DJfV/rFqI9Jt6TEzH1AS1RckNifep5y2jqAxcfUGjJVGki +2mMD3VmhEB8Qlz8u1Uj03w/JavVJPsD3/NGpBuRvfbqNsjk+wKZ1jqOe6D8W +l+L7KnyAdmj4SB1Rvzct71g1+MAeE8c9j5DlRucF1rp94N+Hta1a5KhEo91X +Rnzgl33icg3ypG2X2OkvPjA3Tz9LrI/16lk2D/32gUnvytH7yILeGhlhFL4w +sCbx6h4Rn/bW1fMc8YVn4T2td5Fby57xjR33hWYy09o7RDz6Xrl4ScQX7iXN +l91GJmlP3Tin5Asl+8Nyq5GbBPJ1yQ19ITuLOq0Kucp7z48uOzTVYcqPriTW +45bJ36T4+UJMEb9/BVG/8GTdMM4XArifOJUjX2RwtubM9AXXO1pmxPpdvr3E +nrViX7AS/qBdSrR3Ibvl3lpfMGj0VChBHn54lLbyqS+oAolYMfKKarFv4qAv +SHel895C3unLTeU24wtC6mysRcg7hmfH9H/5AvfAg4OFyOelGN4DmR8wGcvv +vIm8mLFFK3jIDw5MvlnPRxb99DqSk8MPdtraLhDrh8LWfQwswn7wZ+7Xhzwi +/hmJTzMo+MGiR9xgLlGfglojjPp+ML1yuDuH6K/BumtHbf1gJKS0KZtoTyNx +sid8/eAViejd68iU+/geiMb6QVvCs6JryDF/U5RVrvrBI2rjrCwiPmZ+I7Uo +9oOqK18SM4n+spD2PrDWDwrog8KuImfztY9nPfWDqwW7va4gl17tWasf9INE +zhxbYn0zP2hV8P2MH4RVnTBOR/ZXvhS/e8UPvAWb1C4T/SXq/S9Rcn+wr1OT +SUPWbhANcT7sDyZS46cvIatnfTpSyOkP2h2ux1ORtfrFnr874w8KKpv0KUR/ +Eo5OZVDyB/G+VOpk5BPXaWzMDP2B34CFJAn5/qyMcrG9P7C9u/OLWF99R2si +uejvD0esZL4kIFvwNUpIJ/jDns997+KR4yVrFNKv+cM/V8u+OOJ45jeMvpT5 +w8ryj/ZY5KyW117y9f4wFxj1KAb5dHJpelG3P0xuHaiMJtY/SYMeko/6w5vY +ohtRyEV2t965zvnDM0qh9Ehkr39RpCPr/tB8uSM2IpV4vufNfY4yAO7R6geG +I38/PqDWyBAAJXkzLsT6b5kdiYsgbwDksPlZhBLjC1jGVksGwKVycr0QIn7T +a2fxqgdADH+WUjDR342ECu6YBkBg7XGJIOTj1m43zrgFgKtEHV8g8flN67TW +sACwaj13LAC5JyTQR+tSABgqjRzyR/a8cFj5Y34AqL503OWHrF90c0/Q3QCQ +0V3/S6w/33gU3UzTGgBCI4mL3sR4+Zvj/IPXAcBtzjDthTzyZOm9/lQAMM9U +vvUkxnMHfa2/SwFwwFnyhQdyjUxDSQlpIOz80dPiTsTzK6lTejSB8NfP9L4b +cbwSGZKdHIGw+He+2BWZ6YH0jmbhQJiJCrvuQsSb+T+f/BQDYYRib4oz8q6w +T2VChoHwKjU/wgnZPMbz3Ip9ILTTCPgQ6+MHafZ1NAYEwqPsJ/YOyIeEz9DH +XgyEKlbtC/bId6eMlHWyA+FmyQcNO+SO3QuabJWBcJXXS9aW+P0UF97fjYGQ +eJ/kjA0Rn8TVxvt6AiFMNIPbmoiPA2SW1eOB4N3CxmSF/HHXwYcpC4HgIF+z +zxI5IGRh1HMrEEyey++wQKaqP/jKeF8QaGsNrpoR5Sm+laJwNAgUh2y/miJ3 +OfDRCQsGgbjJyoQJ8r9MFjcuuSDgn4rrv0C0D1KdJBa9IGB3oO08T3x/WMGd +3jYIjiyU1hsT8fV4yBE6vyCg8hGtNkKWmPeLZYgPgn9rzwoMiXi8p77m6LUg +WAk3vmKALKPTeetEeRB8JZuL10euc2fTE2sIgsmkoGDi/YHHG/qtKi+C4M1+ +SnddZKGg6Tnzd0HQnZVjpYNcrWTQGzAfBM1MvAbayC1Vp12vbgbB/aImZS1k +lQGy9tq9wVDCrX5Wkzi/LoPeEdZgyLkzLqBBtIeAe2n/TgVDmrAbuzry9dqb +ZCflgiGmcZNWDbmCr4bXRC8YAuESpSryZ2+/HZdsg8Gti2VLmRhvfvolPfUL +Biv1u0vnkI9uyj/Zig8GwwGZT0rEeNjkcfPs9WBQNX49oogcKJJ0MrwiGGQm +LV8qIE/pcVp3NAaDsO3SE3lk48E3MlQvg4H7a1SNHPK4Hs9T44lgYPY8WCaL +PKPcuFD+PRgOrhblAHLtDqamje1g2BkqdEkG+dHtmRP6B0LgL8nTKOL9in7l +Ksm7bCHwI0HfTwq57Q7FPLVwCMxQf3I8i+x9OUPCUzEERq/4mUoim/h94nxr +GAKv6HdqSyC/bcyplnEMgfaCLHlx5LArGq+qg0KgjpNLVAw5dmdOPHNyCFRV +1Z0QRWZcZBpOzwuBm4LKLCLIT//6tOy+EwKZdSMHzhD1e1tKLO5JCCRKOZH/ +530PY3olsv4QCO9YXzuNTHO07FP8xxDwVkmaF0Ru/5NwmPpXCDj0MXw4RYyf +d8wGs8hDwdSg6o0A0X76XhzlOBIK2u8kn/ET8a7de72WOxQUrV428iG/aVw3 +VZEIBYnPpndOInPXk6lOqYUCv9tCIS8Rzy6KPwk1CwX2n2GZxPsmGU+lmxg9 +QuFI0N5EHuSQN01nH0eGAtV2fig38vonYznbjFAgiRPw5CLaw+/HL6iLQ2GF +stXmOLJY37XBxoeh8PWythEnsuXfVHOXZ6HwnnZKlQO5YVDdgXU0FN7keUmz +E+NtSOTC26+h0M1GepoN2W50aDF9IxSayzM4jxHXk/fHVXtvGNznZ6c/ilwv +ecPm4NEwKK2toSLeh3mw7DowLBgGORIK/5iRN9rp62/Kh0Fa6+BPJuQBb30a +N4MwiFWy+8yIXJnS+/GsQxgEvlwZYyB+31yCc39QGLjpxvfSE/17XXV0NikM +rEdo2+mQlU7XbrbmhYGhednDI8i6N06k598JA7UZ0QpaZJYMm4yI1jCQce7O +I97Huch9+J/NQBgI/zC+fIjon2KrI2ozYcDjPxdDg2x64TGj6GoYMG8EBRxE +vjTD+YxzVzgcjKZ0OYD8av7T6BGGcKDYlWu+/z/9u16X+mQ4uhfk1d2HLBlj +dGqndDj8oGlW3Isc/CPci1Q7HGay1cWpifHk+tdDpNbhMMo6cZIK2UnOiJ7c +Nxx6S9yO7iHij3t02J74cGjn3aIh3hfyuXACDl8Ph7r7lyh2I9/7S23JVhkO +1aKsfymQu0kX3p1uDoebLXe/70RmGE14oNQbDpny8JEc+dbNgo9mH8Ih8fnr +ITIi3gqtOgcuh0O4ltXzHcT5smuqZpFFgM/QUjMpcn69c0QdbQQ4mETfIyH6 +1/K+3ePcEWA6dbCYeF/pYALp7A7JCNBxuHVtG/nfe4rDAhoRoLgglLyFLM08 +e9XMIgIkfJ6GbyJPHrS1TfOKAIF1fe8Nwsoq0U9jIoA94pPdX+SSP2YLG5kR +QEfuf/4PcgytS7FYeQRQJe/UWEfmlZIoDWiMAJID12ANWawtc6nhZQSsZnEJ +/ybebypVjtuejICvTPVcq8jKRSyWyksR8L5ImZF4n8qBfiby6o5IGOQe3fsL +mfWY/ez04UjovuNE+hP5V5h3mgh3JLQI/1lZQta8NRycIhEJ9xuT5n4grz+w +LfukHgmlwDixiFwVOkcjZxEJuV1Vr78jx4aJPCn0ioQ09bNPF5Az3jOVk8VG +QuzAy7p5ZIMg91fOWZEQZGxWRbzPJftqk2+wPBLcJhfyvyIr7c7vhqZIsLYN +z5hD1tvJnnfvVSQYft0b/wV57JxVGfuHSFDzLAj6jGzyl28uezkSYFXAbRbZ +a+PuKcodUSAc2mr5CVn9vV28MlUU8JDq6M8gH20q9rt4OApYLk6dm0a2DRzZ +fM4SBQf3ekt+RLbTc2Oh5o4CiqukAlPI1ON9b3UEo2CD/gob8b5ZyYrr8esS +UfCjgJ32PXLe2/tUH+Sj4BNn7e5JZLVdVIk8GlEwWqWwOY7cYD+X42sYBb2C +Qz/eEcc7Wi/bZhEFHXV2M2PIJI9mQ/c5RUGd1OrwKFFff6bVLLyjoLojvmcE ++dEB1jv3QqKgUOXIk2GiPLwpbu2Ii4LMvrIHxPtuodNUJ4wvRUGSgVjpEHJp +WIHcnWtREP6uO3uQeH+uUmCevDAKfKzOp75B3mDjP25RGQWOn+ciB4j6Wd7z +o6EmCkzdgn37kVN8JFRoW6JA5yel42vkRG1eQd+uKFAKyjXpQ16K0S570xcF +Etu8Wr3IdUZMVcKjUSAQ1yz3inifzY7k7LWPUcC+R0PkJfKdq97n/36LArr0 +CR7ifbwc5mlSq5UooD7izvwCeTGuS7R7KwpIbmztf47MU3T596ld0bDKlkbW +jbw786Fs7oFo+FbOutZF1HdfxWEKxmh4z3/vWyfxvtq+j96+HNEwWAvvnxLv +t1m/MZ7mi4bnEv0DHcgKx3526YlGQ0urVVc78vLyo+ZOiIYHSssNxPuALj1X +xcVVo6H0ZfTtVmTTb6RSd/SiIVeXpvAJMsWHgC4Os2i4PHLr6mOiPcQ4D+XZ +R0OsufDFFuSzf3ScaD2jIWjmaUgzsnFdSVR6UDS4Oxt4NCF/CZhg3hsTDdY/ +Plk3IpMqekmlpESDkb+/YQMyrc/o+z1Z0aC2sVO1Hrmp8BJZakE0nD2XLViT +/P8+nxe2h0mJ/N2aPK1Pg6tLLYINmbJEvm6Jor/9jts3W4R55COJ/NxRtawX +7/wKWlK1Pjwm8nGjlx6K5KXnt8ScdNsk8m+T5AQ66KZvtJi1zkkS+bdR17e7 +Tc/faLHcYxhM5NuaDyQavJ/LaxmgflBP5Nd+tfpy/HFqXsufZ5urRH7tUnbC +LxXIa2GRED9D5Ne+zw0bFdnKbYm1tfUh8mkZCq32sXXmtnifi7hP5M9qet1c +VLqS28I/nbhI5MvGLPSQaDnktmyJxvIR+bLTOQxRpyG3hVvJ3YXIj71xfPkg +O3Nuy09q5QoiH5attIA8bDOnpTjtwGci/1WzuHey+UNOS3TPCw4i/7VI+/hI +QFdOy0CbjzWR78p28pKZ4Z2clnfeVDeJ/FYWbvrFfddzWj5OXp0oQWZVi9jj +GJPTwr+TmpHIbw0aF3vN65nTQj7na0zks86UZHCdMs9pqU54mUnkr1o4thlr +a+S0OH+keUPkq9bPMsy5SuW0xK2r7ifyVRe2vzx05s9pkXvlpUHkp86+ajh7 ++mhOyxezi0lEPurFoeMUdw/mtDRXXXpG5J9uvboi0EeOjlcXS0bkn8pMlv2L +Xs9u2R3pLEvkmyY+3FfQvJDdQk0B4UR+6b7c7JceH7NbjNTJmy4T7+uNGJal +D2e38Gs3/ibySf23KSPpXmW3DB+0ECbySW2Pfr/ztz275frVn55E/mhD+7SX +UEN2S91QwG0iX1RjZ2F//d3sluC33+aI/FAJhTMJcaXZLVzXtI4T+aEiAg9n +r9zIbiGju2VN5IOe/qfZO3k1u8Xw/Gw+kf+5foAiyyolu8XGhP4dke9pSUVD +Qheb3eLNcvYIke95gLVeaCsku6W7SFOPyO90St0s2++b3VL1RTuNyOfMF+ll +V3fNbrH8IddD5HNqjDyouW2b3SLQyEFB5HM+4m26edosu8VEaVWOyN+ccB5w +GTHIbjmZWRdO5Gt2tNI8z9PMbvlc6thI5Gfy39PMDjuX3TIQsnuVyMdMSf09 +GwjZLacP5QgS+ZhnJQunUsSzWzQ9GdyI/Ms2P653daezW5wvJ5UT+Zb+1vwK +a7zZLb2+X6eJfBmugfPlHazZUo+ZJVgdsf4YlRx0wR6zyePyTFvMVx89e22N +2dP37R4rzGfWBpUsMPPIdUSZYU7XLWw2wVzL4/H7PObhZydPG2OW4RtzNcQs +dCGgTB/zmibZR13Mb/ZEMelgpkxfMNTCPD2pkq6BuXgls0cNP7+hfnJVzEvh +mzLK+O8t0wUrYT4qwFGrgDlIhPW7HGbTXZTcspipS2asZDAPUN3NlcL8Q8Zp +SBLzHWmafRKYtXffVhbDzHRTJFoEs/GOe03CmFWE6FdO4/VzyptfELPVn0YH +AcwHM37e5MN86TfjGC9mRl5hmhOYt06eVefGHLYpFHcc83ge42MO/Hh7fq6y +YdZVaRQ4hvmXoZcjK+ZUYbpCZvz7H++MMmKuszxzkAHz4N0qVTrMG30HYmgx ++7c5NB3CHB97++dBzD7007wH8P4Quct2H+aVBuY8asy0XWyDezBzlxyhosTs +ZbQhvwsv/4nXITsxN4lk1pBhVrZV+UaKty/7BTYSzIlnoy4Q74f+j7s/k2Vs +YmZ3DHz+F/P7lnf/1jHLzvGLrWG+9NXLYxXznvbi0l+YtzyeTSxjrv41emgJ +8wXtcbVFzBoxvdELmHtS7zV8w0znGf1jDnPwCQWuL5jPtKyYzWK+zH3t6gzm +Xlfuno+YRZIqSKYwU0UxiL3HnKUT4j6BH3+jp/gd5pFIqnejmBk/nD0wgpmT +wezcW8xSAm5hg5hLWd1qBnB/M517jTnmylnWPsyRR6gMXmGeDuhJ6sG8XBvc ++hzzj1761WeYObrKebswD+RwWT3FLK2RldWO+e7Er55WzE7q8iRPMN/PjhJp +wfyy865LE+bt169uNuDtpendUB3m1rhRykd4eQo8k6nFy7Ox2PcB5j4O74p7 +mMU9BSbvYM7LGz94G7NMZdC5Ksz22TtDKzAbOsfcK8Osx/xjpgTzrQfq9MWY +k09kaxRh1oobirqJWbiD5FE+5vAZ5q95mH3neFhyMZ8f4NTNxuxdcCD+GmYy +nYWGTMz6n+sXrmAus/Y9loH3zw5Wg8uYHXY3XbyE2fyMUnMKZpdzbYtJeHuQ +OcmeiNcHS4JhAub2mf7EOMyD6VQtMXj5HRf9EYU5rkiHPRLv/ztNDcMx79Mz +TAzF228CNAdjzi5hWAzErFo9cywAs3Jevr4ffr5+ygk+mEH8Y4MX5o5Zl3kP +vL+Ff2JxxyxAoqXjivmme3mMM/57z5YeOuLXu4f3iz1mJkl9BjvMU4Zu6jaY +P5v7h1th9tLzuGeB+amw8UczzMd3CB4yxTz6eF3xAt6fHR8EGOPls32hwhCP +f7E/x/QxS6yFUOlhTr3wS0oH86sqUw8t/Hrna29qYOZm2uxXw+Oz5Jkdqpjd +1MyElTHrqvnZKeH9XTIsSwHzHya/Z3KYFRZN1wDzzANhHhnMzI4b56XweL+v +NkkSs3u5SZM45r3CP7+J4uPNg2AmEcxX2ZfVhTGTXjwfdhrz3Pt7t0/h/Z93 +bYIfby8uAnv5MB8pMJTmxXzxmZs7Dz7ezvjmc+HjyW+XXk68fLZ0t9gxk2zw +8LNhPrb4w+woZtuRslQWvD/Va7Yw4Z9f+jTPgLnSxJWJHh/vj06rHcF8aFwl +5DDmtrSblTSYPSQ/jx7AbP2eefd+zBMhCmJ78fHvgIkDFeaIAqssSsz8XMad +uzD7l0v/2onHc/bD7OT4eHf9nc4OzOm7MiJJMH/xFrtLvL/zv35/pHdiE3Op +mAHVBuarV3sk/mA+Oy/otIZ5WubitVXML9N6O3/hfz9O9msZsz8nL9sS5icu +stqLmE3ungtfwHzph1T1N8y5Ahxjc5ifuf6h+ILZsLz1zCzmlI/+NjOYqxmZ +0z9i/qtX8/gD5pZk8flJzCIdd+knMFf8OXzuHX6+p119RzGnOT8oHMacdOtL +7xD++cTejTeYP9Jx8gxg7jbgNXyNOebqsZhezOeHKO69xJxKNzn+ArO1efHu +55iZyy6IPMNM+vOfdSdmfblraR2YjTKZm9swa81f+fIEc+S5P4ceY+Yr05Zt +xpy355pbI+a9fq+y6zE/n/7Z+QjzPmPK5VrMnAP7WWrw4+tSqN7H/Hpkwe8u +5nm7p4W3Mc+uJ72qwrwjU3a9AnOC6BeOcsy1U+H/ef/if9x3hTy0GDOjZmhZ +EeaJ/dMDN/HyGhffysf86l4kzw3MsZfq9HPx9u43GZGNuc1+pfIa5l6rjaFM +zCccfv67gpkuYIw3A/N4+n3Dy5ib6gKjLmFe/SJQnYJ5gHP4bRLmK+7uJImY +QztWeBMw93O4GcZhHsoYjIzB3EN9sioK81qmz1AE5gLequ0wzGR9AzyhmJ0j +v+oFYz4Ey2GBmJX2fi3zx6zwrb/fF7POcMVfb8z3Brw4vfB4NsGj5YHZb60/ +0A2zFbtzkQvmO+bLPU74+Vc6rzhgDqB4w2KPOdiPV9kWb4+/fLys8fYdX51j +iZmLZ6jDHHPg5MK8KWbF0tXDJnj5Ry5Kn8ds6T7iYIT5jMf9ywZ4vI4JbtDD +3Fol9FEHj3efxym1MSeL+QtpYr5/Y9tEHfODI0Gxqnj/K/1YrYz3T7WzQ0qY +vcnjNxUwhw094ZTHf69pTkMWj9f1O/xlMFf1UOdLYV5ZoeiSxMtfdHlBHLPP +5ZeHxTALkFyTEsHrI1HHThjzwomNlNP4781k1Z7Cx69Hx8b5MZ8qytvBh8eX +UgpeXvzzTmtdHsx6m7eDuDCna83d5MTjZfOhbnbMLvKnFo/h490nSdqjmEeK +RKVY8M9D2G2ZMC97bCcxYD4X2XOfDm8/1QkjtHj/XxHaPoT/nslrThr8+5Om +6gcwy4WPeu/D25OkUjY1ZvIjt57swceT/T8+7ca8xMVHtQsfj8wvnN6JuybA +mAzzaa64cFLMhY3R/1k//R9Xu3m+2MJ8DTR/bGC+JcRE+xfz4XNjkuuYBUIu +Wv3GLPz6eMIKZgelh9U/MVNNCg0sYba9VvR7EXOtNwnzd8xSntpy85jlL6c5 +fMW8+83jlC+Y+8Um789i/tC28HYGs7/7wt+PmEekJ45OYVYWalF8j5lSPdV5 +ArNFokbaO8zJ3zZrRvHje+ePDGP2ZRXYHML8aOnusUHMY3NHlQYws++Kdn6N ++Y36m0u9eHnXH6p5iTlQVWn4BealHY5/uzFPzASxPsMcsRAi34mZnsXdoQPz +or92chte3mtsd59gNir6NNCC2cPv+moT5l4vSYZGzA+v9UrVY3b8rGP1CDOP +VWdsLeaz5DzlDzB/fBPacw+z+avW73cw/1r6deA25k+y9GeqMPu18BlXYJ61 +PR1ShjlEjCu/BG8fYlRttzAz2E1NF2KueFy68ybevhVNefIxZ62TqOfh9fPu +mnsOZu1vzOnXMVvyXK3Jwjyf+WfoKuYzgjprGXj9/b3GkI55z0rv2TTMzCyr +5qmYf/tTRyVjfrd96FYi3h8bqDoTML8s+jUbh7f3lpe7YjHv3J15IhrzjngN +9UjMOcKrbuGYqQ+npYViLuCiux+MOd01fSAQ8/GZPz/9MRde0j/sh1nBNV/E +B7Nu2IiRF3497aRBHnh/k2DOccOc8ZmryQVvX91s406Yv0xSbzpg/swzx2yP +ma2yVtoW778WnhbW+PmoMUVaYn7lWn/THHPKU4U2U7x/67ZNXcDP5wg/6XnM +WzTJbEZ4ezs3ImeA2erBYRs9zHwG8jE6mPeesrqlhVlJ3qNDAzNdmtu0GuZO +GtMdqnj99EuwK2Nu7t4tr4Q5ab3bWgGP17aB0XKYaXfTFQHm81/K2qTx8yHl +njqLl6/R9X8S+PV8XmMVx1z5QEVGFG/PDUnmZzCTbjaFCWG2Dp3IE8Tji9hi +kwBe/qeWxvgwO9lPr/NihtFOuhN4+068LsqNuSHAxPA4Pt7c2uvHgff3vQ+u +sGFWq1N8cBTzoYLnr1kwL3RKLTLh9XOyiJoR83D/Ki89Zpc6SdUjmI9MeDoe +xswinxVPg7l1rqr4AGbZ/vvt+zBPrZd9oMY8Y3Npaw8+3u2zY6LE/D/7ny+J +qf4r+EbV+n/eP+flga4EYj3PphciO2LkQFHsRBKxP45Al4UC65wcPOaISCH2 +wzE/1Z/ppSkPvsNPLxH737jk//ZrrJGHUu2/l4n1u1HjM7PrdApw5TLbFWL9 +TsNi/rNAuAIEXZPIJNbvTBzCQ02nFSDLTv4asX6n8l69JEJZEU78PZtNrN/J +f6u3yb6tCD7GXLnEfjWBDuwNFQeV4G4Y6Q1ifxrXz/Ol9wKUgNmlN5/Yj8bz +oR//nXEl2DiafJNYrzs5yKxTJHsOEgvEioj1uuUNhf2ppeeA7PPbW8R63Y1C +VTf3PcpQ9duuhFivG/ya6qTkqQzN/Z9KifW60Qa3nYeHlMHfz7Cc2C/GtExZ +cUxcBY5M11XsRVYjC+PKyleBSQbKKmJ/GI1RxzvKO1RhN7NGNbE+l/bZ6d2S +gyoMz0XeJtbn4som7qa/VIX4qJI7xPrcDrVjfNyCamAw03iXWJ970JSu/zBT +DaIPtt0j1uc4cpO5xP+ogRpV/X1i/5ZaBZeyGnN1WOwveEDs15L7ImWIo0Md +btsE1hD7s1xfU6tJ4dKA9jbZWmI/lkY9MrlvyRrgNv+3lliPq6A7EAM/NGB+ +uvQhsR53XupVQIq+JkSUyz0i1uNeeF451levCdYSff/ZX+UC4+OoXcxaMJSt +XkfspxJtVpYtFqUFtM8a64j9U6YOVDhbfNIC23b6emK/FIUeptVQFW3YSHaq +J/ZHGXlrIpV+WxuYOSrrifW3QmbLQs3dOkCe/K6eWH/jNnkdrSWpAz9bN+uJ +9bc+S96nam46wNG1v4FYf9uvzGwvX6ADIzmHGoj9TagpdJ1E+nVAQ2Z3A7Gf +SYKnXy/HDl1orfleT+xfck1YKGvvGV3wWntaT+xX8ue9SNtPe124QZ1ST6y3 +pYzzag9e14Xon/L1xHobm1eL/L0XumBZOV9HrLc1tGXlJWzogu/p+DpivW2k +19rkAr8eup79dcR6G1PAy0huSz0QrUt+ROwnYuwXTr2crgc2tb8fEvuJ8Fuz +k9Z16BH7sT8k9g+xq7GzCFjRg3jOolpiv5BbTYucQlz68Df7Qw2xvnahysXw +q7E+GE/tqyHW145cjlnKS9KHyb8CD4j1tTr9qd+qzfrQMwf3ifW1wjZ+518L ++mBSJXeP2N/jQehhlWxWA+iDM3eJ/TxEd8tkiusYAERfk6pD/TukaXfVvopo +OLOLW5CYv91c6Ri48iAaeC7VczxEJn0tEkLfHA0sh1ToiPlc/6HxWzc7o4Em +Z3RPDbLtCJ86T180UBx13r6PTBFU6lMzEg0bJX+Wifldd34rvczHaPjBmzx7 +F1le8rfUy2/R8Ok+4xgx3/NJ7Hx/YSUaxkSrXxHzvXDqsq2vW9HQ23K2jdg/ +LubqkZzQXTHQIf+qlpj/OQlfub/vYAzUPzcrr0S+q5alUMwYA9Va33OJ+aBE +1A1dCc4YKBwKTytHPvqU810/fwxkmeyLIeaHEWrVE85iMZA0VeBfiqyYdec8 +uVwMRDiccibmi2QulZqFajHgs9BqVoz8ZJi5WdogBhx9dHSI+WOzYELhhHkM +mK1PKRQh6x622wpzjAGdCG8xYj7ZZCcywOodA0rkO04S88lp9WCmjpAYkEy+ +wlqALCZ5b9whLgYEDnDQEPPL8M9GB/emxQDHtdqdN5DzNJeaH16PATpmxT+5 +yG41G+/Mi2KA+tbQAjHfvJb/x313dQyQ8thPZSPrTbr4PXwYA6t3VgeJ+ady +fP936ycx8E04oZvYX89wsXrswPMY+NB4pJmYjzrXRYi1D8TAIJTfzUTWbuuh +8B3/39o783+q9jWOo0EpEZlOIlOTDEVlqkccRaZkJtPmkITilPESe689rJ2U +wikcQxRtdYVQOMnQocEUdZCpokSGyJS43+99vc49/QH3x/3j+7W97G2ttdd6 +3q/H53liob5uXxauT+kaIoe3DMRChWl9YgJiocjXM52jsXCvxYGF61WDI2mS +l2dj4ab9UCSuV9MTBnON+ahwvTvsdDzirLi9ubxrqRDvKeCF69fEUmHxCjEq +1O+Ms8b9sL///y43baUnnkf2N6cG+PK1/vC6U/Ls1IMfmDZ03T4O/T7v5tmJ +EFkqhAYqm+J6+GxXkO6e7VTw/1p+AM8PPCW77MvkbipQIsx24/pYeIuqWLEe +Fex4u5VYiCUqQrPPHqKCKcNfCtfL38Z90rWOUgEEF9cyED8Xj1nx3ZEKmlcu +8uD6eVW1yatqTypsl5KdwvWzW8Ph9aQ/FTal//sDrp8rjXhLjoVQQURJvysW +cevlhRrpGCrwc5obcT0tcaRK5yNJhW/qHtXn8fk1eyl1/yoVxksm7uP6+mVZ +hTv1dyq814vNi8J/z9gyIetcKnRUi6ThevtwgrqiYiEVGo1vXIrEn5f6NH26 +nArVjRo0XH8vfnCMeVpHhVKb2pBwfL7lferTm6iQ32njh+vxgNfhv57roEKG ++4BrKOLZ4U2ExTsqJA6ePYbr81Jax9zWz1RgnVp5CM9XdFu5r5VvhgpRX5K0 +cb1+QqxKsJeHBsGhW1Vwvf4+cZFTIUADn8XSzcGI7TiR+SkbaHCcZrwB1+/s +stp1kTI0sBLo4D+DONDNo9VlGw2MLvl+C0Q8eL57Wn83DXTE50dxPX9DrzZC +SY8GaqnkW3/ES+MZ7msO0UBBfuMrXN836yxlf7GkgWQup8EPseHXk8ZdjjRY +q6pXiev9Iu3TR+o8acBb/LzAF3GMW8rtAn8aTGu7ZOP6//O30BNpITT49Ohz +sg/ikf5EKjuGBr1GUWzsA8vlo7+Fs2nQ9mxdNPYBRYHqJr9EGtRbpQd54fuj +0siSSzoNKl+reWM/sNRjs63yaHDPpcqRgrjXWiXoUBENbr47ao59Yfdd9yK9 +Shqk+PbruyOeaSiy0PyTBvFjZzSxPzhS7huqtNCAdpZvmyvivoCpy1u7aBD2 +LWEj9gkjBR5thQEa+McoCB1HbDrmo7V5jAYU/mI+7BeZUeXxMnM0sIv7eRr7 +xb0y8oDMMgJMRduHsF/Qqn75WVaQAP1rv3Tj+ZfJU28y5CQI0JSdbsa+UTZ6 +3lpJjoDtOfRaW8Q91LfOO5QJkFGWKMP+wV+b8EB9DwEi925xrBGLzMuf0gIC ++PdppWMfSeYoBh80IWChoj7BCnH9b9sbTa0JGDdwpGM/OVxRE2nvQsBA/VCY +JT6+pkSUlw8BHRbh/thXJIt5XgadIaCxTcDDHN8vMurDYiMIqHFKscH+kjEZ +GHSFIKC0T9nYFHFjA6siJ56AfO8KXewzUWF59g+uEZA5YqaGfSYigWLYeIOA +xKBueWPE3d3G0e/vEEDO+otjvxlxGRdYKCUgKmpx9SHE8+afBzZUExC8PP77 +z4j15duE1J4TcIKUncC+U8bQZh55RcBx4YL3eD4oj1ehhU8fAVZJ+n9h/9nV +/pJCfCLgkHTLM33EVbs0arOnCNDJ8niEfchplXdQ3SIBatu+FB5A/FiWz//D +Kjoo3I29if0o71xakYAoHSQ1Ra/rIY4zbDRS20QHwYc34rAvlU7tULDdSgde +fc0YHcRdakdMI3fRYbqu9lfsT4qt1ZXZunQYNrU9gf2Jb1w5vNGIDr0tA854 +fum6dunzc5Z0aLM/Z4l9anCZSpOSEx0aulca7sH3s71T3tZedKj0TN6L/arM +WeBwbAAdCoe27tBA3J7CH1gYSoebgWWbsG/VWNN63sXSIeWr8fpdiEFCKlE8 +jg6XIjqWY/8yKnBNOJJMBxrvyVnsX59lRtujM+kQxpgfxvNTTa+5u5Vw6BAg +yO7FPmbGtlQdvU8HypWNL5URX0uyMdhaRQd7qfwn2M/OJKxO8XhKB9N0vYfY +zy6zV2mltdFBX+nFnW2I19QOS3b20GEPxyUT+5pKkfl+ySE6bN81enULYucV +Ddn2k3SQKY1iYn+bSpm1+O07HUT3C0UqIlaLC9Ht5GcAf016IPa5RYV+300i +DFgwVvfE810/9TZ3eEgzYLyxyg773fyvb8lbWxgwYGN1ZDPiC8/uRY6qM6Cz +s38/9r0DPF139+oyoNE9aJcM4j895zefN2JAzSCfEva/nvD81qeWDCg7dUVS +GrH4YlyNuBMD8r8orMU+WNehO+npxYDM0OIlKfzzKtYehQEMSFr8eRL7YJf5 +GUG+MAaQtPZBCfw8rdKYOUZlQLSAdyf2QxV9LZmcOAYEX5p+IYZ4+IF07Gwy +A06IMx5jX5S1iJY3z2KAS6rEfVH8fTy6uJiVzwAr+dxc7I8ZRloS8yUMOJSr +lboeMefDs5PHHjNAV7UhHvvkSTmHOc4zBqgVO1KF8PdfOLJ65SsGKOp8Oof9 +0mDF6zpKHwMkq8JP4vm3hTGrllV9YoDgoTWu2DeNdQrCZL4ygO95itUaxBSx +gO1RSwyYttpphP1TX/nt+t7VTBh+XaGF/fNdXf7ugxuY0OdivhP754R7ADtb +hglt77pl+RFfz/tz4+rtTGjwDRBdie/PUY7vAjSYUDm2uHIF5rHa/vb9TCg8 +Gz+P5++W7eeIHzBmwq1vsqN8+HnWdSfm1jEmpMQU9PMiLvYLkBdxYcIl/oPt +PIhvVV+c/ZcPE4i4lvolEl1vAwXLhs8wIUyUUrGI2GJViKFjJBMCrn3593fE +PgnU0no6Eyiy1BsLiB9KhblpX2aCfY5o8jfEC17zOpwUJpgpZ5PziDf43TaR +uckE/XuaUXOIV1rvZCcUMGHPvrozeD5wc7DCEn85E3ZU2v4yg9jGRiMnqo4J +MoaDDtOItTlLkdNNTBBtOGf2FfFL1530wE4mrLLk159CvIxwqRp6z4SFtmSN +ScRynvuUfxljwoTTtq1fEPf4uz7pn2PCQF/ZTxOIt5uEXHZbzoJOb5N144jF +6rdc6FnHgqaRDt4xxJUj60tcpVhQE3Ty62fEKh+71/UpsKBsdv7jCOKDP6kn +UVRZcCeK/WYY8aX1d00HtViQuVy6+RNiS/Z3VT9DFiSR+TVDiPcG18KEOQtI +4f2lHxGffJf7r1AHFkQnvbj9AbGzotVHHk8W/Crt+vsgng+c7xlN+rPgRNbo +5QHEe+QYBmKhLHDZFk28x/OIbSi7MmNZcOyuUBien1x4ONVUNY4FhzQzTr1F +/Grbm0sVySzQfaju3o9Y36aBxyyLBWr6j637ED+wXpPyJp8Fik+sDvcivthj +4hZQygIps7c6PYhPd+w0561mgWBrkGo3Yordca/E5yzgc1gm/wbxtavns3e8 +ZsFM9xWxLsQneAwEH/ezYNhTcXUnYkUNk98dRljQN1S88BfitZMH7CemWdAe +aDT+GvFujef72LwkNHxtf/cK8XHR67BlLQl/RHi/bkc82WsVUC1OQiHvzNM2 +xIPr02rc5Ei4xWD88RIfbwslWFQmIVVQsrAVHw+fq31pe0m4dCU3pwVfb85X +bx04SAIhpX2tGfH4saYrfaYkhKc3XGjC75czlk21IyFAyek8ni/t15beudWD +BE/Op+AXiIUukpov/Eiw3xXh8xzxEsepMPgcCWala5yfIU7VKLfeGEPCwf2p +Fk/x/Gpbe8laNgl7anYaNCDWCOxc8k8iYYdJ5Z56xGH846ulMkmQbTLf/ifi +1hbDvXUcEkRte6SfIE7Jj6EFlZCwqitAuA6xaabt1ObHJCy4Ly3D86693vsR +zc9ImBiMn6lGbNt+el/MKxIGT20efoznVy8KC2j0k9D5paCnCnHGhrmFgWES +mkIPtj5CXDLTLnR9moTaxZa6PxCP3bMxsORlQxmN8qASn59Q+asr1rLhjsBk +fgW+XvNGlleKsyHrEjWjHHF/o/vVs3JsSBLfcBXP265M3WmgtpMN7NRsxgPE +yePfBT/tZUO0/J6IMsQmrbEzOQfZ8GtuXUAp4sxg30WKGRt8Ve0oJfh1dXd5 +OXs2uBQP2t7/7zxsMc8+DzYc0wkxKUa8XFerKuMUGw5X8e8vQjwnHKJNCWH/ +L8/0an1Mo2Ism5tn4uaZuHmmi9w809/MzTNx80zcPNM/zM0zcfNM3DzTD/d/ +bp6Jm2f6gbl5Jm6eiZtn+uF5z80zcfNMPzA3z8TNM3HzTD8837h5Jm6e6Qfm +5pm4eSZunukf5uaZuHkmbp7pH+bmmbh5Jm6e6R/m5pm4eSZunombZ+Lmmf4/ +eSZKnsQdnBf5/MTaoi3GBmw/DHNKEVtNL57zK7KBgbmC27ifplv4cd3iexs4 +2u+dh/tpRlMPxC+I20J7mmAu7qf9cWVjvJixLdxTy/nvPuHrRiWh18NsQSFZ +JQf308JTd7f9xLEF37abN/C+4GertLOS3tjC4w/CWXg/cNtfZ94JrrMD3xa/ +DLwPuFmL+O082EH65dLf8f7f+N0bq0dP20HmtqlU3D/rvdPl7pBlB3eS5FJw +/6z1dMDZypd2wPcGruH+2ZBMBI/MCnvombVIxv0zPZ08vvC99hD12SIR98+i +5DMiW3zsQe4hXMH7eqvE+AMVr9nDcje5y3g/r4g60Rn01B6830xexPt4f/N7 +/Kjimz0Qu0sv4P27RRkUWT4VB0j38CNxv8yVLjRv4OoAX3yFmbhftulewNHo +eAcoN71J4H6ZztUtCqVVDqDNr0LF/bLYmO6gTxMOwEnNPo/7ZQW52vpSCo5g +vlYwCu/LfSvRzza0cQRXO+8IvB93XUWYnS/hCNIRBaF4H26oe14GWeIIdeHD +Z/H+2/K7Yn63PjhCkq1EMO6PLah6lTySdIKmNZqncX9sm7EO9aWJE+SnHfTH +/bFy2N/6NtwJ/Nbon8T9sRhtkezPHCcws1Xzwf2xC1quc5NvnIAdIeSF99Xq +yDxp/SroDD5Rfe54P23F7VH1yQPOsMk1ywXvo+2JjNwwEugMwz/ZOuH9s0Ui +G6L6MpxB+P6sHe6HZdU7BjS3OP8vz6TVOTFQzncc/gPmCErO + "], {{{}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, + {RGBColor[1, 0, 0], Opacity[0.2], EdgeForm[None], + GraphicsGroupBox[PolygonBox[CompressedData[" 1:eJw11mV0FgQUBuBPuru7u7u7GzbYYAO2sdHd3d1dCgbdYNCpYABKo3SjYILS KD54jj+e897/995zb86oPq16xwoEAu+wQREUJxAIpjVtCCGUtrQjjHDa04GO RBBJFJ2IJobOdKEr3ehOD3rSi970oS/96M8ABjKIwQxhKMMYzghGMorRjGEs @@ -249662,28 +320924,1980 @@ GMwgBjKA/vSjL33oTS960oPudKMrXehMDNF0IopIIuhIB9oTThjtaEsoIbSh NcEE0YqWtKA5zWhKExrTiIY0oD71qEsdalOLmtSgOtWoShUqU4mKVKA85ShL GUpTipKUoDjFKEoRClOIghQgP/nISx5yk4uc5CA72chKFjKTiYxkID3pSEsa UpOKlKQgOclIShISk4iEJCB+4L+1fnvaA29PfGz+/1P/BS2FPtQ= - - "]]]}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, \ + + "]]]}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, \ +{{}, {}, {}, + TagBox[ + {RGBColor[1, 0, 0], PointSize[0.0055000000000000005`], + AbsoluteThickness[2], LineBox[CompressedData[" +1:eJwV0uc6FgAAgNGPSFZGZBQRKSJCZtGgQlTKCGVlywylrGRUkoqrclOOH+d5 +b+DNHJhunQoKBAJHnDaYM4QQylnCOEc4EUQSRTTniSGWOOK5QAKJXCSJZFJI +5RKXSSOdK2SQyVWyyOYaOVznBrnkcZN8CrhFIUXcppgSSrlDGeVUUEkV1dzl +HjXUcp8HPOQRddTzmCc8pYFGmnhGMy085wUvaeUVr2mjnQ46eUMX3fTwlnf0 +0kc/AwzyniGGGWGUMcaZYJIPTDHNDLPMMc9HFlhkiU98ZpkvfGWFVdZYZ4Nv +bPKdLbbZYZcf/OQXe/xmnz8c8Jd//OeQY/OcAL5YLiw= + "]]}, + Annotation[#, "Charting`Private`Tag#1"]& ], + TagBox[ + {RGBColor[1, 0, 0], PointSize[0.0055000000000000005`], + AbsoluteThickness[2], Dashing[{Small, Small}], + LineBox[CompressedData[" +1:eJwNy0cjAmAAANAvo2wleyVEyd5bRfbMunPnj/o99nqHd3zph+fKUySE8Mgr +b7zzwSdffPPDL38EIUIV1dRQS5QYddTTQCNNNNNCK3EStJGknQ466aKbHnrp +o58BBkkxRJphRhglwxjjZMkxQZ5JpphmhlnmmGeBRZZYZoVV1lhng0222GaH +AkVK7LJHmX0OOOSIY0445YxzLrjkigrX3HDLHfe8REP4By4CG/4= + "]]}, + Annotation[#, "Charting`Private`Tag#2"]& ], + TagBox[ + {GrayLevel[0], PointSize[0.0055000000000000005`], + AbsoluteThickness[2], LineBox[CompressedData[" +1:eJwN02PDFgYAAMAne9m2vWXbtnvzkG3btm3btrGwbNvYfbifcEmD2lRpHSwQ +CEQPHghUDRkIVKM6NahJLWpTh7rUoz4NaEgjGhNEE5rSjOa0oCWt+JO/+Jt/ +aE0b2tKO9nSgI53oTBe60o3u9KAnvehNH/rSj/4MYCCDGMwQhjKM4YxgJKMY +zRjGMo7xTGAik5jMFKYyjenMYCazmM0c5jKP+SxgIYtYzBKWsozlrGAlq1jN +GtayjvVsYCOb2MwWtrKN7exgJ7vYzR72so/9HOAghzjMEY5yjOOc4CSnOM0Z +znKO81zgIpe4zBX+5SrXuM4N/uMmt7jNHe5yj/s84CGPeMwTnvKM57zgJa94 +zRve8o73fOAjn/jMF77yje/84Ce/CIQKBIIRnBCEJBShCUNYwhGeCEQkEr8R +mShEJRrRiUFMYhGbOMQlHvFJQEISkZgkJCUZyUlBSlKRmjSkJR3pyUBGMpGZ +LGQlG9n5nT/IQU5ykZs85CUf+SlAQQpRmCIUpRjFKUFJSlGaMpSlHOWpQEUq +UZkqVKUa1alBTWpRmzrUpR71aUBDGtGYIJrQlGY0pwUtacWf/MXf/ENr2tCW +drSnAx3pRGe60JVudKcHPelFb/rQl370ZwADGcRghjCUYQxnBCMZxWjGMJZx +jGcCE5nEZKYwlWlMZwYzmcVs5jCXecxnAQtZxGKWsJRlLGcFK1nFatawlnWs +ZwMb2cRmtrCVbWxnBzvZxW72sJd97OcABznEYY5wlGMc5wQnOcVpznCWc5zn +Ahe5xGWu8C9XucZ1bvAfN7nFbe5wl3vc5wEPecRjnvCUZzznBS95xWve8JZ3 +vOcDH/nEZ77wlW985wc/+UUgtP8EJwQhCUVowhCWcIQnAhGJxG9EJgpRiUZ0 +YhCTWMQmDnGJR3wSkJBEJCYJSUlGclKQklSkJg1pSUd6MpCRTGQmC1nJRnZ+ +5w9ykJNc5CYPeclHfgpQkEIUpghFKUZxSlCSUpSmDGUpR3kqUJFKVKYKValG +dWpQk1rUpg51qUd9GtCQRjQmiCY0pRn/A0UBEcQ= + "]]}, + Annotation[#, "Charting`Private`Tag#3"]& ], + TagBox[ + {RGBColor[1, 0, 0], PointSize[0.0055000000000000005`], + AbsoluteThickness[2], Dashing[{0, Small}], + LineBox[{1638, 1639, 1640, 1641, 1642, 1643, 1644, 1645, 1646, + 1647, 1648, 1649, 1650, 1651, 1652, 1653, 1654, 1655, 1656, 1657, + 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1665, 1666, 1667, + 1668, 1669, 1670, 1671, 1672, 1673, 1674, 1675, 1676, 1677, 1678, + 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, 1687, 1688, + 1689, 1690, 1691, 1692, 1693, 1694, 1695, 1696, 1697, 1698, + 1741}]}, + Annotation[#, "Charting`Private`Tag#4"]& ]}}], + {"WolframDynamicHighlight", <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>}], + DynamicBox[(Charting`HighlightActionBox["DynamicHighlight", {}, + Slot["HighlightElements"], + Slot["LayoutOptions"], + Slot["Meta"], + Charting`HighlightActionFunction["DynamicHighlight", + GraphicsComplex[CompressedData[" +1:eJzs3Hk0VtH/OHoiJZqUzMoQEhIZw9uYeZ7KPM/zPM9kShRliMhMI2UuQ6QU +ERlCiZQiUUQZuvt81v3+7l733rXu/eP+dz/903qtx/Occ/bw3vucfd6bzcZT +z34HCQkJ3R4SEuJ/as114aG0360k//u/3MT8+hfIo6y37N7qd8n8n315n0D1 +c+QzdL7MFd0vZOIynxR0I/+LC/jFVtYnE8SofeUZctjk8GLg2oCMe+GH+C7k +4jGW3A/9QzLWXF7Bncj3NM3fsX4YkTG6TeL+FPmsk2obNfu4jLpQhlUHskvJ +ibSonPcy0MBm0I6cONYxXsH+UeaMTI1yG7JiivNSNc2MzIlO+bOtyDX1GZ6P +mGdlWNQGBZ4g07R8NX0g+0WGpt+W/THyEWOW/pSIrzK7jFZoW5CZBu9sCw7P +y2yMx1E2I8vG95y+pLwos2RNu9VIuD/T/pzzksynL6VLDchrd5bY0pqXZcbc +RT/VI1c8OnYkk/GXTN+vZyN1yOl2w1laCSsyHcHGLx8hl81JkDzcXpWp//fl +yUPkGcOR1qbYNZnb8UE1tch7yVLVLtD+kSmkoiyrQa7a68eWUPtXJisjJ+cB +cjX5aL+YxaZMEh3vpfvIPo2MS3a02zIR+U1R95Bfi5azkY/9k/HlUPe7i/zS +w5MyYYgEHCvHHe8Q9TNI9qSxgxTMTrmZ3kYWVGRJp6/fAbqPNrWqkQ/tqPyc +/4AMlM5ekq9CLnRe4FeqIQfJdhbRSuR487ARysadIKB890QFclb7GZMvXRTA +0SvDUk7Uxy5BobHRXUCv//pAGTJt75Opd8u7gXrMkrz0P/VjufDlwB4gtVxa +K0ZuMaqb2hChgt+fouZvIa/ej9OisaaGby4HPxQhM7gUveS6shc+LBW9KUR2 +urr088yLfTAYIPTsJvKOdn4hZrID8Hyzo7EAecXLzuZayQF4HKN/Jx85wm3P +BwrVg/Bg96fCG8jbN+IiXZcOQlmaX2Yecs5MFUtHLg3kHd6ZmItsId+ZtVvl +EFzOzQrNQWbbG/tMav0QxB3j8sxGJmcnzTCrPgzBZXU215GTT7SM2lvTgjuf +stE15NPvM2KNGI+ATc2Iahayv2lLMN/wETASd5LORJb06yudzaQD9SfrgleR +vQ/cX4k0ogdZxSTOK8hkV3IN/zAywJkeBvoM5PeytE3a0wxwQqeKKp0ojyfL +tNG3GYF1WPJfGjJdhIplSjAT0Ji9/HmJaC+q/mluqsywa9r0cyrygWeHCtiZ +WWDDcWEsBbmdbDO5epkFlr6H9SYjUx4Gg509rDDru7c9CVm9lGODv/QojP3J +f5hI1IdeRnuG3DHoixSouIg8/Xt5ffnBMXi6szUvgeg/gfnldRxsUJ+ifTme +aE8PM968v8YGtw9OxcQhn6T9EOlMxQ6F170CYonfmyurM4xmhywWUpcYZK6X +DJG31tkhuTjDPBq5jypgWsubAyJOsOtGIdswUsxfmOcA33s1ipFE+//FWtLh +wAlOIgriEchtV1gZE2c4wax58GQ48padi0ml9XHQlbM7Gka0hzZ33+Mfj8O5 +7hWaUOL6rLL9Nqy5QFIzniIEOWbCzIP3ExecGqT9G0T0X4FTPg8cuYHjQtn3 +QOTx07FXLi9wA/0H0Y8BxOc8L6ef+vDAXvvuIX9kKaWAQM2/PEA6b/zcD9nw +zA/7k7En4LfXXLMv8t+q3E6zvbww/zvong/yr99vq6ayeeFDGGWxN/Jcxqpg +3fGTMLQj95oX0T/qFbwmak/C80TeZE/k25vbMXoKfPB4X3O4B/KJ7+fj6Ib4 +oCZT3dsdebbML53XgR/KGCfs3JBjQ+07k//wQ16h23lX5N1X+WXE0gQgnWtL +3QVZI6OF+RTnKYi7fQmckX9LL0Z6N5+CYCFWYSfkoOuKndsnBMG94S6XIxGP +m1j5vgYIgo0MMDogR5UNJAZ2CoJx5+u99kR/+MLsVklzGtTVrEjtkO/0CzlF +WJ8G2f6lFRvk6+P1+3/fPw0iRtFz1sT5kHSs7icVghMTByeskFkOj955qSsE +rDa3Xlsi3+RdfslTLASH5oSeWiDv+uX7iWtVCHZ5PK0zJ/oro2hql7IwbP7S +rzIjzu9u6HnyPGFYCv6Ub4rMHPX7/fSiMMz+88swIc7nYtcjK4Uz8C5+Z/wF +5Ofqfr7h2Wegj+pa0Hni75X/3hVfPANPM7jcjJH9dJr+ZSiKQANdvaURchpZ +zFZingjczlfWN0SmOpkky/JLBIo4Rs8ZEPH3pLe1lrooZFU6Seoji3gq/mEq +EYXkU3/49ZCfDfoXxW+JQuSjJDZd5Dq6qV/JxmLge5aRVgf5rWpX8skaMXBq +r9qtjWws0UfiuFcczJXPbmoi//lMuSzlLA66vS9/aCDzrC38vt0lDuf0zWbU +ifh0XPduHbsESI4tDKsh76/3q70QJQGnLMN7VIny/fXnVtZ7CeCc3ftEBTku +6zODi7Qk0LsWPFAm4pdy8aPhG5Kwd1mg9ByyF93GofFNSdgR2JqthHx+mXEw +wPws/N7UTlVEPsyQkVH55CzMx0xFKiB/KNFY9DkmBVO7vX3liXjy50bimxgp +GEojdZRDjlQ8TfJ8VgpeHL5iIku07226VT1VaXicy64FRH3YPtnle0caao7V +yskgc67/GOWmkYHyMgURaWRR8mFK70AZyOMb4pEi2gfd2lmtSRlIr7FjPot8 +JVn0YKsCQLz46n5J5AySoZNPqwCCn8STSSCv+4vQ8VPKgofikTUx5GOUfybl +pGXBpqfsmyhya+Jk801vWTDWEXsvQsTTXw0x58pkQWO4e+AMcb0SaeSi47Ig +a3a+S5iIZzsuHfI4IAc093XjCK+4HVA2Z5EDulauN7zIO+8/2ftYQhPKJJYl +dqf8bg09fFf5Y4gB/Hc+9d/51H/nU/+dT/13PvX/j/kUqU5H7enk/yP+/1We +6AEs/v8/OTE2WosYj1i2deT7pKX/L+ONyPRcgxDyda+DMYtKcsDrFHz7NPLG +bDF7fagcsC5SFgoS/eH5enf3Azk45Jd79RTxufKS4rE5Odj1l/eiALIO5zW3 +NlZ52IxsDuEnjufLRlNhKA/LOzU8+JCvOazsHkmVh9mUCeuTyAGHb3BqdsrD +u4PuhsR4ZyLdL75nUx5eX99SOUGMR4d9GfadUYCnLGlSPMi9uzJyz7spQEMx +qyA30X8TrdK/lijAnRP3OLiQiwblXrdNKkDRPaA7TozHzU9Ovj+iCNdE+vdw +IlP7JIfI6ShCcrPVNjtylE50+rckRYiUW15mI8afkgr5saeK4NcdPXsM2TJQ +wGHvtiI4adKMHUW+ae7cnyCuBOaDt16xEu1HetRIyVcJ9C4It7EgCx1lf61y +VwnOfXhay0yMn79o92d8VYKz9gblTMT52VctsBw/B6fmP+UyEuVTsiX9w+oc +cHr7pzEgPzhlOEaefw4Y1nbG0BP1U86SZTF2DvaGX/OnQ2bP+aa8SasMO8i4 +nY8QVgt4PqmnDGuJ9Wa0yAPJRd93pCvD/D4VncPEeBbjm+baqwxTmaMKh4j+ +EckQQ0elAkOMzmI0xPG6Pt+kUFWBF4V/eA8i77QwaBW/qAJPuJJZDyCf+kLS +cKdLBWpuM9LsR5a2v29tT64K5ULVO/cR8ZJGI9NSQRVuNJz9Q428KTDNfSNG +FdJlXi1QIcsPla4wdqhCfKfZ1B5kxs7FN+OkahCi9n2QEvnqWYm893Jq4NEf +3r0buanzOBdHjBrYGu1r3kX0n93BGpUdamA8UXCXgvi9YqYvXmTqoGFz6tZO +5Ee/GF4HK6qD3FxrFjkRX5aLJ5/Gq4OIh04SGTIz6d4FrW514F2ZCttB1O/T +l4OMlBpwNMTbixT5zUKwB7e6Bhwi2WFHgpzEOJTld0kDdidcMf53CZVvSSPH +jn4N2KTiUN9GpvPh+/maRhOWM2pltpCj3+iMTxpqwmc6RaFNZG8t98aTOZrw +Ln/o+AZyYuQ3h8YJTXjNYc/wF3lsVu9JwjEt6Kxcpf6DvOuJXu5VOy1oOJVA +so5cPVL/9kOFFtx5dGTlN/K6q7e663ctKDpb/mUV+dbK5WERIW241i42vkJ8 +n0xJDwK1IUX5ed8v5Ot0MzkXW7Qhsvd8x0/kxy0eirtIdcBP/+ujZWSZXXZb +Xzl0wHksuHKJ+Puc6tn5czpgbrkn/wdyxYtT89QuOqA3m5u+iCxBOr+hcUkH +lF1Pxn1Hdq36svP2fR04u9wcuICszCy7dmJIBwQDNVznkZ0usj55tqYDnFsT +Ft+QX023qMQw6QJDrLveV+SmUbt4M9CFfZTbSnPIz2s9bQxtdWHH5TSJL8hk +Guf63C7qwtrho/yfkU+uWNXfqtaFhdx7x2aRGRyVqdde68LUMdnDn5DPPEpv +dl7Rhbdl/btmkKmEZmr/0uvBCz7rjY+EbVZmKqX14EnN8uIUstZcomSgjR7U +isdMf0BO8PMrs7moB+VPaIbfI+95kHPE7bYe3FAsfjGJvCL4LfjqgB5k9Ag/ +nkC2s3BoHf2tB/E6nffHkd85sQyfZdaHkGGDknfIlcL8d1rk9MHDbPb6GHKS +YoewqaM+2E77p4wiy9XQXaC7pA/nnSgiR5DdDgVSL9fog8biNZ9hZGN3cem5 +UX2Q8+N2eIu8xd7xbnNbH0T/1l8YItrfp8QhgeMGwBulojmIrHJjP2OEugEc +pRiTfYPsaPMl95u3wX/vv/97//3f+++0/+/uv0v/vjQk5gPbzh8s5OcMQVjU +e4wYbxNpuq4UnjaHdu8j5sR4JyEdqhkuZQM6d5qniPHE7xTlGs8He3g/Z2VH +xPOGpGCSoShncOOkmCPi5f0n5zki2dxhw7LalYhXEjt4U7OsvCApT+cHEQ/m +7PPVF075AN3Iqs8rZCnGqJOd275QSpP3ux35n8z7BJZefzijJRtSj/xR0rhh +PTcQOpJmt24jf/FiZTRyCgadruToW8j6Kxs7RUVC4QOJ4M5sIt6d1XybTRoO +7lJvEy8R41nfzo8RvRGwERhCHYucvTUi66cRBcm1R9ODkM+11u2aPx0N9D86 +D3kQ8Z1hc4mDLgbKeF2u2xLnY6HLc3QzBs447Ge6gPw5h29sYioWnhY9LNBC +npzuFzDvigPdyQvsisj7a7UMCivj4QP9v1IJZEmxOL+ySwngYVBy4hTyyyKW +Jk/vi7B5WfUOJ3H8sCztTYNESH65KMiIfGD9jKWGeBIw7Mp8uB85VubwISum +ZCiXlxDfiWzbxpgltp0MIhHvm/+movgS92/f4FQKPG2MhSXk5wGqVUJPU0Fv +lefpLPKfWu10o9JLMCXYd24c2X5U4pvMxTTwcPPt6UdWVSf5OO90GbbK6bWe +IRsp1fUJHE+HlJnHA83IEs3UnD1Z6cBw1NbwAfH93kZyFYoMKDfZPVaGvOfx +RFxFQAaIXrtjdgP50Hx4w/znDOgc0JvKQA7dTKs9aHwF9Pau215Ett7+lsTY +fQU+quR/CUPuTjLSphC7Cp5x8q4+xPe/RNK9LbsKW61fFh2R4fS/1YQjmZC6 +kepjjtwTZLTNlJAJjGJCv/WQzT4vK2SuZkKFz0iwCjL3JPe7H3ZZIHo3bEsa +mZRFYkhgKAu6vrJFCyNLf9JR0lW4BvrHu8lPIEsdjJc1rrkGH63cElmRHysM +j8qyXQevGwepDyPPbZ1i25d+HbZH6i5TIrN2OfG1bl+H1ENmh/4Rz6/k5fca +uGcDozbp9RVkLlvn4Vfj2VCZXMb4DVk/KCD3hFoOiD1TL/iAfND4u6tLQw50 +kS6zvUUeDJQ2v8yVCwbS10p7kBtpO6KyM3NhOujsiTbkIs2OufgdeeD1cOr2 +I+Q/Ut7lxl558O9HvGA1staxxb697/Pg0smTDwuRq70VvSvUbwCTY7/YNeR7 +FG6F3I03oPKWf3MKcoCAqkcKVz6Iv2eEaGTJ17cXBq/mwzOGto4A5GexBvyk +pAVgYGh/zg25rOGb3CGPAphJ39Njjcz9gkJqz3gBeL+6p2mMTM/Mz/9Z+Sb8 +22U4oIFsJPuaq/zhTbik8NdAHjmdnCu/7EAhMEfeHBVDZn4bKLVwrhCqmhTN ++JFnv/4J5gwvBPHfXz+wI1N9AQvt2kLoPn3Zlh45Um1o2e1rIRi6n/myF7kg +WFw6/GgRzFSMuZAht/V9UokyLAKfTxGL6+h+srJMhSUgpQhIjnH6LCJPuvd0 +WLQXQZrpi9UZ5Ik73XKSa0XAfN0jeAxZjTHr1m7+W1D95tBWH/J6fsT3Fza3 +QGJfY1Qn8pBjN29E9i3oVrUgb0J+vlZpc7zvFhjFkyXeQw44eT6/lawYPrVV +UJUif1LbmNaQKAafTc3LucgHaB+e7fUoBlLxXzTpyA6vcu/JlhTDZd/sa/HI +HAqt58rHioHlnjRjKHLpFx5K0v0lUP1tOt8LObt/eFtTsQQkuRLZHJCZX7Se +SgsugefW/KWmyE/N3hS33y0Bo/w3PLrIqTMrNnMzJTA7Gnj7HHLtpZ3+OxhK +wfcwi6AUcpjnu4/7tUr/1/33VKrcgwOxpf+9//7v/fd/77//e//93/vv/95/ +/9/efx9OdT4zQJyf471zftkGsJvmL3c/Md+dO7yXqdUAtq4nM71GPkg/OPl+ +1gCWWZj29yHzHamtaKU2hM/F1Tt6kWvGUswfCxvC+Amp3y+J9ssuvzBiYgiv +77362kO0F5fnivtjDKFTxHzyBTL5IKuxbaUhNDZ/73+OPHJE/9BQvyHckYvo +7EZWCIlytl43hFvd+xqeIf9teq1KdcwIrmnerO5CLiUJLBlQNoKUwVM3O4n5 +c2+j80NPI4i60HblKeHFuaTa60bg90EnoQM5w81mta/VCJztPwYT9yMm4Rk3 +KOaMwGLe272NKH/fRU+TA8ag573DupVoz/e3LXvEjUF57YrBE+TxqwbmRtbG +cDacQ+UxspHn5fPbScYgSPbwbAtxP+BvKt9RYwzHkxRPNRPto9qb6ta4MTDs +f8vehHzj3fWSfPLzsC/L/kgjckps4fZD/vNAxvSbsgG585XLnjmj87BWmLBV +h3w8YLpBNOo8LHDRLT9CPtXz729h5Xn4eLv800Pkgcvd7VyD5+GtkPhoLbL8 +ptp29+Z56Gl4/rIGeaOkvCiO6wI8kbnQ+gD5ofeufDOdC1Db+bXmPtGe0wo/ +aodcgAq1kLJ7yPQWj6wsSi7Ajf49uXeRP7hmUiX2XYAMo7xLd5BDLbIHX61f +gISJk9HE/RrzN+4qPg4TCLFp8atG3vH0UVS5pgl4zmk4VRHtJb1NRTrIBGw9 +Jk0rkX0n3/z6fssEzq+4a1cg6+yP9W3sNQHNkG35cuQ8A9/Gm+smIEdyWbQM ++WeObn0hhymIJhzlLSXiY9iIRYuWKZykvs9SQpSHdE/Rz2BTOHpF9mAxsnvt +X2+FUlM4TD9ATtw/Fssqtd/tN4XdBdbrhUT8eZoRfWbTFLY4fs7fRBZOHiwZ +4jaDn5UxHwqQd6pQslzWN4PPpw4N5iNfopCesI00g/FHxc9uEOfLGDekV20G +/WfPNOUhHzvyb810xAw62zvv5CK3PBkTjyQzh0Zlw6Ic5Mvbakktp8zhbu9s +JnH/6jOWOX7IzBxu6QckXieux4qcPT7RHK6PUYRdQ+YtW9Y68MgcUiyve2Yh +f3rQavDoozlEzXLbZiJH+k0c99tnAf6uDUZXieO3tD3UOGsBzssqaleQA3iW +fsk4WYBF4Jh0BtFfWP+8VcuyAP0t59PpyNKtfppeHRagHPuX8zJRHiMUmvd+ +WIAUZQp9GjE+jJp372KxBMHLTNTE/fXbF0L3gtUs4Tjt7X8pxP2yrOoaeZAl +MOZJ/Uom4ree55XKUkvYx9b7OQlZKjHc13HQEsjKzd8lIhu6Vp+7SWIF63yL +vReRTVX8nK/TWcFCTUR7AnKyQBbTDQEr+Ci+/1E8chrtZaM7Slbw9snNijjk +94fmDr00s4IeRcEbxP29yoWTmqu+VtDa03Y5BjnoxNeNkylWUKujGxuNnDVc +x+B5ywoqhj8GRCEPNwsUPmm0gnwzH5dI5BPiS4mMA1aQMb3DIoL4/YqbvTFz +VpDgdFU3nIgHdp8d1/5ZQegih1IYct9DH90gOmvw9HsoHkrE1zdrsTtPWYPd +X0W+EKI8pvj/FJyzhvNRb48GIx+YHahStLAGTQqHQ8TzB9fO0iu//a1BPvU3 +RSAyu4xX1cNL1iBKc/GvP1HenzfnI0qt4WQ23aIfcvpRZl3Dx9ZwjLXioy/y +IH3xoNhbazhcIv7Wh+g/Xa7uXN+tgZL3xXNv5KoxA3q2nTawde9Cixeyapdc +HzeLDfwU+XbPE7n7LmOSpIgNfGkOKSaefxh6vJK/oGkD43JU192RfyeqrMba +20B/d16yG9FfTsbnNoXbQJcmX4Qr0V65wvm2smygcbDF2wW59cupUvW7NnD3 +gqa9M1H/gUXbpc9s4NaHyfNOyKQ+74SoP9jAdXsPDUdi/CWfOxuxZgOp89vg +QLTf2fFDm/ttIcr7srA98hWDVw/jeWzBf+0otx1y5sEheiY5W3AJv89IPJ8h +NaGRbLlgCxZkcvtskFdnqmicfWxBP2mA1JpoL2NdN9hSbEF5v82qJdG+hi6/ +mS22Bamsn3MWyK9GJe4+arGF00yxE+bId3X/nMh4awvHiw71mxHjB9Vu2aBF +W2DkLnlqivxIsOSbyy472H/nTL0J8kuFA5zOx+yATLiring+pMZ89bOPhB2s +NxgWnEcu+xjIl6hnB99lPmcYE+2DYt9ShasdfOwMiDdCbjhXefJtnB0Mq+0K +NkQWKSgaoy6wg57+624GyN6q+iva9XbQasRjpY/8+AlrQEG/HTycaNDXI/pz +ldOF9a92UGGjqqxLlP+3nGxzMnvInxuT1EHWSDkq0MdsD1c8XAS0kTnaXQ6r +idpDwspfNuL5lefnJaUBbXsIDUmh1UTWCzj52NbZHjxJmCk1kNt2Z/uRxtqD +XcLtTTWi/LQaHKpu2MMFauklVWRjapo0izp70LzSO6OC7Ex/apal3x7k6S1G +lJGrDfId5r7ag1jBYs855NzqReonZA5wkjPyiRIyJXXjmwIWBzhWtb+GeH7G +Zn+tJlnMAQ4LFpYqEOPzLc7yaF0HoKwTzJFHrinfWR3r6gDbZ9tT5ZCtNT80 +pMc7wM923ShZZAUz05cVNx3gi/K0LyA/TeKefNnoABO9Po4yyOR+q3N/Bh2g +X5/MVJqoj/yQr0KLDtA1dlVLiqhvV6+xgN2O0GTJKX+WaJ+smbVd7I5wd/ah +iCTym9dlPkelHaHYVekE8Xxv0Mv9YJyxI1xffsssjvy1437yL29HSA10OCBG +2FB6zD3VEaK3fpOJIn+PnVn7WeYI/rEX184Q7cM98GNMuyO4UNLPCyMX/u5P +Z5lwBMvLFe+FkHPaX5I8/e0I+rQSb04jD/Ep8/kedAKVvBddgsiBWlz7BPic +QIrNpJF4vji7pF3065wTnC7/dlsAmefy3amn1k7AxR9ayE/Ul65gd0GYEzDW +UmXyIZNQdmvHXXeC/RI3Lp5Ezud38vercQLyVr5QXuQ9Y9TCnr1OsK742OME +8ubTB7F+c07wvUfThgc5Vt7YJo7MGT7qvDfkRk6RJe0pYHWG4WEPVS5kB5n6 +R08lnOGl2T+p40T70ow79svAGVqnLwsSzz8PUgfu5PdyhodOxzg5iOspLrL0 +TnGGysX7dOxEfT1l4G0rc4Z8PzkqNuSY/HVLhg5nuPJ3YPsocvmsyWbYpDNc +jLL5yUr0zx6rv9/WnSGU4tcsC3H9q/yGtoddwCs1dowZ2T72667Pp1zAjuZw +LxOyDcWTvb7qLnAhu6SNeP7qHDFmvcfRBbRYRR4yIHcZu/+rjnEB+ZKucnrk +i453PxoXuIAYr1EeHTHezA2R7WtyAb77n9OOIM8FMFv3vXWBY6KBMbTIlvs7 +V7KXXYC2ZVfAYeL7lPua3Pe6AqV8tvMh5AVa2dsaJ1xhu5vHnAa5RLL4mYiS +K/zSbNQ5SJx/fyz5CWtX+DKoqngA+VTEaWuucFeYuPBOjHg+nC7+b4Q/xxUG +Pric3Id8XErBDh65Qpf9ButeIr5QGZOaDrhC03wKDTXyN7frVVHfXeGuNzMF +FREP7O1M71O6QfHa7T+URP2Jsu3/dtwNssOlv+9G3jA7084v7wapZH1Tu4j6 +T97lHmrhBtFJFkMUyB1lu/YNhrhBwP4f3cTzaaHukptnrruBS1ZkMznRHgX1 +WApr3cCS6cA9MmRh/YQY2n43MCgqvLUDWbarqSdzwQ1UuE9fIyXigYLbMgul +O0jfaU8iIcaDKsaf94+7w2lhvfB/qb9br62rPNeUdweuxmmvbWSHc+GeKxbu +wAS+dlvIas9kZ0pC3WF/F9n5TeTNtqNHLbPdgVw9U30Duf1KJSvHI3f4088J +xPPz1AqvyaUBd/hu9EjoD/Kp8D3GzxfdYXpCiWsdOeHa/ouVVB4wbDPMsEY8 +b49hcs7k8YCXcw57fxPPj9++Wk9U8oA2jzWSVWQ3zq7TF2084OHKxZVfhCkq +91+O9IDKEPq5n8iZ+46lFd7wgAKSyvFl5IqeoYrmRg+4kiDxmnh+z9XmZzo1 +7AEXqXs6fiAnOt8v2rfiAaFXTOoWka8Knw08d9ATvOjnK78j3w55OXJRwBPs +C0LzF5A5Ehlb36h7wgVO6ox55IzLn3i4nT1Bq+pG3DdkvttzB+ITPEFBkD/o +KzLP+zmfhWJPEKt77DqHfHh3lZp5uyfwSWlZfiGely/8ujry3hPYOt7rfUam +5c1QM930BFoVz3PE+kJfPrfICrUX7On7J/EJ2U4iMrCVyQu29dP5Z5AjN8Rd +LvN6wa+xY2zTRHl9BCo7CS+Ys3xw+CPyB+2o89IqXjAxK7d7iri+Q3PaTMZe +MOD6ZuM98jELhx9b9l7wbNnmx2Qq8Xxp/sysnxc0Bf6ankBOV3BhHYj1gntb +scPE+sac0NvK9iteUBx7uOcdcoo229u6Ii/Ipix9PIb88KFiwf37XnDpssiD +UeTecVGye61eEE37rGQEWTJvibSmzwsC8oyyh5FZjrtlN056gSvbl5S3yJwU +pZ1dC15gWR4YOYSsx5kdN7zhBQb8u30HkV8/Vhie3+MNKrXZDm+Qax3L63cy +eoO0xAmTAeRx6k4uzhPeINTaqEmsv9Qu5LEpi3sDl5Ka3GvkA7lCZR7K3sD0 +8t2ZPuStP6k1uUbecEDXlaeXaP/yDxRf2nsD+cgG0yvkd3/KTEj8veGPWer+ +l8hJKwE/JeK8YXGamawHedXg5J6gq94w7XTn93Pk6aTXN5tuecPIovS3bmQb +Ta8HpDXe8NKvb5JY/7lXzCSt2e4NbX8tBrqQ976bks3v94ZHUT86O5HL4vub +lj94QyVFVMNTZI+YzQq1H95QkHrgdgcy2c9Ayoptb7hKU3SznahPSeN3lPt8 +4GL26attyE923mfwYvGBMNaOhFbkCMjpesfnA14leiFPkPfRnpxQlfIBe94Z +98fIA78iTB+r+4DJfV/rFqI9Jt6TEzH1AS1RckNifep5y2jqAxcfUGjJVGki +2mMD3VmhEB8Qlz8u1Uj03w/JavVJPsD3/NGpBuRvfbqNsjk+wKZ1jqOe6D8W +l+L7KnyAdmj4SB1Rvzct71g1+MAeE8c9j5DlRucF1rp94N+Hta1a5KhEo91X +Rnzgl33icg3ypG2X2OkvPjA3Tz9LrI/16lk2D/32gUnvytH7yILeGhlhFL4w +sCbx6h4Rn/bW1fMc8YVn4T2td5Fby57xjR33hWYy09o7RDz6Xrl4ScQX7iXN +l91GJmlP3Tin5Asl+8Nyq5GbBPJ1yQ19ITuLOq0Kucp7z48uOzTVYcqPriTW +45bJ36T4+UJMEb9/BVG/8GTdMM4XArifOJUjX2RwtubM9AXXO1pmxPpdvr3E +nrViX7AS/qBdSrR3Ibvl3lpfMGj0VChBHn54lLbyqS+oAolYMfKKarFv4qAv +SHel895C3unLTeU24wtC6mysRcg7hmfH9H/5AvfAg4OFyOelGN4DmR8wGcvv +vIm8mLFFK3jIDw5MvlnPRxb99DqSk8MPdtraLhDrh8LWfQwswn7wZ+7Xhzwi +/hmJTzMo+MGiR9xgLlGfglojjPp+ML1yuDuH6K/BumtHbf1gJKS0KZtoTyNx +sid8/eAViejd68iU+/geiMb6QVvCs6JryDF/U5RVrvrBI2rjrCwiPmZ+I7Uo +9oOqK18SM4n+spD2PrDWDwrog8KuImfztY9nPfWDqwW7va4gl17tWasf9INE +zhxbYn0zP2hV8P2MH4RVnTBOR/ZXvhS/e8UPvAWb1C4T/SXq/S9Rcn+wr1OT +SUPWbhANcT7sDyZS46cvIatnfTpSyOkP2h2ux1ORtfrFnr874w8KKpv0KUR/ +Eo5OZVDyB/G+VOpk5BPXaWzMDP2B34CFJAn5/qyMcrG9P7C9u/OLWF99R2si +uejvD0esZL4kIFvwNUpIJ/jDns997+KR4yVrFNKv+cM/V8u+OOJ45jeMvpT5 +w8ryj/ZY5KyW117y9f4wFxj1KAb5dHJpelG3P0xuHaiMJtY/SYMeko/6w5vY +ohtRyEV2t965zvnDM0qh9Ehkr39RpCPr/tB8uSM2IpV4vufNfY4yAO7R6geG +I38/PqDWyBAAJXkzLsT6b5kdiYsgbwDksPlZhBLjC1jGVksGwKVycr0QIn7T +a2fxqgdADH+WUjDR342ECu6YBkBg7XGJIOTj1m43zrgFgKtEHV8g8flN67TW +sACwaj13LAC5JyTQR+tSABgqjRzyR/a8cFj5Y34AqL503OWHrF90c0/Q3QCQ +0V3/S6w/33gU3UzTGgBCI4mL3sR4+Zvj/IPXAcBtzjDthTzyZOm9/lQAMM9U +vvUkxnMHfa2/SwFwwFnyhQdyjUxDSQlpIOz80dPiTsTzK6lTejSB8NfP9L4b +cbwSGZKdHIGw+He+2BWZ6YH0jmbhQJiJCrvuQsSb+T+f/BQDYYRib4oz8q6w +T2VChoHwKjU/wgnZPMbz3Ip9ILTTCPgQ6+MHafZ1NAYEwqPsJ/YOyIeEz9DH +XgyEKlbtC/bId6eMlHWyA+FmyQcNO+SO3QuabJWBcJXXS9aW+P0UF97fjYGQ +eJ/kjA0Rn8TVxvt6AiFMNIPbmoiPA2SW1eOB4N3CxmSF/HHXwYcpC4HgIF+z +zxI5IGRh1HMrEEyey++wQKaqP/jKeF8QaGsNrpoR5Sm+laJwNAgUh2y/miJ3 +OfDRCQsGgbjJyoQJ8r9MFjcuuSDgn4rrv0C0D1KdJBa9IGB3oO08T3x/WMGd +3jYIjiyU1hsT8fV4yBE6vyCg8hGtNkKWmPeLZYgPgn9rzwoMiXi8p77m6LUg +WAk3vmKALKPTeetEeRB8JZuL10euc2fTE2sIgsmkoGDi/YHHG/qtKi+C4M1+ +SnddZKGg6Tnzd0HQnZVjpYNcrWTQGzAfBM1MvAbayC1Vp12vbgbB/aImZS1k +lQGy9tq9wVDCrX5Wkzi/LoPeEdZgyLkzLqBBtIeAe2n/TgVDmrAbuzry9dqb +ZCflgiGmcZNWDbmCr4bXRC8YAuESpSryZ2+/HZdsg8Gti2VLmRhvfvolPfUL +Biv1u0vnkI9uyj/Zig8GwwGZT0rEeNjkcfPs9WBQNX49oogcKJJ0MrwiGGQm +LV8qIE/pcVp3NAaDsO3SE3lk48E3MlQvg4H7a1SNHPK4Hs9T44lgYPY8WCaL +PKPcuFD+PRgOrhblAHLtDqamje1g2BkqdEkG+dHtmRP6B0LgL8nTKOL9in7l +Ksm7bCHwI0HfTwq57Q7FPLVwCMxQf3I8i+x9OUPCUzEERq/4mUoim/h94nxr +GAKv6HdqSyC/bcyplnEMgfaCLHlx5LArGq+qg0KgjpNLVAw5dmdOPHNyCFRV +1Z0QRWZcZBpOzwuBm4LKLCLIT//6tOy+EwKZdSMHzhD1e1tKLO5JCCRKOZH/ +530PY3olsv4QCO9YXzuNTHO07FP8xxDwVkmaF0Ru/5NwmPpXCDj0MXw4RYyf +d8wGs8hDwdSg6o0A0X76XhzlOBIK2u8kn/ET8a7de72WOxQUrV428iG/aVw3 +VZEIBYnPpndOInPXk6lOqYUCv9tCIS8Rzy6KPwk1CwX2n2GZxPsmGU+lmxg9 +QuFI0N5EHuSQN01nH0eGAtV2fig38vonYznbjFAgiRPw5CLaw+/HL6iLQ2GF +stXmOLJY37XBxoeh8PWythEnsuXfVHOXZ6HwnnZKlQO5YVDdgXU0FN7keUmz +E+NtSOTC26+h0M1GepoN2W50aDF9IxSayzM4jxHXk/fHVXtvGNznZ6c/ilwv +ecPm4NEwKK2toSLeh3mw7DowLBgGORIK/5iRN9rp62/Kh0Fa6+BPJuQBb30a +N4MwiFWy+8yIXJnS+/GsQxgEvlwZYyB+31yCc39QGLjpxvfSE/17XXV0NikM +rEdo2+mQlU7XbrbmhYGhednDI8i6N06k598JA7UZ0QpaZJYMm4yI1jCQce7O +I97Huch9+J/NQBgI/zC+fIjon2KrI2ozYcDjPxdDg2x64TGj6GoYMG8EBRxE +vjTD+YxzVzgcjKZ0OYD8av7T6BGGcKDYlWu+/z/9u16X+mQ4uhfk1d2HLBlj +dGqndDj8oGlW3Isc/CPci1Q7HGay1cWpifHk+tdDpNbhMMo6cZIK2UnOiJ7c +Nxx6S9yO7iHij3t02J74cGjn3aIh3hfyuXACDl8Ph7r7lyh2I9/7S23JVhkO +1aKsfymQu0kX3p1uDoebLXe/70RmGE14oNQbDpny8JEc+dbNgo9mH8Ih8fnr +ITIi3gqtOgcuh0O4ltXzHcT5smuqZpFFgM/QUjMpcn69c0QdbQQ4mETfIyH6 +1/K+3ePcEWA6dbCYeF/pYALp7A7JCNBxuHVtG/nfe4rDAhoRoLgglLyFLM08 +e9XMIgIkfJ6GbyJPHrS1TfOKAIF1fe8Nwsoq0U9jIoA94pPdX+SSP2YLG5kR +QEfuf/4PcgytS7FYeQRQJe/UWEfmlZIoDWiMAJID12ANWawtc6nhZQSsZnEJ +/ybebypVjtuejICvTPVcq8jKRSyWyksR8L5ImZF4n8qBfiby6o5IGOQe3fsL +mfWY/ez04UjovuNE+hP5V5h3mgh3JLQI/1lZQta8NRycIhEJ9xuT5n4grz+w +LfukHgmlwDixiFwVOkcjZxEJuV1Vr78jx4aJPCn0ioQ09bNPF5Az3jOVk8VG +QuzAy7p5ZIMg91fOWZEQZGxWRbzPJftqk2+wPBLcJhfyvyIr7c7vhqZIsLYN +z5hD1tvJnnfvVSQYft0b/wV57JxVGfuHSFDzLAj6jGzyl28uezkSYFXAbRbZ +a+PuKcodUSAc2mr5CVn9vV28MlUU8JDq6M8gH20q9rt4OApYLk6dm0a2DRzZ +fM4SBQf3ekt+RLbTc2Oh5o4CiqukAlPI1ON9b3UEo2CD/gob8b5ZyYrr8esS +UfCjgJ32PXLe2/tUH+Sj4BNn7e5JZLVdVIk8GlEwWqWwOY7cYD+X42sYBb2C +Qz/eEcc7Wi/bZhEFHXV2M2PIJI9mQ/c5RUGd1OrwKFFff6bVLLyjoLojvmcE ++dEB1jv3QqKgUOXIk2GiPLwpbu2Ii4LMvrIHxPtuodNUJ4wvRUGSgVjpEHJp +WIHcnWtREP6uO3uQeH+uUmCevDAKfKzOp75B3mDjP25RGQWOn+ciB4j6Wd7z +o6EmCkzdgn37kVN8JFRoW6JA5yel42vkRG1eQd+uKFAKyjXpQ16K0S570xcF +Etu8Wr3IdUZMVcKjUSAQ1yz3inifzY7k7LWPUcC+R0PkJfKdq97n/36LArr0 +CR7ifbwc5mlSq5UooD7izvwCeTGuS7R7KwpIbmztf47MU3T596ld0bDKlkbW +jbw786Fs7oFo+FbOutZF1HdfxWEKxmh4z3/vWyfxvtq+j96+HNEwWAvvnxLv +t1m/MZ7mi4bnEv0DHcgKx3526YlGQ0urVVc78vLyo+ZOiIYHSssNxPuALj1X +xcVVo6H0ZfTtVmTTb6RSd/SiIVeXpvAJMsWHgC4Os2i4PHLr6mOiPcQ4D+XZ +R0OsufDFFuSzf3ScaD2jIWjmaUgzsnFdSVR6UDS4Oxt4NCF/CZhg3hsTDdY/ +Plk3IpMqekmlpESDkb+/YQMyrc/o+z1Z0aC2sVO1Hrmp8BJZakE0nD2XLViT +/P8+nxe2h0mJ/N2aPK1Pg6tLLYINmbJEvm6Jor/9jts3W4R55COJ/NxRtawX +7/wKWlK1Pjwm8nGjlx6K5KXnt8ScdNsk8m+T5AQ66KZvtJi1zkkS+bdR17e7 +Tc/faLHcYxhM5NuaDyQavJ/LaxmgflBP5Nd+tfpy/HFqXsufZ5urRH7tUnbC +LxXIa2GRED9D5Ne+zw0bFdnKbYm1tfUh8mkZCq32sXXmtnifi7hP5M9qet1c +VLqS28I/nbhI5MvGLPSQaDnktmyJxvIR+bLTOQxRpyG3hVvJ3YXIj71xfPkg +O3Nuy09q5QoiH5attIA8bDOnpTjtwGci/1WzuHey+UNOS3TPCw4i/7VI+/hI +QFdOy0CbjzWR78p28pKZ4Z2clnfeVDeJ/FYWbvrFfddzWj5OXp0oQWZVi9jj +GJPTwr+TmpHIbw0aF3vN65nTQj7na0zks86UZHCdMs9pqU54mUnkr1o4thlr +a+S0OH+keUPkq9bPMsy5SuW0xK2r7ifyVRe2vzx05s9pkXvlpUHkp86+ajh7 ++mhOyxezi0lEPurFoeMUdw/mtDRXXXpG5J9uvboi0EeOjlcXS0bkn8pMlv2L +Xs9u2R3pLEvkmyY+3FfQvJDdQk0B4UR+6b7c7JceH7NbjNTJmy4T7+uNGJal +D2e38Gs3/ibySf23KSPpXmW3DB+0ECbySW2Pfr/ztz275frVn55E/mhD+7SX +UEN2S91QwG0iX1RjZ2F//d3sluC33+aI/FAJhTMJcaXZLVzXtI4T+aEiAg9n +r9zIbiGju2VN5IOe/qfZO3k1u8Xw/Gw+kf+5foAiyyolu8XGhP4dke9pSUVD +Qheb3eLNcvYIke95gLVeaCsku6W7SFOPyO90St0s2++b3VL1RTuNyOfMF+ll +V3fNbrH8IddD5HNqjDyouW2b3SLQyEFB5HM+4m26edosu8VEaVWOyN+ccB5w +GTHIbjmZWRdO5Gt2tNI8z9PMbvlc6thI5Gfy39PMDjuX3TIQsnuVyMdMSf09 +GwjZLacP5QgS+ZhnJQunUsSzWzQ9GdyI/Ms2P653daezW5wvJ5UT+Zb+1vwK +a7zZLb2+X6eJfBmugfPlHazZUo+ZJVgdsf4YlRx0wR6zyePyTFvMVx89e22N +2dP37R4rzGfWBpUsMPPIdUSZYU7XLWw2wVzL4/H7PObhZydPG2OW4RtzNcQs +dCGgTB/zmibZR13Mb/ZEMelgpkxfMNTCPD2pkq6BuXgls0cNP7+hfnJVzEvh +mzLK+O8t0wUrYT4qwFGrgDlIhPW7HGbTXZTcspipS2asZDAPUN3NlcL8Q8Zp +SBLzHWmafRKYtXffVhbDzHRTJFoEs/GOe03CmFWE6FdO4/VzyptfELPVn0YH +AcwHM37e5MN86TfjGC9mRl5hmhOYt06eVefGHLYpFHcc83ge42MO/Hh7fq6y +YdZVaRQ4hvmXoZcjK+ZUYbpCZvz7H++MMmKuszxzkAHz4N0qVTrMG30HYmgx ++7c5NB3CHB97++dBzD7007wH8P4Quct2H+aVBuY8asy0XWyDezBzlxyhosTs +ZbQhvwsv/4nXITsxN4lk1pBhVrZV+UaKty/7BTYSzIlnoy4Q74f+j7s/k2Vs +YmZ3DHz+F/P7lnf/1jHLzvGLrWG+9NXLYxXznvbi0l+YtzyeTSxjrv41emgJ +8wXtcbVFzBoxvdELmHtS7zV8w0znGf1jDnPwCQWuL5jPtKyYzWK+zH3t6gzm +Xlfuno+YRZIqSKYwU0UxiL3HnKUT4j6BH3+jp/gd5pFIqnejmBk/nD0wgpmT +wezcW8xSAm5hg5hLWd1qBnB/M517jTnmylnWPsyRR6gMXmGeDuhJ6sG8XBvc ++hzzj1761WeYObrKebswD+RwWT3FLK2RldWO+e7Er55WzE7q8iRPMN/PjhJp +wfyy865LE+bt169uNuDtpendUB3m1rhRykd4eQo8k6nFy7Ox2PcB5j4O74p7 +mMU9BSbvYM7LGz94G7NMZdC5Ksz22TtDKzAbOsfcK8Osx/xjpgTzrQfq9MWY +k09kaxRh1oobirqJWbiD5FE+5vAZ5q95mH3neFhyMZ8f4NTNxuxdcCD+GmYy +nYWGTMz6n+sXrmAus/Y9loH3zw5Wg8uYHXY3XbyE2fyMUnMKZpdzbYtJeHuQ +OcmeiNcHS4JhAub2mf7EOMyD6VQtMXj5HRf9EYU5rkiHPRLv/ztNDcMx79Mz +TAzF228CNAdjzi5hWAzErFo9cywAs3Jevr4ffr5+ygk+mEH8Y4MX5o5Zl3kP +vL+Ff2JxxyxAoqXjivmme3mMM/57z5YeOuLXu4f3iz1mJkl9BjvMU4Zu6jaY +P5v7h1th9tLzuGeB+amw8UczzMd3CB4yxTz6eF3xAt6fHR8EGOPls32hwhCP +f7E/x/QxS6yFUOlhTr3wS0oH86sqUw8t/Hrna29qYOZm2uxXw+Oz5Jkdqpjd +1MyElTHrqvnZKeH9XTIsSwHzHya/Z3KYFRZN1wDzzANhHhnMzI4b56XweL+v +NkkSs3u5SZM45r3CP7+J4uPNg2AmEcxX2ZfVhTGTXjwfdhrz3Pt7t0/h/Z93 +bYIfby8uAnv5MB8pMJTmxXzxmZs7Dz7ezvjmc+HjyW+XXk68fLZ0t9gxk2zw +8LNhPrb4w+woZtuRslQWvD/Va7Yw4Z9f+jTPgLnSxJWJHh/vj06rHcF8aFwl +5DDmtrSblTSYPSQ/jx7AbP2eefd+zBMhCmJ78fHvgIkDFeaIAqssSsz8XMad +uzD7l0v/2onHc/bD7OT4eHf9nc4OzOm7MiJJMH/xFrtLvL/zv35/pHdiE3Op +mAHVBuarV3sk/mA+Oy/otIZ5WubitVXML9N6O3/hfz9O9msZsz8nL9sS5icu +stqLmE3ungtfwHzph1T1N8y5Ahxjc5ifuf6h+ILZsLz1zCzmlI/+NjOYqxmZ +0z9i/qtX8/gD5pZk8flJzCIdd+knMFf8OXzuHX6+p119RzGnOT8oHMacdOtL +7xD++cTejTeYP9Jx8gxg7jbgNXyNOebqsZhezOeHKO69xJxKNzn+ArO1efHu +55iZyy6IPMNM+vOfdSdmfblraR2YjTKZm9swa81f+fIEc+S5P4ceY+Yr05Zt +xpy355pbI+a9fq+y6zE/n/7Z+QjzPmPK5VrMnAP7WWrw4+tSqN7H/Hpkwe8u +5nm7p4W3Mc+uJ72qwrwjU3a9AnOC6BeOcsy1U+H/ef/if9x3hTy0GDOjZmhZ +EeaJ/dMDN/HyGhffysf86l4kzw3MsZfq9HPx9u43GZGNuc1+pfIa5l6rjaFM +zCccfv67gpkuYIw3A/N4+n3Dy5ib6gKjLmFe/SJQnYJ5gHP4bRLmK+7uJImY +QztWeBMw93O4GcZhHsoYjIzB3EN9sioK81qmz1AE5gLequ0wzGR9AzyhmJ0j +v+oFYz4Ey2GBmJX2fi3zx6zwrb/fF7POcMVfb8z3Brw4vfB4NsGj5YHZb60/ +0A2zFbtzkQvmO+bLPU74+Vc6rzhgDqB4w2KPOdiPV9kWb4+/fLys8fYdX51j +iZmLZ6jDHHPg5MK8KWbF0tXDJnj5Ry5Kn8ds6T7iYIT5jMf9ywZ4vI4JbtDD +3Fol9FEHj3efxym1MSeL+QtpYr5/Y9tEHfODI0Gxqnj/K/1YrYz3T7WzQ0qY +vcnjNxUwhw094ZTHf69pTkMWj9f1O/xlMFf1UOdLYV5ZoeiSxMtfdHlBHLPP +5ZeHxTALkFyTEsHrI1HHThjzwomNlNP4781k1Z7Cx69Hx8b5MZ8qytvBh8eX +UgpeXvzzTmtdHsx6m7eDuDCna83d5MTjZfOhbnbMLvKnFo/h490nSdqjmEeK +RKVY8M9D2G2ZMC97bCcxYD4X2XOfDm8/1QkjtHj/XxHaPoT/nslrThr8+5Om +6gcwy4WPeu/D25OkUjY1ZvIjt57swceT/T8+7ca8xMVHtQsfj8wvnN6JuybA +mAzzaa64cFLMhY3R/1k//R9Xu3m+2MJ8DTR/bGC+JcRE+xfz4XNjkuuYBUIu +Wv3GLPz6eMIKZgelh9U/MVNNCg0sYba9VvR7EXOtNwnzd8xSntpy85jlL6c5 +fMW8+83jlC+Y+8Um789i/tC28HYGs7/7wt+PmEekJ45OYVYWalF8j5lSPdV5 +ArNFokbaO8zJ3zZrRvHje+ePDGP2ZRXYHML8aOnusUHMY3NHlQYws++Kdn6N ++Y36m0u9eHnXH6p5iTlQVWn4BealHY5/uzFPzASxPsMcsRAi34mZnsXdoQPz +or92chte3mtsd59gNir6NNCC2cPv+moT5l4vSYZGzA+v9UrVY3b8rGP1CDOP +VWdsLeaz5DzlDzB/fBPacw+z+avW73cw/1r6deA25k+y9GeqMPu18BlXYJ61 +PR1ShjlEjCu/BG8fYlRttzAz2E1NF2KueFy68ybevhVNefIxZ62TqOfh9fPu +mnsOZu1vzOnXMVvyXK3Jwjyf+WfoKuYzgjprGXj9/b3GkI55z0rv2TTMzCyr +5qmYf/tTRyVjfrd96FYi3h8bqDoTML8s+jUbh7f3lpe7YjHv3J15IhrzjngN +9UjMOcKrbuGYqQ+npYViLuCiux+MOd01fSAQ8/GZPz/9MRde0j/sh1nBNV/E +B7Nu2IiRF3497aRBHnh/k2DOccOc8ZmryQVvX91s406Yv0xSbzpg/swzx2yP +ma2yVtoW778WnhbW+PmoMUVaYn7lWn/THHPKU4U2U7x/67ZNXcDP5wg/6XnM +WzTJbEZ4ezs3ImeA2erBYRs9zHwG8jE6mPeesrqlhVlJ3qNDAzNdmtu0GuZO +GtMdqnj99EuwK2Nu7t4tr4Q5ab3bWgGP17aB0XKYaXfTFQHm81/K2qTx8yHl +njqLl6/R9X8S+PV8XmMVx1z5QEVGFG/PDUnmZzCTbjaFCWG2Dp3IE8Tji9hi +kwBe/qeWxvgwO9lPr/NihtFOuhN4+068LsqNuSHAxPA4Pt7c2uvHgff3vQ+u +sGFWq1N8cBTzoYLnr1kwL3RKLTLh9XOyiJoR83D/Ki89Zpc6SdUjmI9MeDoe +xswinxVPg7l1rqr4AGbZ/vvt+zBPrZd9oMY8Y3Npaw8+3u2zY6LE/D/7ny+J +qf4r+EbV+n/eP+flga4EYj3PphciO2LkQFHsRBKxP45Al4UC65wcPOaISCH2 +wzE/1Z/ppSkPvsNPLxH737jk//ZrrJGHUu2/l4n1u1HjM7PrdApw5TLbFWL9 +TsNi/rNAuAIEXZPIJNbvTBzCQ02nFSDLTv4asX6n8l69JEJZEU78PZtNrN/J +f6u3yb6tCD7GXLnEfjWBDuwNFQeV4G4Y6Q1ifxrXz/Ol9wKUgNmlN5/Yj8bz +oR//nXEl2DiafJNYrzs5yKxTJHsOEgvEioj1uuUNhf2ppeeA7PPbW8R63Y1C +VTf3PcpQ9duuhFivG/ya6qTkqQzN/Z9KifW60Qa3nYeHlMHfz7Cc2C/GtExZ +cUxcBY5M11XsRVYjC+PKyleBSQbKKmJ/GI1RxzvKO1RhN7NGNbE+l/bZ6d2S +gyoMz0XeJtbn4som7qa/VIX4qJI7xPrcDrVjfNyCamAw03iXWJ970JSu/zBT +DaIPtt0j1uc4cpO5xP+ogRpV/X1i/5ZaBZeyGnN1WOwveEDs15L7ImWIo0Md +btsE1hD7s1xfU6tJ4dKA9jbZWmI/lkY9MrlvyRrgNv+3lliPq6A7EAM/NGB+ +uvQhsR53XupVQIq+JkSUyz0i1uNeeF451levCdYSff/ZX+UC4+OoXcxaMJSt +XkfspxJtVpYtFqUFtM8a64j9U6YOVDhbfNIC23b6emK/FIUeptVQFW3YSHaq +J/ZHGXlrIpV+WxuYOSrrifW3QmbLQs3dOkCe/K6eWH/jNnkdrSWpAz9bN+uJ +9bc+S96nam46wNG1v4FYf9uvzGwvX6ADIzmHGoj9TagpdJ1E+nVAQ2Z3A7Gf +SYKnXy/HDl1orfleT+xfck1YKGvvGV3wWntaT+xX8ue9SNtPe124QZ1ST6y3 +pYzzag9e14Xon/L1xHobm1eL/L0XumBZOV9HrLc1tGXlJWzogu/p+DpivW2k +19rkAr8eup79dcR6G1PAy0huSz0QrUt+ROwnYuwXTr2crgc2tb8fEvuJ8Fuz +k9Z16BH7sT8k9g+xq7GzCFjRg3jOolpiv5BbTYucQlz68Df7Qw2xvnahysXw +q7E+GE/tqyHW145cjlnKS9KHyb8CD4j1tTr9qd+qzfrQMwf3ifW1wjZ+518L ++mBSJXeP2N/jQehhlWxWA+iDM3eJ/TxEd8tkiusYAERfk6pD/TukaXfVvopo +OLOLW5CYv91c6Ri48iAaeC7VczxEJn0tEkLfHA0sh1ToiPlc/6HxWzc7o4Em +Z3RPDbLtCJ86T180UBx13r6PTBFU6lMzEg0bJX+Wifldd34rvczHaPjBmzx7 +F1le8rfUy2/R8Ok+4xgx3/NJ7Hx/YSUaxkSrXxHzvXDqsq2vW9HQ23K2jdg/ +LubqkZzQXTHQIf+qlpj/OQlfub/vYAzUPzcrr0S+q5alUMwYA9Va33OJ+aBE +1A1dCc4YKBwKTytHPvqU810/fwxkmeyLIeaHEWrVE85iMZA0VeBfiqyYdec8 +uVwMRDiccibmi2QulZqFajHgs9BqVoz8ZJi5WdogBhx9dHSI+WOzYELhhHkM +mK1PKRQh6x622wpzjAGdCG8xYj7ZZCcywOodA0rkO04S88lp9WCmjpAYkEy+ +wlqALCZ5b9whLgYEDnDQEPPL8M9GB/emxQDHtdqdN5DzNJeaH16PATpmxT+5 +yG41G+/Mi2KA+tbQAjHfvJb/x313dQyQ8thPZSPrTbr4PXwYA6t3VgeJ+ady +fP936ycx8E04oZvYX89wsXrswPMY+NB4pJmYjzrXRYi1D8TAIJTfzUTWbuuh +8B3/39o783+q9jWOo0EpEZlOIlOTDEVlqkccRaZkJtPmkITilPESe689rJ2U +wikcQxRtdYVQOMnQocEUdZCpokSGyJS43+99vc49/QH3x/3j+7W97G2ttdd6 +3q/H53liob5uXxauT+kaIoe3DMRChWl9YgJiocjXM52jsXCvxYGF61WDI2mS +l2dj4ab9UCSuV9MTBnON+ahwvTvsdDzirLi9ubxrqRDvKeCF69fEUmHxCjEq +1O+Ms8b9sL///y43baUnnkf2N6cG+PK1/vC6U/Ls1IMfmDZ03T4O/T7v5tmJ +EFkqhAYqm+J6+GxXkO6e7VTw/1p+AM8PPCW77MvkbipQIsx24/pYeIuqWLEe +Fex4u5VYiCUqQrPPHqKCKcNfCtfL38Z90rWOUgEEF9cyED8Xj1nx3ZEKmlcu +8uD6eVW1yatqTypsl5KdwvWzW8Ph9aQ/FTal//sDrp8rjXhLjoVQQURJvysW +cevlhRrpGCrwc5obcT0tcaRK5yNJhW/qHtXn8fk1eyl1/yoVxksm7uP6+mVZ +hTv1dyq814vNi8J/z9gyIetcKnRUi6ThevtwgrqiYiEVGo1vXIrEn5f6NH26 +nArVjRo0XH8vfnCMeVpHhVKb2pBwfL7lferTm6iQ32njh+vxgNfhv57roEKG ++4BrKOLZ4U2ExTsqJA6ePYbr81Jax9zWz1RgnVp5CM9XdFu5r5VvhgpRX5K0 +cb1+QqxKsJeHBsGhW1Vwvf4+cZFTIUADn8XSzcGI7TiR+SkbaHCcZrwB1+/s +stp1kTI0sBLo4D+DONDNo9VlGw2MLvl+C0Q8eL57Wn83DXTE50dxPX9DrzZC +SY8GaqnkW3/ES+MZ7msO0UBBfuMrXN836yxlf7GkgWQup8EPseHXk8ZdjjRY +q6pXiev9Iu3TR+o8acBb/LzAF3GMW8rtAn8aTGu7ZOP6//O30BNpITT49Ohz +sg/ikf5EKjuGBr1GUWzsA8vlo7+Fs2nQ9mxdNPYBRYHqJr9EGtRbpQd54fuj +0siSSzoNKl+reWM/sNRjs63yaHDPpcqRgrjXWiXoUBENbr47ao59Yfdd9yK9 +Shqk+PbruyOeaSiy0PyTBvFjZzSxPzhS7huqtNCAdpZvmyvivoCpy1u7aBD2 +LWEj9gkjBR5thQEa+McoCB1HbDrmo7V5jAYU/mI+7BeZUeXxMnM0sIv7eRr7 +xb0y8oDMMgJMRduHsF/Qqn75WVaQAP1rv3Tj+ZfJU28y5CQI0JSdbsa+UTZ6 +3lpJjoDtOfRaW8Q91LfOO5QJkFGWKMP+wV+b8EB9DwEi925xrBGLzMuf0gIC ++PdppWMfSeYoBh80IWChoj7BCnH9b9sbTa0JGDdwpGM/OVxRE2nvQsBA/VCY +JT6+pkSUlw8BHRbh/thXJIt5XgadIaCxTcDDHN8vMurDYiMIqHFKscH+kjEZ +GHSFIKC0T9nYFHFjA6siJ56AfO8KXewzUWF59g+uEZA5YqaGfSYigWLYeIOA +xKBueWPE3d3G0e/vEEDO+otjvxlxGRdYKCUgKmpx9SHE8+afBzZUExC8PP77 +z4j15duE1J4TcIKUncC+U8bQZh55RcBx4YL3eD4oj1ehhU8fAVZJ+n9h/9nV +/pJCfCLgkHTLM33EVbs0arOnCNDJ8niEfchplXdQ3SIBatu+FB5A/FiWz//D +Kjoo3I29if0o71xakYAoHSQ1Ra/rIY4zbDRS20QHwYc34rAvlU7tULDdSgde +fc0YHcRdakdMI3fRYbqu9lfsT4qt1ZXZunQYNrU9gf2Jb1w5vNGIDr0tA854 +fum6dunzc5Z0aLM/Z4l9anCZSpOSEx0aulca7sH3s71T3tZedKj0TN6L/arM +WeBwbAAdCoe27tBA3J7CH1gYSoebgWWbsG/VWNN63sXSIeWr8fpdiEFCKlE8 +jg6XIjqWY/8yKnBNOJJMBxrvyVnsX59lRtujM+kQxpgfxvNTTa+5u5Vw6BAg +yO7FPmbGtlQdvU8HypWNL5URX0uyMdhaRQd7qfwn2M/OJKxO8XhKB9N0vYfY +zy6zV2mltdFBX+nFnW2I19QOS3b20GEPxyUT+5pKkfl+ySE6bN81enULYucV +Ddn2k3SQKY1iYn+bSpm1+O07HUT3C0UqIlaLC9Ht5GcAf016IPa5RYV+300i +DFgwVvfE810/9TZ3eEgzYLyxyg773fyvb8lbWxgwYGN1ZDPiC8/uRY6qM6Cz +s38/9r0DPF139+oyoNE9aJcM4j895zefN2JAzSCfEva/nvD81qeWDCg7dUVS +GrH4YlyNuBMD8r8orMU+WNehO+npxYDM0OIlKfzzKtYehQEMSFr8eRL7YJf5 +GUG+MAaQtPZBCfw8rdKYOUZlQLSAdyf2QxV9LZmcOAYEX5p+IYZ4+IF07Gwy +A06IMx5jX5S1iJY3z2KAS6rEfVH8fTy6uJiVzwAr+dxc7I8ZRloS8yUMOJSr +lboeMefDs5PHHjNAV7UhHvvkSTmHOc4zBqgVO1KF8PdfOLJ65SsGKOp8Oof9 +0mDF6zpKHwMkq8JP4vm3hTGrllV9YoDgoTWu2DeNdQrCZL4ygO95itUaxBSx +gO1RSwyYttpphP1TX/nt+t7VTBh+XaGF/fNdXf7ugxuY0OdivhP754R7ADtb +hglt77pl+RFfz/tz4+rtTGjwDRBdie/PUY7vAjSYUDm2uHIF5rHa/vb9TCg8 +Gz+P5++W7eeIHzBmwq1vsqN8+HnWdSfm1jEmpMQU9PMiLvYLkBdxYcIl/oPt +PIhvVV+c/ZcPE4i4lvolEl1vAwXLhs8wIUyUUrGI2GJViKFjJBMCrn3593fE +PgnU0no6Eyiy1BsLiB9KhblpX2aCfY5o8jfEC17zOpwUJpgpZ5PziDf43TaR +uckE/XuaUXOIV1rvZCcUMGHPvrozeD5wc7DCEn85E3ZU2v4yg9jGRiMnqo4J +MoaDDtOItTlLkdNNTBBtOGf2FfFL1530wE4mrLLk159CvIxwqRp6z4SFtmSN +ScRynvuUfxljwoTTtq1fEPf4uz7pn2PCQF/ZTxOIt5uEXHZbzoJOb5N144jF +6rdc6FnHgqaRDt4xxJUj60tcpVhQE3Ty62fEKh+71/UpsKBsdv7jCOKDP6kn +UVRZcCeK/WYY8aX1d00HtViQuVy6+RNiS/Z3VT9DFiSR+TVDiPcG18KEOQtI +4f2lHxGffJf7r1AHFkQnvbj9AbGzotVHHk8W/Crt+vsgng+c7xlN+rPgRNbo +5QHEe+QYBmKhLHDZFk28x/OIbSi7MmNZcOyuUBien1x4ONVUNY4FhzQzTr1F +/Grbm0sVySzQfaju3o9Y36aBxyyLBWr6j637ED+wXpPyJp8Fik+sDvcivthj +4hZQygIps7c6PYhPd+w0561mgWBrkGo3Yordca/E5yzgc1gm/wbxtavns3e8 +ZsFM9xWxLsQneAwEH/ezYNhTcXUnYkUNk98dRljQN1S88BfitZMH7CemWdAe +aDT+GvFujef72LwkNHxtf/cK8XHR67BlLQl/RHi/bkc82WsVUC1OQiHvzNM2 +xIPr02rc5Ei4xWD88RIfbwslWFQmIVVQsrAVHw+fq31pe0m4dCU3pwVfb85X +bx04SAIhpX2tGfH4saYrfaYkhKc3XGjC75czlk21IyFAyek8ni/t15beudWD +BE/Op+AXiIUukpov/Eiw3xXh8xzxEsepMPgcCWala5yfIU7VKLfeGEPCwf2p +Fk/x/Gpbe8laNgl7anYaNCDWCOxc8k8iYYdJ5Z56xGH846ulMkmQbTLf/ifi +1hbDvXUcEkRte6SfIE7Jj6EFlZCwqitAuA6xaabt1ObHJCy4Ly3D86693vsR +zc9ImBiMn6lGbNt+el/MKxIGT20efoznVy8KC2j0k9D5paCnCnHGhrmFgWES +mkIPtj5CXDLTLnR9moTaxZa6PxCP3bMxsORlQxmN8qASn59Q+asr1rLhjsBk +fgW+XvNGlleKsyHrEjWjHHF/o/vVs3JsSBLfcBXP265M3WmgtpMN7NRsxgPE +yePfBT/tZUO0/J6IMsQmrbEzOQfZ8GtuXUAp4sxg30WKGRt8Ve0oJfh1dXd5 +OXs2uBQP2t7/7zxsMc8+DzYc0wkxKUa8XFerKuMUGw5X8e8vQjwnHKJNCWH/ +L8/0an1Mo2Ism5tn4uaZuHmmi9w809/MzTNx80zcPNM/zM0zcfNM3DzTD/d/ +bp6Jm2f6gbl5Jm6eiZtn+uF5z80zcfNMPzA3z8TNM3HzTD8837h5Jm6e6Qfm +5pm4eSZunukf5uaZuHkmbp7pH+bmmbh5Jm6e6R/m5pm4eSZunombZ+Lmmf4/ +eSZKnsQdnBf5/MTaoi3GBmw/DHNKEVtNL57zK7KBgbmC27ifplv4cd3iexs4 +2u+dh/tpRlMPxC+I20J7mmAu7qf9cWVjvJixLdxTy/nvPuHrRiWh18NsQSFZ +JQf308JTd7f9xLEF37abN/C+4GertLOS3tjC4w/CWXg/cNtfZ94JrrMD3xa/ +DLwPuFmL+O082EH65dLf8f7f+N0bq0dP20HmtqlU3D/rvdPl7pBlB3eS5FJw +/6z1dMDZypd2wPcGruH+2ZBMBI/MCnvombVIxv0zPZ08vvC99hD12SIR98+i +5DMiW3zsQe4hXMH7eqvE+AMVr9nDcje5y3g/r4g60Rn01B6830xexPt4f/N7 +/Kjimz0Qu0sv4P27RRkUWT4VB0j38CNxv8yVLjRv4OoAX3yFmbhftulewNHo +eAcoN71J4H6ZztUtCqVVDqDNr0LF/bLYmO6gTxMOwEnNPo/7ZQW52vpSCo5g +vlYwCu/LfSvRzza0cQRXO+8IvB93XUWYnS/hCNIRBaF4H26oe14GWeIIdeHD +Z/H+2/K7Yn63PjhCkq1EMO6PLah6lTySdIKmNZqncX9sm7EO9aWJE+SnHfTH +/bFy2N/6NtwJ/Nbon8T9sRhtkezPHCcws1Xzwf2xC1quc5NvnIAdIeSF99Xq +yDxp/SroDD5Rfe54P23F7VH1yQPOsMk1ywXvo+2JjNwwEugMwz/ZOuH9s0Ui +G6L6MpxB+P6sHe6HZdU7BjS3OP8vz6TVOTFQzncc/gPmCErO + "], {{{}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{ + Polygon[CompressedData[" +1:eJw11mV0FgQUBuBPuru7u7u7GzbYYAO2sdHd3d1dCgbdYNCpYABKo3SjYILS +KD54jj+e897/995zb86oPq16xwoEAu+wQREUJxAIpjVtCCGUtrQjjHDa04GO +RBBJFJ2IJobOdKEr3ehOD3rSi970oS/96M8ABjKIwQxhKMMYzghGMorRjGEs +4xjPBCYyiclMYSrTmM4MZjKL2cxhLvOYzwIWsojFLGEpy1jOu7zHClbyPh/w +IR+xitWsYS3rWM8GNrKJzWxhK9vYzg4+5hM+5TN2sovd7GEv+9jPAQ5yiMMc +4XO+4CjH+JKv+JpvOM4JTvIt33GK05zhLOc4zwUu8j0/cInLXOEq17jODW5y +i9vc4S73uM+P/MQDHvIzv/Arv/E7f/CIx/zJXzzhKc94zgte8orX/M0/vCEQ +1/wSi9jEIS7xiE8CEpKIxCQhKclITgpSkorUpCEt6UhPBjKSicxkISvZyE4O +cpKL3OQhL/nITwEKUojCFKEoxShOCUpSitKUoSzlKE8FKlKJylShKtWoTg1q +Uova1KEu9ahPAxrSiMY0oSnNaE4LWtKKIIJpTRtCCKUt7QgjnPZ0oCMRRBJF +J6KJoTNd6Eo3utODnvSiN33oSz/6M4CBDGIwQxjKMIYzgpGMYjRjGMs4xjOB +iUxiMlOYyjSmM4OZzGI2c5jLPOazgIUsYjFLWMoylvMu77GClbzPB3zIR6xi +NWtYyzrWs4GNbGIzW9jKNrazg4/5hE/5jJ3sYjd72Ms+9nOAgxziMEf4nC84 +yjG+5Cu+5huOc4KTfMt3nOI0ZzjLOc5zgYt8zw9c4jJXuMo1rnODm9ziNne4 +yz3u8yM/8YCH/Mwv/Mpv/M4fPOIxf/IXT3jKM57zgpe84jV/8w9vCMSz/8Qi +NnGISzzik4CEJCIxSUhKMpKTgpSkIjVpSEs60pOBjGQiM1nISjayk4Oc5CI3 +echLPvJTgIIUojBFKEoxilOCkpSiNGUoSznKU4GKVKIyVahKNapTg5rUojZ1 +qEs96tOAhjSiMU1oSjOa04KWtCKIYFrThhBCaUs7wginPR3oSASRRNGJaGJY +pDUn5EK5gPnMYy5zmM0sZjKD6UxjKlOYzCQmMoHxjGMsYxjNKEYyguEMYyhD +GMwgBjKA/vSjL33oTS960oPudKMrXehMDNF0IopIIuhIB9oTThjtaEsoIbSh +NcEE0YqWtKA5zWhKExrTiIY0oD71qEsdalOLmtSgOtWoShUqU4mKVKA85ShL +GUpTipKUoDjFKEoRClOIghQgP/nISx5yk4uc5CA72chKFjKTiYxkID3pSEsa +UpOKlKQgOclIShISk4iEJCB+4L+1fnvaA29PfGz+/1P/BS2FPtQ= + + "]]}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, \ +{}}, {{}, {}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJwV0uc6FgAAgNGPSFZGZBQRKSJCZtGgQlTKCGVlywylrGRUkoqrclOOH+d5 +b+DNHJhunQoKBAJHnDaYM4QQylnCOEc4EUQSRTTniSGWOOK5QAKJXCSJZFJI +5RKXSSOdK2SQyVWyyOYaOVznBrnkcZN8CrhFIUXcppgSSrlDGeVUUEkV1dzl +HjXUcp8HPOQRddTzmCc8pYFGmnhGMy085wUvaeUVr2mjnQ46eUMX3fTwlnf0 +0kc/AwzyniGGGWGUMcaZYJIPTDHNDLPMMc9HFlhkiU98ZpkvfGWFVdZYZ4Nv +bPKdLbbZYZcf/OQXe/xmnz8c8Jd//OeQY/OcAL5YLiw= + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0], + Dashing[{Small, Small}]], + Line[CompressedData[" +1:eJwNy0cjAmAAANAvo2wleyVEyd5bRfbMunPnj/o99nqHd3zph+fKUySE8Mgr +b7zzwSdffPPDL38EIUIV1dRQS5QYddTTQCNNNNNCK3EStJGknQ466aKbHnrp +o58BBkkxRJphRhglwxjjZMkxQZ5JpphmhlnmmGeBRZZYZoVV1lhng0222GaH +AkVK7LJHmX0OOOSIY0445YxzLrjkigrX3HDLHfe8REP4By4CG/4= + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Hue[0.1421359549995791, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJwN02PDFgYAAMAne9m2vWXbtnvzkG3btm3btrGwbNvYfbifcEmD2lRpHSwQ +CEQPHghUDRkIVKM6NahJLWpTh7rUoz4NaEgjGhNEE5rSjOa0oCWt+JO/+Jt/ +aE0b2tKO9nSgI53oTBe60o3u9KAnvehNH/rSj/4MYCCDGMwQhjKM4YxgJKMY +zRjGMo7xTGAik5jMFKYyjenMYCazmM0c5jKP+SxgIYtYzBKWsozlrGAlq1jN +GtayjvVsYCOb2MwWtrKN7exgJ7vYzR72so/9HOAghzjMEY5yjOOc4CSnOM0Z +znKO81zgIpe4zBX+5SrXuM4N/uMmt7jNHe5yj/s84CGPeMwTnvKM57zgJa94 +zRve8o73fOAjn/jMF77yje/84Ce/CIQKBIIRnBCEJBShCUNYwhGeCEQkEr8R +mShEJRrRiUFMYhGbOMQlHvFJQEISkZgkJCUZyUlBSlKRmjSkJR3pyUBGMpGZ +LGQlG9n5nT/IQU5ykZs85CUf+SlAQQpRmCIUpRjFKUFJSlGaMpSlHOWpQEUq +UZkqVKUa1alBTWpRmzrUpR71aUBDGtGYIJrQlGY0pwUtacWf/MXf/ENr2tCW +drSnAx3pRGe60JVudKcHPelFb/rQl370ZwADGcRghjCUYQxnBCMZxWjGMJZx +jGcCE5nEZKYwlWlMZwYzmcVs5jCXecxnAQtZxGKWsJRlLGcFK1nFatawlnWs +ZwMb2cRmtrCVbWxnBzvZxW72sJd97OcABznEYY5wlGMc5wQnOcVpznCWc5zn +Ahe5xGWu8C9XucZ1bvAfN7nFbe5wl3vc5wEPecRjnvCUZzznBS95xWve8JZ3 +vOcDH/nEZ77wlW985wc/+UUgtP8EJwQhCUVowhCWcIQnAhGJxG9EJgpRiUZ0 +YhCTWMQmDnGJR3wSkJBEJCYJSUlGclKQklSkJg1pSUd6MpCRTGQmC1nJRnZ+ +5w9ykJNc5CYPeclHfgpQkEIUpghFKUZxSlCSUpSmDGUpR3kqUJFKVKYKValG +dWpQk1rUpg51qUd9GtCQRjQmiCY0pRn/A0UBEcQ= + "]]}, "Charting`Private`Tag#3"], + Annotation[{ + Hue[0.37820393249936934`, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0], + Dashing[{0, Small}]], + + Line[{1638, 1639, 1640, 1641, 1642, 1643, 1644, 1645, 1646, + 1647, 1648, 1649, 1650, 1651, 1652, 1653, 1654, 1655, 1656, + 1657, 1658, 1659, 1660, 1661, 1662, 1663, 1664, 1665, 1666, + 1667, 1668, 1669, 1670, 1671, 1672, 1673, 1674, 1675, 1676, + 1677, 1678, 1679, 1680, 1681, 1682, 1683, 1684, 1685, 1686, + 1687, 1688, 1689, 1690, 1691, 1692, 1693, 1694, 1695, 1696, + 1697, 1698, 1741}]}, "Charting`Private`Tag#4"]}}], <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{-1.40989, -1.40966}, {-0.000125, 0.00125}}, + "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {-1.409660000000003, 0}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {False, False}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), + "DefaultStyle" -> { + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0], + Dashing[{0, Small}]], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + GrayLevel[0]], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0], + Dashing[{Small, Small}]], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, + "Primitives" -> {{{}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, \ +{}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxd2mk0ll3bB3BTRSiZ5zJmlnns2mRKMlWGQpFKZMyUIjKFEEIXEhIJGQtx +hiQVKeI2y5QobpE5Q+95vut913OsxwfWb12Xc9j72Ht/OP4C5z1OXKSioKB4 +iv8i/jIYryl0x680UPzfT3pUZvUH3H38jy78c/ItCW33UL7HXZFh8q1reR47 +VJOs1YL7sa7vRariLExB7EhwM/H9YykfBnweYrEmI6+acN+af66UkZCJhUq6 +bjbijtaWaeIYf4DZNkyrN+AOub/9zsb6AXZut0XAK9x2nVGnvk5nYJ0M5dV1 +uH/YT4m8is3A1ls2l1/inidHLh5FGRifmqpiDe6v6YF9SlvpWJij49Uq3FzZ +9nsEmtMxL/2bZc9xG3tmzeklpWPS41FzFbhDZ1spTC6lY1vKYVLluMfTuELk +UDp2UM/NpRT3A5GFfYK86dhvBoOCZ7gF8h7SBG6mYbnxTN+LiOvltg/XjaRh +t1o/CBXizjEV6fV7m4Z1Nl51KCC+Lxlna/EsDRvwos/Kx813kHNuz/00bGz4 +3tBj3PzHbu52Ck3DpHcwcOfivjao8lnCIw2jmfa2ysE98ThRVNYuDSuKbEvO +wn3WqdHK9Hga5jzG/CUTd/Uk1/QVzTQsfM1w7wPcs9tTz52l0zDtj57H03FP +fqzRkNufhk3Z3o4m477dLbKzZF8aVlcY15KKe+tjkswnGvx+VWHUKbhJw/l/ +b62RMdpgZ617uKOe73lYN0vGGHaioETce9LJbe5jZMzSiKb2Lm6/Xov8hB4y +Jm36ciUOt+82XTDHRzLWs++sQixux/3/Pvvzmozdv/fbIwZ3zetxT/kaMlbV +7Vcchfv4juyO6hIyFvDPz+lI3Go6ipHheWRMNNVEJAK3kszzyaQHZIya45FD +GG65v8btw/fImIX1ZOYt3GtMO1Ps75Cx82c4B4Jxn6NnpuAII2NefBrsN3Ez +8VfLb10nY+9yjE8E4r4cu5m/15uMFU6Zxl/HnanULmh0hYyd+6Xdeo14nt7y +imJHMibzUminP+4XErVZcrZk7IzesrYv7iHnTpfeU2RMMrkqyBt3UwPz+wxj +MvY9z+mlF27pUmNyoD4Z67xOu+yB+07syqQ/ImNyLGmH3HFrqGeP3lElY8Ye +XK6uuBt9RAeq5MiY893oJy7E+DlI66xKkLF27x/jl3GLdlo/aeIna77iVeN3 +AusxJOba6YvAZ149SXYEvvei5bMDsIf3P7vtgRVXu/TOAotpN4XYAieYZ9ed +Aa4Uc1+xBu5pkZSzAiZJ9V+xAJY/7Zd/EnjVmHrMHPjL7hAeM2C6hFkLE+Dx +4aMJx4Fzl5Jbj8Hn6+6gMQSeD9okGcDrLXAE6AHvlxGq1AG+psT/rzawzS66 +g1rADI8n7EnAnfQl6ZrAv0iXu9WBnx1m3qMGbEpbbKACzJOldEsJ2IqqtFYB ++Kg855IcnB9ZL+lDwPbrLy/JAO9L/J0lBRy3wt0vAcwtocAsDrwlqWF0EDhw +Uz5cBHgwg/uVELzf7t/LAsDmR1/KHABetPB04geOVeDI5oX/P/asjxu46pzi +Pi7grpJCQw7gjU9MoWzAvo2XalmAI8KKf+8Dvso5LsEE10PwLsc9wEs1vBkM +wGxvBbp2Ax98zE5PB+xpuXFkFxz/oc/XdwDXKiVXUAMbOB79SQnr6+KsAAVw +lEbI6e24//jdd+rETWBBJ//3f4C/YgN/14C1pqVVVoHjfni6LwPvfp2btwi8 +5d4ytABctNjHMg982nTw2Bzw8dD2W7PArbGlNT+BOTxu/ZoGDhDXEZ0CVsSW +bCeB7x5MvTcB3H7lYOsYsFJ0AcUoMH0Il8pX4BSz625D8P4brbkDwL3B9AN9 +wNwjGky9wMJctvr/AGvKuAZ2Aefxu1Z0Qv+0mf4MHJqkwf8JOJid/tRH4HG/ +1uhW4IXKgIb3wL/aOZdbgIXePpF4C9yZJmr/Bvjw8ZSU18AlQ4utDcCXjY5Q +1AOXkUOUMOC25hKXWuDtzx+zamC91A50VwE3hPfRvYDjKdNCqoTj+TLXuxz4 +k5BXQSmwqofM8DPgjIzBfcXApKfX9AuBL5J33CgAtnAOLc0HPsH7a+Ix8KNy +I85c4Bhx8vEcYJPw7pAsYIUmiheZwEETvD8ygL2nxfjSga07hc3JwF4PmSJS +ganNZmuSgU9+r55NAs538D6QCNdnE/+pu8CXaGtvxwHbKerV3QF20W+ci4b1 +QJIUjILzwRdpEQn8eqIjKhy4K4EeC4XjJ6L8KwQ4PMdMMBiu/x02FkHAe05Y +RN2A9RuJ6gKAyY+55vyBDYsmDvgBG2RknvSBz+tjEHkVGKmO1XgCN026zLjD +9Rb0jc8NWIbCxOwKcJbbk1BneL2W+edO8H13S0xdBOZRP8l1AXjUwtXoPPB3 +O98ge2DPE+6lZ4HfKFiN2QKLUB1isQHue7WmexquZ6dyPys4PtunCyzg/hf2 +u/8ksNrqdfoTwLGnFzXNgD8W2ribwPedqcw6DnyQZ7PjGNyf1RWpDIFdj9kq +GACbH/O5oAfXu3pgig7wOo9PizawzpzNKgKeKFcQIwHzOm1Ya8L9fk9ltDqw +25MztarAjAq/fyrD86Y8gEcJ+J7ggpECMOVt60A54OmvpcWycP1LrA5Jw3px +kWGUAmZ/aHFYAvh2i6ubGDxvJ7wzReF5suLSLgzHZ8t8SxCYYkNMWgD4wNwv +2/3Ajr35sXxwPVUbYzzw87hvM1zAT89c4eGE5/3+8WPswCyDR6+zAjfGZz1l +BnZX/97HBOzwlZd2L/DQdR0VRnj+MZ25RA9886F9Ch2wtKhV8y5g3yeHF3fA +/VyQVZAGnnf3B8yogBN2JQZTAE95qZRsx4Lr97YPbQLnqZyi3wC+d69VbR1Y +Y+bQ5VXgcdLt1GXgtvj25kX4/UHqxQVgX2EJgXngehct0zngMyX6QbPAcb80 +i34Cp8sI9U8Dt1xZ3zkFbPGkQXES+M6Y7/kJ4CJu3oQx4D8nKl6NAGMxqjPD +wEpNJZxDwAXrrPoD8Hnlrnj3Acc7l2f3AEc/mmrvhp8PMW58AR7jEBbrBH53 +SsLiM3DovQOh7cDW3TtL24BjOYYHPwA72OXSvgfmzT+t1AJM+fuvQzPwSe3U ++CZgy2TeukZgk5mkqXrgYP11llfAUvmmWnXAGbtTXV8CM/p8JFcDvx//3fwC +eI8V3UIlsHDnXr4KeH/znYZlwJ97Z31KgGcuvMkuBp5ci/5YCEyVrLVWAByp +PCX0BLhyNMg0D/hTEs2NXGBu4xv5OcBDe8c7s+B4DapuZQJ/LA0WewAcFld1 +Mh3Wu8/wTTJw48Wlp6nA7fYb3cnA4pd+/00C5vDrl0gEHkwos7gLXFvlHxIH +vDwlU3QHuFO4559o4CQ3N4oo4BtNSxKRwB1CrhbhwN2JXcGhwK0MkoUhwKvJ +V7tvAj+UKNwOBKb+1Cl2A9g5+MeJAGAWtBDoD6zH+CPfF1jnZ0eHN7BZT8Ef +L+DSTk9hT7ifDYmZuAP7rHb4uwLbCzrnuAA/s1tovQyf/6nz0iVgv51f+C4C +B/hIGDjCely86ukA6zuiKO0csKhYd5MdsP/w7IwNsG7eMusZOP7Bc4etgc+5 +9V6yBFZ0L7t7Cu7XoQE1J4AbCuXHzOB+932QzhQ4RsVX3hi47MH2GSPgcvZr +YYZw/eWNFRnA9XlMo1sP2IsmYlMHOLC7XvgIvF7t9HEtuF9XU/mSgAtbGTI1 +gZeWdr5Vh+OvvDCrCnz1bhurCrAMRaqmEpyPKLMLCsCz4ht35OD1JlIqZeH5 +9eLAoDSwbE4GlRTcX/J2SkjAz5sdzMWAT2wWXxMFTjCZzhKG+2UdyztBYJcj +snMH4Hn3TZ1tP3BvjrImH/z8uqAjD/CC+3Y0F7B+cGsZB6yfosheNrj+l+S3 +WeD1znwWZob/P2xjxASsHdTntQfWk7oemQGYhv1R/W54nuz99Y0WeF5Uin4X +PI/sTsvtgK7ws6IGlhMND6IEzn55K/fvHXB+u3p82AJORca/NoAfyfOw/QFm +1e9XXwOWuX7bfgVY4bNI5BLwJb3nRb+B6YflO+eBHVNzVuaAK70oeP8F1vQw +1Z4BPnI3/tIPYNovr+5MAXeoDJdNAo80zv4zAezrNvtnDLj38ND+UWADeUz3 +KzCdUazzEPDZqOPxA8AxPzcr+uD9vTJ7e4C9+WU2u4FfzJcc6ALun96v1wks +uOuW82fgL0Zf4trheFezVLQB+xvq9XwAnqdy+vMOeGjiGn8L8M3Z60eagTn5 +3C41Ac/5msY0wvFeFSipB7bM+daJAbv73F+uBW73VOd6Cfw8tV2zGtjpu5n9 +C2Ax++awSmANGrEn5cBjX260lgLbfWz49xnw4vwiUzHwNy1OxUJgH0zKqgB4 +0lHuej7wdRXRzMewPlToGx8Bc10YHc8GLniVtyML1reujVgmcMoahVEGnJ+B +VLc0YNOfvAn3gc+J3atIAZ5JXu++B6x4yGw1Ec7fn1SuBODdS+0a8cC8fMt2 +scArvgwhMcAD2yyPouB6rKFvjgRuy1mcDIf1jrXtCgPeQZssfguYKuK4UTBw +msKyaxAwA2t8/A3gh6IcZQHACVcSOv2BRSbWf/sCZ8edZPUB1rmSqXQV2Dyw +19ITvs9rymvucL2p8aa5Aid+F611gfX1TmDwMvDUMMPmJeDvYtO8F4EFnlYe +doTr96zHWQf4PMd4gs8Bf7xSnWUHfOeNTqMNXN/mjaOn4fOwS1NaA28xxwhY +wnrT79U+BWxfznr+BLDUqSOhZsCMsvaPTID1jrg3HQfmiHcdPwbczGxDZQjn +p0NN0AC47h3tET3g6LV3Djpwv3b0v6UNzEbLkYOArafyGw/D56E8OKoBx9fy +/l81+D7fV/lVgZ+WHyUpw3quibZTBKbcrA2UB3a4MZRxCO4vKnO1MnD8Zef7 +pYAvXxxfkwBGfc0c4rC+o+4rHwSu8TtjIQLPm0eMPkJwvTOWJwkAH6vSLd8P +zPLw/Wc+4NlmzTkeOD+SOQzcwD0dyxKcwC5V6obswOxDHk6swHxHUiKYgRum +C3OZgLU6yl7vAR5dyx9hAJ44H7e1G553ey7w0AHnqy2o0eKeVzH8+/AnfcP/ ++wZricHY9VOoIHOno2D8f8zRIPqF6M/tKKtnfKVmjJjLzMOJ/t+SK5OBHZ82 +0rK1fktYkiqOxZ1JGx3vedepiJtVLZ5GeVALWZmpfCX6hxyLNaH6+VrofGv+ +T2XcDVHDdVleWshdl32V6DceoFsf1j6shQLqI6iJfuSarxKHNJ0WilBd3kv0 +KxMpuiXfFCKUUHGBVwN3UozyvgYdhDKkusWI/mYWx6qGyTAJPcnXUTqMW5mm +h87Ln4QqDlRqE/1Q4bVffQeZSehVuqAJwv3esX6X97PD6ANr0hmif6q7zbF8 +wvAw6o6ndCL6q8G6chTvJzXRKK2X9xHcdOsPor6EaqKZ0NFgoh878vj43NUD +mmhl0zRWl3hfrsTEp/UaiMq/gUz0b60XuLv87DQQ44JMnj5uT44NlsFNdcR5 +5WE50e+NMch90fNAHQlPMtYfxR2e8p3L5bA6kj0X1Er0h/kW1x+lfFVD6v2z +PUT/eG+1T+XpEDWkf9J2wojIZ4iYl1QJqiHz9rZfRL9ZbHV2pfitKrIz0Ng0 +xr3+nW5B01kVXX5dSGuK20rtE4UToyry1uBmI/rX/xi+jZGsUEHBL6IFiP52 +FcfoYoyVCoqRXZc+gbulyzcnYksZpTy9rE70w5U8dNd5HiujHKE+/VO4r0p6 +OZgYKaPiTIOTRP+cXjJai29RCdVwVJ+zxB1PHboVlaGE3iSKuhL9dh+z2r+J +ukroE33qNaIfz2vwp0R1ThENROyIOE3Mh5GPdxBZEU3+9Ukk+vfPbr99Ya+j +iOYDvmXaEN8PWfk6PqeANhdPFhL9/tKSG9Y0GQpol/ubKjvcadzKsW8NFBDL +tPwbIh+wa9H7m+iyPOI//+jzOaI+JBbaxHLlkfjQviEiT8DH2veszVweKVne +mibyBrQUTct7KeWRVsf80nnc9wer966UySGjY/aUF4jn6ZC/fNNBDlk1f2Yk +8gs0U7yuT5nl0HkS4r6EOyS/M8q/+RByqykRJfIOpbX8Uj/8DqEAeX4FIg9x +7b5u87b4IRReHIecca8cngv2qpNFCaJbRkR+4ngixisrLIsysl2trxDPc0+a +pBIvg/K5hy4QeYuwGxebY9alUUWykZcb7sl8nwSJS9Lo1Z66ICKfIf6vdThH +txR6HyURQ+Q3ije3Q0/oSKFuqvRUT6K+q3U8hyol0UggXS6R95hOXD5UJSKJ +ZlaulV7FvbjyT+EoWQKteE7XEfmQP4XpzbaMEohyxuq9D24LxV8XJcPEEePF +d91EnkRTz8/f+I8Y4hxRHvPDXSDWNv7mqhgSOp3/L5E/GZQLS7o7exDJdrH9 +IfIpBTKyV8udDiJ144idRH4ldMjWXeKbKNJ/t8R8A7eRPdlnw0EUmWtf2E/k +XZYa3bxFxkSQbV2XZBDurQsuZ546iKDLSjqqRD6mMYmfO2pCGHmXVugS+Zmi +Rf7HTZeE0U1xQfMQ3Oe5d86cnhFCMbmJdkTe5hO937iJlxBK4aN0CcUt2sYV +/GhNEGXf9/Qj8jnL0/lVFrcEUfG+0dBwYj9jGwl2phdE1XdM7xJ5nkPPE798 +TRVAb3Y0ZBB5H3b/zCdVQgLoU7BMwW3c4ysLawvlB1D/euZzIh+0fCLxdaL2 +ATTpzfg6mni/PKEN6bz9aP7fwHYiT0THik7taOVHG06z/Xdwv6bejCla4EO7 +xm2+E/kjphaWh4K8fIjZtu03kU8qNPSNdzXkRfw96n/jif305tFzdwJ4kLhZ +IX0Cbq76BbZbxdxIsZWLk8g7fdViqzUd50JautHCSbipk9It1rm5kFH92iEi +H+XFVLYUbMmJLFUvH07Gre7zKW8ymQOdr+g1JPJUvjZYgFQPO3KTMrAk8lZy +XxPDLLnZUUB+1fn7xP4ljvVddGBD4QdEPYh8Fo0gZaJtESu6m55yI43YnxjD +WjTXWFAG644oIs919khzCu1RFpQf75OcQazXiUK+pnRmVE77LZvIf20/CA++ +Mr8PvQo9+YzIh9103T2y03Afer/Z9PIhUQ+eF86nPmZCXX7yLUSejOq1tDwv +NRMamc/5ko378r3534of9qCfLvtGiPwZl0tOm2gSI1r5FjLziJiPsnATZgcG +RHlufpXIq2GWVaMbSvSIof8cTR6xPu6cm51i2o04T35mIvJubO31owMLtEio +ncT3BHfUrkPy/X27kIxBiTiRj0t5rXhm6u1OpP6aT/kp7gi7wF66lzuQnkbc +ESJPl+08K61XQYPMX2yaEHk7Fqqn3zPLqZGtrKtNMVFPunwJnNVUyOnpoBOR +z1Psoq5/2USJvIWMfEpwt7l70EV2U6CbmbUhRJ7vs/ITAZr+v6RoDom4MmL/ +fck9f4Ftm5SSmJZG5P+KaPo6VM5ukrLp6fKJfGAho49AZOUfUnHEtYpK3IzU +scdOs62Tqv9O1RN5wgmL3obasFVSU4BV2wvc+dNqFM+3l0mfFlt6ifxhwoWe +FJPIJVK/m/K3amL9vjjAnsy9SPo2lTdP5BVXn80LxNctkOYd2LaIPKNWR/JF +fed50sZgOB2Rd9SKaJWLM5gj7bJcYsNw83Q92z7UM0Ni7nAUJPKR7FZ8HXdu +/iDxHeuSqcfNjP2wKdeaIok3H9Eg8pQV1YkeL3gnSYqkCoPG/50f5/ki5gkS +qhE49ZqYj/6mwQLBMZKRfKI9kc90eSweH5L2lWRZTOH2BrfGZcNGBsFBkoOo +ZwCR5yw1thvgH+kluWWPRLzFndvPlz7S0U26xm2aROQ/A4d75vxXO0nhyfUP +3+H+G+63KJD/iXR3j0wRkRdV5PDmLXj3gfTfedL/9n/nT/8HjEmiLg== + + "]]}}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, \ +{}, {}}, {{}, {}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJxd1Hk0VXsbB3BjuFLGcHJcUzLP87CfIyKJkkJFIjJkjAy3QoauFDmigZSS +kzkUKiJjykqKRAqJ6JKOa470Pvtd71/vXmuvvT5r77XX7/d7nucr7RG014uN +hYUlE2/yud52SbsndaGB5X9XVlJOzQt0n+Qdz3cOrcT/+9IGteJ2tI5oqETB +8xdEQkb9zefo3wnhs9KMTiKSsju9DX36U+90xOIbIiB3KLEVnddPzRrq6iHc +5YOjWtD3bV0/SA69JxxLWAKa0cY+1s/WywwQNlr0I01ov7uKqbHXBwl4JL2v +EZ3U3zRQIPOZ0CEqrZ6hLS74MosFvxCKLduMG9CVNfSgKokxgrqzW60eLVj3 +7VAFbZwQ7Doq8xS9yYnadSH6G8HlOCdSh97cXbqm0TtJrAwk8NSiaYkvNVOs +pgmmu8ivx6S7MrwsfZnE6Hg+8xF6sZQpnVo7Q/QH6I3WoAuqpDZlUGaJztm2 +99XoNM/eTLtzc0RTlFNHFZoxYcjycG2eqPk9Xv8Q/WX/+4Yn8YtESWJk5QM0 +H/vFnQdElolcXh5GJbqIL0z63IOfRCb9+vUKdDFHX5f+4VXivKhSSjn6xGMK +01NkjYjOeRJ7H/1a7540R/9vIlTWJqwM3REYxHOuhwW8Cwe8S8n6dLPXP25i +BRd1/0MlaA0LappYDRvYV63aFaOF2Aq/5lSww3bjlG1F6FzfKdXtlRxg1EjV +K0Qnup5+z/OYE9SsyhQL0JmNOgfHW9eB7CuCeo+sB5eGVn8fF4g5vOZnoEVe +1Q9/mOGG9f1uHPn/rY/b1Dj/H8DqxlzMQ9c5Vg+v6PLCwmjs5B30fHmCnaD7 +evjHT2DoNlrc73aHfDofDDFvv81F+1xm/qvzYgN0h2u13UKzNapqSbDzQ/tq +0+Ob6LlgT48rd/nhaZxDaQ462v+PoXXWAlDBPZp7A712IyHmOFMAGKlhGdno +61+KqE1ZgpAtzJmUhT68rSWTe4cQXMrKPHUdLc0X32ayJAQJUvJB19AcMqx0 +l2JhiGJUe1xFJyvW9Xm5i0CAipXjFbTmID3ekbIJPCrfW2eiTx6qi1Lp3QSO +Bj6mGWijsM78sQxRsKlf0riMDuEvn4txFAOaxXm5dDR7etb+ZYo46LwUF6Oj +B2kiT3aPiIPiniLeNPI86mdEzpZQQLLX6HcqWjR6h9uFqM0g6NLxbwrZL9Yn +U/2tJYBr5NDXi2j+NqGbMhJUWPGe6r+AbmRfTS6eoQLz++lXyWgeYdjH+VIS +xkL5Gs+jbfJlV1Tz/4T+5ZyHSWQ99tIb6WZS0BmjVvA3emRhZmmmQgqaORuy +z5HzE5Fzr1pWGmou7L6USPbTQ/rbwSvSUCIwHJeAVhYZivHllYHcq8Hh8eT/ +JhjV+8/KQCaV1S8OLd8hHnNnSQaS8+iuZ9GdvOEjdiGyEK0oYx+L9qCsmzww +KQuh9ystYsj+n5W823RMDnx0zQ2i0c/SJSlJX+TApbZb+Qz6l6ffwUL3LWBv +5vnnabIfngWEbvm8BSyfzwmeIvd35FrYirs8GNkmrvsLHffRJVBpVB7Uu0V+ +RpLzq6Z+osJ7K8geYHyPQA9oxqdfmtoKYkN6n8PJ9wodI80nFIDP63nPSbTJ +9vAI258KwDrp1B6G3q/zw0s5XhEWgidqQ9E/i7JaXPiUYHIh8v4J9OzCu6Lh +a0owdJonLwQ9QZ/XqN6iDD1sWVeCyfmoMQ/++EAZ2pOUkoPQJatrcXvNVeDp +htozgWjF784Joj0qUJlhExKAHmOEpSkdUwUG5aOnPzr+lFdL8rIqZOf6Ox9H +c19WJfRT1SBN/peNH3oXvU5CXU4dEkpSwBe9YDodE1KrDlFakto+6MirFi1r +ihoQ8KhM3pvM4yeSKt/CNcCDAMoxdCzjTVJEiwY4tbzm8yLnYVzCv1BQE2x2 +HmH1RJd2aflEu2sCrYs554G+OlCzcaFcE3Qdz064k+thaZrfyKoFih8FPh5B +U4X7SjvstUDS485rN/QtpZkOhTwtEJrQaj6M5poNHZWf1wKuwOZqV3JeKXoX +W620YXXWociFXF/ZKWeObG1gRo3mHEJLxC4Mjkxrw9jvMPpBcj1/t1YdMdeB +D4mciQfQ7TZhoWeu6UAn75VIZ/J7q59lBtM60EyX93dCh+158ptuoQuPRGvc +HNGp7HG/krJ1oSTHymE/mlf5PI06qwu3Zfss95H5qxzibmejB5mFPkYOaN0g +i+XNd/UgWX1ZdS+6rfvk7cRfehBTdV7aHl0tOjyb7KQPocYUkT3od9atycqV ++uDTWMS9G+1k2MnizWcArlbGq7bo5a88Mya+BmD/quPHLrTC4tRCSasBWDq4 +fLEh82mLfVm1jCEY9U/17kRvrAl7cCDWENTdzry0Js93dvlO5qAhyI3x1e9A +J2R+FfczNQKx4zcrrMj8ssqr6r1hBHwzavmW6GDRFaGBVSNgi2i4th3tPEPp +Dnc1hoXV3Rct0MLidHphvTFMxg3HmKOH7u6aPiFlAsPcIaHbyDxZvpH0Ns4E +elJZvc3QMRaaLO1jJvBCOP0gjezvNdH5vdam8DRLxg7Iehyt5wotNYVKqQdm +BFpu6UffVkEC7jHMdU3Rehy9PCERBGSr9CiYkP0humhs94mAtEpPCWN0erKe +QIM5QKLB/EYjNJ2lR7m5CCCqPpHdEL10UldUlYcGgRabFvXRUjzLn8xMaeDx +kvGPHroh6VPtrRAaOO3RH9Ql83T2UZwlgwa7ep+/0SH3a5jKoTdAA5qLc6s2 +mWdsKUKB/GYgWG6fQHrOn9/KlWoGog3yb5XQnOX1fE8NbaEgZ91RGfQp4TKr +z3/tg/8Ag3TQvw== + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0], + Dashing[{Small, Small}]], + Line[CompressedData[" +1:eJyF0ns0FFgYAHBG3lINkckrj2wUlmzKTl8zhhlmTDODHrtIXrEeJeRQrUx5 +tsQqDqstjw2hxHEookWLtiIk41GiyVtNTSmv3W/O2f/3nnPPPb9z7/2+e77v +bvE5zvMnSElJ+eKUrIv04UeQ/rlZ6r/xf04+H8+2QeuscqhPyWQgVnEvWKPF +IevpnjoUsBmbrLdC55zYwJ93oIBpYEzFt+glYZFB3WkK6M4rXrdEh3d8aW+/ +QwG1yLwsC8k+/T1Nf5IC8oumSeZojlF2yANdKizHNcTukOSL2EIsdaeCSJYV +th2dHSBWePELFYQXh4+aoU+p5xu5tFFhcEOouyn6B3K3rdIyFbpyVhjb0GfU +I7RUd9pDq07699+gn8hn5h0KsYf6Il1LE3RUsnfGVLE9VG67bbgVXdBL6Xow +Yg8Ft0HTGN3c0GT2UoMG2TbdSkZolZOpsRQODVIbvFcN0Oc48RnTKTSIo4hE +W9DE4lKqoJUGke3xQn30kWjzgLWrNAh0IQr00Nc8g7oTbR3As7fwsS56kTxw +wCHCAXiHrR/ooK30DLoYtxzA8VVrjTa66OPGdZlTDmDn71ayWfI+/5uzOsaO +YDHzJo8kqU/xCvmdtyMYhUela6HvWLgL1lx1BK0FWf4mSX9KdK54CRxh7dns +KE20Qe40fXkjHQgyJkEaEjuf6hjh0WEhuc5jI/pZasEcIYMOM6oMjjr6Bj8i +PfgJHUYvD9iroUvjtPiaygzoIwXtIkryPXx7Tc6JAZ3Xv5puQMt6uTXbJjGg +aWuq7nq0xYRUfeVDBlRXkIjr0GT/qqP+a5ygxKpcVhW9h8i6fMTeCfLr7b6q +oJfNx0zy+U6QsffxrDKa2veHmNTiBAltHqNKaFLbfM+QtDPEOs/1KqKz7Hb/ +9pLiDGHdZ9sV0PfajLca8p3B94BqgzxaqBDDKmtxhoPDv9+Sk8Qr2jxxQoYJ +LB+LQll07UetrhgaEyiTzVfWoFdERSOtCUywCeOkyKC1pdfOstuZYCoePUOQ +9Lf1716SIgv0YsNPSKN7ZmPCTJgsUJMi+EmhU0h9VyLTWKCQ+OvBf9KwvsV3 +DQndLFhWNmSuojVPbv/QRXQBUWbN3hV0fA9naMTdBd5q0qyW0eHs0LtmuS4w +eLXPeAmdHDcdcHfYBboM/bUW0QIhrylRnw1tZZ9UvqLlm3h5WX5sqLdIlPqC +Ln9R9/xVKRsqazXEn9FfgsOZwXNsKLArmfiELhRf6rex2g/Zf+4aEkvuyzjw +IHo/XKR3PP2IztEcz01q3A9xTw61fEDfbwyjyUtzINJ1qlaE3ivvtzJlyIEg +QUzZe8n53HLhjCMHPI8oXX2HLu20mFH5iQM8YV7GPHq39MwSK40D9GCzC3Po +4JsTshVVHLATNUTPouna+xa29XHAMpoVPIMOTNJt+muBA0Yrw17T6MdjjQz+ +Zi5onQ/lTaHvDfgleAAXVBVXHSbRHTXHfdx9uUC4lL57Ai3DcnwaksSFBXW9 +HW/RZmLvusJyLszm3dYXorWO0VUWurgwqr9P/Q16Z21GQ5CYC89vdMuPo5Wt +xmsWN/Ggc/vRpdcS+4jHy8g8aKoWzY+i2ZPJe6J9eFBjyx97hU6MjLzhk8SD +kiZi/0u00p1cjZAKHuTTijpH0GLL6ZisZzzIfGR9fxjt5xXQPPCZBwmctqoh +9GCgTr+dtivE9rsVD6LLrHdUNlJcIcxDmCNAp9BarH885gq+Y1EXB9CUas3D +mmmucChQLu4FOkQtWkVU7Qqs+eyT/eiDobbkyQFXoESaBDxHrxi0DC6vusJ3 +i3WH+yT/701yn7mxG5ieY7j0ohn560g/M91AT06wrwd9zGcibzrcDfLDgggS +n1a/RX8d6wb/ApnuJ/Q= + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Hue[0.1421359549995791, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJxN1Xk0Ve3bB3BJJSSlDBmKTAllSoaMIbOUEikSMpMpImMiQ+bOIQmRKEIh +tkhSiVBChgoZiscsKvTbrfWu9X7PH+esz9r73Pve130NfOfcTGxpaWhoWMmv +f79MBkvSHXE/a2n+75MWmVHxhnQ3b/b5j8deKqusdq55Tbo03fDbh4VpYn9l +smoj6buHvW1pH2QS0iLqQQ3/7tdNedPjdZuIMfxSU086ZPqxbHp8BhG613m5 +jnSUmkQ9++At4nTtmEIt6eCbq68szG4RZxlM/WpIW7ZHHv88lk60M5VUVJP+ +bjUqWBOTTvxqXF54SnqaEjF3RCWd4JE/KFNJ+nNaQLfsShoRZmNzsZw05x0r +Zr6GNMJD68qjx6QN3DMnNRPTCPHByMlS0qETTTSGdmnEyoEwsRLSg1TOYEmV +NEJY08WxmPQtwZkt/NxpxCyTdv5D0ny5t+kClqlEThzLSOG/9XJa+qu/UImQ +pje7C0hnGQl2+bykEu11F63z/92/N/a06UMq0ePBmJlHmkeYY5L5JpUY6E/q +u0uaV/cKg30olRBfx7Qjh/SlXrlWUTcqQTfmeTKL9NDdBKF9llSiMOJtcibp +M/Z1J430qYTDwNb3GaQrhjnHnJSoRPiSzuZbpCdWRx87iFMJtWZ3/TTSw82V +ipI7qcTo6WtRFNLXOgTXF22hEtUFsY2ppFeaEyXe0ZHPKw9bm0JauT/vb8gS +haAPclBNIh35mPl29QSFYFqvEphAmjmN8tZ1gEKc0KOrukHap8s0L76TQogb +Pf0ZS9p7dWMQezOF6NxyRjqGtM3O/x7+fk4hbibNul0nXfl80F2qkkKUd/g8 +iCStv+5OW0URhfD7+GMsgrS8hkxEeC6FEEo1FLxKWlbi8XDiLQqxlj3bOoy0 +5F+Dlv4kCmFqNpwRQnqJZX2KVTSFOGfO0RNE+izjVhr2MArhwaPIdoU0C2+F +1Io/hXiVZWASQPpCzHLeZk8KUTBqFOdPOkO2hV/PiUKcnVJruvRvP10lpQ9s +KITE093rfUk/Ea3KlDxNIcw1F9S8Sfc5tDt2HacQe5PLAz1J19dufZ1uQCFG +cu2fepAWLzagBGhRiHZ/+gU30tExP4d9VSiEJCt1vytpRYU7X6MPUggDN05n +Z9J1XkI95ZIUwuFG1D3Hf/GzFtdYFKUQLZ7fBy+QFmo3u1fPS1Gq4ZbntYd6 +DL5+6ZQt2LzmXrINOOlJY6s12M3zI4MVWGbxg+YZsIhaffBpcPzRO9Xm4DIR +159m4M7GvZInwcpin5xMwVKnfPKOgRcN1g4cBb9nCOYyBm+MnzA1BA/2H4nX +B+fMJzfp4v462uh0wNOBy8rauN4Mu58meKfE7jIN8CVZ3v/UwBYbNgqrgpnu +Dlkpg9sZi9KUwFPKFzoUwA8PbWWWBxvRP9CWA3NlyobIgk/SFldJg49IccxL +4vns8xDfD7b69dROArwlYTZTDBz7c8cnUfAOUemte8ArexX1hMEBy1LhguDe +9B01u/F5DLMLfOCjR55K7ALPmbrb84JjpNnvcOP/Bx527wCXn5XZwgn+UFSg +ww7+844ldDvYu86uihV8NezB7BbwRY5BURash6ANNszg+UrudCbw9pd8HxjA +wnfZGDeC3U/8Ud+A8e9r9V8HrpJNLl0L1rY58mMN5pftBB8NOFIx+NRq7P/7 +1cjahGUwv73v69/gz0TP3yWw6pi43CI49ru76wKY4XlO7hx4xbWxbwZcONfN +Og0+ZdSrOwnWD20JmQA3xRRX/gCzu4VMjYH99mgIjYJliPnTw+AbwqlJQ+AW +J+GmAbBsVD7NVzBjMKfcZ3CKsb9LHz7/T1NOD7griLGnG7zjiyJLF1iA87TW +R7CShHPAB3Aur3NpO/qHxVgrODRRkfcdOIiN8XgzeNCnKaoJPFPmV/saPNXC +sdAI3v3ynuhLcDtVyOoF+JB+SspzcFHfXFMt+IKeOs0z8CNKsCwBfttQ5FgF +Xm1tzqzEfKnq6SgH14Z3b3yC8ZRoVC7DeD7N8SwBv9vtkV8MPugm0f8QnJ7e +u+UBWPn+Ja0CsC1l3eV8sKlDaHEe2IR7auguOLtEjyMHfH0PRT8LbBjeEZwJ +lq6neZIBDhzi/p4O9hwT4UkDm7ULHKWAPW6zXE0FrzWeqEwGHxupmEgE51l7 +7krA+qznPX4DbEdfdS0WbCmjWR0NdtSqm4zCfFDeyx+J58ETYRoBfj7UFhkO +/hDPSIRi/AQPTAWDw7OM+YOw/tdZmAaCmU1MIy9j/kaoVPuBKXc5J33BOoVD +u3zA2ukZx7xwv17aERfBKgcHKt3B9cOO465Yb4HfeFzAEjSGxk7gTJd7oQ64 +XuP0Y3t8XwbRUVswl8IxzvPgr6bOeufAI5begVZgdxPX4jPgF9InB06DBWn3 +s1qAu2uWDp/CerYv8TmJ8Vk9lW+K/S9s9tMxsPyiP6MJOObUnJIxuLnAwtUQ +33e8LFMfLMy13KaL/VlBhlYH7Kx7WlobfFTX67wm1rtCQIoG+BeXV6MaWGPS +YlEFPFQiLaIM5rb/Y6aE/Z65LEoB7HLPvOogeJP07I8DOG9K/LhkwUn8M3rS +4DXXzAIkwWOfix/sw/oXXewTx3xxlNgkBma7bXpIFHyt0dlFBOftkGeGEM6T +n44tAhiflaMr/GCaPyLifOBdk1Ond4JtuvJieLCeKgwILrwe+22cE3zf3ImL +A+f9zkFdNjBr7xH/beC6uMz7W8GuCiPdLGDrz9z0m8F9/hpym3D+sZjbMYKv +3LZK2QgWFzrZsAHsfe/Q3Drs5/zb+Olw3t3sMaYFx29ICKIBj3rIFa3GwPpd +LX3L4Fy544x/wElJTfK/wIrj+y8sggeVr6UugN/GtTTM4f29a+dmwN4ConzT +4GeOqkaTYPMircAJcOyUUuEPcJrE7k9j4EanX+tHwab3amWGwdED3ueGwIU7 +uOMHwL9NSmu+gInrB8f7wbL1RRx94Pxf27R6cL+STp7d4DiHkjud4Kjs0ZYO +vN636c978AC7gEg7+NVxUdNWcGjSrtAWsFnH+uK34Bj2/t43YGvLHPrXYO68 +U7KN4DWzf60bwMfUUuPqwSeSuavrwIbjiaPPwEFav1hrwGJ5RqrV4HSGVOen +4E1ezZQK8OvB2YYnYOaTG2fKwALtm3lK8flH1+s8Ard2TXgVgcfPv7jzADy8 +FNVcAKZNVl3KB0ccGN19D1z2NdAoF/wuke5yDniHweW8LHDf5sH2TIxX78GV +DHBzcZDILXBYbPmxNMx3r/4rFHCd7fz9VHCL1Z+OZPAeu9m/iWB2n0+iCeDe ++EemN8BV5b7BseCFUYnCaHC7QOfHKHCiiwtNJPhy/bxoBLhtt7NpOLgj4UNQ +KLiJaW9BMHgx+WLHFfBt0YLVAPDad+0il8EOQd9N/MCsKjMBvmDNTd/zvMEa +P9raPMHGnfm/PcDF7e4C7tjP+kQMXcFei22+zmArfocsR/BDy5mmC7j/+w7z +dmCf9e95bMF+XqLaNpiPcxfdrTG/rxZSz4KFRDrqLcG+/RPjFuDDuQvbzDH+ +QZOHzMBnXbrsToBlXB/dOI79OtSv0gRcWyA1YIz9bqR3oxH4upy3lAH40a1V +cz1wCdulMB2sv9yBQm2sT13FDk2wB93VZQ1wQMczAXVcr2pMXxX7dQWttzK4 +oIkpQwk8P7/+pQLG/8DMxEHwxRtvt8mBJWhSlWTxPCKNz0uDJ/b8iZbE9YZS +yvbh/Hqyq1ccvC8rnVYM+0vuelFRvN5gfVQEbLL84JIQON5wLFMA+2U16yt+ +sKP6vsldOO++KWzfCe7KOqDEg9f9+W24wDOuq1GcYK2gpkfsmD+FEV3bsf7n +pVZZcT3zVoGt+P9+Cz0WsFpgtwcz5pOCJoUJTMeW/YwB58nmqW/04GkhMcYN +OI8sT0muQ5f6nFwLlhQKD1wDvvM0JOdvNMxvZ7c3K+BUFYOpP+BsKa7tv8Hb +tD4pLIEl/K9Z/QRLtwpGzIPtNB8XzoIZ+6Xap8E2qVk/J8FlHjTc/4GV3IzU +xsHqN+LsvoPp39dEj4Lb5PofDYO/1E18HAJ7u0z8HgB3Herb+RWsLUUc/gze +qBfj0Ac+E6kf1wO+/mO5tBuf75HR1Qn25JVY7gA/mS7a9QH8aWynZjuYf0OI +Qyv4vd772BaMdwVr6Vuwr45m5xvwNK3971fgvqFLvI3gKxP+6g1gDh4Xu3rw +pLfR9TqM9yJf0TPwiaxv7QTY1evmQhW4xV2B8yn4cWqLUgXYfsTY6glYxKoh +rAysSCdyrwQ88P5yUzHYsrn2v4fguek5lgfgb6ocMgVgL0LsZD542EbSPw/s +LyeUcRfzQ46xLhvMef7r4B1wfk3uukzM78MWIhnglCUavXQ8n55UFyrY6Ad3 +/E3wWZGk0hTwePKvjiSwzH7jxQQ8v9+pnPFghvkWxTgwN8+CZQz4pzdT8HVw +zyprdiTWYyVjQwT4bdbccDjmO/F2Qxh4HX3ynhAw7VV9vSAwVXrBORDMtC0u +7jL4thD7Iz9wvFN8uy9YcOjXrDf4TuyxbV5gDacM2YvgowFdJ9zxfZ6vueSK +9SbPTXUGJ4wIVTlifr3i670AHu1nWrYDj4iMcduC+e6XHbLB+j3jdsYa96PL +FXQW3OxUkWkJjn6hUWeB9X207usp3A+b+Boz8MrW63wnMN+0utSOg61Ktp0z +AYsdVw81Bm/aZ5VtCNZUd63XB7PHOQ/qghu2WtDq4Pm0yfNrg6tf0atrgqOW +XllrYL+28Q1RA2+nZ89SAZuN5tUdwv2sEf6qiPE9cfOvPL7PyCLvQfD9kiPK +BzCfK6MsZcBrlqsCpMDWl/vS92N/kZusksD475v+JAa+YDu4JApW6W5g34P5 +HXnzgDC40sfcVBDnTfYmr91Y75tKEvnAuuWHS3aCWW+/buUBTzQoTXLh+ezN +YtoB7mxbEOUAO5Yr6LCB2frc7LeBedRTrm4F144V5LCAVdsePWcGf13K+8IE +HjoXu8KA8475PNdGcJ78jDw96Wk5nb+3fzDW/g9lsMtK + "]]}, "Charting`Private`Tag#3"], + Annotation[{ + Hue[0.37820393249936934`, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0], + Dashing[{0, Small}]], + Line[CompressedData[" +1:eJwV0GtM0wcUBXAec+LEFRRljvrAuhJ0xakouBmubcE+KNAHhlkHhA4Ysi4l +s8NlyDNCZqtCCI8OgYGhiAgF2tJ/KyMtELYgK7IAojIUURnY+QCxSLtlu/1w +c/P7ds4JlMiEaR5ubm4ReK6/sVNw/uBlu3lZ6sNK3EaHYZ/Bkv1oiRXy+4vo +EBkWfGEfOmQwibl9ng69lDwlDZ24b7QiK4YBZ+4MXNqLzqyzy01aBqjjHKXB +6LsJoU/f+jOhvDSwPAjNS7LNheQy4fuqIxUfocXpuTmnZplQmcqooqDZD6Kb +8liREOz4TBWIZjwjJKq2SPg2gVqzA302fZexxTcKNOfca7ehv56zqTuyo4Cc +aa0LQMv0clr7VBQ4dyh+3oreO0bmNx47Dj/WhzX6oxedTNJF9XHwnJu4uhld +28CRfvMeC1rtqU2b0GMLFzOiZCzoGX2i9nXlN0rX+I2z4Dv5iWsk9KlmVuS9 +cDZsmTW0bEBzPc9RK+vYML11Xet6V7+7X7WzPDjgRebdWIe+PJdx/1U6B+7M +57etRZ9v/lNTNsyB4oKm9jVoD+7Oj4M+4UL8Y5PGE911s0ykr+BCoa+lwx1N +qVFQw1e5wF1PdP53yW7WMTObtYnR8GK0vutfdM2QcpzSHw1tkrNaJ7p6hatV +UnnQZzmmW0WbhJ70ZwoeSG0O3Qq6xd+nCF7ywDar1r9Bf37092ylKAbyrtG7 +X6OHZOU7R4gYSDky0r2IPvlhb8FaciyMq6INL9GFXzSrwgpiYfOvJsNz9IxP +y+mkJ7HwZd8HhA3NvBXwJocdB05FBrGAnpwQHy1riwMy5TrxF7qBnNwQ48WH +dxT3iafoIPHtwthP+bBk/od4jB5J3jPAlfKBMkgyPkKTWOQ0Rj0fJn/aZHyI +9n5XkHFolA+8CC/jNLpEJrdSPARg1j4nptBVBw9UbggVQNbKAHEPvfrgkGUp +TQC13kpiEq2c2hM3Vi2AwiUGMYEOzPqF0TEkgOTrNsMY2mipvFLiFMCZ/cWG +P1z5rSnikzQh9iEZbqMDsofzg5KFcNig6LaiE+S53otlQpDo7PphNC1ll7uh +Xwi9RSL9EDpVm5qUvSyE4t2Nut/QV2++2H2AKgKH6qF20LVva+aJhQQRJMy8 +rx1AbyktenXlggimHSFdfWiDaMbO6RHBrXnoNLv2s9BOv/5bBOJWekcvuivH +j63aHg8jEKrpQR/2iqgI58eDuPrtsgmd46dhPfohHv4HI4EPRQ== + "]]}, "Charting`Private`Tag#4"]}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + ListPlot, "GroupHighlight" -> False|>|>]]& )[<| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{-1.40989, -1.40966}, {-0.000125, 0.00125}}, + "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {-1.409660000000003, 0}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {False, False}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), + "DefaultStyle" -> { + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0], + Dashing[{0, Small}]], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + GrayLevel[0]], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0], + Dashing[{Small, Small}]], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, + "Primitives" -> {{{}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, \ +{}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxd2mk0ll3bB3BTRSiZ5zJmlnns2mRKMlWGQpFKZMyUIjKFEEIXEhIJGQtx +hiQVKeI2y5QobpE5Q+95vut913OsxwfWb12Xc9j72Ht/OP4C5z1OXKSioKB4 +iv8i/jIYryl0x680UPzfT3pUZvUH3H38jy78c/ItCW33UL7HXZFh8q1reR47 +VJOs1YL7sa7vRariLExB7EhwM/H9YykfBnweYrEmI6+acN+af66UkZCJhUq6 +bjbijtaWaeIYf4DZNkyrN+AOub/9zsb6AXZut0XAK9x2nVGnvk5nYJ0M5dV1 +uH/YT4m8is3A1ls2l1/inidHLh5FGRifmqpiDe6v6YF9SlvpWJij49Uq3FzZ +9nsEmtMxL/2bZc9xG3tmzeklpWPS41FzFbhDZ1spTC6lY1vKYVLluMfTuELk +UDp2UM/NpRT3A5GFfYK86dhvBoOCZ7gF8h7SBG6mYbnxTN+LiOvltg/XjaRh +t1o/CBXizjEV6fV7m4Z1Nl51KCC+Lxlna/EsDRvwos/Kx813kHNuz/00bGz4 +3tBj3PzHbu52Ck3DpHcwcOfivjao8lnCIw2jmfa2ysE98ThRVNYuDSuKbEvO +wn3WqdHK9Hga5jzG/CUTd/Uk1/QVzTQsfM1w7wPcs9tTz52l0zDtj57H03FP +fqzRkNufhk3Z3o4m477dLbKzZF8aVlcY15KKe+tjkswnGvx+VWHUKbhJw/l/ +b62RMdpgZ617uKOe73lYN0vGGHaioETce9LJbe5jZMzSiKb2Lm6/Xov8hB4y +Jm36ciUOt+82XTDHRzLWs++sQixux/3/Pvvzmozdv/fbIwZ3zetxT/kaMlbV +7Vcchfv4juyO6hIyFvDPz+lI3Go6ipHheWRMNNVEJAK3kszzyaQHZIya45FD +GG65v8btw/fImIX1ZOYt3GtMO1Ps75Cx82c4B4Jxn6NnpuAII2NefBrsN3Ez +8VfLb10nY+9yjE8E4r4cu5m/15uMFU6Zxl/HnanULmh0hYyd+6Xdeo14nt7y +imJHMibzUminP+4XErVZcrZk7IzesrYv7iHnTpfeU2RMMrkqyBt3UwPz+wxj +MvY9z+mlF27pUmNyoD4Z67xOu+yB+07syqQ/ImNyLGmH3HFrqGeP3lElY8Ye +XK6uuBt9RAeq5MiY893oJy7E+DlI66xKkLF27x/jl3GLdlo/aeIna77iVeN3 +AusxJOba6YvAZ149SXYEvvei5bMDsIf3P7vtgRVXu/TOAotpN4XYAieYZ9ed +Aa4Uc1+xBu5pkZSzAiZJ9V+xAJY/7Zd/EnjVmHrMHPjL7hAeM2C6hFkLE+Dx +4aMJx4Fzl5Jbj8Hn6+6gMQSeD9okGcDrLXAE6AHvlxGq1AG+psT/rzawzS66 +g1rADI8n7EnAnfQl6ZrAv0iXu9WBnx1m3qMGbEpbbKACzJOldEsJ2IqqtFYB ++Kg855IcnB9ZL+lDwPbrLy/JAO9L/J0lBRy3wt0vAcwtocAsDrwlqWF0EDhw +Uz5cBHgwg/uVELzf7t/LAsDmR1/KHABetPB04geOVeDI5oX/P/asjxu46pzi +Pi7grpJCQw7gjU9MoWzAvo2XalmAI8KKf+8Dvso5LsEE10PwLsc9wEs1vBkM +wGxvBbp2Ax98zE5PB+xpuXFkFxz/oc/XdwDXKiVXUAMbOB79SQnr6+KsAAVw +lEbI6e24//jdd+rETWBBJ//3f4C/YgN/14C1pqVVVoHjfni6LwPvfp2btwi8 +5d4ytABctNjHMg982nTw2Bzw8dD2W7PArbGlNT+BOTxu/ZoGDhDXEZ0CVsSW +bCeB7x5MvTcB3H7lYOsYsFJ0AcUoMH0Il8pX4BSz625D8P4brbkDwL3B9AN9 +wNwjGky9wMJctvr/AGvKuAZ2Aefxu1Z0Qv+0mf4MHJqkwf8JOJid/tRH4HG/ +1uhW4IXKgIb3wL/aOZdbgIXePpF4C9yZJmr/Bvjw8ZSU18AlQ4utDcCXjY5Q +1AOXkUOUMOC25hKXWuDtzx+zamC91A50VwE3hPfRvYDjKdNCqoTj+TLXuxz4 +k5BXQSmwqofM8DPgjIzBfcXApKfX9AuBL5J33CgAtnAOLc0HPsH7a+Ix8KNy +I85c4Bhx8vEcYJPw7pAsYIUmiheZwEETvD8ygL2nxfjSga07hc3JwF4PmSJS +ganNZmuSgU9+r55NAs538D6QCNdnE/+pu8CXaGtvxwHbKerV3QF20W+ci4b1 +QJIUjILzwRdpEQn8eqIjKhy4K4EeC4XjJ6L8KwQ4PMdMMBiu/x02FkHAe05Y +RN2A9RuJ6gKAyY+55vyBDYsmDvgBG2RknvSBz+tjEHkVGKmO1XgCN026zLjD +9Rb0jc8NWIbCxOwKcJbbk1BneL2W+edO8H13S0xdBOZRP8l1AXjUwtXoPPB3 +O98ge2DPE+6lZ4HfKFiN2QKLUB1isQHue7WmexquZ6dyPys4PtunCyzg/hf2 +u/8ksNrqdfoTwLGnFzXNgD8W2ribwPedqcw6DnyQZ7PjGNyf1RWpDIFdj9kq +GACbH/O5oAfXu3pgig7wOo9PizawzpzNKgKeKFcQIwHzOm1Ya8L9fk9ltDqw +25MztarAjAq/fyrD86Y8gEcJ+J7ggpECMOVt60A54OmvpcWycP1LrA5Jw3px +kWGUAmZ/aHFYAvh2i6ubGDxvJ7wzReF5suLSLgzHZ8t8SxCYYkNMWgD4wNwv +2/3Ajr35sXxwPVUbYzzw87hvM1zAT89c4eGE5/3+8WPswCyDR6+zAjfGZz1l +BnZX/97HBOzwlZd2L/DQdR0VRnj+MZ25RA9886F9Ch2wtKhV8y5g3yeHF3fA +/VyQVZAGnnf3B8yogBN2JQZTAE95qZRsx4Lr97YPbQLnqZyi3wC+d69VbR1Y +Y+bQ5VXgcdLt1GXgtvj25kX4/UHqxQVgX2EJgXngehct0zngMyX6QbPAcb80 +i34Cp8sI9U8Dt1xZ3zkFbPGkQXES+M6Y7/kJ4CJu3oQx4D8nKl6NAGMxqjPD +wEpNJZxDwAXrrPoD8Hnlrnj3Acc7l2f3AEc/mmrvhp8PMW58AR7jEBbrBH53 +SsLiM3DovQOh7cDW3TtL24BjOYYHPwA72OXSvgfmzT+t1AJM+fuvQzPwSe3U ++CZgy2TeukZgk5mkqXrgYP11llfAUvmmWnXAGbtTXV8CM/p8JFcDvx//3fwC +eI8V3UIlsHDnXr4KeH/znYZlwJ97Z31KgGcuvMkuBp5ci/5YCEyVrLVWAByp +PCX0BLhyNMg0D/hTEs2NXGBu4xv5OcBDe8c7s+B4DapuZQJ/LA0WewAcFld1 +Mh3Wu8/wTTJw48Wlp6nA7fYb3cnA4pd+/00C5vDrl0gEHkwos7gLXFvlHxIH +vDwlU3QHuFO4559o4CQ3N4oo4BtNSxKRwB1CrhbhwN2JXcGhwK0MkoUhwKvJ +V7tvAj+UKNwOBKb+1Cl2A9g5+MeJAGAWtBDoD6zH+CPfF1jnZ0eHN7BZT8Ef +L+DSTk9hT7ifDYmZuAP7rHb4uwLbCzrnuAA/s1tovQyf/6nz0iVgv51f+C4C +B/hIGDjCely86ukA6zuiKO0csKhYd5MdsP/w7IwNsG7eMusZOP7Bc4etgc+5 +9V6yBFZ0L7t7Cu7XoQE1J4AbCuXHzOB+932QzhQ4RsVX3hi47MH2GSPgcvZr +YYZw/eWNFRnA9XlMo1sP2IsmYlMHOLC7XvgIvF7t9HEtuF9XU/mSgAtbGTI1 +gZeWdr5Vh+OvvDCrCnz1bhurCrAMRaqmEpyPKLMLCsCz4ht35OD1JlIqZeH5 +9eLAoDSwbE4GlRTcX/J2SkjAz5sdzMWAT2wWXxMFTjCZzhKG+2UdyztBYJcj +snMH4Hn3TZ1tP3BvjrImH/z8uqAjD/CC+3Y0F7B+cGsZB6yfosheNrj+l+S3 +WeD1znwWZob/P2xjxASsHdTntQfWk7oemQGYhv1R/W54nuz99Y0WeF5Uin4X +PI/sTsvtgK7ws6IGlhMND6IEzn55K/fvHXB+u3p82AJORca/NoAfyfOw/QFm +1e9XXwOWuX7bfgVY4bNI5BLwJb3nRb+B6YflO+eBHVNzVuaAK70oeP8F1vQw +1Z4BPnI3/tIPYNovr+5MAXeoDJdNAo80zv4zAezrNvtnDLj38ND+UWADeUz3 +KzCdUazzEPDZqOPxA8AxPzcr+uD9vTJ7e4C9+WU2u4FfzJcc6ALun96v1wks +uOuW82fgL0Zf4trheFezVLQB+xvq9XwAnqdy+vMOeGjiGn8L8M3Z60eagTn5 +3C41Ac/5msY0wvFeFSipB7bM+daJAbv73F+uBW73VOd6Cfw8tV2zGtjpu5n9 +C2Ax++awSmANGrEn5cBjX260lgLbfWz49xnw4vwiUzHwNy1OxUJgH0zKqgB4 +0lHuej7wdRXRzMewPlToGx8Bc10YHc8GLniVtyML1reujVgmcMoahVEGnJ+B +VLc0YNOfvAn3gc+J3atIAZ5JXu++B6x4yGw1Ec7fn1SuBODdS+0a8cC8fMt2 +scArvgwhMcAD2yyPouB6rKFvjgRuy1mcDIf1jrXtCgPeQZssfguYKuK4UTBw +msKyaxAwA2t8/A3gh6IcZQHACVcSOv2BRSbWf/sCZ8edZPUB1rmSqXQV2Dyw +19ITvs9rymvucL2p8aa5Aid+F611gfX1TmDwMvDUMMPmJeDvYtO8F4EFnlYe +doTr96zHWQf4PMd4gs8Bf7xSnWUHfOeNTqMNXN/mjaOn4fOwS1NaA28xxwhY +wnrT79U+BWxfznr+BLDUqSOhZsCMsvaPTID1jrg3HQfmiHcdPwbczGxDZQjn +p0NN0AC47h3tET3g6LV3Djpwv3b0v6UNzEbLkYOArafyGw/D56E8OKoBx9fy +/l81+D7fV/lVgZ+WHyUpw3quibZTBKbcrA2UB3a4MZRxCO4vKnO1MnD8Zef7 +pYAvXxxfkwBGfc0c4rC+o+4rHwSu8TtjIQLPm0eMPkJwvTOWJwkAH6vSLd8P +zPLw/Wc+4NlmzTkeOD+SOQzcwD0dyxKcwC5V6obswOxDHk6swHxHUiKYgRum +C3OZgLU6yl7vAR5dyx9hAJ44H7e1G553ey7w0AHnqy2o0eKeVzH8+/AnfcP/ ++wZricHY9VOoIHOno2D8f8zRIPqF6M/tKKtnfKVmjJjLzMOJ/t+SK5OBHZ82 +0rK1fktYkiqOxZ1JGx3vedepiJtVLZ5GeVALWZmpfCX6hxyLNaH6+VrofGv+ +T2XcDVHDdVleWshdl32V6DceoFsf1j6shQLqI6iJfuSarxKHNJ0WilBd3kv0 +KxMpuiXfFCKUUHGBVwN3UozyvgYdhDKkusWI/mYWx6qGyTAJPcnXUTqMW5mm +h87Ln4QqDlRqE/1Q4bVffQeZSehVuqAJwv3esX6X97PD6ANr0hmif6q7zbF8 +wvAw6o6ndCL6q8G6chTvJzXRKK2X9xHcdOsPor6EaqKZ0NFgoh878vj43NUD +mmhl0zRWl3hfrsTEp/UaiMq/gUz0b60XuLv87DQQ44JMnj5uT44NlsFNdcR5 +5WE50e+NMch90fNAHQlPMtYfxR2e8p3L5bA6kj0X1Er0h/kW1x+lfFVD6v2z +PUT/eG+1T+XpEDWkf9J2wojIZ4iYl1QJqiHz9rZfRL9ZbHV2pfitKrIz0Ng0 +xr3+nW5B01kVXX5dSGuK20rtE4UToyry1uBmI/rX/xi+jZGsUEHBL6IFiP52 +FcfoYoyVCoqRXZc+gbulyzcnYksZpTy9rE70w5U8dNd5HiujHKE+/VO4r0p6 +OZgYKaPiTIOTRP+cXjJai29RCdVwVJ+zxB1PHboVlaGE3iSKuhL9dh+z2r+J +ukroE33qNaIfz2vwp0R1ThENROyIOE3Mh5GPdxBZEU3+9Ukk+vfPbr99Ya+j +iOYDvmXaEN8PWfk6PqeANhdPFhL9/tKSG9Y0GQpol/ubKjvcadzKsW8NFBDL +tPwbIh+wa9H7m+iyPOI//+jzOaI+JBbaxHLlkfjQviEiT8DH2veszVweKVne +mibyBrQUTct7KeWRVsf80nnc9wer966UySGjY/aUF4jn6ZC/fNNBDlk1f2Yk +8gs0U7yuT5nl0HkS4r6EOyS/M8q/+RByqykRJfIOpbX8Uj/8DqEAeX4FIg9x +7b5u87b4IRReHIecca8cngv2qpNFCaJbRkR+4ngixisrLIsysl2trxDPc0+a +pBIvg/K5hy4QeYuwGxebY9alUUWykZcb7sl8nwSJS9Lo1Z66ICKfIf6vdThH +txR6HyURQ+Q3ije3Q0/oSKFuqvRUT6K+q3U8hyol0UggXS6R95hOXD5UJSKJ +ZlaulV7FvbjyT+EoWQKteE7XEfmQP4XpzbaMEohyxuq9D24LxV8XJcPEEePF +d91EnkRTz8/f+I8Y4hxRHvPDXSDWNv7mqhgSOp3/L5E/GZQLS7o7exDJdrH9 +IfIpBTKyV8udDiJ144idRH4ldMjWXeKbKNJ/t8R8A7eRPdlnw0EUmWtf2E/k +XZYa3bxFxkSQbV2XZBDurQsuZ546iKDLSjqqRD6mMYmfO2pCGHmXVugS+Zmi +Rf7HTZeE0U1xQfMQ3Oe5d86cnhFCMbmJdkTe5hO937iJlxBK4aN0CcUt2sYV +/GhNEGXf9/Qj8jnL0/lVFrcEUfG+0dBwYj9jGwl2phdE1XdM7xJ5nkPPE798 +TRVAb3Y0ZBB5H3b/zCdVQgLoU7BMwW3c4ysLawvlB1D/euZzIh+0fCLxdaL2 +ATTpzfg6mni/PKEN6bz9aP7fwHYiT0THik7taOVHG06z/Xdwv6bejCla4EO7 +xm2+E/kjphaWh4K8fIjZtu03kU8qNPSNdzXkRfw96n/jif305tFzdwJ4kLhZ +IX0Cbq76BbZbxdxIsZWLk8g7fdViqzUd50JautHCSbipk9It1rm5kFH92iEi +H+XFVLYUbMmJLFUvH07Gre7zKW8ymQOdr+g1JPJUvjZYgFQPO3KTMrAk8lZy +XxPDLLnZUUB+1fn7xP4ljvVddGBD4QdEPYh8Fo0gZaJtESu6m55yI43YnxjD +WjTXWFAG644oIs919khzCu1RFpQf75OcQazXiUK+pnRmVE77LZvIf20/CA++ +Mr8PvQo9+YzIh9103T2y03Afer/Z9PIhUQ+eF86nPmZCXX7yLUSejOq1tDwv +NRMamc/5ko378r3534of9qCfLvtGiPwZl0tOm2gSI1r5FjLziJiPsnATZgcG +RHlufpXIq2GWVaMbSvSIof8cTR6xPu6cm51i2o04T35mIvJubO31owMLtEio +ncT3BHfUrkPy/X27kIxBiTiRj0t5rXhm6u1OpP6aT/kp7gi7wF66lzuQnkbc +ESJPl+08K61XQYPMX2yaEHk7Fqqn3zPLqZGtrKtNMVFPunwJnNVUyOnpoBOR +z1Psoq5/2USJvIWMfEpwt7l70EV2U6CbmbUhRJ7vs/ITAZr+v6RoDom4MmL/ +fck9f4Ftm5SSmJZG5P+KaPo6VM5ukrLp6fKJfGAho49AZOUfUnHEtYpK3IzU +scdOs62Tqv9O1RN5wgmL3obasFVSU4BV2wvc+dNqFM+3l0mfFlt6ifxhwoWe +FJPIJVK/m/K3amL9vjjAnsy9SPo2lTdP5BVXn80LxNctkOYd2LaIPKNWR/JF +fed50sZgOB2Rd9SKaJWLM5gj7bJcYsNw83Q92z7UM0Ni7nAUJPKR7FZ8HXdu +/iDxHeuSqcfNjP2wKdeaIok3H9Eg8pQV1YkeL3gnSYqkCoPG/50f5/ki5gkS +qhE49ZqYj/6mwQLBMZKRfKI9kc90eSweH5L2lWRZTOH2BrfGZcNGBsFBkoOo +ZwCR5yw1thvgH+kluWWPRLzFndvPlz7S0U26xm2aROQ/A4d75vxXO0nhyfUP +3+H+G+63KJD/iXR3j0wRkRdV5PDmLXj3gfTfedL/9n/nT/8HjEmiLg== + + "]]}}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, \ +{}, {}}, {{}, {}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJxd1Hk0VXsbB3BjuFLGcHJcUzLP87CfIyKJkkJFIjJkjAy3QoauFDmigZSS +kzkUKiJjykqKRAqJ6JKOa470Pvtd71/vXmuvvT5r77XX7/d7nucr7RG014uN +hYUlE2/yud52SbsndaGB5X9XVlJOzQt0n+Qdz3cOrcT/+9IGteJ2tI5oqETB +8xdEQkb9zefo3wnhs9KMTiKSsju9DX36U+90xOIbIiB3KLEVnddPzRrq6iHc +5YOjWtD3bV0/SA69JxxLWAKa0cY+1s/WywwQNlr0I01ov7uKqbHXBwl4JL2v +EZ3U3zRQIPOZ0CEqrZ6hLS74MosFvxCKLduMG9CVNfSgKokxgrqzW60eLVj3 +7VAFbZwQ7Doq8xS9yYnadSH6G8HlOCdSh97cXbqm0TtJrAwk8NSiaYkvNVOs +pgmmu8ivx6S7MrwsfZnE6Hg+8xF6sZQpnVo7Q/QH6I3WoAuqpDZlUGaJztm2 +99XoNM/eTLtzc0RTlFNHFZoxYcjycG2eqPk9Xv8Q/WX/+4Yn8YtESWJk5QM0 +H/vFnQdElolcXh5GJbqIL0z63IOfRCb9+vUKdDFHX5f+4VXivKhSSjn6xGMK +01NkjYjOeRJ7H/1a7540R/9vIlTWJqwM3REYxHOuhwW8Cwe8S8n6dLPXP25i +BRd1/0MlaA0LappYDRvYV63aFaOF2Aq/5lSww3bjlG1F6FzfKdXtlRxg1EjV +K0Qnup5+z/OYE9SsyhQL0JmNOgfHW9eB7CuCeo+sB5eGVn8fF4g5vOZnoEVe +1Q9/mOGG9f1uHPn/rY/b1Dj/H8DqxlzMQ9c5Vg+v6PLCwmjs5B30fHmCnaD7 +evjHT2DoNlrc73aHfDofDDFvv81F+1xm/qvzYgN0h2u13UKzNapqSbDzQ/tq +0+Ob6LlgT48rd/nhaZxDaQ462v+PoXXWAlDBPZp7A712IyHmOFMAGKlhGdno +61+KqE1ZgpAtzJmUhT68rSWTe4cQXMrKPHUdLc0X32ayJAQJUvJB19AcMqx0 +l2JhiGJUe1xFJyvW9Xm5i0CAipXjFbTmID3ekbIJPCrfW2eiTx6qi1Lp3QSO +Bj6mGWijsM78sQxRsKlf0riMDuEvn4txFAOaxXm5dDR7etb+ZYo46LwUF6Oj +B2kiT3aPiIPiniLeNPI86mdEzpZQQLLX6HcqWjR6h9uFqM0g6NLxbwrZL9Yn +U/2tJYBr5NDXi2j+NqGbMhJUWPGe6r+AbmRfTS6eoQLz++lXyWgeYdjH+VIS +xkL5Gs+jbfJlV1Tz/4T+5ZyHSWQ99tIb6WZS0BmjVvA3emRhZmmmQgqaORuy +z5HzE5Fzr1pWGmou7L6USPbTQ/rbwSvSUCIwHJeAVhYZivHllYHcq8Hh8eT/ +JhjV+8/KQCaV1S8OLd8hHnNnSQaS8+iuZ9GdvOEjdiGyEK0oYx+L9qCsmzww +KQuh9ystYsj+n5W823RMDnx0zQ2i0c/SJSlJX+TApbZb+Qz6l6ffwUL3LWBv +5vnnabIfngWEbvm8BSyfzwmeIvd35FrYirs8GNkmrvsLHffRJVBpVB7Uu0V+ +RpLzq6Z+osJ7K8geYHyPQA9oxqdfmtoKYkN6n8PJ9wodI80nFIDP63nPSbTJ +9vAI258KwDrp1B6G3q/zw0s5XhEWgidqQ9E/i7JaXPiUYHIh8v4J9OzCu6Lh +a0owdJonLwQ9QZ/XqN6iDD1sWVeCyfmoMQ/++EAZ2pOUkoPQJatrcXvNVeDp +htozgWjF784Joj0qUJlhExKAHmOEpSkdUwUG5aOnPzr+lFdL8rIqZOf6Ox9H +c19WJfRT1SBN/peNH3oXvU5CXU4dEkpSwBe9YDodE1KrDlFakto+6MirFi1r +ihoQ8KhM3pvM4yeSKt/CNcCDAMoxdCzjTVJEiwY4tbzm8yLnYVzCv1BQE2x2 +HmH1RJd2aflEu2sCrYs554G+OlCzcaFcE3Qdz064k+thaZrfyKoFih8FPh5B +U4X7SjvstUDS485rN/QtpZkOhTwtEJrQaj6M5poNHZWf1wKuwOZqV3JeKXoX +W620YXXWociFXF/ZKWeObG1gRo3mHEJLxC4Mjkxrw9jvMPpBcj1/t1YdMdeB +D4mciQfQ7TZhoWeu6UAn75VIZ/J7q59lBtM60EyX93dCh+158ptuoQuPRGvc +HNGp7HG/krJ1oSTHymE/mlf5PI06qwu3Zfss95H5qxzibmejB5mFPkYOaN0g +i+XNd/UgWX1ZdS+6rfvk7cRfehBTdV7aHl0tOjyb7KQPocYUkT3od9atycqV ++uDTWMS9G+1k2MnizWcArlbGq7bo5a88Mya+BmD/quPHLrTC4tRCSasBWDq4 +fLEh82mLfVm1jCEY9U/17kRvrAl7cCDWENTdzry0Js93dvlO5qAhyI3x1e9A +J2R+FfczNQKx4zcrrMj8ssqr6r1hBHwzavmW6GDRFaGBVSNgi2i4th3tPEPp +Dnc1hoXV3Rct0MLidHphvTFMxg3HmKOH7u6aPiFlAsPcIaHbyDxZvpH0Ns4E +elJZvc3QMRaaLO1jJvBCOP0gjezvNdH5vdam8DRLxg7Iehyt5wotNYVKqQdm +BFpu6UffVkEC7jHMdU3Rehy9PCERBGSr9CiYkP0humhs94mAtEpPCWN0erKe +QIM5QKLB/EYjNJ2lR7m5CCCqPpHdEL10UldUlYcGgRabFvXRUjzLn8xMaeDx +kvGPHroh6VPtrRAaOO3RH9Ql83T2UZwlgwa7ep+/0SH3a5jKoTdAA5qLc6s2 +mWdsKUKB/GYgWG6fQHrOn9/KlWoGog3yb5XQnOX1fE8NbaEgZ91RGfQp4TKr +z3/tg/8Ag3TQvw== + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0], + Dashing[{Small, Small}]], + Line[CompressedData[" +1:eJyF0ns0FFgYAHBG3lINkckrj2wUlmzKTl8zhhlmTDODHrtIXrEeJeRQrUx5 +tsQqDqstjw2hxHEookWLtiIk41GiyVtNTSmv3W/O2f/3nnPPPb9z7/2+e77v +bvE5zvMnSElJ+eKUrIv04UeQ/rlZ6r/xf04+H8+2QeuscqhPyWQgVnEvWKPF +IevpnjoUsBmbrLdC55zYwJ93oIBpYEzFt+glYZFB3WkK6M4rXrdEh3d8aW+/ +QwG1yLwsC8k+/T1Nf5IC8oumSeZojlF2yANdKizHNcTukOSL2EIsdaeCSJYV +th2dHSBWePELFYQXh4+aoU+p5xu5tFFhcEOouyn6B3K3rdIyFbpyVhjb0GfU +I7RUd9pDq07699+gn8hn5h0KsYf6Il1LE3RUsnfGVLE9VG67bbgVXdBL6Xow +Yg8Ft0HTGN3c0GT2UoMG2TbdSkZolZOpsRQODVIbvFcN0Oc48RnTKTSIo4hE +W9DE4lKqoJUGke3xQn30kWjzgLWrNAh0IQr00Nc8g7oTbR3As7fwsS56kTxw +wCHCAXiHrR/ooK30DLoYtxzA8VVrjTa66OPGdZlTDmDn71ayWfI+/5uzOsaO +YDHzJo8kqU/xCvmdtyMYhUela6HvWLgL1lx1BK0FWf4mSX9KdK54CRxh7dns +KE20Qe40fXkjHQgyJkEaEjuf6hjh0WEhuc5jI/pZasEcIYMOM6oMjjr6Bj8i +PfgJHUYvD9iroUvjtPiaygzoIwXtIkryPXx7Tc6JAZ3Xv5puQMt6uTXbJjGg +aWuq7nq0xYRUfeVDBlRXkIjr0GT/qqP+a5ygxKpcVhW9h8i6fMTeCfLr7b6q +oJfNx0zy+U6QsffxrDKa2veHmNTiBAltHqNKaFLbfM+QtDPEOs/1KqKz7Hb/ +9pLiDGHdZ9sV0PfajLca8p3B94BqgzxaqBDDKmtxhoPDv9+Sk8Qr2jxxQoYJ +LB+LQll07UetrhgaEyiTzVfWoFdERSOtCUywCeOkyKC1pdfOstuZYCoePUOQ +9Lf1716SIgv0YsNPSKN7ZmPCTJgsUJMi+EmhU0h9VyLTWKCQ+OvBf9KwvsV3 +DQndLFhWNmSuojVPbv/QRXQBUWbN3hV0fA9naMTdBd5q0qyW0eHs0LtmuS4w +eLXPeAmdHDcdcHfYBboM/bUW0QIhrylRnw1tZZ9UvqLlm3h5WX5sqLdIlPqC +Ln9R9/xVKRsqazXEn9FfgsOZwXNsKLArmfiELhRf6rex2g/Zf+4aEkvuyzjw +IHo/XKR3PP2IztEcz01q3A9xTw61fEDfbwyjyUtzINJ1qlaE3ivvtzJlyIEg +QUzZe8n53HLhjCMHPI8oXX2HLu20mFH5iQM8YV7GPHq39MwSK40D9GCzC3Po +4JsTshVVHLATNUTPouna+xa29XHAMpoVPIMOTNJt+muBA0Yrw17T6MdjjQz+ +Zi5onQ/lTaHvDfgleAAXVBVXHSbRHTXHfdx9uUC4lL57Ai3DcnwaksSFBXW9 +HW/RZmLvusJyLszm3dYXorWO0VUWurgwqr9P/Q16Z21GQ5CYC89vdMuPo5Wt +xmsWN/Ggc/vRpdcS+4jHy8g8aKoWzY+i2ZPJe6J9eFBjyx97hU6MjLzhk8SD +kiZi/0u00p1cjZAKHuTTijpH0GLL6ZisZzzIfGR9fxjt5xXQPPCZBwmctqoh +9GCgTr+dtivE9rsVD6LLrHdUNlJcIcxDmCNAp9BarH885gq+Y1EXB9CUas3D +mmmucChQLu4FOkQtWkVU7Qqs+eyT/eiDobbkyQFXoESaBDxHrxi0DC6vusJ3 +i3WH+yT/701yn7mxG5ieY7j0ohn560g/M91AT06wrwd9zGcibzrcDfLDgggS +n1a/RX8d6wb/ApnuJ/Q= + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Hue[0.1421359549995791, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJxN1Xk0Ve3bB3BJJSSlDBmKTAllSoaMIbOUEikSMpMpImMiQ+bOIQmRKEIh +tkhSiVBChgoZiscsKvTbrfWu9X7PH+esz9r73Pve130NfOfcTGxpaWhoWMmv +f79MBkvSHXE/a2n+75MWmVHxhnQ3b/b5j8deKqusdq55Tbo03fDbh4VpYn9l +smoj6buHvW1pH2QS0iLqQQ3/7tdNedPjdZuIMfxSU086ZPqxbHp8BhG613m5 +jnSUmkQ9++At4nTtmEIt6eCbq68szG4RZxlM/WpIW7ZHHv88lk60M5VUVJP+ +bjUqWBOTTvxqXF54SnqaEjF3RCWd4JE/KFNJ+nNaQLfsShoRZmNzsZw05x0r +Zr6GNMJD68qjx6QN3DMnNRPTCPHByMlS0qETTTSGdmnEyoEwsRLSg1TOYEmV +NEJY08WxmPQtwZkt/NxpxCyTdv5D0ny5t+kClqlEThzLSOG/9XJa+qu/UImQ +pje7C0hnGQl2+bykEu11F63z/92/N/a06UMq0ePBmJlHmkeYY5L5JpUY6E/q +u0uaV/cKg30olRBfx7Qjh/SlXrlWUTcqQTfmeTKL9NDdBKF9llSiMOJtcibp +M/Z1J430qYTDwNb3GaQrhjnHnJSoRPiSzuZbpCdWRx87iFMJtWZ3/TTSw82V +ipI7qcTo6WtRFNLXOgTXF22hEtUFsY2ppFeaEyXe0ZHPKw9bm0JauT/vb8gS +haAPclBNIh35mPl29QSFYFqvEphAmjmN8tZ1gEKc0KOrukHap8s0L76TQogb +Pf0ZS9p7dWMQezOF6NxyRjqGtM3O/x7+fk4hbibNul0nXfl80F2qkkKUd/g8 +iCStv+5OW0URhfD7+GMsgrS8hkxEeC6FEEo1FLxKWlbi8XDiLQqxlj3bOoy0 +5F+Dlv4kCmFqNpwRQnqJZX2KVTSFOGfO0RNE+izjVhr2MArhwaPIdoU0C2+F +1Io/hXiVZWASQPpCzHLeZk8KUTBqFOdPOkO2hV/PiUKcnVJruvRvP10lpQ9s +KITE093rfUk/Ea3KlDxNIcw1F9S8Sfc5tDt2HacQe5PLAz1J19dufZ1uQCFG +cu2fepAWLzagBGhRiHZ/+gU30tExP4d9VSiEJCt1vytpRYU7X6MPUggDN05n +Z9J1XkI95ZIUwuFG1D3Hf/GzFtdYFKUQLZ7fBy+QFmo3u1fPS1Gq4ZbntYd6 +DL5+6ZQt2LzmXrINOOlJY6s12M3zI4MVWGbxg+YZsIhaffBpcPzRO9Xm4DIR +159m4M7GvZInwcpin5xMwVKnfPKOgRcN1g4cBb9nCOYyBm+MnzA1BA/2H4nX +B+fMJzfp4v462uh0wNOBy8rauN4Mu58meKfE7jIN8CVZ3v/UwBYbNgqrgpnu +Dlkpg9sZi9KUwFPKFzoUwA8PbWWWBxvRP9CWA3NlyobIgk/SFldJg49IccxL +4vns8xDfD7b69dROArwlYTZTDBz7c8cnUfAOUemte8ArexX1hMEBy1LhguDe +9B01u/F5DLMLfOCjR55K7ALPmbrb84JjpNnvcOP/Bx527wCXn5XZwgn+UFSg +ww7+844ldDvYu86uihV8NezB7BbwRY5BURash6ANNszg+UrudCbw9pd8HxjA +wnfZGDeC3U/8Ud+A8e9r9V8HrpJNLl0L1rY58mMN5pftBB8NOFIx+NRq7P/7 +1cjahGUwv73v69/gz0TP3yWw6pi43CI49ru76wKY4XlO7hx4xbWxbwZcONfN +Og0+ZdSrOwnWD20JmQA3xRRX/gCzu4VMjYH99mgIjYJliPnTw+AbwqlJQ+AW +J+GmAbBsVD7NVzBjMKfcZ3CKsb9LHz7/T1NOD7griLGnG7zjiyJLF1iA87TW +R7CShHPAB3Aur3NpO/qHxVgrODRRkfcdOIiN8XgzeNCnKaoJPFPmV/saPNXC +sdAI3v3ynuhLcDtVyOoF+JB+SspzcFHfXFMt+IKeOs0z8CNKsCwBfttQ5FgF +Xm1tzqzEfKnq6SgH14Z3b3yC8ZRoVC7DeD7N8SwBv9vtkV8MPugm0f8QnJ7e +u+UBWPn+Ja0CsC1l3eV8sKlDaHEe2IR7auguOLtEjyMHfH0PRT8LbBjeEZwJ +lq6neZIBDhzi/p4O9hwT4UkDm7ULHKWAPW6zXE0FrzWeqEwGHxupmEgE51l7 +7krA+qznPX4DbEdfdS0WbCmjWR0NdtSqm4zCfFDeyx+J58ETYRoBfj7UFhkO +/hDPSIRi/AQPTAWDw7OM+YOw/tdZmAaCmU1MIy9j/kaoVPuBKXc5J33BOoVD +u3zA2ukZx7xwv17aERfBKgcHKt3B9cOO465Yb4HfeFzAEjSGxk7gTJd7oQ64 +XuP0Y3t8XwbRUVswl8IxzvPgr6bOeufAI5begVZgdxPX4jPgF9InB06DBWn3 +s1qAu2uWDp/CerYv8TmJ8Vk9lW+K/S9s9tMxsPyiP6MJOObUnJIxuLnAwtUQ +33e8LFMfLMy13KaL/VlBhlYH7Kx7WlobfFTX67wm1rtCQIoG+BeXV6MaWGPS +YlEFPFQiLaIM5rb/Y6aE/Z65LEoB7HLPvOogeJP07I8DOG9K/LhkwUn8M3rS +4DXXzAIkwWOfix/sw/oXXewTx3xxlNgkBma7bXpIFHyt0dlFBOftkGeGEM6T +n44tAhiflaMr/GCaPyLifOBdk1Ond4JtuvJieLCeKgwILrwe+22cE3zf3ImL +A+f9zkFdNjBr7xH/beC6uMz7W8GuCiPdLGDrz9z0m8F9/hpym3D+sZjbMYKv +3LZK2QgWFzrZsAHsfe/Q3Drs5/zb+Olw3t3sMaYFx29ICKIBj3rIFa3GwPpd +LX3L4Fy544x/wElJTfK/wIrj+y8sggeVr6UugN/GtTTM4f29a+dmwN4ConzT +4GeOqkaTYPMircAJcOyUUuEPcJrE7k9j4EanX+tHwab3amWGwdED3ueGwIU7 +uOMHwL9NSmu+gInrB8f7wbL1RRx94Pxf27R6cL+STp7d4DiHkjud4Kjs0ZYO +vN636c978AC7gEg7+NVxUdNWcGjSrtAWsFnH+uK34Bj2/t43YGvLHPrXYO68 +U7KN4DWzf60bwMfUUuPqwSeSuavrwIbjiaPPwEFav1hrwGJ5RqrV4HSGVOen +4E1ezZQK8OvB2YYnYOaTG2fKwALtm3lK8flH1+s8Ard2TXgVgcfPv7jzADy8 +FNVcAKZNVl3KB0ccGN19D1z2NdAoF/wuke5yDniHweW8LHDf5sH2TIxX78GV +DHBzcZDILXBYbPmxNMx3r/4rFHCd7fz9VHCL1Z+OZPAeu9m/iWB2n0+iCeDe ++EemN8BV5b7BseCFUYnCaHC7QOfHKHCiiwtNJPhy/bxoBLhtt7NpOLgj4UNQ +KLiJaW9BMHgx+WLHFfBt0YLVAPDad+0il8EOQd9N/MCsKjMBvmDNTd/zvMEa +P9raPMHGnfm/PcDF7e4C7tjP+kQMXcFei22+zmArfocsR/BDy5mmC7j/+w7z +dmCf9e95bMF+XqLaNpiPcxfdrTG/rxZSz4KFRDrqLcG+/RPjFuDDuQvbzDH+ +QZOHzMBnXbrsToBlXB/dOI79OtSv0gRcWyA1YIz9bqR3oxH4upy3lAH40a1V +cz1wCdulMB2sv9yBQm2sT13FDk2wB93VZQ1wQMczAXVcr2pMXxX7dQWttzK4 +oIkpQwk8P7/+pQLG/8DMxEHwxRtvt8mBJWhSlWTxPCKNz0uDJ/b8iZbE9YZS +yvbh/Hqyq1ccvC8rnVYM+0vuelFRvN5gfVQEbLL84JIQON5wLFMA+2U16yt+ +sKP6vsldOO++KWzfCe7KOqDEg9f9+W24wDOuq1GcYK2gpkfsmD+FEV3bsf7n +pVZZcT3zVoGt+P9+Cz0WsFpgtwcz5pOCJoUJTMeW/YwB58nmqW/04GkhMcYN +OI8sT0muQ5f6nFwLlhQKD1wDvvM0JOdvNMxvZ7c3K+BUFYOpP+BsKa7tv8Hb +tD4pLIEl/K9Z/QRLtwpGzIPtNB8XzoIZ+6Xap8E2qVk/J8FlHjTc/4GV3IzU +xsHqN+LsvoPp39dEj4Lb5PofDYO/1E18HAJ7u0z8HgB3Herb+RWsLUUc/gze +qBfj0Ac+E6kf1wO+/mO5tBuf75HR1Qn25JVY7gA/mS7a9QH8aWynZjuYf0OI +Qyv4vd772BaMdwVr6Vuwr45m5xvwNK3971fgvqFLvI3gKxP+6g1gDh4Xu3rw +pLfR9TqM9yJf0TPwiaxv7QTY1evmQhW4xV2B8yn4cWqLUgXYfsTY6glYxKoh +rAysSCdyrwQ88P5yUzHYsrn2v4fguek5lgfgb6ocMgVgL0LsZD542EbSPw/s +LyeUcRfzQ46xLhvMef7r4B1wfk3uukzM78MWIhnglCUavXQ8n55UFyrY6Ad3 +/E3wWZGk0hTwePKvjiSwzH7jxQQ8v9+pnPFghvkWxTgwN8+CZQz4pzdT8HVw +zyprdiTWYyVjQwT4bdbccDjmO/F2Qxh4HX3ynhAw7VV9vSAwVXrBORDMtC0u +7jL4thD7Iz9wvFN8uy9YcOjXrDf4TuyxbV5gDacM2YvgowFdJ9zxfZ6vueSK +9SbPTXUGJ4wIVTlifr3i670AHu1nWrYDj4iMcduC+e6XHbLB+j3jdsYa96PL +FXQW3OxUkWkJjn6hUWeB9X207usp3A+b+Boz8MrW63wnMN+0utSOg61Ktp0z +AYsdVw81Bm/aZ5VtCNZUd63XB7PHOQ/qghu2WtDq4Pm0yfNrg6tf0atrgqOW +XllrYL+28Q1RA2+nZ89SAZuN5tUdwv2sEf6qiPE9cfOvPL7PyCLvQfD9kiPK +BzCfK6MsZcBrlqsCpMDWl/vS92N/kZusksD475v+JAa+YDu4JApW6W5g34P5 +HXnzgDC40sfcVBDnTfYmr91Y75tKEvnAuuWHS3aCWW+/buUBTzQoTXLh+ezN +YtoB7mxbEOUAO5Yr6LCB2frc7LeBedRTrm4F144V5LCAVdsePWcGf13K+8IE +HjoXu8KA8475PNdGcJ78jDw96Wk5nb+3fzDW/g9lsMtK + "]]}, "Charting`Private`Tag#3"], + Annotation[{ + Hue[0.37820393249936934`, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0], + Dashing[{0, Small}]], + Line[CompressedData[" +1:eJwV0GtM0wcUBXAec+LEFRRljvrAuhJ0xakouBmubcE+KNAHhlkHhA4Ysi4l +s8NlyDNCZqtCCI8OgYGhiAgF2tJ/KyMtELYgK7IAojIUURnY+QCxSLtlu/1w +c/P7ds4JlMiEaR5ubm4ReK6/sVNw/uBlu3lZ6sNK3EaHYZ/Bkv1oiRXy+4vo +EBkWfGEfOmQwibl9ng69lDwlDZ24b7QiK4YBZ+4MXNqLzqyzy01aBqjjHKXB +6LsJoU/f+jOhvDSwPAjNS7LNheQy4fuqIxUfocXpuTmnZplQmcqooqDZD6Kb +8liREOz4TBWIZjwjJKq2SPg2gVqzA302fZexxTcKNOfca7ehv56zqTuyo4Cc +aa0LQMv0clr7VBQ4dyh+3oreO0bmNx47Dj/WhzX6oxedTNJF9XHwnJu4uhld +28CRfvMeC1rtqU2b0GMLFzOiZCzoGX2i9nXlN0rX+I2z4Dv5iWsk9KlmVuS9 +cDZsmTW0bEBzPc9RK+vYML11Xet6V7+7X7WzPDjgRebdWIe+PJdx/1U6B+7M +57etRZ9v/lNTNsyB4oKm9jVoD+7Oj4M+4UL8Y5PGE911s0ykr+BCoa+lwx1N +qVFQw1e5wF1PdP53yW7WMTObtYnR8GK0vutfdM2QcpzSHw1tkrNaJ7p6hatV +UnnQZzmmW0WbhJ70ZwoeSG0O3Qq6xd+nCF7ywDar1r9Bf37092ylKAbyrtG7 +X6OHZOU7R4gYSDky0r2IPvlhb8FaciyMq6INL9GFXzSrwgpiYfOvJsNz9IxP +y+mkJ7HwZd8HhA3NvBXwJocdB05FBrGAnpwQHy1riwMy5TrxF7qBnNwQ48WH +dxT3iafoIPHtwthP+bBk/od4jB5J3jPAlfKBMkgyPkKTWOQ0Rj0fJn/aZHyI +9n5XkHFolA+8CC/jNLpEJrdSPARg1j4nptBVBw9UbggVQNbKAHEPvfrgkGUp +TQC13kpiEq2c2hM3Vi2AwiUGMYEOzPqF0TEkgOTrNsMY2mipvFLiFMCZ/cWG +P1z5rSnikzQh9iEZbqMDsofzg5KFcNig6LaiE+S53otlQpDo7PphNC1ll7uh +Xwi9RSL9EDpVm5qUvSyE4t2Nut/QV2++2H2AKgKH6qF20LVva+aJhQQRJMy8 +rx1AbyktenXlggimHSFdfWiDaMbO6RHBrXnoNLv2s9BOv/5bBOJWekcvuivH +j63aHg8jEKrpQR/2iqgI58eDuPrtsgmd46dhPfohHv4HI4EPRQ== + "]]}, "Charting`Private`Tag#4"]}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + ListPlot, "GroupHighlight" -> False|>|>], + ImageSizeCache->{{4.503599627370496*^15, -4.503599627370496*^15}, { + 4.503599627370496*^15, -4.503599627370496*^15}}]}, + Annotation[ + GraphicsComplex[CompressedData[" +1:eJzs3Hk0VtH/OHoiJZqUzMoQEhIZw9uYeZ7KPM/zPM9kShRliMhMI2UuQ6QU +ERlCiZQiUUQZuvt81v3+7l733rXu/eP+dz/903qtx/Occ/bw3vucfd6bzcZT +z34HCQkJ3R4SEuJ/as114aG0360k//u/3MT8+hfIo6y37N7qd8n8n315n0D1 +c+QzdL7MFd0vZOIynxR0I/+LC/jFVtYnE8SofeUZctjk8GLg2oCMe+GH+C7k +4jGW3A/9QzLWXF7Bncj3NM3fsX4YkTG6TeL+FPmsk2obNfu4jLpQhlUHskvJ +ibSonPcy0MBm0I6cONYxXsH+UeaMTI1yG7JiivNSNc2MzIlO+bOtyDX1GZ6P +mGdlWNQGBZ4g07R8NX0g+0WGpt+W/THyEWOW/pSIrzK7jFZoW5CZBu9sCw7P +y2yMx1E2I8vG95y+pLwos2RNu9VIuD/T/pzzksynL6VLDchrd5bY0pqXZcbc +RT/VI1c8OnYkk/GXTN+vZyN1yOl2w1laCSsyHcHGLx8hl81JkDzcXpWp//fl +yUPkGcOR1qbYNZnb8UE1tch7yVLVLtD+kSmkoiyrQa7a68eWUPtXJisjJ+cB +cjX5aL+YxaZMEh3vpfvIPo2MS3a02zIR+U1R95Bfi5azkY/9k/HlUPe7i/zS +w5MyYYgEHCvHHe8Q9TNI9qSxgxTMTrmZ3kYWVGRJp6/fAbqPNrWqkQ/tqPyc +/4AMlM5ekq9CLnRe4FeqIQfJdhbRSuR487ARysadIKB890QFclb7GZMvXRTA +0SvDUk7Uxy5BobHRXUCv//pAGTJt75Opd8u7gXrMkrz0P/VjufDlwB4gtVxa +K0ZuMaqb2hChgt+fouZvIa/ej9OisaaGby4HPxQhM7gUveS6shc+LBW9KUR2 +urr088yLfTAYIPTsJvKOdn4hZrID8Hyzo7EAecXLzuZayQF4HKN/Jx85wm3P +BwrVg/Bg96fCG8jbN+IiXZcOQlmaX2Yecs5MFUtHLg3kHd6ZmItsId+ZtVvl +EFzOzQrNQWbbG/tMav0QxB3j8sxGJmcnzTCrPgzBZXU215GTT7SM2lvTgjuf +stE15NPvM2KNGI+ATc2Iahayv2lLMN/wETASd5LORJb06yudzaQD9SfrgleR +vQ/cX4k0ogdZxSTOK8hkV3IN/zAywJkeBvoM5PeytE3a0wxwQqeKKp0ojyfL +tNG3GYF1WPJfGjJdhIplSjAT0Ji9/HmJaC+q/mluqsywa9r0cyrygWeHCtiZ +WWDDcWEsBbmdbDO5epkFlr6H9SYjUx4Gg509rDDru7c9CVm9lGODv/QojP3J +f5hI1IdeRnuG3DHoixSouIg8/Xt5ffnBMXi6szUvgeg/gfnldRxsUJ+ifTme +aE8PM968v8YGtw9OxcQhn6T9EOlMxQ6F170CYonfmyurM4xmhywWUpcYZK6X +DJG31tkhuTjDPBq5jypgWsubAyJOsOtGIdswUsxfmOcA33s1ipFE+//FWtLh +wAlOIgriEchtV1gZE2c4wax58GQ48padi0ml9XHQlbM7Gka0hzZ33+Mfj8O5 +7hWaUOL6rLL9Nqy5QFIzniIEOWbCzIP3ExecGqT9G0T0X4FTPg8cuYHjQtn3 +QOTx07FXLi9wA/0H0Y8BxOc8L6ef+vDAXvvuIX9kKaWAQM2/PEA6b/zcD9nw +zA/7k7En4LfXXLMv8t+q3E6zvbww/zvong/yr99vq6ayeeFDGGWxN/Jcxqpg +3fGTMLQj95oX0T/qFbwmak/C80TeZE/k25vbMXoKfPB4X3O4B/KJ7+fj6Ib4 +oCZT3dsdebbML53XgR/KGCfs3JBjQ+07k//wQ16h23lX5N1X+WXE0gQgnWtL +3QVZI6OF+RTnKYi7fQmckX9LL0Z6N5+CYCFWYSfkoOuKndsnBMG94S6XIxGP +m1j5vgYIgo0MMDogR5UNJAZ2CoJx5+u99kR/+MLsVklzGtTVrEjtkO/0CzlF +WJ8G2f6lFRvk6+P1+3/fPw0iRtFz1sT5kHSs7icVghMTByeskFkOj955qSsE +rDa3Xlsi3+RdfslTLASH5oSeWiDv+uX7iWtVCHZ5PK0zJ/oro2hql7IwbP7S +rzIjzu9u6HnyPGFYCv6Ub4rMHPX7/fSiMMz+88swIc7nYtcjK4Uz8C5+Z/wF +5Ofqfr7h2Wegj+pa0Hni75X/3hVfPANPM7jcjJH9dJr+ZSiKQANdvaURchpZ +zFZingjczlfWN0SmOpkky/JLBIo4Rs8ZEPH3pLe1lrooZFU6Seoji3gq/mEq +EYXkU3/49ZCfDfoXxW+JQuSjJDZd5Dq6qV/JxmLge5aRVgf5rWpX8skaMXBq +r9qtjWws0UfiuFcczJXPbmoi//lMuSzlLA66vS9/aCDzrC38vt0lDuf0zWbU +ifh0XPduHbsESI4tDKsh76/3q70QJQGnLMN7VIny/fXnVtZ7CeCc3ftEBTku +6zODi7Qk0LsWPFAm4pdy8aPhG5Kwd1mg9ByyF93GofFNSdgR2JqthHx+mXEw +wPws/N7UTlVEPsyQkVH55CzMx0xFKiB/KNFY9DkmBVO7vX3liXjy50bimxgp +GEojdZRDjlQ8TfJ8VgpeHL5iIku07226VT1VaXicy64FRH3YPtnle0caao7V +yskgc67/GOWmkYHyMgURaWRR8mFK70AZyOMb4pEi2gfd2lmtSRlIr7FjPot8 +JVn0YKsCQLz46n5J5AySoZNPqwCCn8STSSCv+4vQ8VPKgofikTUx5GOUfybl +pGXBpqfsmyhya+Jk801vWTDWEXsvQsTTXw0x58pkQWO4e+AMcb0SaeSi47Ig +a3a+S5iIZzsuHfI4IAc093XjCK+4HVA2Z5EDulauN7zIO+8/2ftYQhPKJJYl +dqf8bg09fFf5Y4gB/Hc+9d/51H/nU/+dT/13PvX/j/kUqU5H7enk/yP+/1We +6AEs/v8/OTE2WosYj1i2deT7pKX/L+ONyPRcgxDyda+DMYtKcsDrFHz7NPLG +bDF7fagcsC5SFgoS/eH5enf3Azk45Jd79RTxufKS4rE5Odj1l/eiALIO5zW3 +NlZ52IxsDuEnjufLRlNhKA/LOzU8+JCvOazsHkmVh9mUCeuTyAGHb3BqdsrD +u4PuhsR4ZyLdL75nUx5eX99SOUGMR4d9GfadUYCnLGlSPMi9uzJyz7spQEMx +qyA30X8TrdK/lijAnRP3OLiQiwblXrdNKkDRPaA7TozHzU9Ovj+iCNdE+vdw +IlP7JIfI6ShCcrPVNjtylE50+rckRYiUW15mI8afkgr5saeK4NcdPXsM2TJQ +wGHvtiI4adKMHUW+ae7cnyCuBOaDt16xEu1HetRIyVcJ9C4It7EgCx1lf61y +VwnOfXhay0yMn79o92d8VYKz9gblTMT52VctsBw/B6fmP+UyEuVTsiX9w+oc +cHr7pzEgPzhlOEaefw4Y1nbG0BP1U86SZTF2DvaGX/OnQ2bP+aa8SasMO8i4 +nY8QVgt4PqmnDGuJ9Wa0yAPJRd93pCvD/D4VncPEeBbjm+baqwxTmaMKh4j+ +EckQQ0elAkOMzmI0xPG6Pt+kUFWBF4V/eA8i77QwaBW/qAJPuJJZDyCf+kLS +cKdLBWpuM9LsR5a2v29tT64K5ULVO/cR8ZJGI9NSQRVuNJz9Q428KTDNfSNG +FdJlXi1QIcsPla4wdqhCfKfZ1B5kxs7FN+OkahCi9n2QEvnqWYm893Jq4NEf +3r0buanzOBdHjBrYGu1r3kX0n93BGpUdamA8UXCXgvi9YqYvXmTqoGFz6tZO +5Ee/GF4HK6qD3FxrFjkRX5aLJ5/Gq4OIh04SGTIz6d4FrW514F2ZCttB1O/T +l4OMlBpwNMTbixT5zUKwB7e6Bhwi2WFHgpzEOJTld0kDdidcMf53CZVvSSPH +jn4N2KTiUN9GpvPh+/maRhOWM2pltpCj3+iMTxpqwmc6RaFNZG8t98aTOZrw +Ln/o+AZyYuQ3h8YJTXjNYc/wF3lsVu9JwjEt6Kxcpf6DvOuJXu5VOy1oOJVA +so5cPVL/9kOFFtx5dGTlN/K6q7e663ctKDpb/mUV+dbK5WERIW241i42vkJ8 +n0xJDwK1IUX5ed8v5Ot0MzkXW7Qhsvd8x0/kxy0eirtIdcBP/+ujZWSZXXZb +Xzl0wHksuHKJ+Puc6tn5czpgbrkn/wdyxYtT89QuOqA3m5u+iCxBOr+hcUkH +lF1Pxn1Hdq36svP2fR04u9wcuICszCy7dmJIBwQDNVznkZ0usj55tqYDnFsT +Ft+QX023qMQw6QJDrLveV+SmUbt4M9CFfZTbSnPIz2s9bQxtdWHH5TSJL8hk +Guf63C7qwtrho/yfkU+uWNXfqtaFhdx7x2aRGRyVqdde68LUMdnDn5DPPEpv +dl7Rhbdl/btmkKmEZmr/0uvBCz7rjY+EbVZmKqX14EnN8uIUstZcomSgjR7U +isdMf0BO8PMrs7moB+VPaIbfI+95kHPE7bYe3FAsfjGJvCL4LfjqgB5k9Ag/ +nkC2s3BoHf2tB/E6nffHkd85sQyfZdaHkGGDknfIlcL8d1rk9MHDbPb6GHKS +YoewqaM+2E77p4wiy9XQXaC7pA/nnSgiR5DdDgVSL9fog8biNZ9hZGN3cem5 +UX2Q8+N2eIu8xd7xbnNbH0T/1l8YItrfp8QhgeMGwBulojmIrHJjP2OEugEc +pRiTfYPsaPMl95u3wX/vv/97//3f+++0/+/uv0v/vjQk5gPbzh8s5OcMQVjU +e4wYbxNpuq4UnjaHdu8j5sR4JyEdqhkuZQM6d5qniPHE7xTlGs8He3g/Z2VH +xPOGpGCSoShncOOkmCPi5f0n5zki2dxhw7LalYhXEjt4U7OsvCApT+cHEQ/m +7PPVF075AN3Iqs8rZCnGqJOd275QSpP3ux35n8z7BJZefzijJRtSj/xR0rhh +PTcQOpJmt24jf/FiZTRyCgadruToW8j6Kxs7RUVC4QOJ4M5sIt6d1XybTRoO +7lJvEy8R41nfzo8RvRGwERhCHYucvTUi66cRBcm1R9ODkM+11u2aPx0N9D86 +D3kQ8Z1hc4mDLgbKeF2u2xLnY6HLc3QzBs447Ge6gPw5h29sYioWnhY9LNBC +npzuFzDvigPdyQvsisj7a7UMCivj4QP9v1IJZEmxOL+ySwngYVBy4hTyyyKW +Jk/vi7B5WfUOJ3H8sCztTYNESH65KMiIfGD9jKWGeBIw7Mp8uB85VubwISum +ZCiXlxDfiWzbxpgltp0MIhHvm/+movgS92/f4FQKPG2MhSXk5wGqVUJPU0Fv +lefpLPKfWu10o9JLMCXYd24c2X5U4pvMxTTwcPPt6UdWVSf5OO90GbbK6bWe +IRsp1fUJHE+HlJnHA83IEs3UnD1Z6cBw1NbwAfH93kZyFYoMKDfZPVaGvOfx +RFxFQAaIXrtjdgP50Hx4w/znDOgc0JvKQA7dTKs9aHwF9Pau215Ett7+lsTY +fQU+quR/CUPuTjLSphC7Cp5x8q4+xPe/RNK9LbsKW61fFh2R4fS/1YQjmZC6 +kepjjtwTZLTNlJAJjGJCv/WQzT4vK2SuZkKFz0iwCjL3JPe7H3ZZIHo3bEsa +mZRFYkhgKAu6vrJFCyNLf9JR0lW4BvrHu8lPIEsdjJc1rrkGH63cElmRHysM +j8qyXQevGwepDyPPbZ1i25d+HbZH6i5TIrN2OfG1bl+H1ENmh/4Rz6/k5fca +uGcDozbp9RVkLlvn4Vfj2VCZXMb4DVk/KCD3hFoOiD1TL/iAfND4u6tLQw50 +kS6zvUUeDJQ2v8yVCwbS10p7kBtpO6KyM3NhOujsiTbkIs2OufgdeeD1cOr2 +I+Q/Ut7lxl558O9HvGA1staxxb697/Pg0smTDwuRq70VvSvUbwCTY7/YNeR7 +FG6F3I03oPKWf3MKcoCAqkcKVz6Iv2eEaGTJ17cXBq/mwzOGto4A5GexBvyk +pAVgYGh/zg25rOGb3CGPAphJ39Njjcz9gkJqz3gBeL+6p2mMTM/Mz/9Z+Sb8 +22U4oIFsJPuaq/zhTbik8NdAHjmdnCu/7EAhMEfeHBVDZn4bKLVwrhCqmhTN ++JFnv/4J5gwvBPHfXz+wI1N9AQvt2kLoPn3Zlh45Um1o2e1rIRi6n/myF7kg +WFw6/GgRzFSMuZAht/V9UokyLAKfTxGL6+h+srJMhSUgpQhIjnH6LCJPuvd0 +WLQXQZrpi9UZ5Ik73XKSa0XAfN0jeAxZjTHr1m7+W1D95tBWH/J6fsT3Fza3 +QGJfY1Qn8pBjN29E9i3oVrUgb0J+vlZpc7zvFhjFkyXeQw44eT6/lawYPrVV +UJUif1LbmNaQKAafTc3LucgHaB+e7fUoBlLxXzTpyA6vcu/JlhTDZd/sa/HI +HAqt58rHioHlnjRjKHLpFx5K0v0lUP1tOt8LObt/eFtTsQQkuRLZHJCZX7Se +SgsugefW/KWmyE/N3hS33y0Bo/w3PLrIqTMrNnMzJTA7Gnj7HHLtpZ3+OxhK +wfcwi6AUcpjnu4/7tUr/1/33VKrcgwOxpf+9//7v/fd/77//e//93/vv/95/ +/9/efx9OdT4zQJyf471zftkGsJvmL3c/Md+dO7yXqdUAtq4nM71GPkg/OPl+ +1gCWWZj29yHzHamtaKU2hM/F1Tt6kWvGUswfCxvC+Amp3y+J9ssuvzBiYgiv +77362kO0F5fnivtjDKFTxHzyBTL5IKuxbaUhNDZ/73+OPHJE/9BQvyHckYvo +7EZWCIlytl43hFvd+xqeIf9teq1KdcwIrmnerO5CLiUJLBlQNoKUwVM3O4n5 +c2+j80NPI4i60HblKeHFuaTa60bg90EnoQM5w81mta/VCJztPwYT9yMm4Rk3 +KOaMwGLe272NKH/fRU+TA8ag573DupVoz/e3LXvEjUF57YrBE+TxqwbmRtbG +cDacQ+UxspHn5fPbScYgSPbwbAtxP+BvKt9RYwzHkxRPNRPto9qb6ta4MTDs +f8vehHzj3fWSfPLzsC/L/kgjckps4fZD/vNAxvSbsgG585XLnjmj87BWmLBV +h3w8YLpBNOo8LHDRLT9CPtXz729h5Xn4eLv800Pkgcvd7VyD5+GtkPhoLbL8 +ptp29+Z56Gl4/rIGeaOkvCiO6wI8kbnQ+gD5ofeufDOdC1Db+bXmPtGe0wo/ +aodcgAq1kLJ7yPQWj6wsSi7Ajf49uXeRP7hmUiX2XYAMo7xLd5BDLbIHX61f +gISJk9HE/RrzN+4qPg4TCLFp8atG3vH0UVS5pgl4zmk4VRHtJb1NRTrIBGw9 +Jk0rkX0n3/z6fssEzq+4a1cg6+yP9W3sNQHNkG35cuQ8A9/Gm+smIEdyWbQM ++WeObn0hhymIJhzlLSXiY9iIRYuWKZykvs9SQpSHdE/Rz2BTOHpF9mAxsnvt +X2+FUlM4TD9ATtw/Fssqtd/tN4XdBdbrhUT8eZoRfWbTFLY4fs7fRBZOHiwZ +4jaDn5UxHwqQd6pQslzWN4PPpw4N5iNfopCesI00g/FHxc9uEOfLGDekV20G +/WfPNOUhHzvyb810xAw62zvv5CK3PBkTjyQzh0Zlw6Ic5Mvbakktp8zhbu9s +JnH/6jOWOX7IzBxu6QckXieux4qcPT7RHK6PUYRdQ+YtW9Y68MgcUiyve2Yh +f3rQavDoozlEzXLbZiJH+k0c99tnAf6uDUZXieO3tD3UOGsBzssqaleQA3iW +fsk4WYBF4Jh0BtFfWP+8VcuyAP0t59PpyNKtfppeHRagHPuX8zJRHiMUmvd+ +WIAUZQp9GjE+jJp372KxBMHLTNTE/fXbF0L3gtUs4Tjt7X8pxP2yrOoaeZAl +MOZJ/Uom4ree55XKUkvYx9b7OQlZKjHc13HQEsjKzd8lIhu6Vp+7SWIF63yL +vReRTVX8nK/TWcFCTUR7AnKyQBbTDQEr+Ci+/1E8chrtZaM7Slbw9snNijjk +94fmDr00s4IeRcEbxP29yoWTmqu+VtDa03Y5BjnoxNeNkylWUKujGxuNnDVc +x+B5ywoqhj8GRCEPNwsUPmm0gnwzH5dI5BPiS4mMA1aQMb3DIoL4/YqbvTFz +VpDgdFU3nIgHdp8d1/5ZQegih1IYct9DH90gOmvw9HsoHkrE1zdrsTtPWYPd +X0W+EKI8pvj/FJyzhvNRb48GIx+YHahStLAGTQqHQ8TzB9fO0iu//a1BPvU3 +RSAyu4xX1cNL1iBKc/GvP1HenzfnI0qt4WQ23aIfcvpRZl3Dx9ZwjLXioy/y +IH3xoNhbazhcIv7Wh+g/Xa7uXN+tgZL3xXNv5KoxA3q2nTawde9Cixeyapdc +HzeLDfwU+XbPE7n7LmOSpIgNfGkOKSaefxh6vJK/oGkD43JU192RfyeqrMba +20B/d16yG9FfTsbnNoXbQJcmX4Qr0V65wvm2smygcbDF2wW59cupUvW7NnD3 +gqa9M1H/gUXbpc9s4NaHyfNOyKQ+74SoP9jAdXsPDUdi/CWfOxuxZgOp89vg +QLTf2fFDm/ttIcr7srA98hWDVw/jeWzBf+0otx1y5sEheiY5W3AJv89IPJ8h +NaGRbLlgCxZkcvtskFdnqmicfWxBP2mA1JpoL2NdN9hSbEF5v82qJdG+hi6/ +mS22Bamsn3MWyK9GJe4+arGF00yxE+bId3X/nMh4awvHiw71mxHjB9Vu2aBF +W2DkLnlqivxIsOSbyy472H/nTL0J8kuFA5zOx+yATLiring+pMZ89bOPhB2s +NxgWnEcu+xjIl6hnB99lPmcYE+2DYt9ShasdfOwMiDdCbjhXefJtnB0Mq+0K +NkQWKSgaoy6wg57+624GyN6q+iva9XbQasRjpY/8+AlrQEG/HTycaNDXI/pz +ldOF9a92UGGjqqxLlP+3nGxzMnvInxuT1EHWSDkq0MdsD1c8XAS0kTnaXQ6r +idpDwspfNuL5lefnJaUBbXsIDUmh1UTWCzj52NbZHjxJmCk1kNt2Z/uRxtqD +XcLtTTWi/LQaHKpu2MMFauklVWRjapo0izp70LzSO6OC7Ex/apal3x7k6S1G +lJGrDfId5r7ag1jBYs855NzqReonZA5wkjPyiRIyJXXjmwIWBzhWtb+GeH7G +Zn+tJlnMAQ4LFpYqEOPzLc7yaF0HoKwTzJFHrinfWR3r6gDbZ9tT5ZCtNT80 +pMc7wM923ShZZAUz05cVNx3gi/K0LyA/TeKefNnoABO9Po4yyOR+q3N/Bh2g +X5/MVJqoj/yQr0KLDtA1dlVLiqhvV6+xgN2O0GTJKX+WaJ+smbVd7I5wd/ah +iCTym9dlPkelHaHYVekE8Xxv0Mv9YJyxI1xffsssjvy1437yL29HSA10OCBG +2FB6zD3VEaK3fpOJIn+PnVn7WeYI/rEX184Q7cM98GNMuyO4UNLPCyMX/u5P +Z5lwBMvLFe+FkHPaX5I8/e0I+rQSb04jD/Ep8/kedAKVvBddgsiBWlz7BPic +QIrNpJF4vji7pF3065wTnC7/dlsAmefy3amn1k7AxR9ayE/Ul65gd0GYEzDW +UmXyIZNQdmvHXXeC/RI3Lp5Ezud38vercQLyVr5QXuQ9Y9TCnr1OsK742OME +8ubTB7F+c07wvUfThgc5Vt7YJo7MGT7qvDfkRk6RJe0pYHWG4WEPVS5kB5n6 +R08lnOGl2T+p40T70ow79svAGVqnLwsSzz8PUgfu5PdyhodOxzg5iOspLrL0 +TnGGysX7dOxEfT1l4G0rc4Z8PzkqNuSY/HVLhg5nuPJ3YPsocvmsyWbYpDNc +jLL5yUr0zx6rv9/WnSGU4tcsC3H9q/yGtoddwCs1dowZ2T72667Pp1zAjuZw +LxOyDcWTvb7qLnAhu6SNeP7qHDFmvcfRBbRYRR4yIHcZu/+rjnEB+ZKucnrk +i453PxoXuIAYr1EeHTHezA2R7WtyAb77n9OOIM8FMFv3vXWBY6KBMbTIlvs7 +V7KXXYC2ZVfAYeL7lPua3Pe6AqV8tvMh5AVa2dsaJ1xhu5vHnAa5RLL4mYiS +K/zSbNQ5SJx/fyz5CWtX+DKoqngA+VTEaWuucFeYuPBOjHg+nC7+b4Q/xxUG +Pric3Id8XErBDh65Qpf9ButeIr5QGZOaDrhC03wKDTXyN7frVVHfXeGuNzMF +FREP7O1M71O6QfHa7T+URP2Jsu3/dtwNssOlv+9G3jA7084v7wapZH1Tu4j6 +T97lHmrhBtFJFkMUyB1lu/YNhrhBwP4f3cTzaaHukptnrruBS1ZkMznRHgX1 +WApr3cCS6cA9MmRh/YQY2n43MCgqvLUDWbarqSdzwQ1UuE9fIyXigYLbMgul +O0jfaU8iIcaDKsaf94+7w2lhvfB/qb9br62rPNeUdweuxmmvbWSHc+GeKxbu +wAS+dlvIas9kZ0pC3WF/F9n5TeTNtqNHLbPdgVw9U30Duf1KJSvHI3f4088J +xPPz1AqvyaUBd/hu9EjoD/Kp8D3GzxfdYXpCiWsdOeHa/ouVVB4wbDPMsEY8 +b49hcs7k8YCXcw57fxPPj9++Wk9U8oA2jzWSVWQ3zq7TF2084OHKxZVfhCkq +91+O9IDKEPq5n8iZ+46lFd7wgAKSyvFl5IqeoYrmRg+4kiDxmnh+z9XmZzo1 +7AEXqXs6fiAnOt8v2rfiAaFXTOoWka8Knw08d9ATvOjnK78j3w55OXJRwBPs +C0LzF5A5Ehlb36h7wgVO6ox55IzLn3i4nT1Bq+pG3DdkvttzB+ITPEFBkD/o +KzLP+zmfhWJPEKt77DqHfHh3lZp5uyfwSWlZfiGely/8ujry3hPYOt7rfUam +5c1QM930BFoVz3PE+kJfPrfICrUX7On7J/EJ2U4iMrCVyQu29dP5Z5AjN8Rd +LvN6wa+xY2zTRHl9BCo7CS+Ys3xw+CPyB+2o89IqXjAxK7d7iri+Q3PaTMZe +MOD6ZuM98jELhx9b9l7wbNnmx2Qq8Xxp/sysnxc0Bf6ankBOV3BhHYj1gntb +scPE+sac0NvK9iteUBx7uOcdcoo229u6Ii/Ipix9PIb88KFiwf37XnDpssiD +UeTecVGye61eEE37rGQEWTJvibSmzwsC8oyyh5FZjrtlN056gSvbl5S3yJwU +pZ1dC15gWR4YOYSsx5kdN7zhBQb8u30HkV8/Vhie3+MNKrXZDm+Qax3L63cy +eoO0xAmTAeRx6k4uzhPeINTaqEmsv9Qu5LEpi3sDl5Ka3GvkA7lCZR7K3sD0 +8t2ZPuStP6k1uUbecEDXlaeXaP/yDxRf2nsD+cgG0yvkd3/KTEj8veGPWer+ +l8hJKwE/JeK8YXGamawHedXg5J6gq94w7XTn93Pk6aTXN5tuecPIovS3bmQb +Ta8HpDXe8NKvb5JY/7lXzCSt2e4NbX8tBrqQ976bks3v94ZHUT86O5HL4vub +lj94QyVFVMNTZI+YzQq1H95QkHrgdgcy2c9Ayoptb7hKU3SznahPSeN3lPt8 +4GL26attyE923mfwYvGBMNaOhFbkCMjpesfnA14leiFPkPfRnpxQlfIBe94Z +98fIA78iTB+r+4DJfV/rFqI9Jt6TEzH1AS1RckNifep5y2jqAxcfUGjJVGki +2mMD3VmhEB8Qlz8u1Uj03w/JavVJPsD3/NGpBuRvfbqNsjk+wKZ1jqOe6D8W +l+L7KnyAdmj4SB1Rvzct71g1+MAeE8c9j5DlRucF1rp94N+Hta1a5KhEo91X +Rnzgl33icg3ypG2X2OkvPjA3Tz9LrI/16lk2D/32gUnvytH7yILeGhlhFL4w +sCbx6h4Rn/bW1fMc8YVn4T2td5Fby57xjR33hWYy09o7RDz6Xrl4ScQX7iXN +l91GJmlP3Tin5Asl+8Nyq5GbBPJ1yQ19ITuLOq0Kucp7z48uOzTVYcqPriTW +45bJ36T4+UJMEb9/BVG/8GTdMM4XArifOJUjX2RwtubM9AXXO1pmxPpdvr3E +nrViX7AS/qBdSrR3Ibvl3lpfMGj0VChBHn54lLbyqS+oAolYMfKKarFv4qAv +SHel895C3unLTeU24wtC6mysRcg7hmfH9H/5AvfAg4OFyOelGN4DmR8wGcvv +vIm8mLFFK3jIDw5MvlnPRxb99DqSk8MPdtraLhDrh8LWfQwswn7wZ+7Xhzwi +/hmJTzMo+MGiR9xgLlGfglojjPp+ML1yuDuH6K/BumtHbf1gJKS0KZtoTyNx +sid8/eAViejd68iU+/geiMb6QVvCs6JryDF/U5RVrvrBI2rjrCwiPmZ+I7Uo +9oOqK18SM4n+spD2PrDWDwrog8KuImfztY9nPfWDqwW7va4gl17tWasf9INE +zhxbYn0zP2hV8P2MH4RVnTBOR/ZXvhS/e8UPvAWb1C4T/SXq/S9Rcn+wr1OT +SUPWbhANcT7sDyZS46cvIatnfTpSyOkP2h2ux1ORtfrFnr874w8KKpv0KUR/ +Eo5OZVDyB/G+VOpk5BPXaWzMDP2B34CFJAn5/qyMcrG9P7C9u/OLWF99R2si +uejvD0esZL4kIFvwNUpIJ/jDns997+KR4yVrFNKv+cM/V8u+OOJ45jeMvpT5 +w8ryj/ZY5KyW117y9f4wFxj1KAb5dHJpelG3P0xuHaiMJtY/SYMeko/6w5vY +ohtRyEV2t965zvnDM0qh9Ehkr39RpCPr/tB8uSM2IpV4vufNfY4yAO7R6geG +I38/PqDWyBAAJXkzLsT6b5kdiYsgbwDksPlZhBLjC1jGVksGwKVycr0QIn7T +a2fxqgdADH+WUjDR342ECu6YBkBg7XGJIOTj1m43zrgFgKtEHV8g8flN67TW +sACwaj13LAC5JyTQR+tSABgqjRzyR/a8cFj5Y34AqL503OWHrF90c0/Q3QCQ +0V3/S6w/33gU3UzTGgBCI4mL3sR4+Zvj/IPXAcBtzjDthTzyZOm9/lQAMM9U +vvUkxnMHfa2/SwFwwFnyhQdyjUxDSQlpIOz80dPiTsTzK6lTejSB8NfP9L4b +cbwSGZKdHIGw+He+2BWZ6YH0jmbhQJiJCrvuQsSb+T+f/BQDYYRib4oz8q6w +T2VChoHwKjU/wgnZPMbz3Ip9ILTTCPgQ6+MHafZ1NAYEwqPsJ/YOyIeEz9DH +XgyEKlbtC/bId6eMlHWyA+FmyQcNO+SO3QuabJWBcJXXS9aW+P0UF97fjYGQ +eJ/kjA0Rn8TVxvt6AiFMNIPbmoiPA2SW1eOB4N3CxmSF/HHXwYcpC4HgIF+z +zxI5IGRh1HMrEEyey++wQKaqP/jKeF8QaGsNrpoR5Sm+laJwNAgUh2y/miJ3 +OfDRCQsGgbjJyoQJ8r9MFjcuuSDgn4rrv0C0D1KdJBa9IGB3oO08T3x/WMGd +3jYIjiyU1hsT8fV4yBE6vyCg8hGtNkKWmPeLZYgPgn9rzwoMiXi8p77m6LUg +WAk3vmKALKPTeetEeRB8JZuL10euc2fTE2sIgsmkoGDi/YHHG/qtKi+C4M1+ +SnddZKGg6Tnzd0HQnZVjpYNcrWTQGzAfBM1MvAbayC1Vp12vbgbB/aImZS1k +lQGy9tq9wVDCrX5Wkzi/LoPeEdZgyLkzLqBBtIeAe2n/TgVDmrAbuzry9dqb +ZCflgiGmcZNWDbmCr4bXRC8YAuESpSryZ2+/HZdsg8Gti2VLmRhvfvolPfUL +Biv1u0vnkI9uyj/Zig8GwwGZT0rEeNjkcfPs9WBQNX49oogcKJJ0MrwiGGQm +LV8qIE/pcVp3NAaDsO3SE3lk48E3MlQvg4H7a1SNHPK4Hs9T44lgYPY8WCaL +PKPcuFD+PRgOrhblAHLtDqamje1g2BkqdEkG+dHtmRP6B0LgL8nTKOL9in7l +Ksm7bCHwI0HfTwq57Q7FPLVwCMxQf3I8i+x9OUPCUzEERq/4mUoim/h94nxr +GAKv6HdqSyC/bcyplnEMgfaCLHlx5LArGq+qg0KgjpNLVAw5dmdOPHNyCFRV +1Z0QRWZcZBpOzwuBm4LKLCLIT//6tOy+EwKZdSMHzhD1e1tKLO5JCCRKOZH/ +530PY3olsv4QCO9YXzuNTHO07FP8xxDwVkmaF0Ru/5NwmPpXCDj0MXw4RYyf +d8wGs8hDwdSg6o0A0X76XhzlOBIK2u8kn/ET8a7de72WOxQUrV428iG/aVw3 +VZEIBYnPpndOInPXk6lOqYUCv9tCIS8Rzy6KPwk1CwX2n2GZxPsmGU+lmxg9 +QuFI0N5EHuSQN01nH0eGAtV2fig38vonYznbjFAgiRPw5CLaw+/HL6iLQ2GF +stXmOLJY37XBxoeh8PWythEnsuXfVHOXZ6HwnnZKlQO5YVDdgXU0FN7keUmz +E+NtSOTC26+h0M1GepoN2W50aDF9IxSayzM4jxHXk/fHVXtvGNznZ6c/ilwv +ecPm4NEwKK2toSLeh3mw7DowLBgGORIK/5iRN9rp62/Kh0Fa6+BPJuQBb30a +N4MwiFWy+8yIXJnS+/GsQxgEvlwZYyB+31yCc39QGLjpxvfSE/17XXV0NikM +rEdo2+mQlU7XbrbmhYGhednDI8i6N06k598JA7UZ0QpaZJYMm4yI1jCQce7O +I97Huch9+J/NQBgI/zC+fIjon2KrI2ozYcDjPxdDg2x64TGj6GoYMG8EBRxE +vjTD+YxzVzgcjKZ0OYD8av7T6BGGcKDYlWu+/z/9u16X+mQ4uhfk1d2HLBlj +dGqndDj8oGlW3Isc/CPci1Q7HGay1cWpifHk+tdDpNbhMMo6cZIK2UnOiJ7c +Nxx6S9yO7iHij3t02J74cGjn3aIh3hfyuXACDl8Ph7r7lyh2I9/7S23JVhkO +1aKsfymQu0kX3p1uDoebLXe/70RmGE14oNQbDpny8JEc+dbNgo9mH8Ih8fnr +ITIi3gqtOgcuh0O4ltXzHcT5smuqZpFFgM/QUjMpcn69c0QdbQQ4mETfIyH6 +1/K+3ePcEWA6dbCYeF/pYALp7A7JCNBxuHVtG/nfe4rDAhoRoLgglLyFLM08 +e9XMIgIkfJ6GbyJPHrS1TfOKAIF1fe8Nwsoq0U9jIoA94pPdX+SSP2YLG5kR +QEfuf/4PcgytS7FYeQRQJe/UWEfmlZIoDWiMAJID12ANWawtc6nhZQSsZnEJ +/ybebypVjtuejICvTPVcq8jKRSyWyksR8L5ImZF4n8qBfiby6o5IGOQe3fsL +mfWY/ez04UjovuNE+hP5V5h3mgh3JLQI/1lZQta8NRycIhEJ9xuT5n4grz+w +LfukHgmlwDixiFwVOkcjZxEJuV1Vr78jx4aJPCn0ioQ09bNPF5Az3jOVk8VG +QuzAy7p5ZIMg91fOWZEQZGxWRbzPJftqk2+wPBLcJhfyvyIr7c7vhqZIsLYN +z5hD1tvJnnfvVSQYft0b/wV57JxVGfuHSFDzLAj6jGzyl28uezkSYFXAbRbZ +a+PuKcodUSAc2mr5CVn9vV28MlUU8JDq6M8gH20q9rt4OApYLk6dm0a2DRzZ +fM4SBQf3ekt+RLbTc2Oh5o4CiqukAlPI1ON9b3UEo2CD/gob8b5ZyYrr8esS +UfCjgJ32PXLe2/tUH+Sj4BNn7e5JZLVdVIk8GlEwWqWwOY7cYD+X42sYBb2C +Qz/eEcc7Wi/bZhEFHXV2M2PIJI9mQ/c5RUGd1OrwKFFff6bVLLyjoLojvmcE ++dEB1jv3QqKgUOXIk2GiPLwpbu2Ii4LMvrIHxPtuodNUJ4wvRUGSgVjpEHJp +WIHcnWtREP6uO3uQeH+uUmCevDAKfKzOp75B3mDjP25RGQWOn+ciB4j6Wd7z +o6EmCkzdgn37kVN8JFRoW6JA5yel42vkRG1eQd+uKFAKyjXpQ16K0S570xcF +Etu8Wr3IdUZMVcKjUSAQ1yz3inifzY7k7LWPUcC+R0PkJfKdq97n/36LArr0 +CR7ifbwc5mlSq5UooD7izvwCeTGuS7R7KwpIbmztf47MU3T596ld0bDKlkbW +jbw786Fs7oFo+FbOutZF1HdfxWEKxmh4z3/vWyfxvtq+j96+HNEwWAvvnxLv +t1m/MZ7mi4bnEv0DHcgKx3526YlGQ0urVVc78vLyo+ZOiIYHSssNxPuALj1X +xcVVo6H0ZfTtVmTTb6RSd/SiIVeXpvAJMsWHgC4Os2i4PHLr6mOiPcQ4D+XZ +R0OsufDFFuSzf3ScaD2jIWjmaUgzsnFdSVR6UDS4Oxt4NCF/CZhg3hsTDdY/ +Plk3IpMqekmlpESDkb+/YQMyrc/o+z1Z0aC2sVO1Hrmp8BJZakE0nD2XLViT +/P8+nxe2h0mJ/N2aPK1Pg6tLLYINmbJEvm6Jor/9jts3W4R55COJ/NxRtawX +7/wKWlK1Pjwm8nGjlx6K5KXnt8ScdNsk8m+T5AQ66KZvtJi1zkkS+bdR17e7 +Tc/faLHcYxhM5NuaDyQavJ/LaxmgflBP5Nd+tfpy/HFqXsufZ5urRH7tUnbC +LxXIa2GRED9D5Ne+zw0bFdnKbYm1tfUh8mkZCq32sXXmtnifi7hP5M9qet1c +VLqS28I/nbhI5MvGLPSQaDnktmyJxvIR+bLTOQxRpyG3hVvJ3YXIj71xfPkg +O3Nuy09q5QoiH5attIA8bDOnpTjtwGci/1WzuHey+UNOS3TPCw4i/7VI+/hI +QFdOy0CbjzWR78p28pKZ4Z2clnfeVDeJ/FYWbvrFfddzWj5OXp0oQWZVi9jj +GJPTwr+TmpHIbw0aF3vN65nTQj7na0zks86UZHCdMs9pqU54mUnkr1o4thlr +a+S0OH+keUPkq9bPMsy5SuW0xK2r7ifyVRe2vzx05s9pkXvlpUHkp86+ajh7 ++mhOyxezi0lEPurFoeMUdw/mtDRXXXpG5J9uvboi0EeOjlcXS0bkn8pMlv2L +Xs9u2R3pLEvkmyY+3FfQvJDdQk0B4UR+6b7c7JceH7NbjNTJmy4T7+uNGJal +D2e38Gs3/ibySf23KSPpXmW3DB+0ECbySW2Pfr/ztz275frVn55E/mhD+7SX +UEN2S91QwG0iX1RjZ2F//d3sluC33+aI/FAJhTMJcaXZLVzXtI4T+aEiAg9n +r9zIbiGju2VN5IOe/qfZO3k1u8Xw/Gw+kf+5foAiyyolu8XGhP4dke9pSUVD +Qheb3eLNcvYIke95gLVeaCsku6W7SFOPyO90St0s2++b3VL1RTuNyOfMF+ll +V3fNbrH8IddD5HNqjDyouW2b3SLQyEFB5HM+4m26edosu8VEaVWOyN+ccB5w +GTHIbjmZWRdO5Gt2tNI8z9PMbvlc6thI5Gfy39PMDjuX3TIQsnuVyMdMSf09 +GwjZLacP5QgS+ZhnJQunUsSzWzQ9GdyI/Ms2P653daezW5wvJ5UT+Zb+1vwK +a7zZLb2+X6eJfBmugfPlHazZUo+ZJVgdsf4YlRx0wR6zyePyTFvMVx89e22N +2dP37R4rzGfWBpUsMPPIdUSZYU7XLWw2wVzL4/H7PObhZydPG2OW4RtzNcQs +dCGgTB/zmibZR13Mb/ZEMelgpkxfMNTCPD2pkq6BuXgls0cNP7+hfnJVzEvh +mzLK+O8t0wUrYT4qwFGrgDlIhPW7HGbTXZTcspipS2asZDAPUN3NlcL8Q8Zp +SBLzHWmafRKYtXffVhbDzHRTJFoEs/GOe03CmFWE6FdO4/VzyptfELPVn0YH +AcwHM37e5MN86TfjGC9mRl5hmhOYt06eVefGHLYpFHcc83ge42MO/Hh7fq6y +YdZVaRQ4hvmXoZcjK+ZUYbpCZvz7H++MMmKuszxzkAHz4N0qVTrMG30HYmgx ++7c5NB3CHB97++dBzD7007wH8P4Quct2H+aVBuY8asy0XWyDezBzlxyhosTs +ZbQhvwsv/4nXITsxN4lk1pBhVrZV+UaKty/7BTYSzIlnoy4Q74f+j7s/k2Vs +YmZ3DHz+F/P7lnf/1jHLzvGLrWG+9NXLYxXznvbi0l+YtzyeTSxjrv41emgJ +8wXtcbVFzBoxvdELmHtS7zV8w0znGf1jDnPwCQWuL5jPtKyYzWK+zH3t6gzm +Xlfuno+YRZIqSKYwU0UxiL3HnKUT4j6BH3+jp/gd5pFIqnejmBk/nD0wgpmT +wezcW8xSAm5hg5hLWd1qBnB/M517jTnmylnWPsyRR6gMXmGeDuhJ6sG8XBvc ++hzzj1761WeYObrKebswD+RwWT3FLK2RldWO+e7Er55WzE7q8iRPMN/PjhJp +wfyy865LE+bt169uNuDtpendUB3m1rhRykd4eQo8k6nFy7Ox2PcB5j4O74p7 +mMU9BSbvYM7LGz94G7NMZdC5Ksz22TtDKzAbOsfcK8Osx/xjpgTzrQfq9MWY +k09kaxRh1oobirqJWbiD5FE+5vAZ5q95mH3neFhyMZ8f4NTNxuxdcCD+GmYy +nYWGTMz6n+sXrmAus/Y9loH3zw5Wg8uYHXY3XbyE2fyMUnMKZpdzbYtJeHuQ +OcmeiNcHS4JhAub2mf7EOMyD6VQtMXj5HRf9EYU5rkiHPRLv/ztNDcMx79Mz +TAzF228CNAdjzi5hWAzErFo9cywAs3Jevr4ffr5+ygk+mEH8Y4MX5o5Zl3kP +vL+Ff2JxxyxAoqXjivmme3mMM/57z5YeOuLXu4f3iz1mJkl9BjvMU4Zu6jaY +P5v7h1th9tLzuGeB+amw8UczzMd3CB4yxTz6eF3xAt6fHR8EGOPls32hwhCP +f7E/x/QxS6yFUOlhTr3wS0oH86sqUw8t/Hrna29qYOZm2uxXw+Oz5Jkdqpjd +1MyElTHrqvnZKeH9XTIsSwHzHya/Z3KYFRZN1wDzzANhHhnMzI4b56XweL+v +NkkSs3u5SZM45r3CP7+J4uPNg2AmEcxX2ZfVhTGTXjwfdhrz3Pt7t0/h/Z93 +bYIfby8uAnv5MB8pMJTmxXzxmZs7Dz7ezvjmc+HjyW+XXk68fLZ0t9gxk2zw +8LNhPrb4w+woZtuRslQWvD/Va7Yw4Z9f+jTPgLnSxJWJHh/vj06rHcF8aFwl +5DDmtrSblTSYPSQ/jx7AbP2eefd+zBMhCmJ78fHvgIkDFeaIAqssSsz8XMad +uzD7l0v/2onHc/bD7OT4eHf9nc4OzOm7MiJJMH/xFrtLvL/zv35/pHdiE3Op +mAHVBuarV3sk/mA+Oy/otIZ5WubitVXML9N6O3/hfz9O9msZsz8nL9sS5icu +stqLmE3ungtfwHzph1T1N8y5Ahxjc5ifuf6h+ILZsLz1zCzmlI/+NjOYqxmZ +0z9i/qtX8/gD5pZk8flJzCIdd+knMFf8OXzuHX6+p119RzGnOT8oHMacdOtL +7xD++cTejTeYP9Jx8gxg7jbgNXyNOebqsZhezOeHKO69xJxKNzn+ArO1efHu +55iZyy6IPMNM+vOfdSdmfblraR2YjTKZm9swa81f+fIEc+S5P4ceY+Yr05Zt +xpy355pbI+a9fq+y6zE/n/7Z+QjzPmPK5VrMnAP7WWrw4+tSqN7H/Hpkwe8u +5nm7p4W3Mc+uJ72qwrwjU3a9AnOC6BeOcsy1U+H/ef/if9x3hTy0GDOjZmhZ +EeaJ/dMDN/HyGhffysf86l4kzw3MsZfq9HPx9u43GZGNuc1+pfIa5l6rjaFM +zCccfv67gpkuYIw3A/N4+n3Dy5ib6gKjLmFe/SJQnYJ5gHP4bRLmK+7uJImY +QztWeBMw93O4GcZhHsoYjIzB3EN9sioK81qmz1AE5gLequ0wzGR9AzyhmJ0j +v+oFYz4Ey2GBmJX2fi3zx6zwrb/fF7POcMVfb8z3Brw4vfB4NsGj5YHZb60/ +0A2zFbtzkQvmO+bLPU74+Vc6rzhgDqB4w2KPOdiPV9kWb4+/fLys8fYdX51j +iZmLZ6jDHHPg5MK8KWbF0tXDJnj5Ry5Kn8ds6T7iYIT5jMf9ywZ4vI4JbtDD +3Fol9FEHj3efxym1MSeL+QtpYr5/Y9tEHfODI0Gxqnj/K/1YrYz3T7WzQ0qY +vcnjNxUwhw094ZTHf69pTkMWj9f1O/xlMFf1UOdLYV5ZoeiSxMtfdHlBHLPP +5ZeHxTALkFyTEsHrI1HHThjzwomNlNP4781k1Z7Cx69Hx8b5MZ8qytvBh8eX +UgpeXvzzTmtdHsx6m7eDuDCna83d5MTjZfOhbnbMLvKnFo/h490nSdqjmEeK +RKVY8M9D2G2ZMC97bCcxYD4X2XOfDm8/1QkjtHj/XxHaPoT/nslrThr8+5Om +6gcwy4WPeu/D25OkUjY1ZvIjt57swceT/T8+7ca8xMVHtQsfj8wvnN6JuybA +mAzzaa64cFLMhY3R/1k//R9Xu3m+2MJ8DTR/bGC+JcRE+xfz4XNjkuuYBUIu +Wv3GLPz6eMIKZgelh9U/MVNNCg0sYba9VvR7EXOtNwnzd8xSntpy85jlL6c5 +fMW8+83jlC+Y+8Um789i/tC28HYGs7/7wt+PmEekJ45OYVYWalF8j5lSPdV5 +ArNFokbaO8zJ3zZrRvHje+ePDGP2ZRXYHML8aOnusUHMY3NHlQYws++Kdn6N ++Y36m0u9eHnXH6p5iTlQVWn4BealHY5/uzFPzASxPsMcsRAi34mZnsXdoQPz +or92chte3mtsd59gNir6NNCC2cPv+moT5l4vSYZGzA+v9UrVY3b8rGP1CDOP +VWdsLeaz5DzlDzB/fBPacw+z+avW73cw/1r6deA25k+y9GeqMPu18BlXYJ61 +PR1ShjlEjCu/BG8fYlRttzAz2E1NF2KueFy68ybevhVNefIxZ62TqOfh9fPu +mnsOZu1vzOnXMVvyXK3Jwjyf+WfoKuYzgjprGXj9/b3GkI55z0rv2TTMzCyr +5qmYf/tTRyVjfrd96FYi3h8bqDoTML8s+jUbh7f3lpe7YjHv3J15IhrzjngN +9UjMOcKrbuGYqQ+npYViLuCiux+MOd01fSAQ8/GZPz/9MRde0j/sh1nBNV/E +B7Nu2IiRF3497aRBHnh/k2DOccOc8ZmryQVvX91s406Yv0xSbzpg/swzx2yP +ma2yVtoW778WnhbW+PmoMUVaYn7lWn/THHPKU4U2U7x/67ZNXcDP5wg/6XnM +WzTJbEZ4ezs3ImeA2erBYRs9zHwG8jE6mPeesrqlhVlJ3qNDAzNdmtu0GuZO +GtMdqnj99EuwK2Nu7t4tr4Q5ab3bWgGP17aB0XKYaXfTFQHm81/K2qTx8yHl +njqLl6/R9X8S+PV8XmMVx1z5QEVGFG/PDUnmZzCTbjaFCWG2Dp3IE8Tji9hi +kwBe/qeWxvgwO9lPr/NihtFOuhN4+068LsqNuSHAxPA4Pt7c2uvHgff3vQ+u +sGFWq1N8cBTzoYLnr1kwL3RKLTLh9XOyiJoR83D/Ki89Zpc6SdUjmI9MeDoe +xswinxVPg7l1rqr4AGbZ/vvt+zBPrZd9oMY8Y3Npaw8+3u2zY6LE/D/7ny+J +qf4r+EbV+n/eP+flga4EYj3PphciO2LkQFHsRBKxP45Al4UC65wcPOaISCH2 +wzE/1Z/ppSkPvsNPLxH737jk//ZrrJGHUu2/l4n1u1HjM7PrdApw5TLbFWL9 +TsNi/rNAuAIEXZPIJNbvTBzCQ02nFSDLTv4asX6n8l69JEJZEU78PZtNrN/J +f6u3yb6tCD7GXLnEfjWBDuwNFQeV4G4Y6Q1ifxrXz/Ol9wKUgNmlN5/Yj8bz +oR//nXEl2DiafJNYrzs5yKxTJHsOEgvEioj1uuUNhf2ppeeA7PPbW8R63Y1C +VTf3PcpQ9duuhFivG/ya6qTkqQzN/Z9KifW60Qa3nYeHlMHfz7Cc2C/GtExZ +cUxcBY5M11XsRVYjC+PKyleBSQbKKmJ/GI1RxzvKO1RhN7NGNbE+l/bZ6d2S +gyoMz0XeJtbn4som7qa/VIX4qJI7xPrcDrVjfNyCamAw03iXWJ970JSu/zBT +DaIPtt0j1uc4cpO5xP+ogRpV/X1i/5ZaBZeyGnN1WOwveEDs15L7ImWIo0Md +btsE1hD7s1xfU6tJ4dKA9jbZWmI/lkY9MrlvyRrgNv+3lliPq6A7EAM/NGB+ +uvQhsR53XupVQIq+JkSUyz0i1uNeeF451levCdYSff/ZX+UC4+OoXcxaMJSt +XkfspxJtVpYtFqUFtM8a64j9U6YOVDhbfNIC23b6emK/FIUeptVQFW3YSHaq +J/ZHGXlrIpV+WxuYOSrrifW3QmbLQs3dOkCe/K6eWH/jNnkdrSWpAz9bN+uJ +9bc+S96nam46wNG1v4FYf9uvzGwvX6ADIzmHGoj9TagpdJ1E+nVAQ2Z3A7Gf +SYKnXy/HDl1orfleT+xfck1YKGvvGV3wWntaT+xX8ue9SNtPe124QZ1ST6y3 +pYzzag9e14Xon/L1xHobm1eL/L0XumBZOV9HrLc1tGXlJWzogu/p+DpivW2k +19rkAr8eup79dcR6G1PAy0huSz0QrUt+ROwnYuwXTr2crgc2tb8fEvuJ8Fuz +k9Z16BH7sT8k9g+xq7GzCFjRg3jOolpiv5BbTYucQlz68Df7Qw2xvnahysXw +q7E+GE/tqyHW145cjlnKS9KHyb8CD4j1tTr9qd+qzfrQMwf3ifW1wjZ+518L ++mBSJXeP2N/jQehhlWxWA+iDM3eJ/TxEd8tkiusYAERfk6pD/TukaXfVvopo +OLOLW5CYv91c6Ri48iAaeC7VczxEJn0tEkLfHA0sh1ToiPlc/6HxWzc7o4Em +Z3RPDbLtCJ86T180UBx13r6PTBFU6lMzEg0bJX+Wifldd34rvczHaPjBmzx7 +F1le8rfUy2/R8Ok+4xgx3/NJ7Hx/YSUaxkSrXxHzvXDqsq2vW9HQ23K2jdg/ +LubqkZzQXTHQIf+qlpj/OQlfub/vYAzUPzcrr0S+q5alUMwYA9Va33OJ+aBE +1A1dCc4YKBwKTytHPvqU810/fwxkmeyLIeaHEWrVE85iMZA0VeBfiqyYdec8 +uVwMRDiccibmi2QulZqFajHgs9BqVoz8ZJi5WdogBhx9dHSI+WOzYELhhHkM +mK1PKRQh6x622wpzjAGdCG8xYj7ZZCcywOodA0rkO04S88lp9WCmjpAYkEy+ +wlqALCZ5b9whLgYEDnDQEPPL8M9GB/emxQDHtdqdN5DzNJeaH16PATpmxT+5 +yG41G+/Mi2KA+tbQAjHfvJb/x313dQyQ8thPZSPrTbr4PXwYA6t3VgeJ+ady +fP936ycx8E04oZvYX89wsXrswPMY+NB4pJmYjzrXRYi1D8TAIJTfzUTWbuuh +8B3/39o783+q9jWOo0EpEZlOIlOTDEVlqkccRaZkJtPmkITilPESe689rJ2U +wikcQxRtdYVQOMnQocEUdZCpokSGyJS43+99vc49/QH3x/3j+7W97G2ttdd6 +3q/H53liob5uXxauT+kaIoe3DMRChWl9YgJiocjXM52jsXCvxYGF61WDI2mS +l2dj4ab9UCSuV9MTBnON+ahwvTvsdDzirLi9ubxrqRDvKeCF69fEUmHxCjEq +1O+Ms8b9sL///y43baUnnkf2N6cG+PK1/vC6U/Ls1IMfmDZ03T4O/T7v5tmJ +EFkqhAYqm+J6+GxXkO6e7VTw/1p+AM8PPCW77MvkbipQIsx24/pYeIuqWLEe +Fex4u5VYiCUqQrPPHqKCKcNfCtfL38Z90rWOUgEEF9cyED8Xj1nx3ZEKmlcu +8uD6eVW1yatqTypsl5KdwvWzW8Ph9aQ/FTal//sDrp8rjXhLjoVQQURJvysW +cevlhRrpGCrwc5obcT0tcaRK5yNJhW/qHtXn8fk1eyl1/yoVxksm7uP6+mVZ +hTv1dyq814vNi8J/z9gyIetcKnRUi6ThevtwgrqiYiEVGo1vXIrEn5f6NH26 +nArVjRo0XH8vfnCMeVpHhVKb2pBwfL7lferTm6iQ32njh+vxgNfhv57roEKG ++4BrKOLZ4U2ExTsqJA6ePYbr81Jax9zWz1RgnVp5CM9XdFu5r5VvhgpRX5K0 +cb1+QqxKsJeHBsGhW1Vwvf4+cZFTIUADn8XSzcGI7TiR+SkbaHCcZrwB1+/s +stp1kTI0sBLo4D+DONDNo9VlGw2MLvl+C0Q8eL57Wn83DXTE50dxPX9DrzZC +SY8GaqnkW3/ES+MZ7msO0UBBfuMrXN836yxlf7GkgWQup8EPseHXk8ZdjjRY +q6pXiev9Iu3TR+o8acBb/LzAF3GMW8rtAn8aTGu7ZOP6//O30BNpITT49Ohz +sg/ikf5EKjuGBr1GUWzsA8vlo7+Fs2nQ9mxdNPYBRYHqJr9EGtRbpQd54fuj +0siSSzoNKl+reWM/sNRjs63yaHDPpcqRgrjXWiXoUBENbr47ao59Yfdd9yK9 +Shqk+PbruyOeaSiy0PyTBvFjZzSxPzhS7huqtNCAdpZvmyvivoCpy1u7aBD2 +LWEj9gkjBR5thQEa+McoCB1HbDrmo7V5jAYU/mI+7BeZUeXxMnM0sIv7eRr7 +xb0y8oDMMgJMRduHsF/Qqn75WVaQAP1rv3Tj+ZfJU28y5CQI0JSdbsa+UTZ6 +3lpJjoDtOfRaW8Q91LfOO5QJkFGWKMP+wV+b8EB9DwEi925xrBGLzMuf0gIC ++PdppWMfSeYoBh80IWChoj7BCnH9b9sbTa0JGDdwpGM/OVxRE2nvQsBA/VCY +JT6+pkSUlw8BHRbh/thXJIt5XgadIaCxTcDDHN8vMurDYiMIqHFKscH+kjEZ +GHSFIKC0T9nYFHFjA6siJ56AfO8KXewzUWF59g+uEZA5YqaGfSYigWLYeIOA +xKBueWPE3d3G0e/vEEDO+otjvxlxGRdYKCUgKmpx9SHE8+afBzZUExC8PP77 +z4j15duE1J4TcIKUncC+U8bQZh55RcBx4YL3eD4oj1ehhU8fAVZJ+n9h/9nV +/pJCfCLgkHTLM33EVbs0arOnCNDJ8niEfchplXdQ3SIBatu+FB5A/FiWz//D +Kjoo3I29if0o71xakYAoHSQ1Ra/rIY4zbDRS20QHwYc34rAvlU7tULDdSgde +fc0YHcRdakdMI3fRYbqu9lfsT4qt1ZXZunQYNrU9gf2Jb1w5vNGIDr0tA854 +fum6dunzc5Z0aLM/Z4l9anCZSpOSEx0aulca7sH3s71T3tZedKj0TN6L/arM +WeBwbAAdCoe27tBA3J7CH1gYSoebgWWbsG/VWNN63sXSIeWr8fpdiEFCKlE8 +jg6XIjqWY/8yKnBNOJJMBxrvyVnsX59lRtujM+kQxpgfxvNTTa+5u5Vw6BAg +yO7FPmbGtlQdvU8HypWNL5URX0uyMdhaRQd7qfwn2M/OJKxO8XhKB9N0vYfY +zy6zV2mltdFBX+nFnW2I19QOS3b20GEPxyUT+5pKkfl+ySE6bN81enULYucV +Ddn2k3SQKY1iYn+bSpm1+O07HUT3C0UqIlaLC9Ht5GcAf016IPa5RYV+300i +DFgwVvfE810/9TZ3eEgzYLyxyg773fyvb8lbWxgwYGN1ZDPiC8/uRY6qM6Cz +s38/9r0DPF139+oyoNE9aJcM4j895zefN2JAzSCfEva/nvD81qeWDCg7dUVS +GrH4YlyNuBMD8r8orMU+WNehO+npxYDM0OIlKfzzKtYehQEMSFr8eRL7YJf5 +GUG+MAaQtPZBCfw8rdKYOUZlQLSAdyf2QxV9LZmcOAYEX5p+IYZ4+IF07Gwy +A06IMx5jX5S1iJY3z2KAS6rEfVH8fTy6uJiVzwAr+dxc7I8ZRloS8yUMOJSr +lboeMefDs5PHHjNAV7UhHvvkSTmHOc4zBqgVO1KF8PdfOLJ65SsGKOp8Oof9 +0mDF6zpKHwMkq8JP4vm3hTGrllV9YoDgoTWu2DeNdQrCZL4ygO95itUaxBSx +gO1RSwyYttpphP1TX/nt+t7VTBh+XaGF/fNdXf7ugxuY0OdivhP754R7ADtb +hglt77pl+RFfz/tz4+rtTGjwDRBdie/PUY7vAjSYUDm2uHIF5rHa/vb9TCg8 +Gz+P5++W7eeIHzBmwq1vsqN8+HnWdSfm1jEmpMQU9PMiLvYLkBdxYcIl/oPt +PIhvVV+c/ZcPE4i4lvolEl1vAwXLhs8wIUyUUrGI2GJViKFjJBMCrn3593fE +PgnU0no6Eyiy1BsLiB9KhblpX2aCfY5o8jfEC17zOpwUJpgpZ5PziDf43TaR +uckE/XuaUXOIV1rvZCcUMGHPvrozeD5wc7DCEn85E3ZU2v4yg9jGRiMnqo4J +MoaDDtOItTlLkdNNTBBtOGf2FfFL1530wE4mrLLk159CvIxwqRp6z4SFtmSN +ScRynvuUfxljwoTTtq1fEPf4uz7pn2PCQF/ZTxOIt5uEXHZbzoJOb5N144jF +6rdc6FnHgqaRDt4xxJUj60tcpVhQE3Ty62fEKh+71/UpsKBsdv7jCOKDP6kn +UVRZcCeK/WYY8aX1d00HtViQuVy6+RNiS/Z3VT9DFiSR+TVDiPcG18KEOQtI +4f2lHxGffJf7r1AHFkQnvbj9AbGzotVHHk8W/Crt+vsgng+c7xlN+rPgRNbo +5QHEe+QYBmKhLHDZFk28x/OIbSi7MmNZcOyuUBien1x4ONVUNY4FhzQzTr1F +/Grbm0sVySzQfaju3o9Y36aBxyyLBWr6j637ED+wXpPyJp8Fik+sDvcivthj +4hZQygIps7c6PYhPd+w0561mgWBrkGo3Yordca/E5yzgc1gm/wbxtavns3e8 +ZsFM9xWxLsQneAwEH/ezYNhTcXUnYkUNk98dRljQN1S88BfitZMH7CemWdAe +aDT+GvFujef72LwkNHxtf/cK8XHR67BlLQl/RHi/bkc82WsVUC1OQiHvzNM2 +xIPr02rc5Ei4xWD88RIfbwslWFQmIVVQsrAVHw+fq31pe0m4dCU3pwVfb85X +bx04SAIhpX2tGfH4saYrfaYkhKc3XGjC75czlk21IyFAyek8ni/t15beudWD +BE/Op+AXiIUukpov/Eiw3xXh8xzxEsepMPgcCWala5yfIU7VKLfeGEPCwf2p +Fk/x/Gpbe8laNgl7anYaNCDWCOxc8k8iYYdJ5Z56xGH846ulMkmQbTLf/ifi +1hbDvXUcEkRte6SfIE7Jj6EFlZCwqitAuA6xaabt1ObHJCy4Ly3D86693vsR +zc9ImBiMn6lGbNt+el/MKxIGT20efoznVy8KC2j0k9D5paCnCnHGhrmFgWES +mkIPtj5CXDLTLnR9moTaxZa6PxCP3bMxsORlQxmN8qASn59Q+asr1rLhjsBk +fgW+XvNGlleKsyHrEjWjHHF/o/vVs3JsSBLfcBXP265M3WmgtpMN7NRsxgPE +yePfBT/tZUO0/J6IMsQmrbEzOQfZ8GtuXUAp4sxg30WKGRt8Ve0oJfh1dXd5 +OXs2uBQP2t7/7zxsMc8+DzYc0wkxKUa8XFerKuMUGw5X8e8vQjwnHKJNCWH/ +L8/0an1Mo2Ism5tn4uaZuHmmi9w809/MzTNx80zcPNM/zM0zcfNM3DzTD/d/ +bp6Jm2f6gbl5Jm6eiZtn+uF5z80zcfNMPzA3z8TNM3HzTD8837h5Jm6e6Qfm +5pm4eSZunukf5uaZuHkmbp7pH+bmmbh5Jm6e6R/m5pm4eSZunombZ+Lmmf4/ +eSZKnsQdnBf5/MTaoi3GBmw/DHNKEVtNL57zK7KBgbmC27ifplv4cd3iexs4 +2u+dh/tpRlMPxC+I20J7mmAu7qf9cWVjvJixLdxTy/nvPuHrRiWh18NsQSFZ +JQf308JTd7f9xLEF37abN/C+4GertLOS3tjC4w/CWXg/cNtfZ94JrrMD3xa/ +DLwPuFmL+O082EH65dLf8f7f+N0bq0dP20HmtqlU3D/rvdPl7pBlB3eS5FJw +/6z1dMDZypd2wPcGruH+2ZBMBI/MCnvombVIxv0zPZ08vvC99hD12SIR98+i +5DMiW3zsQe4hXMH7eqvE+AMVr9nDcje5y3g/r4g60Rn01B6830xexPt4f/N7 +/Kjimz0Qu0sv4P27RRkUWT4VB0j38CNxv8yVLjRv4OoAX3yFmbhftulewNHo +eAcoN71J4H6ZztUtCqVVDqDNr0LF/bLYmO6gTxMOwEnNPo/7ZQW52vpSCo5g +vlYwCu/LfSvRzza0cQRXO+8IvB93XUWYnS/hCNIRBaF4H26oe14GWeIIdeHD +Z/H+2/K7Yn63PjhCkq1EMO6PLah6lTySdIKmNZqncX9sm7EO9aWJE+SnHfTH +/bFy2N/6NtwJ/Nbon8T9sRhtkezPHCcws1Xzwf2xC1quc5NvnIAdIeSF99Xq +yDxp/SroDD5Rfe54P23F7VH1yQPOsMk1ywXvo+2JjNwwEugMwz/ZOuH9s0Ui +G6L6MpxB+P6sHe6HZdU7BjS3OP8vz6TVOTFQzncc/gPmCErO + "], {{{}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{ + Polygon[CompressedData[" +1:eJw11mV0FgQUBuBPuru7u7u7GzbYYAO2sdHd3d1dCgbdYNCpYABKo3SjYILS +KD54jj+e897/995zb86oPq16xwoEAu+wQREUJxAIpjVtCCGUtrQjjHDa04GO +RBBJFJ2IJobOdKEr3ehOD3rSi970oS/96M8ABjKIwQxhKMMYzghGMorRjGEs +4xjPBCYyiclMYSrTmM4MZjKL2cxhLvOYzwIWsojFLGEpy1jOu7zHClbyPh/w +IR+xitWsYS3rWM8GNrKJzWxhK9vYzg4+5hM+5TN2sovd7GEv+9jPAQ5yiMMc +4XO+4CjH+JKv+JpvOM4JTvIt33GK05zhLOc4zwUu8j0/cInLXOEq17jODW5y +i9vc4S73uM+P/MQDHvIzv/Arv/E7f/CIx/zJXzzhKc94zgte8orX/M0/vCEQ +1/wSi9jEIS7xiE8CEpKIxCQhKclITgpSkorUpCEt6UhPBjKSicxkISvZyE4O +cpKL3OQhL/nITwEKUojCFKEoxShOCUpSitKUoSzlKE8FKlKJylShKtWoTg1q +Uova1KEu9ahPAxrSiMY0oSnNaE4LWtKKIIJpTRtCCKUt7QgjnPZ0oCMRRBJF +J6KJoTNd6Eo3utODnvSiN33oSz/6M4CBDGIwQxjKMIYzgpGMYjRjGMs4xjOB +iUxiMlOYyjSmM4OZzGI2c5jLPOazgIUsYjFLWMoylvMu77GClbzPB3zIR6xi +NWtYyzrWs4GNbGIzW9jKNrazg4/5hE/5jJ3sYjd72Ms+9nOAgxziMEf4nC84 +yjG+5Cu+5huOc4KTfMt3nOI0ZzjLOc5zgYt8zw9c4jJXuMo1rnODm9ziNne4 +yz3u8yM/8YCH/Mwv/Mpv/M4fPOIxf/IXT3jKM57zgpe84jV/8w9vCMSz/8Qi +NnGISzzik4CEJCIxSUhKMpKTgpSkIjVpSEs60pOBjGQiM1nISjayk4Oc5CI3 +echLPvJTgIIUojBFKEoxilOCkpSiNGUoSznKU4GKVKIyVahKNapTg5rUojZ1 +qEs96tOAhjSiMU1oSjOa04KWtCKIYFrThhBCaUs7wginPR3oSASRRNGJaGJY +pDUn5EK5gPnMYy5zmM0sZjKD6UxjKlOYzCQmMoHxjGMsYxjNKEYyguEMYyhD +GMwgBjKA/vSjL33oTS960oPudKMrXehMDNF0IopIIuhIB9oTThjtaEsoIbSh +NcEE0YqWtKA5zWhKExrTiIY0oD71qEsdalOLmtSgOtWoShUqU4mKVKA85ShL +GUpTipKUoDjFKEoRClOIghQgP/nISx5yk4uc5CA72chKFjKTiYxkID3pSEsa +UpOKlKQgOclIShISk4iEJCB+4L+1fnvaA29PfGz+/1P/BS2FPtQ= + + "]]}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}}, \ {{}, {}, {}, - {RGBColor[1, 0, 0], PointSize[0.0055000000000000005`], - AbsoluteThickness[1.6], LineBox[CompressedData[" + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" 1:eJwV0uc6FgAAgNGPSFZGZBQRKSJCZtGgQlTKCGVlywylrGRUkoqrclOOH+d5 b+DNHJhunQoKBAJHnDaYM4QQylnCOEc4EUQSRTTniSGWOOK5QAKJXCSJZFJI 5RKXSSOdK2SQyVWyyOYaOVznBrnkcZN8CrhFIUXcppgSSrlDGeVUUEkV1dzl HjXUcp8HPOQRddTzmCc8pYFGmnhGMy085wUvaeUVr2mjnQ46eUMX3fTwlnf0 0kc/AwzyniGGGWGUMcaZYJIPTDHNDLPMMc9HFlhkiU98ZpkvfGWFVdZYZ4Nv bPKdLbbZYZcf/OQXe/xmnz8c8Jd//OeQY/OcAL5YLiw= - "]]}, - {RGBColor[1, 0, 0], PointSize[0.0055000000000000005`], - AbsoluteThickness[1.6], Dashing[{Small, Small}], - LineBox[CompressedData[" + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0], + Dashing[{Small, Small}]], + Line[CompressedData[" 1:eJwNy0cjAmAAANAvo2wleyVEyd5bRfbMunPnj/o99nqHd3zph+fKUySE8Mgr b7zzwSdffPPDL38EIUIV1dRQS5QYddTTQCNNNNNCK3EStJGknQ466aKbHnrp o58BBkkxRJphRhglwxjjZMkxQZ5JpphmhlnmmGeBRZZYZoVV1lhng0222GaH AkVK7LJHmX0OOOSIY0445YxzLrjkigrX3HDLHfe8REP4By4CG/4= - "]]}, - {GrayLevel[0], PointSize[0.0055000000000000005`], AbsoluteThickness[ - 1.6], LineBox[CompressedData[" + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Hue[0.1421359549995791, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" 1:eJwN02PDFgYAAMAne9m2vWXbtnvzkG3btm3btrGwbNvYfbifcEmD2lRpHSwQ CEQPHghUDRkIVKM6NahJLWpTh7rUoz4NaEgjGhNEE5rSjOa0oCWt+JO/+Jt/ aE0b2tKO9nSgI53oTBe60o3u9KAnvehNH/rSj/4MYCCDGMwQhjKM4YxgJKMY @@ -249702,19 +322916,529 @@ vOcDH/nEZ77wlW985wc/+UUgtP8EJwQhCUVowhCWcIQnAhGJxG9EJgpRiUZ0 YhCTWMQmDnGJR3wSkJBEJCYJSUlGclKQklSkJg1pSUd6MpCRTGQmC1nJRnZ+ 5w9ykJNc5CYPeclHfgpQkEIUpghFKUZxSlCSUpSmDGUpR3kqUJFKVKYKValG dWpQk1rUpg51qUd9GtCQRjQmiCY0pRn/A0UBEcQ= - "]]}, - {RGBColor[1, 0, 0], PointSize[0.0055000000000000005`], - AbsoluteThickness[1.6], Dashing[{0, Small}], - LineBox[{1638, 1639, 1640, 1641, 1642, 1643, 1644, 1645, 1646, 1647, - 1648, 1649, 1650, 1651, 1652, 1653, 1654, 1655, 1656, 1657, 1658, - 1659, 1660, 1661, 1662, 1663, 1664, 1665, 1666, 1667, 1668, 1669, - 1670, 1671, 1672, 1673, 1674, 1675, 1676, 1677, 1678, 1679, 1680, - 1681, 1682, 1683, 1684, 1685, 1686, 1687, 1688, 1689, 1690, 1691, - 1692, 1693, 1694, 1695, 1696, 1697, 1698, - 1741}]}}}], {{}, {}}}, {{{}, {}, - TagBox[ - {GrayLevel[0], AbsoluteThickness[1.6], Opacity[1.], - Dashing[{Small, Small}], LineBox[CompressedData[" + "]]}, "Charting`Private`Tag#3"], + Annotation[{ + Hue[0.37820393249936934`, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0], + Dashing[{0, Small}]], + + Line[{1638, 1639, 1640, 1641, 1642, 1643, 1644, 1645, 1646, 1647, + 1648, 1649, 1650, 1651, 1652, 1653, 1654, 1655, 1656, 1657, 1658, + 1659, 1660, 1661, 1662, 1663, 1664, 1665, 1666, 1667, 1668, 1669, + 1670, 1671, 1672, 1673, 1674, 1675, 1676, 1677, 1678, 1679, 1680, + 1681, 1682, 1683, 1684, 1685, 1686, 1687, 1688, 1689, 1690, 1691, + 1692, 1693, 1694, 1695, 1696, 1697, 1698, 1741}]}, + "Charting`Private`Tag#4"]}}], <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{-1.40989, -1.40966}, {-0.000125, 0.00125}}, + "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {-1.409660000000003, 0}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {False, False}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), + "DefaultStyle" -> { + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0], + Dashing[{0, Small}]], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + GrayLevel[0]], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0], + Dashing[{Small, Small}]], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, + "Primitives" -> {{{}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, \ +{}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJxd2mk0ll3bB3BTRSiZ5zJmlnns2mRKMlWGQpFKZMyUIjKFEEIXEhIJGQtx +hiQVKeI2y5QobpE5Q+95vut913OsxwfWb12Xc9j72Ht/OP4C5z1OXKSioKB4 +iv8i/jIYryl0x680UPzfT3pUZvUH3H38jy78c/ItCW33UL7HXZFh8q1reR47 +VJOs1YL7sa7vRariLExB7EhwM/H9YykfBnweYrEmI6+acN+af66UkZCJhUq6 +bjbijtaWaeIYf4DZNkyrN+AOub/9zsb6AXZut0XAK9x2nVGnvk5nYJ0M5dV1 +uH/YT4m8is3A1ls2l1/inidHLh5FGRifmqpiDe6v6YF9SlvpWJij49Uq3FzZ +9nsEmtMxL/2bZc9xG3tmzeklpWPS41FzFbhDZ1spTC6lY1vKYVLluMfTuELk +UDp2UM/NpRT3A5GFfYK86dhvBoOCZ7gF8h7SBG6mYbnxTN+LiOvltg/XjaRh +t1o/CBXizjEV6fV7m4Z1Nl51KCC+Lxlna/EsDRvwos/Kx813kHNuz/00bGz4 +3tBj3PzHbu52Ck3DpHcwcOfivjao8lnCIw2jmfa2ysE98ThRVNYuDSuKbEvO +wn3WqdHK9Hga5jzG/CUTd/Uk1/QVzTQsfM1w7wPcs9tTz52l0zDtj57H03FP +fqzRkNufhk3Z3o4m477dLbKzZF8aVlcY15KKe+tjkswnGvx+VWHUKbhJw/l/ +b62RMdpgZ617uKOe73lYN0vGGHaioETce9LJbe5jZMzSiKb2Lm6/Xov8hB4y +Jm36ciUOt+82XTDHRzLWs++sQixux/3/Pvvzmozdv/fbIwZ3zetxT/kaMlbV +7Vcchfv4juyO6hIyFvDPz+lI3Go6ipHheWRMNNVEJAK3kszzyaQHZIya45FD +GG65v8btw/fImIX1ZOYt3GtMO1Ps75Cx82c4B4Jxn6NnpuAII2NefBrsN3Ez +8VfLb10nY+9yjE8E4r4cu5m/15uMFU6Zxl/HnanULmh0hYyd+6Xdeo14nt7y +imJHMibzUminP+4XErVZcrZk7IzesrYv7iHnTpfeU2RMMrkqyBt3UwPz+wxj +MvY9z+mlF27pUmNyoD4Z67xOu+yB+07syqQ/ImNyLGmH3HFrqGeP3lElY8Ye +XK6uuBt9RAeq5MiY893oJy7E+DlI66xKkLF27x/jl3GLdlo/aeIna77iVeN3 +AusxJOba6YvAZ149SXYEvvei5bMDsIf3P7vtgRVXu/TOAotpN4XYAieYZ9ed +Aa4Uc1+xBu5pkZSzAiZJ9V+xAJY/7Zd/EnjVmHrMHPjL7hAeM2C6hFkLE+Dx +4aMJx4Fzl5Jbj8Hn6+6gMQSeD9okGcDrLXAE6AHvlxGq1AG+psT/rzawzS66 +g1rADI8n7EnAnfQl6ZrAv0iXu9WBnx1m3qMGbEpbbKACzJOldEsJ2IqqtFYB ++Kg855IcnB9ZL+lDwPbrLy/JAO9L/J0lBRy3wt0vAcwtocAsDrwlqWF0EDhw +Uz5cBHgwg/uVELzf7t/LAsDmR1/KHABetPB04geOVeDI5oX/P/asjxu46pzi +Pi7grpJCQw7gjU9MoWzAvo2XalmAI8KKf+8Dvso5LsEE10PwLsc9wEs1vBkM +wGxvBbp2Ax98zE5PB+xpuXFkFxz/oc/XdwDXKiVXUAMbOB79SQnr6+KsAAVw +lEbI6e24//jdd+rETWBBJ//3f4C/YgN/14C1pqVVVoHjfni6LwPvfp2btwi8 +5d4ytABctNjHMg982nTw2Bzw8dD2W7PArbGlNT+BOTxu/ZoGDhDXEZ0CVsSW +bCeB7x5MvTcB3H7lYOsYsFJ0AcUoMH0Il8pX4BSz625D8P4brbkDwL3B9AN9 +wNwjGky9wMJctvr/AGvKuAZ2Aefxu1Z0Qv+0mf4MHJqkwf8JOJid/tRH4HG/ +1uhW4IXKgIb3wL/aOZdbgIXePpF4C9yZJmr/Bvjw8ZSU18AlQ4utDcCXjY5Q +1AOXkUOUMOC25hKXWuDtzx+zamC91A50VwE3hPfRvYDjKdNCqoTj+TLXuxz4 +k5BXQSmwqofM8DPgjIzBfcXApKfX9AuBL5J33CgAtnAOLc0HPsH7a+Ix8KNy +I85c4Bhx8vEcYJPw7pAsYIUmiheZwEETvD8ygL2nxfjSga07hc3JwF4PmSJS +ganNZmuSgU9+r55NAs538D6QCNdnE/+pu8CXaGtvxwHbKerV3QF20W+ci4b1 +QJIUjILzwRdpEQn8eqIjKhy4K4EeC4XjJ6L8KwQ4PMdMMBiu/x02FkHAe05Y +RN2A9RuJ6gKAyY+55vyBDYsmDvgBG2RknvSBz+tjEHkVGKmO1XgCN026zLjD +9Rb0jc8NWIbCxOwKcJbbk1BneL2W+edO8H13S0xdBOZRP8l1AXjUwtXoPPB3 +O98ge2DPE+6lZ4HfKFiN2QKLUB1isQHue7WmexquZ6dyPys4PtunCyzg/hf2 +u/8ksNrqdfoTwLGnFzXNgD8W2ribwPedqcw6DnyQZ7PjGNyf1RWpDIFdj9kq +GACbH/O5oAfXu3pgig7wOo9PizawzpzNKgKeKFcQIwHzOm1Ya8L9fk9ltDqw +25MztarAjAq/fyrD86Y8gEcJ+J7ggpECMOVt60A54OmvpcWycP1LrA5Jw3px +kWGUAmZ/aHFYAvh2i6ubGDxvJ7wzReF5suLSLgzHZ8t8SxCYYkNMWgD4wNwv +2/3Ajr35sXxwPVUbYzzw87hvM1zAT89c4eGE5/3+8WPswCyDR6+zAjfGZz1l +BnZX/97HBOzwlZd2L/DQdR0VRnj+MZ25RA9886F9Ch2wtKhV8y5g3yeHF3fA +/VyQVZAGnnf3B8yogBN2JQZTAE95qZRsx4Lr97YPbQLnqZyi3wC+d69VbR1Y +Y+bQ5VXgcdLt1GXgtvj25kX4/UHqxQVgX2EJgXngehct0zngMyX6QbPAcb80 +i34Cp8sI9U8Dt1xZ3zkFbPGkQXES+M6Y7/kJ4CJu3oQx4D8nKl6NAGMxqjPD +wEpNJZxDwAXrrPoD8Hnlrnj3Acc7l2f3AEc/mmrvhp8PMW58AR7jEBbrBH53 +SsLiM3DovQOh7cDW3TtL24BjOYYHPwA72OXSvgfmzT+t1AJM+fuvQzPwSe3U ++CZgy2TeukZgk5mkqXrgYP11llfAUvmmWnXAGbtTXV8CM/p8JFcDvx//3fwC +eI8V3UIlsHDnXr4KeH/znYZlwJ97Z31KgGcuvMkuBp5ci/5YCEyVrLVWAByp +PCX0BLhyNMg0D/hTEs2NXGBu4xv5OcBDe8c7s+B4DapuZQJ/LA0WewAcFld1 +Mh3Wu8/wTTJw48Wlp6nA7fYb3cnA4pd+/00C5vDrl0gEHkwos7gLXFvlHxIH +vDwlU3QHuFO4559o4CQ3N4oo4BtNSxKRwB1CrhbhwN2JXcGhwK0MkoUhwKvJ +V7tvAj+UKNwOBKb+1Cl2A9g5+MeJAGAWtBDoD6zH+CPfF1jnZ0eHN7BZT8Ef +L+DSTk9hT7ifDYmZuAP7rHb4uwLbCzrnuAA/s1tovQyf/6nz0iVgv51f+C4C +B/hIGDjCely86ukA6zuiKO0csKhYd5MdsP/w7IwNsG7eMusZOP7Bc4etgc+5 +9V6yBFZ0L7t7Cu7XoQE1J4AbCuXHzOB+932QzhQ4RsVX3hi47MH2GSPgcvZr +YYZw/eWNFRnA9XlMo1sP2IsmYlMHOLC7XvgIvF7t9HEtuF9XU/mSgAtbGTI1 +gZeWdr5Vh+OvvDCrCnz1bhurCrAMRaqmEpyPKLMLCsCz4ht35OD1JlIqZeH5 +9eLAoDSwbE4GlRTcX/J2SkjAz5sdzMWAT2wWXxMFTjCZzhKG+2UdyztBYJcj +snMH4Hn3TZ1tP3BvjrImH/z8uqAjD/CC+3Y0F7B+cGsZB6yfosheNrj+l+S3 +WeD1znwWZob/P2xjxASsHdTntQfWk7oemQGYhv1R/W54nuz99Y0WeF5Uin4X +PI/sTsvtgK7ws6IGlhMND6IEzn55K/fvHXB+u3p82AJORca/NoAfyfOw/QFm +1e9XXwOWuX7bfgVY4bNI5BLwJb3nRb+B6YflO+eBHVNzVuaAK70oeP8F1vQw +1Z4BPnI3/tIPYNovr+5MAXeoDJdNAo80zv4zAezrNvtnDLj38ND+UWADeUz3 +KzCdUazzEPDZqOPxA8AxPzcr+uD9vTJ7e4C9+WU2u4FfzJcc6ALun96v1wks +uOuW82fgL0Zf4trheFezVLQB+xvq9XwAnqdy+vMOeGjiGn8L8M3Z60eagTn5 +3C41Ac/5msY0wvFeFSipB7bM+daJAbv73F+uBW73VOd6Cfw8tV2zGtjpu5n9 +C2Ax++awSmANGrEn5cBjX260lgLbfWz49xnw4vwiUzHwNy1OxUJgH0zKqgB4 +0lHuej7wdRXRzMewPlToGx8Bc10YHc8GLniVtyML1reujVgmcMoahVEGnJ+B +VLc0YNOfvAn3gc+J3atIAZ5JXu++B6x4yGw1Ec7fn1SuBODdS+0a8cC8fMt2 +scArvgwhMcAD2yyPouB6rKFvjgRuy1mcDIf1jrXtCgPeQZssfguYKuK4UTBw +msKyaxAwA2t8/A3gh6IcZQHACVcSOv2BRSbWf/sCZ8edZPUB1rmSqXQV2Dyw +19ITvs9rymvucL2p8aa5Aid+F611gfX1TmDwMvDUMMPmJeDvYtO8F4EFnlYe +doTr96zHWQf4PMd4gs8Bf7xSnWUHfOeNTqMNXN/mjaOn4fOwS1NaA28xxwhY +wnrT79U+BWxfznr+BLDUqSOhZsCMsvaPTID1jrg3HQfmiHcdPwbczGxDZQjn +p0NN0AC47h3tET3g6LV3Djpwv3b0v6UNzEbLkYOArafyGw/D56E8OKoBx9fy +/l81+D7fV/lVgZ+WHyUpw3quibZTBKbcrA2UB3a4MZRxCO4vKnO1MnD8Zef7 +pYAvXxxfkwBGfc0c4rC+o+4rHwSu8TtjIQLPm0eMPkJwvTOWJwkAH6vSLd8P +zPLw/Wc+4NlmzTkeOD+SOQzcwD0dyxKcwC5V6obswOxDHk6swHxHUiKYgRum +C3OZgLU6yl7vAR5dyx9hAJ44H7e1G553ey7w0AHnqy2o0eKeVzH8+/AnfcP/ ++wZricHY9VOoIHOno2D8f8zRIPqF6M/tKKtnfKVmjJjLzMOJ/t+SK5OBHZ82 +0rK1fktYkiqOxZ1JGx3vedepiJtVLZ5GeVALWZmpfCX6hxyLNaH6+VrofGv+ +T2XcDVHDdVleWshdl32V6DceoFsf1j6shQLqI6iJfuSarxKHNJ0WilBd3kv0 +KxMpuiXfFCKUUHGBVwN3UozyvgYdhDKkusWI/mYWx6qGyTAJPcnXUTqMW5mm +h87Ln4QqDlRqE/1Q4bVffQeZSehVuqAJwv3esX6X97PD6ANr0hmif6q7zbF8 +wvAw6o6ndCL6q8G6chTvJzXRKK2X9xHcdOsPor6EaqKZ0NFgoh878vj43NUD +mmhl0zRWl3hfrsTEp/UaiMq/gUz0b60XuLv87DQQ44JMnj5uT44NlsFNdcR5 +5WE50e+NMch90fNAHQlPMtYfxR2e8p3L5bA6kj0X1Er0h/kW1x+lfFVD6v2z +PUT/eG+1T+XpEDWkf9J2wojIZ4iYl1QJqiHz9rZfRL9ZbHV2pfitKrIz0Ng0 +xr3+nW5B01kVXX5dSGuK20rtE4UToyry1uBmI/rX/xi+jZGsUEHBL6IFiP52 +FcfoYoyVCoqRXZc+gbulyzcnYksZpTy9rE70w5U8dNd5HiujHKE+/VO4r0p6 +OZgYKaPiTIOTRP+cXjJai29RCdVwVJ+zxB1PHboVlaGE3iSKuhL9dh+z2r+J +ukroE33qNaIfz2vwp0R1ThENROyIOE3Mh5GPdxBZEU3+9Ukk+vfPbr99Ya+j +iOYDvmXaEN8PWfk6PqeANhdPFhL9/tKSG9Y0GQpol/ubKjvcadzKsW8NFBDL +tPwbIh+wa9H7m+iyPOI//+jzOaI+JBbaxHLlkfjQviEiT8DH2veszVweKVne +mibyBrQUTct7KeWRVsf80nnc9wer966UySGjY/aUF4jn6ZC/fNNBDlk1f2Yk +8gs0U7yuT5nl0HkS4r6EOyS/M8q/+RByqykRJfIOpbX8Uj/8DqEAeX4FIg9x +7b5u87b4IRReHIecca8cngv2qpNFCaJbRkR+4ngixisrLIsysl2trxDPc0+a +pBIvg/K5hy4QeYuwGxebY9alUUWykZcb7sl8nwSJS9Lo1Z66ICKfIf6vdThH +txR6HyURQ+Q3ije3Q0/oSKFuqvRUT6K+q3U8hyol0UggXS6R95hOXD5UJSKJ +ZlaulV7FvbjyT+EoWQKteE7XEfmQP4XpzbaMEohyxuq9D24LxV8XJcPEEePF +d91EnkRTz8/f+I8Y4hxRHvPDXSDWNv7mqhgSOp3/L5E/GZQLS7o7exDJdrH9 +IfIpBTKyV8udDiJ144idRH4ldMjWXeKbKNJ/t8R8A7eRPdlnw0EUmWtf2E/k +XZYa3bxFxkSQbV2XZBDurQsuZ546iKDLSjqqRD6mMYmfO2pCGHmXVugS+Zmi +Rf7HTZeE0U1xQfMQ3Oe5d86cnhFCMbmJdkTe5hO937iJlxBK4aN0CcUt2sYV +/GhNEGXf9/Qj8jnL0/lVFrcEUfG+0dBwYj9jGwl2phdE1XdM7xJ5nkPPE798 +TRVAb3Y0ZBB5H3b/zCdVQgLoU7BMwW3c4ysLawvlB1D/euZzIh+0fCLxdaL2 +ATTpzfg6mni/PKEN6bz9aP7fwHYiT0THik7taOVHG06z/Xdwv6bejCla4EO7 +xm2+E/kjphaWh4K8fIjZtu03kU8qNPSNdzXkRfw96n/jif305tFzdwJ4kLhZ +IX0Cbq76BbZbxdxIsZWLk8g7fdViqzUd50JautHCSbipk9It1rm5kFH92iEi +H+XFVLYUbMmJLFUvH07Gre7zKW8ymQOdr+g1JPJUvjZYgFQPO3KTMrAk8lZy +XxPDLLnZUUB+1fn7xP4ljvVddGBD4QdEPYh8Fo0gZaJtESu6m55yI43YnxjD +WjTXWFAG644oIs919khzCu1RFpQf75OcQazXiUK+pnRmVE77LZvIf20/CA++ +Mr8PvQo9+YzIh9103T2y03Afer/Z9PIhUQ+eF86nPmZCXX7yLUSejOq1tDwv +NRMamc/5ko378r3534of9qCfLvtGiPwZl0tOm2gSI1r5FjLziJiPsnATZgcG +RHlufpXIq2GWVaMbSvSIof8cTR6xPu6cm51i2o04T35mIvJubO31owMLtEio +ncT3BHfUrkPy/X27kIxBiTiRj0t5rXhm6u1OpP6aT/kp7gi7wF66lzuQnkbc +ESJPl+08K61XQYPMX2yaEHk7Fqqn3zPLqZGtrKtNMVFPunwJnNVUyOnpoBOR +z1Psoq5/2USJvIWMfEpwt7l70EV2U6CbmbUhRJ7vs/ITAZr+v6RoDom4MmL/ +fck9f4Ftm5SSmJZG5P+KaPo6VM5ukrLp6fKJfGAho49AZOUfUnHEtYpK3IzU +scdOs62Tqv9O1RN5wgmL3obasFVSU4BV2wvc+dNqFM+3l0mfFlt6ifxhwoWe +FJPIJVK/m/K3amL9vjjAnsy9SPo2lTdP5BVXn80LxNctkOYd2LaIPKNWR/JF +fed50sZgOB2Rd9SKaJWLM5gj7bJcYsNw83Q92z7UM0Ni7nAUJPKR7FZ8HXdu +/iDxHeuSqcfNjP2wKdeaIok3H9Eg8pQV1YkeL3gnSYqkCoPG/50f5/ki5gkS +qhE49ZqYj/6mwQLBMZKRfKI9kc90eSweH5L2lWRZTOH2BrfGZcNGBsFBkoOo +ZwCR5yw1thvgH+kluWWPRLzFndvPlz7S0U26xm2aROQ/A4d75vxXO0nhyfUP +3+H+G+63KJD/iXR3j0wRkRdV5PDmLXj3gfTfedL/9n/nT/8HjEmiLg== + + "]]}}]}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, \ +{}}, {{}, {}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[CompressedData[" +1:eJxd1Hk0VXsbB3BjuFLGcHJcUzLP87CfIyKJkkJFIjJkjAy3QoauFDmigZSS +kzkUKiJjykqKRAqJ6JKOa470Pvtd71/vXmuvvT5r77XX7/d7nucr7RG014uN +hYUlE2/yud52SbsndaGB5X9XVlJOzQt0n+Qdz3cOrcT/+9IGteJ2tI5oqETB +8xdEQkb9zefo3wnhs9KMTiKSsju9DX36U+90xOIbIiB3KLEVnddPzRrq6iHc +5YOjWtD3bV0/SA69JxxLWAKa0cY+1s/WywwQNlr0I01ov7uKqbHXBwl4JL2v +EZ3U3zRQIPOZ0CEqrZ6hLS74MosFvxCKLduMG9CVNfSgKokxgrqzW60eLVj3 +7VAFbZwQ7Doq8xS9yYnadSH6G8HlOCdSh97cXbqm0TtJrAwk8NSiaYkvNVOs +pgmmu8ivx6S7MrwsfZnE6Hg+8xF6sZQpnVo7Q/QH6I3WoAuqpDZlUGaJztm2 +99XoNM/eTLtzc0RTlFNHFZoxYcjycG2eqPk9Xv8Q/WX/+4Yn8YtESWJk5QM0 +H/vFnQdElolcXh5GJbqIL0z63IOfRCb9+vUKdDFHX5f+4VXivKhSSjn6xGMK +01NkjYjOeRJ7H/1a7540R/9vIlTWJqwM3REYxHOuhwW8Cwe8S8n6dLPXP25i +BRd1/0MlaA0LappYDRvYV63aFaOF2Aq/5lSww3bjlG1F6FzfKdXtlRxg1EjV +K0Qnup5+z/OYE9SsyhQL0JmNOgfHW9eB7CuCeo+sB5eGVn8fF4g5vOZnoEVe +1Q9/mOGG9f1uHPn/rY/b1Dj/H8DqxlzMQ9c5Vg+v6PLCwmjs5B30fHmCnaD7 +evjHT2DoNlrc73aHfDofDDFvv81F+1xm/qvzYgN0h2u13UKzNapqSbDzQ/tq +0+Ob6LlgT48rd/nhaZxDaQ462v+PoXXWAlDBPZp7A712IyHmOFMAGKlhGdno +61+KqE1ZgpAtzJmUhT68rSWTe4cQXMrKPHUdLc0X32ayJAQJUvJB19AcMqx0 +l2JhiGJUe1xFJyvW9Xm5i0CAipXjFbTmID3ekbIJPCrfW2eiTx6qi1Lp3QSO +Bj6mGWijsM78sQxRsKlf0riMDuEvn4txFAOaxXm5dDR7etb+ZYo46LwUF6Oj +B2kiT3aPiIPiniLeNPI86mdEzpZQQLLX6HcqWjR6h9uFqM0g6NLxbwrZL9Yn +U/2tJYBr5NDXi2j+NqGbMhJUWPGe6r+AbmRfTS6eoQLz++lXyWgeYdjH+VIS +xkL5Gs+jbfJlV1Tz/4T+5ZyHSWQ99tIb6WZS0BmjVvA3emRhZmmmQgqaORuy +z5HzE5Fzr1pWGmou7L6USPbTQ/rbwSvSUCIwHJeAVhYZivHllYHcq8Hh8eT/ +JhjV+8/KQCaV1S8OLd8hHnNnSQaS8+iuZ9GdvOEjdiGyEK0oYx+L9qCsmzww +KQuh9ystYsj+n5W823RMDnx0zQ2i0c/SJSlJX+TApbZb+Qz6l6ffwUL3LWBv +5vnnabIfngWEbvm8BSyfzwmeIvd35FrYirs8GNkmrvsLHffRJVBpVB7Uu0V+ +RpLzq6Z+osJ7K8geYHyPQA9oxqdfmtoKYkN6n8PJ9wodI80nFIDP63nPSbTJ +9vAI258KwDrp1B6G3q/zw0s5XhEWgidqQ9E/i7JaXPiUYHIh8v4J9OzCu6Lh +a0owdJonLwQ9QZ/XqN6iDD1sWVeCyfmoMQ/++EAZ2pOUkoPQJatrcXvNVeDp +htozgWjF784Joj0qUJlhExKAHmOEpSkdUwUG5aOnPzr+lFdL8rIqZOf6Ox9H +c19WJfRT1SBN/peNH3oXvU5CXU4dEkpSwBe9YDodE1KrDlFakto+6MirFi1r +ihoQ8KhM3pvM4yeSKt/CNcCDAMoxdCzjTVJEiwY4tbzm8yLnYVzCv1BQE2x2 +HmH1RJd2aflEu2sCrYs554G+OlCzcaFcE3Qdz064k+thaZrfyKoFih8FPh5B +U4X7SjvstUDS485rN/QtpZkOhTwtEJrQaj6M5poNHZWf1wKuwOZqV3JeKXoX +W620YXXWociFXF/ZKWeObG1gRo3mHEJLxC4Mjkxrw9jvMPpBcj1/t1YdMdeB +D4mciQfQ7TZhoWeu6UAn75VIZ/J7q59lBtM60EyX93dCh+158ptuoQuPRGvc +HNGp7HG/krJ1oSTHymE/mlf5PI06qwu3Zfss95H5qxzibmejB5mFPkYOaN0g +i+XNd/UgWX1ZdS+6rfvk7cRfehBTdV7aHl0tOjyb7KQPocYUkT3od9atycqV ++uDTWMS9G+1k2MnizWcArlbGq7bo5a88Mya+BmD/quPHLrTC4tRCSasBWDq4 +fLEh82mLfVm1jCEY9U/17kRvrAl7cCDWENTdzry0Js93dvlO5qAhyI3x1e9A +J2R+FfczNQKx4zcrrMj8ssqr6r1hBHwzavmW6GDRFaGBVSNgi2i4th3tPEPp +Dnc1hoXV3Rct0MLidHphvTFMxg3HmKOH7u6aPiFlAsPcIaHbyDxZvpH0Ns4E +elJZvc3QMRaaLO1jJvBCOP0gjezvNdH5vdam8DRLxg7Iehyt5wotNYVKqQdm +BFpu6UffVkEC7jHMdU3Rehy9PCERBGSr9CiYkP0humhs94mAtEpPCWN0erKe +QIM5QKLB/EYjNJ2lR7m5CCCqPpHdEL10UldUlYcGgRabFvXRUjzLn8xMaeDx +kvGPHroh6VPtrRAaOO3RH9Ql83T2UZwlgwa7ep+/0SH3a5jKoTdAA5qLc6s2 +mWdsKUKB/GYgWG6fQHrOn9/KlWoGog3yb5XQnOX1fE8NbaEgZ91RGfQp4TKr +z3/tg/8Ag3TQvw== + "]]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0], + Dashing[{Small, Small}]], + Line[CompressedData[" +1:eJyF0ns0FFgYAHBG3lINkckrj2wUlmzKTl8zhhlmTDODHrtIXrEeJeRQrUx5 +tsQqDqstjw2hxHEookWLtiIk41GiyVtNTSmv3W/O2f/3nnPPPb9z7/2+e77v +bvE5zvMnSElJ+eKUrIv04UeQ/rlZ6r/xf04+H8+2QeuscqhPyWQgVnEvWKPF +IevpnjoUsBmbrLdC55zYwJ93oIBpYEzFt+glYZFB3WkK6M4rXrdEh3d8aW+/ +QwG1yLwsC8k+/T1Nf5IC8oumSeZojlF2yANdKizHNcTukOSL2EIsdaeCSJYV +th2dHSBWePELFYQXh4+aoU+p5xu5tFFhcEOouyn6B3K3rdIyFbpyVhjb0GfU +I7RUd9pDq07699+gn8hn5h0KsYf6Il1LE3RUsnfGVLE9VG67bbgVXdBL6Xow +Yg8Ft0HTGN3c0GT2UoMG2TbdSkZolZOpsRQODVIbvFcN0Oc48RnTKTSIo4hE +W9DE4lKqoJUGke3xQn30kWjzgLWrNAh0IQr00Nc8g7oTbR3As7fwsS56kTxw +wCHCAXiHrR/ooK30DLoYtxzA8VVrjTa66OPGdZlTDmDn71ayWfI+/5uzOsaO +YDHzJo8kqU/xCvmdtyMYhUela6HvWLgL1lx1BK0FWf4mSX9KdK54CRxh7dns +KE20Qe40fXkjHQgyJkEaEjuf6hjh0WEhuc5jI/pZasEcIYMOM6oMjjr6Bj8i +PfgJHUYvD9iroUvjtPiaygzoIwXtIkryPXx7Tc6JAZ3Xv5puQMt6uTXbJjGg +aWuq7nq0xYRUfeVDBlRXkIjr0GT/qqP+a5ygxKpcVhW9h8i6fMTeCfLr7b6q +oJfNx0zy+U6QsffxrDKa2veHmNTiBAltHqNKaFLbfM+QtDPEOs/1KqKz7Hb/ +9pLiDGHdZ9sV0PfajLca8p3B94BqgzxaqBDDKmtxhoPDv9+Sk8Qr2jxxQoYJ +LB+LQll07UetrhgaEyiTzVfWoFdERSOtCUywCeOkyKC1pdfOstuZYCoePUOQ +9Lf1716SIgv0YsNPSKN7ZmPCTJgsUJMi+EmhU0h9VyLTWKCQ+OvBf9KwvsV3 +DQndLFhWNmSuojVPbv/QRXQBUWbN3hV0fA9naMTdBd5q0qyW0eHs0LtmuS4w +eLXPeAmdHDcdcHfYBboM/bUW0QIhrylRnw1tZZ9UvqLlm3h5WX5sqLdIlPqC +Ln9R9/xVKRsqazXEn9FfgsOZwXNsKLArmfiELhRf6rex2g/Zf+4aEkvuyzjw +IHo/XKR3PP2IztEcz01q3A9xTw61fEDfbwyjyUtzINJ1qlaE3ivvtzJlyIEg +QUzZe8n53HLhjCMHPI8oXX2HLu20mFH5iQM8YV7GPHq39MwSK40D9GCzC3Po +4JsTshVVHLATNUTPouna+xa29XHAMpoVPIMOTNJt+muBA0Yrw17T6MdjjQz+ +Zi5onQ/lTaHvDfgleAAXVBVXHSbRHTXHfdx9uUC4lL57Ai3DcnwaksSFBXW9 +HW/RZmLvusJyLszm3dYXorWO0VUWurgwqr9P/Q16Z21GQ5CYC89vdMuPo5Wt +xmsWN/Ggc/vRpdcS+4jHy8g8aKoWzY+i2ZPJe6J9eFBjyx97hU6MjLzhk8SD +kiZi/0u00p1cjZAKHuTTijpH0GLL6ZisZzzIfGR9fxjt5xXQPPCZBwmctqoh +9GCgTr+dtivE9rsVD6LLrHdUNlJcIcxDmCNAp9BarH885gq+Y1EXB9CUas3D +mmmucChQLu4FOkQtWkVU7Qqs+eyT/eiDobbkyQFXoESaBDxHrxi0DC6vusJ3 +i3WH+yT/701yn7mxG5ieY7j0ohn560g/M91AT06wrwd9zGcibzrcDfLDgggS +n1a/RX8d6wb/ApnuJ/Q= + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Hue[0.1421359549995791, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJxN1Xk0Ve3bB3BJJSSlDBmKTAllSoaMIbOUEikSMpMpImMiQ+bOIQmRKEIh +tkhSiVBChgoZiscsKvTbrfWu9X7PH+esz9r73Pve130NfOfcTGxpaWhoWMmv +f79MBkvSHXE/a2n+75MWmVHxhnQ3b/b5j8deKqusdq55Tbo03fDbh4VpYn9l +smoj6buHvW1pH2QS0iLqQQ3/7tdNedPjdZuIMfxSU086ZPqxbHp8BhG613m5 +jnSUmkQ9++At4nTtmEIt6eCbq68szG4RZxlM/WpIW7ZHHv88lk60M5VUVJP+ +bjUqWBOTTvxqXF54SnqaEjF3RCWd4JE/KFNJ+nNaQLfsShoRZmNzsZw05x0r +Zr6GNMJD68qjx6QN3DMnNRPTCPHByMlS0qETTTSGdmnEyoEwsRLSg1TOYEmV +NEJY08WxmPQtwZkt/NxpxCyTdv5D0ny5t+kClqlEThzLSOG/9XJa+qu/UImQ +pje7C0hnGQl2+bykEu11F63z/92/N/a06UMq0ePBmJlHmkeYY5L5JpUY6E/q +u0uaV/cKg30olRBfx7Qjh/SlXrlWUTcqQTfmeTKL9NDdBKF9llSiMOJtcibp +M/Z1J430qYTDwNb3GaQrhjnHnJSoRPiSzuZbpCdWRx87iFMJtWZ3/TTSw82V +ipI7qcTo6WtRFNLXOgTXF22hEtUFsY2ppFeaEyXe0ZHPKw9bm0JauT/vb8gS +haAPclBNIh35mPl29QSFYFqvEphAmjmN8tZ1gEKc0KOrukHap8s0L76TQogb +Pf0ZS9p7dWMQezOF6NxyRjqGtM3O/x7+fk4hbibNul0nXfl80F2qkkKUd/g8 +iCStv+5OW0URhfD7+GMsgrS8hkxEeC6FEEo1FLxKWlbi8XDiLQqxlj3bOoy0 +5F+Dlv4kCmFqNpwRQnqJZX2KVTSFOGfO0RNE+izjVhr2MArhwaPIdoU0C2+F +1Io/hXiVZWASQPpCzHLeZk8KUTBqFOdPOkO2hV/PiUKcnVJruvRvP10lpQ9s +KITE093rfUk/Ea3KlDxNIcw1F9S8Sfc5tDt2HacQe5PLAz1J19dufZ1uQCFG +cu2fepAWLzagBGhRiHZ/+gU30tExP4d9VSiEJCt1vytpRYU7X6MPUggDN05n +Z9J1XkI95ZIUwuFG1D3Hf/GzFtdYFKUQLZ7fBy+QFmo3u1fPS1Gq4ZbntYd6 +DL5+6ZQt2LzmXrINOOlJY6s12M3zI4MVWGbxg+YZsIhaffBpcPzRO9Xm4DIR +159m4M7GvZInwcpin5xMwVKnfPKOgRcN1g4cBb9nCOYyBm+MnzA1BA/2H4nX +B+fMJzfp4v462uh0wNOBy8rauN4Mu58meKfE7jIN8CVZ3v/UwBYbNgqrgpnu +Dlkpg9sZi9KUwFPKFzoUwA8PbWWWBxvRP9CWA3NlyobIgk/SFldJg49IccxL +4vns8xDfD7b69dROArwlYTZTDBz7c8cnUfAOUemte8ArexX1hMEBy1LhguDe +9B01u/F5DLMLfOCjR55K7ALPmbrb84JjpNnvcOP/Bx527wCXn5XZwgn+UFSg +ww7+844ldDvYu86uihV8NezB7BbwRY5BURash6ANNszg+UrudCbw9pd8HxjA +wnfZGDeC3U/8Ud+A8e9r9V8HrpJNLl0L1rY58mMN5pftBB8NOFIx+NRq7P/7 +1cjahGUwv73v69/gz0TP3yWw6pi43CI49ru76wKY4XlO7hx4xbWxbwZcONfN +Og0+ZdSrOwnWD20JmQA3xRRX/gCzu4VMjYH99mgIjYJliPnTw+AbwqlJQ+AW +J+GmAbBsVD7NVzBjMKfcZ3CKsb9LHz7/T1NOD7griLGnG7zjiyJLF1iA87TW +R7CShHPAB3Aur3NpO/qHxVgrODRRkfcdOIiN8XgzeNCnKaoJPFPmV/saPNXC +sdAI3v3ynuhLcDtVyOoF+JB+SspzcFHfXFMt+IKeOs0z8CNKsCwBfttQ5FgF +Xm1tzqzEfKnq6SgH14Z3b3yC8ZRoVC7DeD7N8SwBv9vtkV8MPugm0f8QnJ7e +u+UBWPn+Ja0CsC1l3eV8sKlDaHEe2IR7auguOLtEjyMHfH0PRT8LbBjeEZwJ +lq6neZIBDhzi/p4O9hwT4UkDm7ULHKWAPW6zXE0FrzWeqEwGHxupmEgE51l7 +7krA+qznPX4DbEdfdS0WbCmjWR0NdtSqm4zCfFDeyx+J58ETYRoBfj7UFhkO +/hDPSIRi/AQPTAWDw7OM+YOw/tdZmAaCmU1MIy9j/kaoVPuBKXc5J33BOoVD +u3zA2ukZx7xwv17aERfBKgcHKt3B9cOO465Yb4HfeFzAEjSGxk7gTJd7oQ64 +XuP0Y3t8XwbRUVswl8IxzvPgr6bOeufAI5begVZgdxPX4jPgF9InB06DBWn3 +s1qAu2uWDp/CerYv8TmJ8Vk9lW+K/S9s9tMxsPyiP6MJOObUnJIxuLnAwtUQ +33e8LFMfLMy13KaL/VlBhlYH7Kx7WlobfFTX67wm1rtCQIoG+BeXV6MaWGPS +YlEFPFQiLaIM5rb/Y6aE/Z65LEoB7HLPvOogeJP07I8DOG9K/LhkwUn8M3rS +4DXXzAIkwWOfix/sw/oXXewTx3xxlNgkBma7bXpIFHyt0dlFBOftkGeGEM6T +n44tAhiflaMr/GCaPyLifOBdk1Ond4JtuvJieLCeKgwILrwe+22cE3zf3ImL +A+f9zkFdNjBr7xH/beC6uMz7W8GuCiPdLGDrz9z0m8F9/hpym3D+sZjbMYKv +3LZK2QgWFzrZsAHsfe/Q3Drs5/zb+Olw3t3sMaYFx29ICKIBj3rIFa3GwPpd +LX3L4Fy544x/wElJTfK/wIrj+y8sggeVr6UugN/GtTTM4f29a+dmwN4ConzT +4GeOqkaTYPMircAJcOyUUuEPcJrE7k9j4EanX+tHwab3amWGwdED3ueGwIU7 +uOMHwL9NSmu+gInrB8f7wbL1RRx94Pxf27R6cL+STp7d4DiHkjud4Kjs0ZYO +vN636c978AC7gEg7+NVxUdNWcGjSrtAWsFnH+uK34Bj2/t43YGvLHPrXYO68 +U7KN4DWzf60bwMfUUuPqwSeSuavrwIbjiaPPwEFav1hrwGJ5RqrV4HSGVOen +4E1ezZQK8OvB2YYnYOaTG2fKwALtm3lK8flH1+s8Ard2TXgVgcfPv7jzADy8 +FNVcAKZNVl3KB0ccGN19D1z2NdAoF/wuke5yDniHweW8LHDf5sH2TIxX78GV +DHBzcZDILXBYbPmxNMx3r/4rFHCd7fz9VHCL1Z+OZPAeu9m/iWB2n0+iCeDe ++EemN8BV5b7BseCFUYnCaHC7QOfHKHCiiwtNJPhy/bxoBLhtt7NpOLgj4UNQ +KLiJaW9BMHgx+WLHFfBt0YLVAPDad+0il8EOQd9N/MCsKjMBvmDNTd/zvMEa +P9raPMHGnfm/PcDF7e4C7tjP+kQMXcFei22+zmArfocsR/BDy5mmC7j/+w7z +dmCf9e95bMF+XqLaNpiPcxfdrTG/rxZSz4KFRDrqLcG+/RPjFuDDuQvbzDH+ +QZOHzMBnXbrsToBlXB/dOI79OtSv0gRcWyA1YIz9bqR3oxH4upy3lAH40a1V +cz1wCdulMB2sv9yBQm2sT13FDk2wB93VZQ1wQMczAXVcr2pMXxX7dQWttzK4 +oIkpQwk8P7/+pQLG/8DMxEHwxRtvt8mBJWhSlWTxPCKNz0uDJ/b8iZbE9YZS +yvbh/Hqyq1ccvC8rnVYM+0vuelFRvN5gfVQEbLL84JIQON5wLFMA+2U16yt+ +sKP6vsldOO++KWzfCe7KOqDEg9f9+W24wDOuq1GcYK2gpkfsmD+FEV3bsf7n +pVZZcT3zVoGt+P9+Cz0WsFpgtwcz5pOCJoUJTMeW/YwB58nmqW/04GkhMcYN +OI8sT0muQ5f6nFwLlhQKD1wDvvM0JOdvNMxvZ7c3K+BUFYOpP+BsKa7tv8Hb +tD4pLIEl/K9Z/QRLtwpGzIPtNB8XzoIZ+6Xap8E2qVk/J8FlHjTc/4GV3IzU +xsHqN+LsvoPp39dEj4Lb5PofDYO/1E18HAJ7u0z8HgB3Herb+RWsLUUc/gze +qBfj0Ac+E6kf1wO+/mO5tBuf75HR1Qn25JVY7gA/mS7a9QH8aWynZjuYf0OI +Qyv4vd772BaMdwVr6Vuwr45m5xvwNK3971fgvqFLvI3gKxP+6g1gDh4Xu3rw +pLfR9TqM9yJf0TPwiaxv7QTY1evmQhW4xV2B8yn4cWqLUgXYfsTY6glYxKoh +rAysSCdyrwQ88P5yUzHYsrn2v4fguek5lgfgb6ocMgVgL0LsZD542EbSPw/s +LyeUcRfzQ46xLhvMef7r4B1wfk3uukzM78MWIhnglCUavXQ8n55UFyrY6Ad3 +/E3wWZGk0hTwePKvjiSwzH7jxQQ8v9+pnPFghvkWxTgwN8+CZQz4pzdT8HVw +zyprdiTWYyVjQwT4bdbccDjmO/F2Qxh4HX3ynhAw7VV9vSAwVXrBORDMtC0u +7jL4thD7Iz9wvFN8uy9YcOjXrDf4TuyxbV5gDacM2YvgowFdJ9zxfZ6vueSK +9SbPTXUGJ4wIVTlifr3i670AHu1nWrYDj4iMcduC+e6XHbLB+j3jdsYa96PL +FXQW3OxUkWkJjn6hUWeB9X207usp3A+b+Boz8MrW63wnMN+0utSOg61Ktp0z +AYsdVw81Bm/aZ5VtCNZUd63XB7PHOQ/qghu2WtDq4Pm0yfNrg6tf0atrgqOW +XllrYL+28Q1RA2+nZ89SAZuN5tUdwv2sEf6qiPE9cfOvPL7PyCLvQfD9kiPK +BzCfK6MsZcBrlqsCpMDWl/vS92N/kZusksD475v+JAa+YDu4JApW6W5g34P5 +HXnzgDC40sfcVBDnTfYmr91Y75tKEvnAuuWHS3aCWW+/buUBTzQoTXLh+ezN +YtoB7mxbEOUAO5Yr6LCB2frc7LeBedRTrm4F144V5LCAVdsePWcGf13K+8IE +HjoXu8KA8475PNdGcJ78jDw96Wk5nb+3fzDW/g9lsMtK + "]]}, "Charting`Private`Tag#3"], + Annotation[{ + Hue[0.37820393249936934`, 0.6, 0.6], + Directive[ + PointSize[0.0055000000000000005`], + AbsoluteThickness[2], + RGBColor[1, 0, 0], + Dashing[{0, Small}]], + Line[CompressedData[" +1:eJwV0GtM0wcUBXAec+LEFRRljvrAuhJ0xakouBmubcE+KNAHhlkHhA4Ysi4l +s8NlyDNCZqtCCI8OgYGhiAgF2tJ/KyMtELYgK7IAojIUURnY+QCxSLtlu/1w +c/P7ds4JlMiEaR5ubm4ReK6/sVNw/uBlu3lZ6sNK3EaHYZ/Bkv1oiRXy+4vo +EBkWfGEfOmQwibl9ng69lDwlDZ24b7QiK4YBZ+4MXNqLzqyzy01aBqjjHKXB +6LsJoU/f+jOhvDSwPAjNS7LNheQy4fuqIxUfocXpuTmnZplQmcqooqDZD6Kb +8liREOz4TBWIZjwjJKq2SPg2gVqzA302fZexxTcKNOfca7ehv56zqTuyo4Cc +aa0LQMv0clr7VBQ4dyh+3oreO0bmNx47Dj/WhzX6oxedTNJF9XHwnJu4uhld +28CRfvMeC1rtqU2b0GMLFzOiZCzoGX2i9nXlN0rX+I2z4Dv5iWsk9KlmVuS9 +cDZsmTW0bEBzPc9RK+vYML11Xet6V7+7X7WzPDjgRebdWIe+PJdx/1U6B+7M +57etRZ9v/lNTNsyB4oKm9jVoD+7Oj4M+4UL8Y5PGE911s0ykr+BCoa+lwx1N +qVFQw1e5wF1PdP53yW7WMTObtYnR8GK0vutfdM2QcpzSHw1tkrNaJ7p6hatV +UnnQZzmmW0WbhJ70ZwoeSG0O3Qq6xd+nCF7ywDar1r9Bf37092ylKAbyrtG7 +X6OHZOU7R4gYSDky0r2IPvlhb8FaciyMq6INL9GFXzSrwgpiYfOvJsNz9IxP +y+mkJ7HwZd8HhA3NvBXwJocdB05FBrGAnpwQHy1riwMy5TrxF7qBnNwQ48WH +dxT3iafoIPHtwthP+bBk/od4jB5J3jPAlfKBMkgyPkKTWOQ0Rj0fJn/aZHyI +9n5XkHFolA+8CC/jNLpEJrdSPARg1j4nptBVBw9UbggVQNbKAHEPvfrgkGUp +TQC13kpiEq2c2hM3Vi2AwiUGMYEOzPqF0TEkgOTrNsMY2mipvFLiFMCZ/cWG +P1z5rSnikzQh9iEZbqMDsofzg5KFcNig6LaiE+S53otlQpDo7PphNC1ll7uh +Xwi9RSL9EDpVm5qUvSyE4t2Nut/QV2++2H2AKgKH6qF20LVva+aJhQQRJMy8 +rx1AbyktenXlggimHSFdfWiDaMbO6RHBrXnoNLv2s9BOv/5bBOJWekcvuivH +j63aHg8jEKrpQR/2iqgI58eDuPrtsgmd46dhPfohHv4HI4EPRQ== + "]]}, "Charting`Private`Tag#4"]}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + ListPlot, "GroupHighlight" -> False|>|>, + "DynamicHighlight"]], {{}, {}}}, + InterpretationBox[{ + TagBox[{{{}, {}, + TagBox[ + {GrayLevel[0], AbsoluteThickness[2], Opacity[1.], + Dashing[{Small, Small}], LineBox[CompressedData[" +1:eJxN0mtIUwEUB/Dblq+sxOQapI5EspzOPSob4XBaWESjece2e+ej2hxFBcqs +IAhWa+1VTkEzS4MwyphttzXIECoqHRm6r1s6Kppofcighj0YWkFw/wcOhx/n +cL6cU2xso8w8giBUf/NfvZT8tq2pa+k58T8EEwfsjeAn14beG8CLVVQfAzac +C/L1YNly27sG8IeMLz37wIqChWUZmPrV0ZIOrl1LhuJezh7rUiwErvS1u5zg +B1krFS1g++bSRil49HqnIQ38ak/WoXgnZ+Fw0TQLPllTr3SA0+WsvRmcWnhI +ScFTU2EyE/zj+Bnj7FXO582tF1jwbN+xHge418qXGMBbb4VeSMCkJRXng5uH +L09Gr3C25paMBMApGZNvA2vcd87S4NXKyvticE6gwcQHJ9XuvBkP5x0jjMoP +jphP0BfBddoumgbnpg5+LAePRQVHeeCnedLgWzfngoy4xw+mxAOMHTy3/ntQ +D1aXHF4RgfP7swVp4F3j6nDMBf+4xWdiwS7FoMcGlj+O2HTglyayXQS+9zo5 +T4BbjZ+oqBPu21TYHQB/XfdZZwNXJyc3MOA3mU4DDaaL9g7pwafrn0l1YH// +I7UGLFAMeFXgVY5Ta+rA3TfLqFqcZ+dvKMG7Y0fKasAWoXZ/NXguonBUYT/x +e3onmPg5SqILi2V3t4O1HaXjEtznTGSjLYO3NWKwd2JTQoT7ZqJCtG+x11IB +lvOpsXJweGMOD/0H38tceA== + "]]}, + Annotation[#, "Charting`Private`Tag#1"]& ]}, {}}, + {"WolframDynamicHighlight", <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>}], + DynamicBox[(Charting`HighlightActionBox["DynamicHighlight", {}, + Slot["HighlightElements"], + Slot["LayoutOptions"], + Slot["Meta"], + Charting`HighlightActionFunction["DynamicHighlight", {{{{}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0], + Dashing[{Small, Small}]], + Line[CompressedData[" +1:eJxN0mtIUwEUB/Dblq+sxOQapI5EspzOPSob4XBaWESjece2e+ej2hxFBcqs +IAhWa+1VTkEzS4MwyphttzXIECoqHRm6r1s6Kppofcighj0YWkFw/wcOhx/n +cL6cU2xso8w8giBUf/NfvZT8tq2pa+k58T8EEwfsjeAn14beG8CLVVQfAzac +C/L1YNly27sG8IeMLz37wIqChWUZmPrV0ZIOrl1LhuJezh7rUiwErvS1u5zg +B1krFS1g++bSRil49HqnIQ38ak/WoXgnZ+Fw0TQLPllTr3SA0+WsvRmcWnhI +ScFTU2EyE/zj+Bnj7FXO582tF1jwbN+xHge418qXGMBbb4VeSMCkJRXng5uH +L09Gr3C25paMBMApGZNvA2vcd87S4NXKyvticE6gwcQHJ9XuvBkP5x0jjMoP +jphP0BfBddoumgbnpg5+LAePRQVHeeCnedLgWzfngoy4xw+mxAOMHTy3/ntQ +D1aXHF4RgfP7swVp4F3j6nDMBf+4xWdiwS7FoMcGlj+O2HTglyayXQS+9zo5 +T4BbjZ+oqBPu21TYHQB/XfdZZwNXJyc3MOA3mU4DDaaL9g7pwafrn0l1YH// +I7UGLFAMeFXgVY5Ta+rA3TfLqFqcZ+dvKMG7Y0fKasAWoXZ/NXguonBUYT/x +e3onmPg5SqILi2V3t4O1HaXjEtznTGSjLYO3NWKwd2JTQoT7ZqJCtG+x11IB +lvOpsXJweGMOD/0H38tceA== + "]]}, "Charting`Private`Tag#1"]}}, {}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{-1.41, -1.408}, {-1., 1.}}, + "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {-1.4079583333333365`, 0}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), + "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0], + Dashing[{Small, Small}]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, "Primitives" -> {}, "GCFlag" -> + False|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>]]& )[<| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{-1.41, -1.408}, {-1., 1.}}, + "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {-1.4079583333333365`, 0}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), + "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0], + Dashing[{Small, Small}]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, "Primitives" -> {}, "GCFlag" -> False|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>], + ImageSizeCache->{{4.503599627370496*^15, -4.503599627370496*^15}, { + 4.503599627370496*^15, -4.503599627370496*^15}}]}, + Annotation[{{{{}, {}, + Annotation[{ + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0], + Dashing[{Small, Small}]], + Line[CompressedData[" 1:eJxN0mtIUwEUB/Dblq+sxOQapI5EspzOPSob4XBaWESjece2e+ej2hxFBcqs IAhWa+1VTkEzS4MwyphttzXIECoqHRm6r1s6Kppofcighj0YWkFw/wcOhx/n cL6cU2xso8w8giBUf/NfvZT8tq2pa+k58T8EEwfsjeAn14beG8CLVVQfAzac @@ -249728,8 +323452,30 @@ T4BbjZ+oqBPu21TYHQB/XfdZZwNXJyc3MOA3mU4DDaaL9g7pwafrn0l1YH// I7UGLFAMeFXgVY5Ta+rA3TfLqFqcZ+dvKMG7Y0fKasAWoXZ/NXguonBUYT/x e3onmPg5SqILi2V3t4O1HaXjEtznTGSjLYO3NWKwd2JTQoT7ZqJCtG+x11IB lvOpsXJweGMOD/0H38tceA== - "]]}, - Annotation[#, "Charting`Private`Tag$810582#1"]& ]}, {}}}, + "]]}, "Charting`Private`Tag#1"]}}, {}}, <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"InterpolatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{-1.41, -1.408}, {-1., 1.}}, + "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {-1.4079583333333365`, 0}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {True, True}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + Opacity[1.], + AbsoluteThickness[2], + GrayLevel[0], + Dashing[{Small, Small}]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, "Primitives" -> {}, "GCFlag" -> False|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + Plot, "GroupHighlight" -> False|>|>, "DynamicHighlight"]]}, AspectRatio->NCache[ Rational[1, 2], 0.5], Axes->{False, False}, @@ -249737,8 +323483,10 @@ lvOpsXJweGMOD/0H38tceA== AxesOrigin->{-1.409660000000003, 0}, DisplayFunction->Identity, Epilog->{{ + EdgeForm[ + GrayLevel[0]], RGBColor[1, 0, 0], - PointBox[ + DiskBox[ NCache[{(Rational[-337994950, 90252141] Log[ Rational[2136, 221]] - ( Rational[114240586225502500, 8145448955083881] Log[ @@ -249764,14 +323512,105 @@ lvOpsXJweGMOD/0H38tceA== Rational[2136, 221]]) (Rational[-2589041317, 3147040] + Rational[13445439111, 33802300] Log[ Rational[2136, 221]]))^Rational[1, 2])^Rational[-1, 2], - 0}, {-1.4098703413081377`, 0}]]}, + 0}, {-1.4098703413081377`, 0}], + Offset[2]]}, InsetBox[ FormBox[ StyleBox["\"\[Lambda] = 0.67\"", Directive[ GrayLevel[0], FontFamily -> "Times", FontSize -> 10], StripOnInput -> False], TraditionalForm], - Scaled[{0.15, 0.85}]]}, + Scaled[{0.15, 0.85}]], + InsetBox[ + FormBox[ + StyleBox[ + "\"\\!\\(\\*SubscriptBox[SuperscriptBox[StyleBox[\\\"E\\\",FontSlant->\\\ +\"Italic\\\"], \\\"-\\\"], RowBox[{\\\"\[NegativeThickSpace]\\\", \\\"\ +\[NegativeThinSpace] \[NegativeThickSpace] \[NegativeThickSpace]\ +\[NegativeThinSpace]\\\", RowBox[{\\\"1\\\", \ +\\\"\[NegativeVeryThinSpace]\\\", \\\"RSB\\\"}]}]]\\)\"", + Directive[ + GrayLevel[0], FontFamily -> "Times", FontSize -> 10, ScriptMinSize -> + 7], StripOnInput -> False], TraditionalForm], + NCache[{(Rational[-337994950, 90252141] Log[ + Rational[2136, 221]] - ( + Rational[114240586225502500, 8145448955083881] Log[ + Rational[2136, 221]]^2 + ( + Rational[3379949500000, 12644747575317] + + Rational[-276830215250000, 2148926852514519] Log[ + Rational[2136, 221]]) (Rational[-2589041317, 3147040] + + Rational[13445439111, 33802300] Log[ + Rational[2136, 221]]))^ + Rational[1, 2]) ( + Rational[87508289048734915000000, 2753349092144318707263] Log[ + Rational[2136, 221]] + + Rational[114130101350000000, 242128900489171751979] ( + Rational[-2589041317, 3147040] + + Rational[13445439111, 33802300] Log[ + Rational[2136, 221]]) + + Rational[ + 258904131700000, 30507299457243] ( + Rational[114240586225502500, 8145448955083881] Log[ + Rational[2136, 221]]^2 + ( + Rational[3379949500000, 12644747575317] + + Rational[-276830215250000, 2148926852514519] Log[ + Rational[2136, 221]]) (Rational[-2589041317, 3147040] + + Rational[13445439111, 33802300] Log[ + Rational[2136, 221]]))^Rational[1, 2])^Rational[-1, 2], + 0.00045}, {-1.4098703413081377`, 0.00045}]], + LineBox[ + NCache[{{(Rational[-337994950, 90252141] Log[ + Rational[2136, 221]] - ( + Rational[114240586225502500, 8145448955083881] Log[ + Rational[2136, 221]]^2 + ( + Rational[3379949500000, 12644747575317] + + Rational[-276830215250000, 2148926852514519] Log[ + Rational[2136, 221]]) (Rational[-2589041317, 3147040] + + Rational[13445439111, 33802300] Log[ + Rational[2136, 221]]))^ + Rational[1, 2]) ( + Rational[87508289048734915000000, 2753349092144318707263] Log[ + Rational[2136, 221]] + + Rational[114130101350000000, 242128900489171751979] ( + Rational[-2589041317, 3147040] + + Rational[13445439111, 33802300] Log[ + Rational[2136, 221]]) + + Rational[ + 258904131700000, 30507299457243] ( + Rational[114240586225502500, 8145448955083881] Log[ + Rational[2136, 221]]^2 + ( + Rational[3379949500000, 12644747575317] + + Rational[-276830215250000, 2148926852514519] Log[ + Rational[2136, 221]]) (Rational[-2589041317, 3147040] + + Rational[13445439111, 33802300] Log[ + Rational[2136, 221]]))^Rational[1, 2])^Rational[-1, 2], + 0.000045}, {(Rational[-337994950, 90252141] Log[ + Rational[2136, 221]] - ( + Rational[114240586225502500, 8145448955083881] Log[ + Rational[2136, 221]]^2 + ( + Rational[3379949500000, 12644747575317] + + Rational[-276830215250000, 2148926852514519] Log[ + Rational[2136, 221]]) (Rational[-2589041317, 3147040] + + Rational[13445439111, 33802300] Log[ + Rational[2136, 221]]))^ + Rational[1, 2]) ( + Rational[87508289048734915000000, 2753349092144318707263] Log[ + Rational[2136, 221]] + + Rational[114130101350000000, 242128900489171751979] ( + Rational[-2589041317, 3147040] + + Rational[13445439111, 33802300] Log[ + Rational[2136, 221]]) + + Rational[ + 258904131700000, 30507299457243] ( + Rational[114240586225502500, 8145448955083881] Log[ + Rational[2136, 221]]^2 + ( + Rational[3379949500000, 12644747575317] + + Rational[-276830215250000, 2148926852514519] Log[ + Rational[2136, 221]]) (Rational[-2589041317, 3147040] + + Rational[13445439111, 33802300] Log[ + Rational[2136, 221]]))^Rational[1, 2])^Rational[-1, 2], + 0.00035}}, {{-1.4098703413081377`, 0.000045}, {-1.4098703413081377`, + 0.00035}}]]}, Frame->True, FrameLabel->{ FormBox[ @@ -249800,51 +323639,52 @@ lvOpsXJweGMOD/0H38tceA== AbsolutePointSize[6], "DefaultPlotStyle" -> { Directive[ RGBColor[0.368417, 0.506779, 0.709798], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.880722, 0.611041, 0.142051], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.560181, 0.691569, 0.194885], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.922526, 0.385626, 0.209179], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.528488, 0.470624, 0.701351], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.772079, 0.431554, 0.102387], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.363898, 0.618501, 0.782349], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[1, 0.75, 0], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.647624, 0.37816, 0.614037], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.571589, 0.586483, 0.], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.915, 0.3325, 0.2125], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.40082222609352647`, 0.5220066643438841, 0.85], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.9728288904374106, 0.621644452187053, 0.07336199581899142], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.736782672705901, 0.358, 0.5030266573755369], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.28026441037696703`, 0.715, 0.4292089322474965], - AbsoluteThickness[1.6]]}, "DomainPadding" -> Scaled[0.02], + AbsoluteThickness[2]]}, "DomainPadding" -> Scaled[0.02], "PointSizeFunction" -> "SmallPointSize", "RangePadding" -> Scaled[0.05], - "OptimizePlotMarkers" -> True, "OptimizePlotMarkers" -> True, + "OptimizePlotMarkers" -> True, "IncludeHighlighting" -> "CurrentSet", + "HighlightStyle" -> Automatic, "OptimizePlotMarkers" -> True, "CoordinatesToolOptions" -> {"DisplayFunction" -> ({ Identity[ Part[#, 1]], @@ -249858,20 +323698,9 @@ lvOpsXJweGMOD/0H38tceA== PlotRangeClipping->True, PlotRangePadding->{{0, 0}, {0, 0}}, Ticks->{Automatic, Automatic}]], "Output", - CellChangeTimes->{{3.895847171445217*^9, 3.895847208023654*^9}, - 3.895847271387499*^9, {3.8958473519461803`*^9, 3.895847479954941*^9}, { - 3.8958480243642387`*^9, 3.895848078982098*^9}, {3.895848137593301*^9, - 3.895848145552232*^9}, {3.8958482569935904`*^9, 3.895848260544875*^9}, { - 3.895848301017213*^9, 3.895848422385833*^9}, 3.8958484529378033`*^9, { - 3.8958485216828413`*^9, 3.895848529861611*^9}, {3.8958486130521727`*^9, - 3.895848786793188*^9}, 3.895848924855991*^9, {3.895850902642866*^9, - 3.8958509148581333`*^9}, 3.895850944868423*^9, {3.895850987876623*^9, - 3.895851003202529*^9}, 3.895851097542241*^9, {3.8958931310607357`*^9, - 3.895893335476495*^9}, 3.895893721077198*^9, 3.895893837353815*^9, - 3.8958942668749743`*^9, {3.895894474109962*^9, 3.895894502166891*^9}, { - 3.895894557012802*^9, 3.895894567771001*^9}}, + CellChangeTimes->{{3.901804740505434*^9, 3.9018047666551857`*^9}}, CellLabel-> - "Out[1120]=",ExpressionUUID->"43eb51cf-cd6c-4a96-a4a6-2b9cac2451d3"] + "Out[250]=",ExpressionUUID->"f1536694-dc95-4af9-a605-ec843ce4808b"] }, Open ]], Cell[BoxData[ @@ -249904,7 +323733,7 @@ Cell[BoxData[ 3.895573695514819*^9, 3.895840080417202*^9, {3.8958401463692627`*^9, 3.895840146654644*^9}, {3.8958489641869307`*^9, 3.895848964768215*^9}}, CellLabel-> - "In[900]:=",ExpressionUUID->"deceaad2-fba6-43c3-9761-e399f8924868"], + "In[121]:=",ExpressionUUID->"deceaad2-fba6-43c3-9761-e399f8924868"], Cell[BoxData[ RowBox[{ @@ -249942,7 +323771,7 @@ Cell[BoxData[ 3.895563875536582*^9}, {3.895565678234394*^9, 3.895565682338421*^9}, 3.895840086321329*^9, {3.895840150213914*^9, 3.89584015368097*^9}}, CellLabel-> - "In[901]:=",ExpressionUUID->"01a5825b-954e-4806-b120-c413b5019170"], + "In[122]:=",ExpressionUUID->"01a5825b-954e-4806-b120-c413b5019170"], Cell[BoxData[ RowBox[{ @@ -249958,7 +323787,7 @@ Cell[BoxData[ 3.89583902303053*^9, 3.895839026671109*^9}, {3.895840202349971*^9, 3.89584020525355*^9}}, CellLabel-> - "In[902]:=",ExpressionUUID->"18052427-0735-484e-87b9-5806a7040361"], + "In[123]:=",ExpressionUUID->"18052427-0735-484e-87b9-5806a7040361"], Cell[CellGroupData[{ @@ -250000,15 +323829,15 @@ Cell[BoxData[ 3.895840217068973*^9, 3.8958402319699297`*^9}, {3.89584026937379*^9, 3.895840271569643*^9}}, CellLabel-> - "In[903]:=",ExpressionUUID->"126e9590-8eeb-477c-b930-630397b1d2fb"], + "In[124]:=",ExpressionUUID->"126e9590-8eeb-477c-b930-630397b1d2fb"], Cell[BoxData[ RowBox[{"{", RowBox[{ RowBox[{"\[Mu]", "\[Rule]", - RowBox[{"-", "4.76946166242368877233596687129442361168`20."}]}], ",", + RowBox[{"-", "4.76946166242368877233596698430072786906`20."}]}], ",", RowBox[{ - "q1", "\[Rule]", "0.99996731451980616601501821670212215783`20."}]}], + "q1", "\[Rule]", "0.99996731451980616601501824286895108064`20."}]}], "}"}]], "Output", CellChangeTimes->{{3.895386117088763*^9, 3.8953861951346083`*^9}, 3.895386231847042*^9, {3.895386520303944*^9, 3.8953865243931313`*^9}, { @@ -250028,9 +323857,10 @@ Cell[BoxData[ 3.895570416449636*^9, 3.895571092344377*^9, {3.895628608980299*^9, 3.895628630633853*^9}, {3.895629846822892*^9, 3.8956298683797617`*^9}, { 3.895630235010113*^9, 3.89563029104084*^9}, 3.8958390314431257`*^9, { - 3.895840272125947*^9, 3.895840276918606*^9}, 3.895848976745034*^9}, + 3.895840272125947*^9, 3.895840276918606*^9}, 3.895848976745034*^9, + 3.9017874255351477`*^9}, CellLabel-> - "Out[903]=",ExpressionUUID->"44549e14-e0f1-4893-a475-22abf1e0e5d6"] + "Out[124]=",ExpressionUUID->"57467edd-5089-4a32-be2e-05ac9766727a"] }, Open ]], Cell[BoxData[ @@ -250096,7 +323926,7 @@ Cell[BoxData[ 3.895564587141629*^9, {3.895565712459385*^9, 3.895565721403296*^9}, { 3.895840281217497*^9, 3.895840317274406*^9}, 3.8958489802114983`*^9}, CellLabel-> - "In[904]:=",ExpressionUUID->"0bb7b308-49f9-49a0-9356-f3bd8e6c8908"], + "In[125]:=",ExpressionUUID->"0bb7b308-49f9-49a0-9356-f3bd8e6c8908"], Cell[CellGroupData[{ @@ -250132,23 +323962,23 @@ Cell[BoxData[ 3.895564208495466*^9, {3.895565733347131*^9, 3.895565733835043*^9}, { 3.895840323901429*^9, 3.895840333792809*^9}}, CellLabel-> - "In[905]:=",ExpressionUUID->"ec5d199c-c1f2-423d-979c-cfaa783cdda0"], + "In[126]:=",ExpressionUUID->"ec5d199c-c1f2-423d-979c-cfaa783cdda0"], Cell[BoxData[ RowBox[{"{", RowBox[{ RowBox[{"\[Epsilon]", "\[Rule]", RowBox[{ - "-", "1.401625655721394900751222952031247518278915102715685501829391777968\ -62030459759`50."}]}], ",", + "-", "1.401625655721394900751222952031247518278915102715685501832145095423\ +26803715089`50."}]}], ",", RowBox[{ "\[Mu]", "\[Rule]", - "10.9856728046743928498668094249713854531289274693224894412586519769541452\ -4476375`50."}], ",", + "10.9856728046743928498668094249713854531289274693224894411647406058279477\ +5946603`50."}], ",", RowBox[{ "q1", "\[Rule]", - "0.75470890277530659276261612150793579185482032520567778898964733652279325\ -558238`50."}]}], "}"}]], "Output", + "0.75470890277530659276261612150793579185482032520567778914414315950606718\ +72429`50."}]}], "}"}]], "Output", CellChangeTimes->{{3.895560161987636*^9, 3.895560183491417*^9}, { 3.895560222659933*^9, 3.895560247461233*^9}, {3.895560291818879*^9, 3.895560376021306*^9}, {3.89556045993384*^9, 3.8955604648368073`*^9}, { @@ -250160,9 +323990,9 @@ Cell[BoxData[ 3.895566506382016*^9, 3.895566785883479*^9, 3.89556703970986*^9, 3.895569560911169*^9, 3.895570289187194*^9, 3.895570551461274*^9, 3.895571376475663*^9, 3.895629120637138*^9, 3.895839735153392*^9, - 3.895840874809011*^9, 3.8958490391742887`*^9}, + 3.895840874809011*^9, 3.8958490391742887`*^9, 3.901787454070508*^9}, CellLabel-> - "Out[905]=",ExpressionUUID->"09bf618a-ff83-448b-bee3-f58e5ef6fbb5"] + "Out[126]=",ExpressionUUID->"9939786c-3435-4805-b6f1-561a811e475d"] }, Open ]], Cell[BoxData[ @@ -250223,7 +324053,7 @@ Cell[BoxData[ "&"}]}], "]"}], "]"}]}], ";"}]], "Input", CellChangeTimes->{{3.89584909099203*^9, 3.895849119984576*^9}}, CellLabel-> - "In[909]:=",ExpressionUUID->"348d0c81-9fbe-42d1-b188-9d50b8eb69ec"], + "In[127]:=",ExpressionUUID->"348d0c81-9fbe-42d1-b188-9d50b8eb69ec"], Cell[BoxData[ RowBox[{ @@ -250241,7 +324071,7 @@ Cell[BoxData[ 3.895839845286035*^9}, {3.895840463472383*^9, 3.895840466308838*^9}, { 3.8958489882831078`*^9, 3.895848989967938*^9}}, CellLabel-> - "In[906]:=",ExpressionUUID->"b990fc5c-b48c-4600-b61c-74531b829c86"], + "In[128]:=",ExpressionUUID->"b990fc5c-b48c-4600-b61c-74531b829c86"], Cell[CellGroupData[{ @@ -250283,15 +324113,15 @@ Cell[BoxData[ 3.8958398667414417`*^9, 3.895839921666144*^9}, {3.8958404733652077`*^9, 3.895840480013109*^9}}, CellLabel-> - "In[907]:=",ExpressionUUID->"5d07154e-483f-439f-8aaf-6aa538505d8f"], + "In[129]:=",ExpressionUUID->"5d07154e-483f-439f-8aaf-6aa538505d8f"], Cell[BoxData[ RowBox[{"{", RowBox[{ RowBox[{ - "\[Mu]", "\[Rule]", "10.98060201920544962705194913932966663654`20."}], ",", + "\[Mu]", "\[Rule]", "10.98060201920570612518322938645218832066`20."}], ",", RowBox[{ - "q1", "\[Rule]", "0.99969175079897800340625593804839950716`20."}]}], + "q1", "\[Rule]", "0.99969175079909541527185072310367159148`20."}]}], "}"}]], "Output", CellChangeTimes->{{3.895386117088763*^9, 3.8953861951346083`*^9}, 3.895386231847042*^9, {3.895386520303944*^9, 3.8953865243931313`*^9}, { @@ -250312,9 +324142,9 @@ Cell[BoxData[ 3.895628630633853*^9}, {3.895629846822892*^9, 3.8956298683797617`*^9}, { 3.895630235010113*^9, 3.89563029104084*^9}, 3.8958390314431257`*^9, { 3.8958398709943657`*^9, 3.895839922918577*^9}, 3.895840875219062*^9, - 3.895849039580821*^9}, + 3.895849039580821*^9, 3.901787466299378*^9}, CellLabel-> - "Out[907]=",ExpressionUUID->"d4c5d1b5-f8bb-46a8-9a3f-ef8d869b4011"] + "Out[129]=",ExpressionUUID->"1991d085-bbc0-4286-a00a-4ab7bfabb227"] }, Open ]], Cell[BoxData[ @@ -250386,7 +324216,7 @@ Cell[BoxData[ 3.895840962914219*^9}, {3.89584125497791*^9, 3.895841284526517*^9}, 3.895849063797163*^9}, CellLabel-> - "In[908]:=",ExpressionUUID->"e3d82a6c-8ce5-4d3c-8d35-bca0671c233d"], + "In[130]:=",ExpressionUUID->"e3d82a6c-8ce5-4d3c-8d35-bca0671c233d"], Cell[BoxData[ RowBox[{ @@ -250461,7 +324291,7 @@ Cell[BoxData[ "]"}]}], ";"}]], "Input", CellChangeTimes->{{3.895849468099545*^9, 3.895849490906258*^9}}, CellLabel-> - "In[919]:=",ExpressionUUID->"87d9192b-c5b8-43e2-a323-ceafe2183fd4"], + "In[131]:=",ExpressionUUID->"87d9192b-c5b8-43e2-a323-ceafe2183fd4"], Cell[BoxData[ RowBox[{ @@ -250549,7 +324379,7 @@ Cell[BoxData[ 3.895843808956408*^9, {3.895846299034176*^9, 3.8958463244259863`*^9}, { 3.895849291936365*^9, 3.895849311272376*^9}}, CellLabel-> - "In[916]:=",ExpressionUUID->"eb9f8948-71a8-4dd2-a4db-e3e15509a53f"], + "In[132]:=",ExpressionUUID->"eb9f8948-71a8-4dd2-a4db-e3e15509a53f"], Cell[CellGroupData[{ @@ -250626,14 +324456,16 @@ Cell[BoxData[ RowBox[{"{", RowBox[{ RowBox[{"{", - RowBox[{"Red", ",", - RowBox[{"Point", "[", - RowBox[{"{", - RowBox[{ + RowBox[{ + RowBox[{"EdgeForm", "[", "Black", "]"}], ",", "Red", ",", + RowBox[{"Disk", "[", + RowBox[{ + RowBox[{"{", RowBox[{ - RowBox[{"E1RSBp", "[", "fTest2", "]"}], "[", - RowBox[{"[", "1", "]"}], "]"}], ",", "0"}], "}"}], "]"}]}], - "}"}], ",", + RowBox[{ + RowBox[{"E1RSBp", "[", "fTest2", "]"}], "[", + RowBox[{"[", "1", "]"}], "]"}], ",", "0"}], "}"}], ",", + RowBox[{"Offset", "[", "2", "]"}]}], "]"}]}], "}"}], ",", RowBox[{"Text", "[", RowBox[{ RowBox[{"Style", "[", @@ -250644,8 +324476,40 @@ Cell[BoxData[ RowBox[{"FontSize", "->", "10"}]}], "]"}]}], "]"}], ",", RowBox[{"Scaled", "[", RowBox[{"{", - RowBox[{"0.85", ",", "0.85"}], "}"}], "]"}]}], "]"}]}], "}"}]}], - ",", + RowBox[{"0.85", ",", "0.85"}], "}"}], "]"}]}], "]"}], ",", + RowBox[{"Text", "[", + RowBox[{ + RowBox[{"Style", "[", + RowBox[{ + "\"\<\!\(\*SubscriptBox[SuperscriptBox[StyleBox[\"E\",FontSlant->\"\ +Italic\"], \"-\"], RowBox[{\"\[NegativeThickSpace]\", \"\[NegativeThinSpace] \ +\[NegativeThickSpace] \[NegativeThickSpace]\[NegativeThinSpace]\", \ +RowBox[{\"1\", \"\[NegativeVeryThinSpace]\", \"RSB\"}]}]]\)\>\"", ",", + RowBox[{"Directive", "[", + RowBox[{"Black", ",", + RowBox[{"FontFamily", "->", "\"\\""}], ",", + RowBox[{"FontSize", "->", "10"}], ",", + RowBox[{"ScriptMinSize", "->", "7"}]}], "]"}]}], "]"}], ",", + RowBox[{"{", + RowBox[{ + RowBox[{ + RowBox[{"E1RSBp", "[", "fTest2", "]"}], "[", + RowBox[{"[", "1", "]"}], "]"}], ",", "0.000045"}], "}"}]}], "]"}], + ",", + RowBox[{"Line", "[", + RowBox[{"{", + RowBox[{ + RowBox[{"{", + RowBox[{ + RowBox[{ + RowBox[{"E1RSBp", "[", "fTest2", "]"}], "[", + RowBox[{"[", "1", "]"}], "]"}], ",", "0.0000045"}], "}"}], ",", + RowBox[{"{", + RowBox[{ + RowBox[{ + RowBox[{"E1RSBp", "[", "fTest2", "]"}], "[", + RowBox[{"[", "1", "]"}], "]"}], ",", "0.000035"}], "}"}]}], + "}"}], "]"}]}], "}"}]}], ",", RowBox[{"Frame", "->", "True"}], ",", RowBox[{"FrameStyle", "->", "Black"}], ",", RowBox[{"LabelStyle", "->", @@ -250663,952 +324527,3424 @@ Cell[BoxData[ "\"\<\[Mu] - \!\(\*SubscriptBox[\(\[Mu]\), \(0\)]\)\>\""}], "}"}]}]}], "\[IndentingNewLine]", "]"}]}]], "Input", CellChangeTimes->CompressedData[" -1:eJxTTMoPSmViYGCQAGIQfSrQQzqY7a2j9t0rqiD6XlOqH4hW23UyDER3KJXG -gGhRxtNg+p5PTSKI9pPuSwHRPfViPSFAeqFuYz+ItoucvXAnkDazW7gIRPMY -FYnuAtISb7hkQPR8xn+KIDrh4AElEG3AdtUHRIccEfYD0f9WnRHbB6Qjc2TE -QXRRsqIsiFYwOgem/aQ4lEC0jWiwOojWPLNRH0R/WrrOAETraJ81A9GPZutb -g2ipo/PCQTRH9oV0EH3jhm4eiDZcfrUeRH+2PtcCooOzenpA9OpND0+C6JjE -JWdAdDjns4sgOvuz0l0QzVe97iGIrj234QOIPnVBm2E/kPZm6gTTG2Nb1lwG -0l7x3IdAtMBPxWMgenMO1yUQrdJ07SqIvifI/QJEAwAN27C1 +1:eJwdxTlIQnEAB+Cng3hEdKCGGvTskDDRHFwMwS1KHpSSCEa9UoKUAqGpQhKH +Aqmg0SiMIqiQrCmHLirQTOwwXBRsiIIeRE4t1v/n8PHRE7PDXj5FUQoCp4f6 +lXYBZ9UWXzpxKeRlcFcyNYKX1XNuLOXd1y7ZFljMKFc9OBKURRzkmG5pDVtc +0dgZ2WSJ7eA6Y0CaJLd8iVV4m1el8fjVpRobBHkbdtw0M7h6kJGdk11+lRwH +JulW3GbM1mYUQjXuk9o1uDuT0OOfvbgB92gfTPgtqjdjxe2WEwt9uSlcKOhm +cO9+Pogr5mwY26cjEXx4Uk5hN7ubwU7R+yP2VdRFXD8fL+PF7PE3Tue01AV5 +kL9SOzEaPnomD4xJrnHDL32HT/3iJ9wRes3jUqPkAzvMnnV5E2dlOXYDb4r+ +2hXkzCenwf8B3b5u "], CellLabel-> - "In[1094]:=",ExpressionUUID->"91d0aa32-c67b-4123-8947-29970b03ef3b"], + "In[254]:=",ExpressionUUID->"91d0aa32-c67b-4123-8947-29970b03ef3b"], Cell[BoxData[ - GraphicsBox[{{}, GraphicsComplexBox[CompressedData[" -1:eJzs3Pc71/3bB3CSGcmMRFO2jJB5kj0zMku2SPbee+9NRklCRkJKkqSMimhJ -aQnZQiGF+3wf93Hf90/3f/Dtl+t4XB+fz+f1Pl/n+TyPrqOrQ7buhg47SEhI -yGlJSIh//vev9Q6S/+fXwPyR7GeXVv/39XN8fayP0DU7x8lOWr1S+B/rTay3 -SqENbpnTdKDn9Nquqoq9U1CVmNlsRzdTz+kes/6gINMWtPQAPUWndMBz6rPC -caVdE21ozf6+vVYT3xSO9BS9v48+YBPNbxQ9rsCmK/iiFZ2yUpj+TfeHAt3r -Bx330Anx9ReHVWYUSM11m+6i74YYyuxwmldY/fzpRgv6rVT2bP7Znwoz9m6X -76A1lkOl97IvK3yZ2UptRr9+/0/bcW5F4Y1HemQT+mz6t+xLb38r9K4e8G1E -Fw/r1zG8WVNoD2lwuo0O6jazkp/6o9C4Q+lcAzpEJaFomOGfwo2EodO30Ilv -4kN79bcUinbbKtejdw2Yc4cYkkBGzrJkHXrF6OM9nnhSiNkXzV+LdmtmUj3b -vQMCrzJx1aBJGxn5d+3ZCa7HrjPcRD+n+vdRwJ4cbGtPkFejeb2Hx24/pgBT -safrlegLSjYfMgWoQPue8dwNtEXUR89HpdSgqDD5pQJ98/4XF7H9u0Diid/r -6+jPvKKlo+W0wKdF2VOO3pGTvtQksRu4BvPvX0OTlyfZ1A3RA6MJb30ZUY9P -/Fuf2/YA5ei9sqtoFRE9z/lsBvhno5l7Bc2VUVP+z4MRfv4YSShFSwYOSlEa -McGE68WQErRgptoIpQwzfFjZcC9G+78Pk/vDzQIDgcl2Reh29naud6ys0LXN -YXoZTZtHplZIuxfuxtZqFRKfP78VIU/JBrW75BUK0O8Ul2+0U7BDWWa/aD56 -f3Z5PvOufZC79zx3HlrJUkNWnpkDkkoW2HLRfNZeUWKH90P4kXDaHLRr7T+H -xROc4F1NT5KNrvi2PummwwVOx6+uZKJ5rhfvrnU6AJZ3RH5koMWv710bVDkI -OvPv/TudVzv+VS+90rU9CP+Zl//My3/m5f+fFwPZzg/p6PKR4JcVwwdBrdNg -IA19Rorl0KtLh0BGfawzFd247hJhtPMwHO/3upNC3H/qfCxP6WE4akRWnUzM -z8L3fm2ZI8A2kl2chL6+23W9/f0RoLM6mpGIprLzqg4OPAo7JpqjE9D3w68m -RO3nhtWLqv7xaIah80qDndww+/PtxTh0v3NPlK3zMfji53g+Fv1mqnRbkokH -3vxbNYhBN/jquGl38EBfVLxqNDr+9VBCiSsvtFOxSUcR95XURSvAxQeNaVWC -kWgjbqW2pUE+qGSWPhiBfpQwb7YYyw9Fl/uYwtGxFj/qDskLQMZBC8ow9O3I -zti4VQGIuTGzEYJebQ2+xdEoCIGCwQvBxPllgzc/uwuBW+OusSCinwKiVQeO -C4PtyeK3gejzM/XWP5aEwfShYF8AWvfPBQHeluOgo9L+wJ+Yr/WGU+oKIqD4 -TLfBD71M3sebck0EJPQ/l/uiDTSY275RigL/O7d8HyJPSFQX1NxEgevcdpI3 -Wlnk+r37b0WBaSw9zAsdqLibUU5BDCidDnp5on3vLa70VYrBv/kGBw8ib9aV -zO0YxWHJW8ncHS2zGa9AGSYOE3+GdNyI+RV5XtY8Iw4fwm0VXYl8ky+Iumh2 -Al6Sr4hfQrcs/P3G03MCupKjeVzQpcwRj2clJeAeAzPHRXS1Hy/vnUoJqM2/ -vtsZXdfrzhbNLgllnBI7nIj+vKly+UyKJOSVP/3tiC6sp2jhJZGCJD6TaQd0 -vnO075aPFITfmhy1J/orYeX7mxkp8JHwH7RDL82t0dXYnASnNsontmgYziON -GDkJlkoFd23QP3dLDxgaSoNBD2+NNdqBvTLm8AtpUNNtLbVCU/pSSC2oyYDs -a82s82iy0XfrzY9l4Lj5h1hL9JG0xc++IAtHv1wMPIcuKAvZPt4uC+wOfy+d -RVdZ/PMck5UDutlkawu0SJLc6ZQ2Odjhuf+MOfr9sultQTl5WFutVTcj6tfc -1PS4XR5mQ+RlTdE9j/556SoqwNcdA8Im6HunueledCnAm4Tzh42J/WBnWyav -AdC3e5HlDPqbFp9+WT/Aw5xwaiP0QH4e518+RWjct2fTAC1kVP+4xFURKq9e -/amPXih357t3WxGKj4mOn0YHVyaOa64qQkZt57AeWr9UNd5QVglixQyf66K3 -H8VWDEUoQeC9sYc66H1sT153dyuBm4J3ozb6lwlDpSjdKbB7QnZDi8jHVqY7 -DGdOgalWTqEmOu07eY5j0SnQGTyaqoE27b7z4fj3U6BkcidCHc0YE33QVUAZ -JEZVfdTQj82tNzl9lIHf9t0FVWKfJvVTn2pXBq4px7MqRL/qfPz8nkIFmNzW -9JTR1m08rGP6KkD1K/7UKXRf3ydNyyIV+BfIJqlEzKdUM7PWpAosbVfxKRL9 -mDTHUCWqCpOx0pyAPk1l+MkvVBU+7Hq2R4Hon6aTTC19qvAy02KnPLpTN0Tf -gVUNuvbOrskS5zUOF4uxU4N7JcGzMsS+peU3ZbytBnVHaL9Io19FTp+j31aD -suriVyeJ+8mpHwvSVYe840LdUkQ/kM/HnylWh+Q77a2S6Iiwy3NFs+oQLqtX -J4H+XSV0W19WA3w6P189gVaQLfHzStYAZ3X3HHH07G6rzySjGmDZvx0vhl6T -vJD0V1ATDI0ygkWJfcrGw2kbpglqIwfdRYj3x6ZInxjUBFmr27bH0WwjJNGe -h7VAZELJRJiYNzanEnZfLTjq8kpTiKgvw0EhwV4tYF+ylRck3h++/a6KQxt2 -+6+ICKAfChsrprtrw47N6KP86K/cP3Z/6dKGtShmNj50+oulqXw2HZilqtjF -S8zDXh7fVlcd+JomsX0M/eVOnYVylw68Ze5e5kYnKRxUkmDXhb7LJpNH0dq2 -KYNp7rrw8OCPkSPoXE6v26e7daHphn//YTT9/OMUf049qBSk6jxEnIfs2y4K -Xz0obixoPkjMa3Tp+40XepBxkq/qANqmWa7EmPs0xD5sLeIi+lnFZSdr2GkI -UtFK50TPR6m3yg6fBrdnH6L2E/c7UCdux6YPdvoufhxE/izqfbJQ1Qezd3+d -96EHt+7eivHSB51zKZbs6L88pyO/XtEHpbH9BmxE3qfe4nXr1wdJpzqVvUT/ -zVWFCP3VB/4F+ZOs6C2AM1x8BnDAZ0CABR3jeDNO0dQAmDbOH2Am+q/XeDY7 -1gCoIhYZmdBXSL6aszUbwCZ5BAUjsc/D993sHzOApeQ9G3vQLyWpm5sYDGGS -oWyeHl2kPHb6maIhfMwX/bYbrZRLZ8LgYQgvOR+/oUN3FB69knDFEJ6UG/bS -EuedWiITeWkI9/i+t+0i8nXRw5Jy2xDqbnnfokGfKu2P2X3cCK5J7CynJn6e -2d5I1coI8tpy8qiI1w0eXatJN4JkJe4kSmKevpToKD0ygoieO6EURF7G/+Cn -WDICH101T3Jif2+17N04dAacX7+z34kOyD8zv9/oDFiaXzAjQ9utSsa5xZwB -wy9r2juIenfsaF+4cwbUHRKAFH1NN+lSyY8zIDvLJk6Clrbtdw9iNwYRz+pj -2y6rHbdG18titY2Be0163xa63tXx88NQY2APfUa3iV7XKSY51mAMu8nOkv5D -By/qjN8fMwayxNlfG2jGhyYOoSwmsLY7ZOoP+t2LLitXDROYy6EdXUczZffd -Sgo2ga/7Sl6uoQfKe0Xf1pvA26tCXavoaUedxzpjJvDs2MOW3+jXr2gUllhM -4WGt3s1f6I/HxiMfa5pCk9iXkhX0jhtjFx+FmkLVPffMZbSPocy7mdumUKxA -EruEDq3WKFOaNIXMJxkBP9Ec1jnVT/aZQazWoUuLaIaD/S+8T5tB0OBtqwV0 -lurzKb0YM3A3OWU0j3YpSf9o3GoGdqOv1ObQ948oRycsmIGZrZ3MLFqkS/Lh -5BFz0J1aEZohzsv8/aKHuTkoucUcmkarHpy6wJ1uDpK/mFmm0Pn76XLIn5oD -f1AF1Q90pqjiwJ6/5nCARPLfBDpBOu+3mqgFMMd1L46jb0RrTVdesAAqWtPv -39GP8h4miJdawGbmj3dj6IJfgU3TbyxgeW/As2/om5/4NJ/tOguTJVQPvxLn -Zynje3vqLHw8Unj7C1GvyuETNEFnYbCar+IzOvzmjJbz7bPw5Pj9gk/oCJr9 -OstTZ6H1jlbKKNpU6xVbxcFzUCf7MfwjulCnIDPS7Bxc63Tx/oAW2seZH59x -DvLV/zmOoDurxWnu9p6D5P4Ui/fo9spXz+lJLSHCiFNvGF2swNyeKW0JviN1 -Su/QbV8kOuS8LMHZSkHiLTqXtqBpT40lnJ8Y4H2Ddjz4MJhu3BIMXaz2v0ar -79P7I8Z5HtSXFulfoWsu7NkdaXIe5PwjyIbQKrcWi9bSz4PI5p61l2ir4vWY -vL7zwB1dNjNA1OumeY0lmRXsoxb73I8mXbZd0pG3gt3pj4deoKtXYqXt/a2A -jMXo6XN0kqOxY/ltK1i7/P3eM/TozlgLmjkrmDvoU9uHnqz1CdFhsoZvN3Ze -7UX39shmVYpYw1vB3Owe9ErpYWtWPWt41sgd34323/9kuMDFGjpOtgQ9RR8X -eT4jnGgNTQ/V3J6gs+8uJ72/YQ1VKsM2XcT8OZDfzH1iDSXPLhg/RmvVfJJ2 -HLOGTP11jU60zhUHQS0SG4h7lyD3CH3iR563EpcNBJ1jF+kg+vFKEKmOnA24 -j1UfeUjclxpfr7OFDdg7yextR0defdZ6OcAGzBae0TxAx1Xm9n7OswFdn7Nb -99H97oOTEnds4NTG7FIrWp9pkOLqaxuQjAiZuIcWLlrfx7lsAwIUdCN30RnT -D1jq9tjCgZSSFy3opvLS6dPHbYGZUfjRHTTffuFEcj1boC542NSMlpCn+dF/ -yRY2OU9XNqGNvb/+rkq2heXyL5cb0Rv5l6rybtrCDz6PtNto29GApbw+W/h4 -iySqgZin5k9d1VO2MCiR6XsLfe1xJONLSjt40nbIuR493Gk+SMFjB61Kjefq -0AZeTj/11eygvueUfi06NeahQ52DHVzTfa1cg5aKDTqyP9YO8l/bSd1E0/f1 -7i+5bgcp5r/4q9H/zL6riDyxg4gvMVxV6FOfGdLffrcDXwcWxkr0h7TqhRQy -e7g4W0F+A/2bnc7U5Ig9nPeU/HMdXZJT2yaqbA9Ga91z5egQR/I9B+zsQT3U -9Os1dLfkNXWuaHuQI5t6XYbWGNlncrzcHkQTA3quovNkmY8bdtkDNz112xWi -HoaK3XHf7WFfbmF9KXqO4jx9P5kD0HPwXytBXzkqvXX0qAOQld3PLUY/VbsZ -l6biAOvHtBOL0PbdecXUDg4wV/sx5DJatuqneF6sA3wTu+RRiH6f1KJ44oYD -vLv3z66A6P+clZbv3Q7wTCHVNB9tZNeRdP2HA3Q84dTOQ4utyzf4UTlCs1a9 -Qi56reoKhwWfI1QNKojlEPNId6hTT8sRSkxecmcT+bhAk3vGxREyR63Ys4j6 -nGhMdklxhDjbn7SZ6MT3/oXZdY4QPBVBkkHk82Bv44sBR3B3Y/iVRtwHCdcL -1p+OYP+r7EcqWl7g34gnwwUwDxL7mIKO/7Qy+EnsAuiSdA0kowNtyyoszlyA -U3FGj5OIejXU6kz5XgAp2vE7icS+Ott3Py7/Aghk+VQnoA2jWsYlWi/AQTby -knh0R4dCx+8PF4C5NDcjDr3JcRK6/10A6qPHYmKJ+/sbbV7J5QRb1S3+MehD -DPRkhYpOsHxc3SUa/Ut7SKTI1gl+3Bk+H0Xk17P+4boYJxiVdTKMJPL5OeP8 -4A0nGOxcV41Af7/c6rCzzwmeqidKh6OtEyclNWadoLWfXSiMmM/hXuNiOmeo -N7p5MBQ9dfPyXRIRZygfkWEOIebt31UDX0NnyLd6ThmMPpwswL7h4wwpE2f/ -BqL/DOSQpec7Q6TL3EIA8f1TShQn7juD71LImD/aOfMa6/SoM1z0p3vnh95i -FOWv33aG85slfb7o3eJektGHL4JRtHC7D9GPvL9FnFQvggZ1R4M3WjfFiN7S -6SLIpZ++7oV+pSPaY5N8EURZvuZ7on+Uyun51V+EY0UeyR7E8/wUunx56CLs -O0Qa7o4OHu25OvDrItBXZnq5oQX2DZsysrnATqHDjq7oJS+xOw6yLrDe2Gh+ -idj/Tffre8+7wPxJZV0X9J1SCxnZKBf49vC14kWi/l8p9NorXOCdiv0JZ2K/ -7nj4Ra/PBZ4/+8XjhI46mzCxOOcCHfqxHBeI18kDjK/uuQTN71joHdGDg1Xc -1icuQfW5Gzsc0LUSijrHzS5ByZjkqh0xP5quHXQhlyDLqWfaFq3d4OT798ol -iFsw/WSDJs/2sV/vugTBPlOD1kTeZ4xG7Zy6BB4bAU+siP5r/N19gNYV7COo -751HS9py8WiJuII5xeUaS3SY+cPC6DOuoJfCf+UcMZ+FaiwvAlzhFGNb1lmi -vudFM46UuIJUgXacBbHPGXeQJnW6ggDXaKA5ukpJ9fz2hCscvH7J1Qy9KyOj -NJrGDVj4N61N0Q9qo+6xHncD6obUMyZEPqp1Xbtn5AZbElwaxsQ+JCE74xzg -Bitt9bJniPM7/n3MW+IGP5TguBF69Zvb5GqnG4z2vDxsiBap573zetINhnSt -WQ2IfdD/j7tjlzs8ff2TWp+Yp62e460i7nDfPHJTD23W4N7XaewO9V8YlnTR -ymvfpt8HuUO5w7VxHTRrNEvC1hV3KJgVe6+NtsumLhJ76g4pnl3PtYj+n3vK -7jvjDpFrRh2aaPcLdZtjlB7gFzreqIHm9vEoytrnARfJfG+oo+/eeGCjKeQB -Vonkl9WI/dFyTIdS0QOM6PNSVdEx0eomLww9QCP3WKQKkRf0D4ILHDxAnuOu -jzJaPIT7nkuAB4iWqTudQpuQ8lGqJXvAMZ73Z5XQk7Pu9jylHsBR53RaEf0z -r/I5/W0PoBf/cwqI/eYYeXK7ywN2tiZKKqB3Pm288fudB6wr7OOXJ+rP/pth -ZdoD5p/c5JRDX+8h91v75wFjWrIMssS88t4Y2LHHE94NPt8pg+aNKdrLcsQT -npucWz9J3BfDLR1hSU94NDo3K4Vunatz1tP0hGbb0C+SLsR/X3K+6HvOE6qn -6F5LEK873NEqd/eEUrfS7hNoh1wXivdRnpD1S/i+OJpHyKmQKc8T4oM66sTQ -ZHHJ28bVnhBMol8mSuy/rtYTVx54gkfc1xwR9LPBDycWX3qCA61nwnF0sdmH -v6rfPcE8izREGH3ySWVs+aon6LFluQuh2SQkByhpvEC59LCdIHooImTIi9ML -pI42mQigcwV9k8dFvEDwprIWP/qxE9f6ORUvOCjyRp4PHUQbxDRq6gUsLfai -vGi5zNQRGxcvoJH7fZSHqOduW7WFMC/Y6oxlO4YukthpEpnlBSvqrLTc6GWd -mJ0cN7xgqv/G9hG0hOCqblurF4waSa0cJuZTylPYtt8LhkZ6Jg8R+XxuzzX6 -b17w1Mrsw0HieWmXr3f98oL7E1P9B9DC0ifFw6i84ZZLYCcXuvM0lSbs94by -Jeo7nGguyeQxChFvKPC/XLUf3aw6//eNsjekbvIXcxD7Qf18ZrWpN0RGt6Xv -Q494MFyJcfEGP2qdaHZiXi3lDzmGe4NL+qgfG9pr935mvWxvsGJxvbiXqM/Y -jLdcpTecKdq0ZCXuL29GTrTNGzQOpRmwEJ8na+Ui+NIb5Cu5VJmJ/pbJ+Cv0 -3RvEhG6dZEJf0OqdlljzhmNNIMhI7ANXYzGVXT7AIT14gAGts5gwZHbAB/Z0 -WDPtIT7P6m6Xt7gP7FRdoqBHB6SfJM9V94E/zyI36NAuyReyHpz1gXl9xgVa -In8uX7sw4+4DY++ufduFppPSjuSK8YHhc+JvaYi8pe/+aFbgA8/Hunqpifza -DvIurPWBR05nHlAR90P7VuXrIx+4szB+i5Kor80pHaG3PlDt41tOQdzv6RNx -EdM+ULpBnk+OjlQ5sDiy6QNZEXlJO9E0z4OipRl9IZ6CJ4wMvTeuE64c84WQ -lLueO9DqQp4Hd8n6ggejhgMpsV8SaQ6HnvYFh4L3ZiToE54MSr/tfMGCy1ln -++Jqh+VT2iDvAF/Qu/4HttBprnF9aym+oMyfJL6J7j6zSzCqzBekGvbx/EMv -OgiUMrb4gqBkzb6/6IiEwP3Vz3zh0APZ3RvofSXJ5apffIHl1AvSP2i+oI3j -Uyu+QNN77vcaOnPK5mEGlR9s685PraLNr1prA6cfrLwOHf2NtlEteL0i6gdT -5rsHf6FZ7OuM6tX84NOX0q4VtLCbeq/bWT8Ycjh+dxntdXePsISHH3TPdtxc -Qvt7tUSSxvrBfU/90p9o77qpjteFfnBr7WvmIpr8tMVETb0fXA/1jF1AMzB1 -LiR2+UEB2Y7AeXRG/Nv3ru/9IDUx69Ic2ofa6KrpvB9E0R+xnkXHd64pqe/w -B7/cJqMZtGFZzH25vf7gwqGiPo2mtG6nOCnoD1Zlb2Sm0B7+TkeklfzhDI+D -8A/05MHTdIom/qBZ9/vQJFrFUL5Tx8Uf5MXjWCbQU/dJ5awi/EGslZV6HN34 -yDXIP9cfeKDy3xiaOtQ4MPemP3A8lfr5jfi81nSJ1g5/2KPd+/0r+svC75qx -N/6wc8hs+AtaRsF+hGHGH/6YTD/7jKbwar2vtu0PC6OBDz+hRf+804lkDoAx -W5rGUXTN+NWUTr4AGJ66XPERHTW+6UcJAfDCTaDwA1Ev1WEqozMB8OhXW8oI -+ugcG1x3DoA7QToR74n7NrnN+jcsAG6SfPIeRjtmZ6aY5gRAaZzrhXfE66p1 -Ja3VAZBNu2Xxlnieog21Qx0BEJ+VpvcGfUTfKzbtTQCEsB049RptVkVvQjoT -AJ6ltyReoYtdB+8EbgeAw1FFviG01Hxz2RpzIFjcHNw/SPTf7w7WYP5AOC1i -s+cl+vjQKsNOxUBQblkiG0DXathkZBkHwkm5qLUXaM8i6vRjLoEg+Jhx9jna -OvwfzaOIQDikUf75GbqLU5PUKi8QWAfEX/UR799D6k5WGwg0Z5487UXbWp80 -qOsMhO2RM609xLxEkFecGw6EX1YTtd3o53qRFxjmA2FqwvfqU/Q3up7c5zuC -4JMLRc4Toj8cfgkmswXB0FJefBfRT+f5+PWFg6Dbnyf4Mfq0dULSPpUgaNu8 -69aJvnJcUnvGPAhuRWvYPkK7zpg7d7gHwXXqEeMO4jwUnGOFsUFQmO6s+ZCY -F72axsCiIEhl2ZBrR7N9EXt//nYQRBUliTwg5v3Y+GnNniDwP8RxtA3d8WWJ -VfpTELhU1uy9T9SPIZNfeCUIrIXkdrWiTWj+xPNSB8OZphdbd9EKNnaCvAeC -QVPacrmFuN+fu1iEJIJBoWN+4g7RX69FQEo7GMRUw0aa0esOjFVqNsHA83x3 -fxPxfEorOmf9g2G/wZVHjeiZk2wCvqnBsGf4ePNtNJ15L+SUBwO55aPKBrTW -uE7CvdZg+DOmX3QLLWawRDr2MhgWnL6l1aP3apDd3DMZDN8XPKPq0L1XX4Wq -/AuGYZ8dfrVoY+vbQaGMIfBiI8u5Bv26ZaWkjTcEOiOOWN5EH9tcHN9SCIE7 -FM361ehnjVs66sYhcDNFRaUKfSM46HWOSwiUMr6VqkQPcz7y/REZAtkFDgI3 -0InhwqJQEAIJXKtcFeiB68fIiutDIOR6HON1Ik/qdk1vPgkBT/69FOXE8746 -9dX+Ywg4NlT+KSPO7yg+ObgUAhaSJ+evopX61daVqELh9IPer1fQq5FTTPe4 -QkHllPmbUiKPd8dJikuEwsne6Z4S9D+6dMsm7VAQ0gtqKyZ+nts37qRtKBx6 -Q3OriOhfqtr6xwGhwGpRdO0y+r1H9WuD9FDY9VUgrxDNenBieaIiFLYdHiQW -oD+OvqcOfxAKv2Z1QvPRfh4krJyvQ2Ha85NHHpGnFSPMj6ZD4dOaq30usQ+U -x8mcSMLgVeiWaQ76LsO1r8x7w6CbLF07G31uMK+qWygM2hIPQBaRl9Ia5qEq -YdBA3yCWidb4J//z5NkwuJ6reCwDfauD1OWPZxgUcgyxp6PHOQ70PEwIg7Qy -G7o0Ih8vH9mReCUMoniWSVLR3HdK2c1awsC/LupXMrpk6NAuwf4wcBFnmkpC -zyopvtk5HgbWreUfE9HuSuleYxthYAwnXiagJVcqvj9hCAfNp08ex6MPWnNy -1/KGg4K2cUscetsn40QBhIP40ER1LLqHpJg+0SQceEz9SmLQ9Y2fm8Jcw2H/ -J4rMaCJP2P5wBcaEA4NdfkwUMR/FqXoBReFAPs0TEEk4WlExpDEcNtzuuUSg -Y2fvLsX0hcPCLw2rcCLvnhVZZ30Nh+9BI4ZhaOXZ3KTra+HwnuSiWih683dV -iyVlBLyI25AOQReUKkTPMkZAJ22yUDD6Qfr36mCuCGjJ4jgURPSbvgffbv4I -uMlWyxxIzOPphyTlEhFwpVSOKgB98mulsIxSBGQf7f/rhy6Upmp8rRMBCTct -F33R/f5tie5mERAqsjDmg3YrLG2ms48Az5awd97E84Sni9a7R4CjHP0zL/T3 -mUvb+sERcPbxlXZPwmGsnKtxEXBaQ+S2B3H+B5cSS7IiQGXg0XV39ENyA2X1 -0gg4ecagwA19Ob9Cc6U6AoQ+fEt2JfozTbeo7E4EHLb2Cr+E/vNVUMqwMwJY -J3d4u6DD7Hj3k/dHwK5L2Y4X0dFd3Jr330cAyfIRC2eiHxN2tXuOR8Av/2Zd -J2J+mTr9BH5GwPSmitIF9LsSYd8ffyPgc/TbE45oTin5uxWUkfCK2pHXAd3a -/U7WkSkSetJXOezRedSTpHwHIqGNJZ7eDn0v04RqgT8SGor2ktkS/fWUWe+O -ZCRUHKpatSbu5zPdq7BTkVBYeXLGCv1q+ni2ll4kpAn1fTpP5O9T5ww2i0iI -bjIfskTLHa7pnXKIBH/pmSfn0NfCx2XaPCPhUkfQvbPE/AhTTqaHRoK16q5a -C3SQDnmfY2IkGD8vumKOVukdGoPcSNAyEMw2QwuesxbjKIsEheEHcabo++kV -Leu1kSBuqRtkgg5syXN7fy8SeL9/cjVGyyzymd9/Egn7nd1szhD9fMTEt3Qw -EhgWt84YobkomB7HjEYCuW+6hiH6KZO5gutUJGxsHJAzQNNkHpo2/RUJixEN -x/XROkmOnSokUfCdQunIafTEq8Pd4rRR8D5liFWPqNdOw9WjbFHQz2hLo4tm -DPupz3Y0CjoLlje10UN2f9/QiURBC1f0khZ6pMQjklwuCmquM01oEvt2WM94 -Wz0KrvBff6+Bpo/IPf3XKApyGk68UCfyvUfF/Y9VFCRIPu1QI/Kx1LLpj0sU -hD4wblIl5v3+t33//KPA69TkDRW0fvLr6yQxUeDY63dZGZ2tIqlPmREFZ/Uo -006ha97R7d9THAX6b/IjldB2P89TclRFgYoFr68ienJTmIG3OQqkv95zAmL/ -8saclHoUBUKOmucU0NNGDiEaL6Lg8NzIaXl006EPH8++j4K9XheV5dAZczPG -nuNRsGt9Q1IWfafv6nTCzyggCUvmlyHmMZ4879q/KPhNtp9LmtjP9UcsHlJF -w3RiLcNJYr5CKCRGmaPhM708uRT670wr97+D0fAqt39dAu11Q0uASygaejjO -z50g6svUrXJKOhoelC18EUcL6cp4OqlGQwNP+GsxdOWhllsZBtFQUUffI0rs -R2bN7fuW0XBZ/Op9EfRPSzKrH87RkNYqUn8cXfbjdz+LXzREQ2eZMPH9emLa -alHREPDUIFcIzfz92duAtGi4pD2WIIi2vP7qUt3laLAZ8goRIO5PxZJ+/EY0 -GJuSefAT+/VI+IP9TdGg9Snbjo/INyNdb9OOaAC7o6a86JycT2I5z6NBfLpZ -i4f4vDNqG6+Go4HXXVXhGFrsVmkv03g0cP5+K8qNtnHbKjb5GQ0MwY7cR9Ef -KMP8iv5FAwXpGtsRIn9LJM+MUcXARlw87WE0x6aShABLDCzSspEcQjeX3mfz -OxQD41lVKwfQ7BVtm4+FYuA9m/QPLuJ8o9bfGWRioL+07wMnMY9t3b22ajHw -+KjFwH702nmaujuGMdByc6aTAy2SpplOYxUDNSLBd/YR/dxyzc3GJQautOyq -Zif22fwJrfv+MZAjV1zMhv46f+AQS0wMJD4WzNhL7He2mGXPjBgI1WiPZkU7 -+0S1DxbHgNeArj8LWrFWLlK0OgYunPl8kZnId/q3cjl3YuDsB7fzTOj4TLfF -9c4Y0LfeNmBEq/UKFlgNxIDqZLoqA7GP58Qlez/EgPSlg9J7CI9U9Yr9iAHh -5QZBemL+d93Wu7ISA4cDlA7uRntf9eqhI4mFvVtDTHREvhkzi4XRxgJtjC0l -LTE/ie2pP9ligYRmZYOG+DzpvBF77lj4nR69QI2W2NHD/FE0FmZYmMeoiPnh -ClQwUoiFz0XX31KiDzi+M+7XioXXhyT6KIj806e20DSNhZ7Kpw/I0ad+ymn1 -2MXCAyGThp3o37+KudU9YuF202Q5GdGP1cazvSGxUCHtn7+DmP/V7Ms6ibFw -uYMymZS4z7OxYq9yYyFdtSCMBE3627TR/FosRD/n9dp2Xu0YC5Rh/14fCwEG -rQ5baBHpc45ubbFwaVjTfBN9mmO+4G9PLNhYftD5h2Yv42xIehMLJt8vKv5F -n//OVcPxLRa0nP+Kb6Ddsg4n1s/HAiwm8/xBF/620FTeiIUTvvs51tH3ZjZm -RijigPdv7e41dPOEhKsXUxxwRsrvWEXT/YSXtAfjgJFy4PcvtFWV7p5qwTig -SD0/vYKeV8o5ri4dB38ZF0eX0YIxmoI/VONgsSB8cAmt/qycPNEwDsa59jz5 -ia7d8eG+oFUcjFy/encRnV9/RPuVSxz084vWLKBLv927ExgQB48bOkvn0VJK -r/4cjo2Du5KGWXNovZ3VrAOZcVDzYCx2Ft297LcruDQOrp7yDpxBMzr4DfPV -xEFOL5nrNLpVeSzww904SNTLsZ5Cz1KsLqU8iYOwN0fP/EDvbplXUBqKAy+L -O+qTaO0f5NZrn+LgwldV2Qn04Y7gM7dm4uCc4zvhcfTqYgqH81oc6M85Hv6O -vt7q33x0Zzyoeq2xjKHpp4O4xvbEg/R6PPU3dNPwW7MyzngQDmPb/IKWd+m1 -teWPhyM7q39+RjOzZMtyS8XD3iTp8U/oP0N+Y9PK8UC759nwKDqd745Jg348 -kOZZPP9IvC6UkR9gGQ+/OWYffkBfDNa6fupiPMyUBTeOoNuleEJ2+8fDFx7a -G+/RVIwXuUaj4+F1XXHhMFr6lGlSTUY89IoLpb4jzp8g3hFSEg8PWtsj3qIr -hFQenL4ZD7dBz+cNWpj0ZcTRu/Fw4+nnC6/Rzhb7aP92xcNlbfezr9Cae10t -Xw/GQ/rQtt4Qmrd4t0/dp3iIMc04NYi2e612OnEmHgI+HZR8SfSL4PkFx7V4 -cLW7zTeA/lVSoq+2MwFsppU4+9E+V/V8eRgSwMT91Z4X6GGxtrM0XAmg/dt2 -53N01rAQ2SJ/AkDwylof+lHO0sW3UglwgjRmtpfwikFWu0oC8MUzf+lBvyYp -Cqg0SABOuopX3egHWuyc2ecTgDFbovsp2mKMPTzCJQEo2Ltbn6B1LWZK3AMS -4G+pSV0XOrv7fYB1bAL8PPrj6mM0zwNxeqOsBBi/6Z9D/PnvCn0ta/UrCTAi -QpXwCD3JfslFvjYBBloKgjvQlULTxyVaE+CxHJ/7Q+L9hxjrhLsT4O7jVtt2 -9Auqk2N8rxOgRkPL5AH6qX/twLGvCXB14INmG7omvNOTez4Bcs+4yN8n6tvQ -94x7IwESP/wVaUUXs7KN8FAmQph1ytF76DZ5kmIB5kTwntzPdhctK/SOUfRQ -Ily4VLerBe3lvCB3UjgRzi3LbzejSVZK2JVkE8EgYGC5Ce2vJlOlrZEIqlvn -JxuJfjvLPmVqnAgyMYsjt9FzNzLfO9gmgjBNRH8DOo9lI8DXPRGOZOzpvIV+ -vudmb1xIIrCxljXXE/Md/Ke7MDERaItFq+rQ3xoVvevzEoH08OOiWjRb6vsX -T8oTYbXSML0G/cV83+vRhkSYEfoedRPd8M0qdrU9Eb40eftVo9McyL4zPE+E -19I7L1YR9Sm1mhV+nwi9HTmWlei9D1+W6E4kQrsqt8ENtNOlW39clxPh9vM7 -KhVE//ZZbKVvJ8INA7WT14l6uwjXNNEmQdHwO4Fy9B3r+O337EmQbnnhwDUi -rwS6NraPJUHM9zXGMjRFNxTznkiCAOcEiqvou6VnvxsqJYHrIttGKZEPhb4v -w/SSwNa3er4E/XPPvFXt2SQw+Sv9rZjo76FDOR+dkkA78tmbIvQNTh8XWr8k -UKQ823uZ+L4alQmF6CQ4kTrbVoi2rvhF4p2RBHxMIbcKiPuqmmivKkkCrkLa -8nz0965Ajq83k4DxQEleHvpICBM7+70koKwQSspFm3WztBg9TYK//A9Dc9Cn -aMaX0l8lwc8GPc9sdMyhsef9X5JgQvKLfRaRr6Y+ynTzSTDywN0sE73PadtU -byMJBk6R6GSgzSOnqDIpk6GrNwPS0XxKucZvmZPhrt4h8TRiX7S4yHMcToba -N7ePpRL9ef5Vh+3xZLhqcWpfClqOT2S0Ri4Zcr++oktGv/Gey1zVTIYkRzvS -JOI8u9y/njJNhrC5lV8J6LOCB3sy7JPB2ytmKp7I60gn1a+eyeC0zjwah751 -+LaFaHgynAureBmLti+wo4hJSQaDnZJdMeiDw9Pq7wuTQTWpuyWaOL9SPZdw -ZTLI7DG9GUXsjxscSbHNyXA870dJJJpBpSTxc2cyHNkfkBmBzn1cul/6ZTKw -XaOKDUeznkw5lTuaDHS8hQFh6NBjrzaWp5OBtJ7vUig6NfiTiuFaMqyK37cK -IeY/g+Ng084UmG3VMgpGB/JvJLMwpsAX+KgWhO4J+BEfeCAF3jx1kQkk6s1q -yPRFMAV6tf8JBaBjKauF1GRSoH0o5ZA/sd8fnH1Xr54CjaacLH7oDboPtOzG -KXDjUx2VL9oVcgZjbFOgyE7hnzdadXPj4LJ7CmRMDyx6EXltGb5pHZoCMe5W -3z3RJz5nOQwlpUDg78V3HujgC5VmygUp4Boc8cwdvVPz+LuWihSwJWV46Iae -kin5JNCUAqbxZbdd0RKW9m7XHqWANp1YxSV0tdR6/L6BFFDMflzggvZrfcGX -8zEFJNiNUi6iSaVNjOinU4DvyvdwZ2L/eFPvTFlNAS5uH28ntEvqWVmananA -WLPzwgX06J7H64kMqUApmmvhSOzThUbZXQdS4V8Lt54D8X2h18nSBFPhp1yL -kj1aJIhOn1EmFSYeq0nYEfuWwuFggXoqfNAY5rVFU1Yf9jlgnAoDAxf226D7 -9B5qVdmmQteZdXprdLJGQ7mYRyrc+5BAZkXklbZn2MPQVKi1Zl+zJPLIK+iD -dnIqlE1Wz5xDr8mo3v1QkAq5l2Q+nyXyKU6b2eVGKiQtPxuyQC9eplz615QK -4QFnn5qj/x78pZPRmQreW7P3zIh9dTSFh/tlKjjFhNSaohVYtCPaRlPBkobu -qgmxf5/UmhjNpIJBRkm2MZGvDkI359ZSQY1VOP4M0Z8ZmkHx5GkgU/wwyAjN -KR/WfYQpDY4fPu1mSDzfU420zoNpcLTqi40B+srksSFr4TRgE/Yw1kfTtuel -kcqlAV0zieZpIk97WLvLNdNgh0ymnB6aaZLbX900DVY7DonoogvNlMvm7NNg -VrXxiA4xX48WlLO90uDL81N7tdFjnFXnZSPS4I3BaxottOTrxZ/jqWnQN2y3 -pYG2KlPbSC9Kg3bLX0vqaKNqoSC56jRo/B4zoUbU3+WYx0xLGlQ6s4yoEufv -afxY+CQNihYrXqigp/+deaD1Kg0yfCUfKRPzVZXOsPklDWL/djedQnv2THxo -mE+DwEjTSiWiH5o/7HX8mwZulFOXFYn55V3u2U+dDrapAWmAviTTMPOGNR1M -maijFIj5si4MTzuaDjqFhb7y6N5LYnGaYumgeIDfWQ5NlUGyRa6YDhIV98/J -Eq+bJk526aYDv4C2vgz6Zb0hRJ9NB67bH5WlCY+OUao4pwOT1CWpk8S8xT0C -Cv90oGz/xy9F7Isar8m+mHT4dyqVSxJtVxPxNy0rHZZ6ORkl0OvyXmHGV9Nh -Qq+e/ASaJ/ClG2d9Onx4o/BHDH184OCLybZ0eGnxck4UPU+9mHu7Lx26vlp9 -FSHqc6breehwOtxz/Pn6OHpclMdVeyIdaucieoTRMhHXA/etpEOZF0ObEDrz -gW2AL0kG5K2X1QsSeWpG43yeMgOSwsSuCaCXk9J2a+zOgPCdXbn86M71Zicx -lgzwSTJK5EO7eyv7c+7PAKc94yG8RP7/2ZamPpIBlnk+HjxEPVoaGn7zZYDh -fnL7Y2hHsv0fx0QyQO1arik3kaf2VK2DUhkgy3tM+yj6fbKcVodCBhyvb1E4 -gh4wDU+rV82AoyfUxQ4T9XydH1uqkwHs94e5DxH5JqF7PN0oA+gUndgPoku+ -OSZHWGTAju512gNE/jjVFnrZZMCadiIJF3H/MRPmDk4ZMDvE/ms/UT+uD8/N -3DPgq+nNHxzoDG3DRR2/DHjzSebjPrS+FusTpdAM6LN7PsCO3oxb0JSKyYCH -02cfs6EFCssjhJIzoNF97s5eYh5Vti4czcqAyt8h1azo23492xyFGVAcTFfC -gtbR7lVnvpoBGaSlGcxEv1M+A7rKDIiNF45hIuaFM+8HRX0GBNJ1+DOi83lX -FUjvZIBb9mkXBqK/l5pU/7VlgB371/N70IwXC/+uPc4A0ysehvTE/N32sP7V -lwE63KRqu4n30+/0WRrMAKWaTGk6Yn7/cEouDmeAhOhhIVp0Hm3ijfnPGcB/ -t/HgLnQc056ncxMZcEBemZmG+LwdYZlzcxnA1PWakhqdvlaya34lA6g07f9S -ounlZcUXNjLg38CvBQp0o9ohqp+kmbB0JnaMHD3ZyJG0TJUJkx9Y3u1E+2it -3vtNnwkfrG/0kaG9SUJz/rBmwstJyfYdxP0uB+/f4syEJ5d6GkjRYhc69Mm4 -M+Hesul1EvT2IJMotWAm1AVM5W874fmeq7bTi2dC2VZA8hbaiePwb1aZTMiL -oQ7fRNPd9n3PpZQJyTSXvf6hvSroLvBoZEJ4Br/jX3S17N2rIqczwYe1zXwD -HfAVYmVMMsG5WFv3D1qX35dZ1TITLA+PKq6jeUaO6unbZ4Jh1aUTa+jUd/vF -zrlkgprwJs8q8X4JgUdOXpkg25zK8RutJca17huYCSIyXPS/0K1iT0aiIzLh -6KP6HSvox09n7bPiM4FdDVaX0MXldoVlaZmw+8XL6Z/o8roNv9u5mbDD0PrT -Ilp/IXyzszgT1oZ/Di6guQsfC74uz4Q5y8gn8+irNFk7J25mwtfvDPfm0Md7 -22PWbmfCW+drNbNozgiWOprWTOhbFLsyg46ndg7lepQJD327sqbRevYJv8V6 -MqHpr1HcFJqyVZlFYyATKiPHA3+gF8xcRi3fZkIxpa/rJHqk/aWWz2gmZKaS -20yg+ZOUrJO/Z0IsU96ZceL5EjL3l89kQlDhMY3v6OXajPC2pUxwO3BXdgzt -zrgn8c16JthVqB//hlbf/HpyYTsTzATeH/6KHhx5k0ZFmQU6t51Yv6BL5dqT -juzOAiWpP9Sf0X5jLoLAkgWS7Ymbo2jFhkbvs/uzgF9539JH9FajnXXAkSw4 -0Hdz/AO6/YDBci5/FjCdln0/Qjy/kS5fs2gWUL19/vw92vI1P/nrk1mwaXGu -Yxh9TOdB7DJkwdLXucZ36IqsNzcZ1bNg0jH0xlvi/OVqPuJ6WfBxju7yG/Sn -axPfzhhnwUuv0tTXaJJPMSt+57Lgybpw5Ct0ydO1qkK7LGgNw98aoPeuM+xo -v5gFdTv1nQbRrlKVW189s+Ba0tezL9GCbsml5IFZkLfH8/QA2qE+6xt/RBYk -55Eq96OviGb26MdnQcT+LMkX6DdmZ/X907LA59ph/udoq9qnwaW5WeDM28T5 -DP3n43XN7uIsOF+vzNCHvvmwv3WhPAsMT7zZ2Yt+ZMjyYm9NFqjft1/vRve2 -60coNWaBrOLv2afoaB3jdy6tWSDSHfvlCfqD13p/3qMs4NZhfd1F+Dn9hcc9 -WcD+6kb3Y2K+2D3LFgayYLeZ1P1OYj5Ul0M43mUB2eeeukfE51k5/9H4lAVr -dmZlHegdV2pZ/cezYG56Kuch+qht1puK2Sz46h6Y0I4mFV4Se7ucBW9/U4c8 -QBfVXxEl38iCZ8GX3dvQAy0+gxKk2fCQVMDuPlpHUZ3+AlU2NMW3mbSis1wW -5gvos6GKTkfrHnoiXOnSc9ZsKM4elb9L9N/s3qQtzmzIZHcVbUF7ntJUF+PO -hrgrm0fvoPsU68odBbMhiDuNrRlt08txpUg8G9xruGib0LPfLkkPyWSDneit -7dvo2w8CvChPZYPZXVhpQOfV79VS0MwGXfnByVto8hOHH/nqZ4NSl/WHevTv -RY83dabZIKm51F+HHq0ZjZs8nw0CLyM7a4l6mioMH3DMhgPGjHdq0E+o3Z+a -u2YD88drVTeJ+myrG+T4ZAOVjXhxNTEvl68FvQzOhs3JrvQqYj4czZR2RWfD -8qUz0ZXoKQblCvWkbJhcHve7gV7ylrsRk5kNHwN8L1YQ+ZnIpfK4IBsGt8jP -X0fL+XSFkVzNhicxeQblRD3CyE2gMhtaaXhUrxH1Y8N/VZ8NdRl3T5ahk989 -G3l4JxuusWoIXkVX+pLFbT/Ihvzi9weuEN8/rDyg+CQbkg87M5US805+oTHq -eTZEVP2hKEEzC2uIPX2VDb7CSRtF6MKKx7pUH7LBuXnfwmX0pR+3d+p8y4bz -MjXfCol65CyZZExlg+Ej2bcF6H8+lipvF7NBXe1Fbz5x/75DL/atZYPci3MP -8tAHbvL9tN7KBhHD+Vu5xPzrnKqvJM8B7veh5TlEXj5Yo1ikzYF953fnZxPP -F35sQ5I5B3aPlyZlEfmnVBMfzpEDZBePh2US/fTW9lbv4RxYX+zwzEAnifN7 -MvLnwJyvvkM6cZ6Azy/OiebAt79fzdLQQTPnHleezIG3kZ46qUT+LkcZrEAO -PKPcoZhC1OOgcBCo50BHapZ4MtpaUE4uRS8HmpiO8CShXZaS8keMc6CqsGlf -ItGPXuvJPJY5UHJAZXcCumPYlt3PPgcyK96QxhN57lEFT11yIE7A4XcsuutW -5Razdw4E3f49FYOOEQUTh6AccJeKG41Gj9/RVWuJzAH7dtbBKGJejzQOUCbm -gJlyZVckmiZee9E8Iwd0+6TuRhD5z/SnsjY/B06d7r0ZTuyjg5fXt0tzQPKt -WWkYWkx49zejGzkgcHY6MxTd8PWETVVdDhz4FhgbQtRnx0LAZnMOMF+gCQwm -8iCOndfoQQ5Qz1++FITujMh3q+7KgU0vAetAtKaIhg7J8xxYXm8zCiCed21X -p+mrHPgRpqPuT+SfddeLWyM58HHnJxk/dBj7aXeqbzkwmOQq7Evcz3p6lc1U -Djzds3XIB830xzGgbTEHWvPSWLyJ/JO785llLQfq9x+g9iLev+vcR4+tHLh2 -7dY/Dyfiz6fIub0gz4V8XsWf7mhqXul8XrpcSKkf/O6GPvtEzCSWORciTtgM -u6IbE3bVjHHkgu/9pWeX0C+DK3IVj+TCRcWohy7oW8/GWK7w58L5bsbGi+iU -3gqBLdFcMNIpr3BGTy8MvrOUzgX1V+KFTujMT2p7HyrmgpzZk5QLRB5azMxx -aeSC6OczEY7EfjQsNY04nQvc9hPeDugfw6qmYya5sG/G94I9muXok1mV87lA -70Fx1o6oR94/5iqHXCBbzdOzRcveefVql2surAfznLJBZwjyc3v45MIc6T0J -a/SFl5O73gbnwrd4DT4rNFf+XJxMdC68oxvZfx5dUH4g72pSLjzLdt5jiVaV -tZemzMqFDvYNsnNo3uelHm6FudB8JWnNAv11skn23dVcqOLmmDUn+kMj4bJC -VS6U1NR8NkPHDZGkVt7KhSxRuVemRH8k7mBiuJsLcXdfPDUh+rfESzD4YS4E -y1u2GqMF7CVHJp7mgnvXfO0ZIm/MxNkN+nPBXjPsqhE6kuv03IM3uWD+cneO -Ibo4zN2QbzQXdI2vxBuge5yDtPO+58Kpj8eD9YnvXzMeJpvNBSmbR26n0aaO -U789l3NB4Ie+rR4xTxxslV//5MJB12/Gumjb689nTpPmAfOKp6YOcX9N0486 -qPKAOnCHvDZaitucT2RPHmxtZYlooS02SI6W7c2D5ZgjRzXR1871NjAeyIMf -NM17NdA3ivNexhzLg9EMlV3qaCMxo4g1oTwYZH27pYreeDDx+KJEHjwtdlhW -QZuTSeZ/lsuD1sOrE8pE/q4IbBqq5EF9VdzIKeLzym/96tHOg3Lhvf1K6Br9 -6lB5ozzIb658pEj0oxVpQZNFHqTInGwG4nyWV5X5bfMg8lFvpYIT8f9nukWU -OeeBr5p5kTyaistEj90zDy6+mE6TQ2t3KtRlBuSBlWFQlCyRZ0yMJTQReWD0 -nsZPBh3v3MoZE58HGueLnKXRjgpcx7fS8kBuXMDyJJGPkvxDAXl5IHrxgb4U -ep2zh+xXSR4c+6mjIkl8/vTnbveKPNjn90lKAn3sztl9c7V5QP/PVeAEOreb -Z8upOQ92Rm1xiaNpk4W8JtvyYJ0ynVEM7V9g6mfflQfzqQcoRNGGdRk035/l -wTemhj/H0U0NXcK2r/LgXaHivDBxn40fx76N5MHzA0NfhYh5muk6ZvstDzoq -bN4IEnnXdmFjbCoPmgWWewTQr+obLe1/5kH17ag2fvQD/wz9ybU8KJFiusWH -rl+ee+W0nQdZ7eXXeNFs3M3jsxT5EKd8Io8HXSb/KtZ9dz4E9z1JPIaWzxFv -WWHJB4/TxqHchG8+8Q3gzAf7txMeR9EX+b2ebB7NB/OzfvZHiPme5r0WLZgP -et8ozA6jxcff7qY5kQ+nLuRrHyL2p7IjTaZsPkjN88BBtKRZTw6bcj4Iet8T -O4CeL5msu6qVDwf/aBzjIp639bYxn2E+sISPsHMSeRHMmtJong/U5Bfp9hPf -P7rDQM4mH7aSNkg4iPwjcbje7ZQPK3uSf7Gj0yUE4gw88uFHHscUG7r6l/Lq -qH8+jO6v/bgXPfq15JdTeD4MXZN7yYre6uGJ/B2XD095+x+zEP15bbAoKi0f -7tdbtjCjTXLiVfbk5UP9iYVqJmIfzsoGl5bkQ/n9sBJGtP2hTyBUkQ8FivSZ -DOiZDxZZD2rzIaX7Sswe9OKP6146zfkQqSMSQI/uVq75OtqWD36vHrnsJvY9 -y/lR1658uGhmYEWHnqyvc9h+lg8cabXv3134v78PyHyeioLe9f/+vh8978I/ -dGgVvZqGY5O74NQfvnladObl+Y6iCQ6w+vzNkBY/721aUkjmq3wwsvdS24Xm -tJo4ePRDPmjM7JChIfbd73rDu9/yQd4jW4gaPXLmPYP2dD6Irh45RIW+c9Pw -/Jef+XAspJmZEr1qQH/SZx3Pt0OVigLdMrRdSk1SAPQJb//uRJd/Ysm+QlkA -O3c7LpKhD5goM0vSF8Cf7NWxHUSeawQd7mctgHn2+HekRH8u1LbbcxXA2JW9 -z0jQKg39E/+4C+Add1X7Nj5/y6GXl3OFCuB5zcnbW+jlnddHhSUK4JFo3/VN -tLMm1PTKFUDzXfOCf2hJ2hwKO5UCqJafSf6LVijIXdjULoDSrqDwDbSFo5Rd -oVEBZGnu8v6DDuB0tZc4WwDxL4sc19FPsviXh2wLINhY0GIN/aLPjtb9YgF4 -fHygu4qu+kXbROtVAA42ukq/0Yd89k3eDCwA8x+fTvxCfxiOqNCMLAA9Vzfe -FbTf5xNLUwkFoLyyxbGM7vIV6EvIKACpwHT6JfT3++ZCfAUFILh9gOwn8fMb -zZzPrhTAwdiG1QX04waxyy6VBcCyS2lmHj2e2HuN7lYB0GQOfZpD/7K5JNXQ -UgBbrLZDs2gpVWYzo4cFsFK8/GQGreHRsnPtaQFMHY6+N43W0teUL+ovgNEq -ptop9J7oLlLFtwUwJHz9yg+03jCH4cRoAXQ3n8ieRPfpaAonjxfAfZmncRPo -eGblPNG5Arj1yDhoHL2ZQZL0fqUAytUmXb+jFU0CqSP+/hcl9xWI5Rs3cNzI -aiBZqZTdoBIS1fNLRkbZUsoqlD0yInvvvfd48DxmiBShsiqJCBUlEkmyZfb6 -/Q/es/fgPfye3fd9/a7rPrnvTzIkdzgbj2z1maP5rIepUyBCk07n21Z3b3Mv -7tqeAj4DSYrDW32SeemtK0sKOBscPvd1q0dIO9z5uFLA8nvtiS9bvceguvot -TwoYWijxDeHzmP3ldv9ICmjPfGQf3Orla6Q3fKIpoOhssf3zVq/UjRa+O5MC -59dXNz5uta9zEpP7hRQ45Rs2O7DVqxzPqI4opoAg/f6x/q0uua3q06eW8r/7 -jVJDPsxfN+X/vd8kvZ4O79hqsEnP7fjFD8I0Kr3bt/r2suZawPdjcCjscxvD -Vg+Lk/6624gC226rOvqttnz5XT5+Uhy2J62X0W21zcTfiWCzM7C5PyKXdqvD -WjzG9EbOwnzugUSarWYc2gHbDQDGD5eFbNvqHSEZ7m+sZGCwjOBBbY3fE3WH -eR+VhW7xd3ZUW703b0qVf0IOWp4amlBu9YP7T9tq8hXg6YUZXYqtvh9M81fy -tiKUt3qr/LPamnfJ5G+Fh5Qh7/Ju2EQfIf1cIO0XFUh+n3NqAz2XB/XjWmlX -IOLaKcF19DVUv9FFX1MDny8v9q6h58Mt7TgUqg7OJlq7Vrf6FnOWvIW5BlhM -jlKsbLXvC2rX6UuaYGjnuLC81TRal5ksBLVAe2nbxNJWN9feZBjapg2K7gmf -F7da6yXn3Uuj2nCeSvDdwlbv3GciTH6uA6eCa17Mb/UeGV5dquyrIMh4qWZu -q82iTv/U9NSFffH95Fn0Pc74j6bevAZMXHczZrZaQWla4ZP0ddiW/Tf6z1Zr -5uuy7t6rBysCIf7TW/1ke95lWNaD38V77//e6tPMVTMmH27AiGiR5dRWxwpY -UPpW3YT+x9KGv7b6YVyRV2KMPrw5/0Zzcqvj3a9a5doaQNPLGwo/t9rqs1p7 -/hVDeKQ0JTWBHsXFc8pdbEZAfucuMr7VYywf777yN4JMnV08P9D/4LW90DBv -BLGfM1jHttqP17a77JYxBBkfp/++1fZlSvvTuo3BfbxhbWSrRdVtefwu3AI7 -a7U/39Br8VD/ZlZ+C0znv44MbzU5Vf+mAvdt0HO16/uKPssibRxPxG1Q/Ufx -+gteT0d9wN+12yAbEPNsaKt/KvwRf2NhApI7eCsGt/r1A8aclI8mIBxTSfy8 -1e3Woa9vK5oCD4ds8qetjt7ZU3HksSmwZfSEfdzqEvFrur8EzGA7n4nXAF5/ -eUgdKd4M/pEWHPrRxxHr/2JMfQfmjweY9aG3cfvhc3aHOzDxiE3vA97/O3+T -tuE7MChdcKV3q3sXX7bdU7sL3U2nZXpwPWDo176Gu9Cq0Cb+Hv0Y6gvdjcLm -8LRD93A3+jUBN+4bpplDuebEvi70Y5Yzv60yWABx4D7Tu61mcHHdFXvfApIN -GKg7t/oGk946/7gFRHxPWerY6tTa8rIqHUvwsTg6+Ward137yU9otgTnmadD -6O2xNTkZNp+yAktnle5X6Oc8fGmgkGMFhuufm9u3etsHGb5mJmvQ9rWqbdvq -m+0Gpec9rUGJfqO41Qr/VwjZqJyyhvOREVktuD58J1j5b9jAKVbuuOatPmzc -OBv9ygaEUssCX261PCcpcUXSFvYdArcXWz3gr0ttUGALzAXvrJ+j3zJ+YL/h -djvYJmxkjN4fQ/A3rggZO1ipmNFG78+MKLJZe98OpiV9FBtwfiWkWkfK7WDk -2e5z6P/VHj17b/u4HfTL5p5A/6/c3ILmJLc9dLw6xYf+31rnhruWjj00qb1k -R//vvYjwJ8dwe6j+oLUd/b+D6sIH4l/aA/nG9w30/ryWxBUqVu0h85vjLHp/ -KgPuOh2iDhB3h2YMvb/YPGmVsbsOEPQ7YQC9P54NotB6lgO43xPsQO/Pz3tu -krnfAexXahrR+9vWdD2Bj/EemHpdqkLvr0Jut5C4/D3QoxkoQO9PP1It+6L7 -PVANu5uK3l/eHhVKtap7ILt7JQK9vx8y0srXJ+/BmaQQH/T+tD20HhjzOILw -AS4n9P5+Hnobf+eaI/DkFd1F78/BcyjRMsoR2I+cvYneX8SXpz7WrY6wvfyN -Gnp/xd5pOtYbjvBP/KYsCechrZrFUtwJFp5OnUb/75cePDGzdIKJCx5H0f87 -+t1GySjXCYZad3Gj/0fWcHup+9EJui9n7kb/72B1ktAVZmdofX+cBv0/fo4N -pwuXnKHuWuNf9P7EXYbLRD2dofyL2hR6fw/fuLw/VO0MRJPhr+j9DVOuDO+a -coaUSbse9P6WN1I+/eV1gQg7yjb0/nanRTd+u+4CvksxT9H7O1vMEt0e7QLO -7rxl6P3ZLetcLm1zAUuqqhz0/rIheiFq0wWMgmUT0PsrkV4OtpO4D9qMvcHo -/dkkNjGoWd0HpXgTd/T+Hi/zuBzLuw8ErkVb9P60V9W7aT7dh1PZAbfR+2MS -9eP8wuwKQoLsuuj9lQlMX350yRX2lRQoo/e3ca3NJtjTFZhPSRJi8Xy5KOep -V+0KNLVtouj/DWukPjg65Qor568JoP93aGrT7C+vG0y/nOBE/8/SpvBC83U3 -GFVy3Yn+X7nwK/rIaDfof8dAgf7fT/uURp02N+jQSZ1H70/A+4rpvk03eP75 -6Dh6f77RXKtfxB9AtXHdJ/T+TvVIeGZbPoCicZVO9P68bHtnDXMfQKb14HP0 -/tr9jukc+PgA4uatqtH7CxGwIw0wuUOw6wYJvb8bxv2/YhTcwf1fRDp6f3PS -iQeVPNzBPoA7Gr0/odJvsptV7mC2o9wPvb858vS1ikl30IsBF/T+HLjmDG7x -eIAaR5cFen+/vhy6uvuaB8hmGBmg99fRWU5oiPSAM3yzGuj9ldX2cJq3eIAI -2UfeY6t1bz3/vnvdA3hOsEih/1cXXJpbe8oT2KtzhdH/oxru0NQ394QdZ8UO -of/nt0d78V+WJ/xrerkH/b93LS6h2X2esKCgTYf+X3G0FQvs8oKJju+r6P2x -09yJ+CzrBUOaTtPo/akUp605uXnB+wGaEfT+mqlAn7HCC1oNEj+g93faN6CS -OO4Fdd8FX6H31/mm22M/kzc8tHhcj94fV69ZuulRbyDOXHqI3h/Q768ulfeG -FOeBPPT++GJ3tC8YeUPk+t0k9P7Uk9Q+SLt7g6/vSih6f0tnqIa8krzBhT7U -E72/X3Hnv76s9AbLSC4H9P7KmvYN0nZ6gxFrsSl6f2Y9NT2KP71BJ/XsdfT+ -fnw+3BqyzQeUDnVcRu+vaCys6tVBHyAU3LxwZ6s/Lq+k0Z/1ATHh32Lo/ymx -RXgpXPUBoUoPIfT/PM4ZGvjZ+8D+M4z70P8j3Qk90xjuA8wNmYzo/xWHH2Zc -LfQBGrkTVOj/cWVofRV76QOrrxoX0fuTDhQosfriA9Nq6j/R+6MSrXUkrvjA -6IfhQfT+DvoKSH1m9YWBG/Zd6P3NXg9aYT7pCx3fKJvR+4uKX66WV/GF53di -H6P3184QbeNq5gvVv3mL0fs7FGHBV+LjC0X3qjLR++v7nd07lO4LWSuysej9 -fV+67MtY6wtxXr0B6P2t2wQLE3p8IZjG1BW9v0hO6x6raV/wCFu0Qu+vpYLV -JZXBD+x3Bxqh9xe5kMzRxu8HZkns2uj9RSXuqZ4DP7hxoPCS5laLKWWqHbjh -B2p5kmfR/8t9ojmu4OwHckfaj6P/9ypa2d02xg/OlF/jRf/v6r0sxqQSPxCR -+MmG/t9TSsvMZ21+wFvnyoD+n8hA67HRET9gl9m+gd5fcWRHDd2mH+xoS51B -72+5NgGO7fUHiivHvqP3l/HjbOsVcX9YeF/Xj97fTO6Asq2aP/y8dvkNen+M -TgFvoyz8YejLYAN6f0Nfb6iWB/jDexPrSvT+9txyevs22x/aJjfy0fs77vxL -+VedP9TZRaag9+ce299K1+8PD5e4I9D723tES4Zvzh/y3cu90fubLXR5en5X -AKRQXXBE76/xvsEp3cMBEBncdQe9v92UPGRb2QDwZTS+gd6fQu4Ad5BBALjE -z6qi9xfzJDMuwzUArLh8L57b6tWscLqq+AAwymY5jf7fzaI6t7byANARzDuC -/p/lEfnfn14HgHKJ2AGp/97nFw1/jwUA4VQzM/p/4VUdXZsUgSBWq70N/b+z -KhsyTPsDQYgwtozeX7fd70puyUDY3+z0C70/MKrhE9EMhN3KtF/R+1PXsI+X -tg4Emq7E9+j9lbpI0lwKDoRVHaFW9P4GqXidNfMC4c/nx0/Q+5PlVh6/2RAI -o8aKpej9Ccy2XzP7GAgD4wPZ6P0VZT5+bbMQCG+tzePR++uXP3HemSkIns+v -BKH3l0yp9ND9aBDUuIY+QO+vduIAv698EBT947JF7+/XrrbkQKMgyAoovoXe -X6uXPmPogyCI33HuKnp/bYqr/uGJQRAc06EktNWatjXrERVB4MGhfx79v5rF -IqfIjiBwyPh9Ev0/oU8zfyLGg8CMz5Mf/b8W9hzLcKpguEFm5ET/Lz2ndyLk -QDConcjagf5frhXxTuCZYJCrPvEPvb960yMTPlrBIHW2aQ69v6fO7hbuNsEg -8lz9B3p/BgE1004hwcB76dtH9P5078042hCDgeOt/Vv0/hS4ZTdMG4NhhxbV -c/T+nhm2Bd38FAwUH2MfofcnsyuRVXMxGBYM+Ejo/Sn9bctTYA6Bn9+r0tD7 -uzjtJCF9LAS+WMhFofcXUVv7SlghBN7P9Pqi9+e7n2zEbRwCbc6mzuj92X42 -XGV0D4H69UVz9P7SKhcTNxND4KFvoD56fwo3/E7/rgiBfHoODfT+yKE8Hz91 -hEBqZKHcbtxvsxOebeMhEMl65gz6f7cIc0JVVKHgl9p+DP0/1r1XezMOhILL -oesH0f87IyTiH3QmFKwKfrKg/7fIEC1ppxUKxsJutOj/ORokTevahIJO5fZV -9P4Gn90gE0JCQflM2m/0/nSeLd7hJ4YCNBz7ht7fjmmPowyNoSAmV9+L3t+x -oZ2zUx9D4fDry+3o/Y3vqat7txAK+9WH6tD7c+LICa1gCoPdfdbl6P3tNu/X -jz0aBrQ3N3PR+9sMcJZwkA+D1W+Riej9BYwn7tYwCoM/dw6Gove3QqUxd/xB -GHz/Xe6B3l+N0rP+HYlhMHDvgj16fzxHFp6PPwyDtytdJuj9FW9nrHjxJgye -exlfQ++vW4svP/1HGNTQzKmg93fA7HKmE2U4FIf5whp6Klk56Vf2h0PW7j1i -6P/FXDubzS8ZDvFJeYLo/7FNiJJXNcIh5IA4F/p/LlkZj99ZhYNHXvMu9P+i -OhLf5AWFg8MRHUr0/y49kRhzzg2HO+VjC+j9pRbHblN6Fg43JJwn0PtLGWs5 -wjUQDup1tIPo/Vnkj2tPzoWDnEzSO/T+FAWYA5/sigCpNqGX6P0FpOk+Czoc -Acev1Nag9xcq3beqLRsBvD2KRej9DZwkEngMIoDj+scM9P52kj8GT92PgJ1f -zWPQ+/v0KvJjTVwEUJiu+qP3x1Lz+aR3WQQsTobeR+8vJ3wgUvFVBPy022eF -3l/U3Yx5pu8R8GWp2BC9P2rji4Z9mxHQ435OC70/2ZCv3Wl7I6GN6q3CD/Rw -ZsJVjMQjoT5YXxr9v4HcWx18apFQwTgtgv7ftcL72j/MIyE/3pMH/b91yvHR -Qv9ISOViYkP/r6yyxe1uViREZmfRo//nWS289/DTSPATPLmO3l8WlXjjj95I -uF/S9Ae9P4vIeSvin0iwOqUxit6foZIfr/H2KDCu/daH3h//obWv+wWi4CrB -4TV6fyFrDvn9EAXKzVQN6P0FNFHdi9GLAlCOq0DvT1r9haKyUxSId/Hlo/e3 -GPRKkCo6Cg5ffZSM3t9xmZO7nhRFwYFBuXD0/oI1GNdtWqJg960PXuj99Yc+ -mOcbjgLaCdN76P3trYua71+NgjXrJTP0/uCqqGfL9mj4Mx+oh95fFQttEDdn -NHx35VBF7+/Eggari0A0fPxXKNOF8/bpkOC7U9HwNuCMBPp/Qzme9YIXouHF -jleH0f/bL+TS73ElGmpiru9H/89Llt2lVy8aijkmmdD/6+y8k3b0bjRkZ7hR -o/83leki5+0UDfF8O5bR++sP0nD44BsNIeS0SfT+yDcoBY5GR4PnCeEv6P2l -TMbe9MyIBofq+m70/laoWPa+L4qGO2evtKD3J2UfqidQGw03ng/Vovf34x8d -z/2WaFC/ZFOC3l+JS6LV6/fRIP92Mwu9P50smfP7h6NBSisqDr2/trNscda/ -o+H4x4NB6P3tZD5g07AaDXyGD93Q+1MaMulkpI8BjrELNuj9td36W2XAFgM7 -LbuN0fv7pT/KXcYbA5Szxjro/RFCRZk2TsTAovOcYh3OR860v8r5GJhc9z2H -/p/UDUHvFOUY+OK75yT6fynW3ynGdWOgh57Ih/5fSrg4nbhpDLRHinOg/2dl -wRvn7RAD9awt29H/u/P4CbHDKwYqUnU20fuj4aU9xxkRAwWHfsyi9zdydc/1 -26kxkFrgPIbeXxPF77XSwhiIEqb7iN5fSHsm/99HMeBXmdSB3p+CjniPzIsY -uH/mcBN6f8KXn9CFvYsB64baKvT+4tSlXvQMxoCxnFIhen+r1E1U+ydj4Orr -j6no/dHSXn91ezkGVNQtItH7C1pgYi/eFgvQt+qD3p+95czE7O5YEL8Z5oTe -3+g22otnDsbC4ZF95uj98V6/yeMpHAsH7pbcRO8va3Mz8KVULLBMn1NH728+ -ZMWB/lIs0Dq+lc3f6q8RumOXtWNhbUVfEv0/rTT+L9HGsTDjNX0U/T9TGSv9 -XptY+E7jxY3+3/Vd0rc53GPhYxgTC/p/maEpf66HxELn7mwa9P86LyZSpSfG -woukkyvo/T18djZ9KC8WHh94PoXen6RPQj13RSwU52kMo/cXsLv8tmFDLGQf -GelB729iJi4m600sJJQ7tKH3N5ilpvB1IBZCJKjr0PvbmfHbh/tHLHjWxZWh -96dp6iqnPx8L92T4c9H7q763GZFGEQd32h4loPdnIxNs8HFXHNy8Ih+C3t8P -ed4a9n1xoN7zwR29v0dL/fFah+NA/rqZHXp/eW2PVqMk4kD669Jt9P5KjjaP -v7kYB8dNg3TR+1uL2n2TTj0O+H5xqKD3N21K0r2oHwec9iRCFPo8e+M/uVvE -wc7lM6fQ/5NkHf5e4xIHlB6vBND/U2hKcp7xj4NFKr296P9Z+bdGHYmNg8ng -yZ3o/9G3upy8lRUHXxkfUKD/VzJRcy21JA564ncsoPfHrRpF//5JHLRzpY+j -93fz6s4LDG1x8Cxb+DN6fwmGUv+gNw4qBJ91ovcn1sqj4PwtDgpKrrxA76/7 -exdryXQcpJ36Uo3enwKrpuW3tTiIqrUho/eXUfxQiZ0hHvwJ/9LR++Ok+Fuu -zB4P95ujotH7EzcVy/Tkiwdr5UP+6P3lCtuwVp6Mh1tdD13Q+1MvqGIZOx8P -V6/KWKL3d+sQUwqHSjyoDHYboPcnOR5WqHQtHi7cuqWJ3t9deQnCA9N4EJ+Y -k3fb6lY/dv0Sh3g4YuMnhf6f0U/pbUNe8XBgYY8I+n8edaViuyLigcWNeAj9 -v9zzbr/OpcYDHYUEK/p/kRXFx6wK42EtoIUO/b9zulcWUh/Fw8yOq2vo/Y1Z -Wci/eh4PYzE/ptH72zzOeHC5Mx4+criMoPcnNSDrwz8YD50ZdH3o/QXnsFtp -/IyHF3zJr9D743wR89VjKR4ekw8/Q+9P17bpM5k6AUpOPHmI3t/MYKnRB+YE -yK5WIqL313nO1IaCOwESzn5KQu/vQOPstmPHEiD0uUUYen8KsQb8OmcSwPPS -mid6f0sjNR2e8glw722YA3p/nIM0m4WaCXBXa78Zen+fCq7WdhkmwM2PJdfR -+yuyeLT21yoBNAzPX0HvT/rK0fZDbgkgP/b2gslWP3B4eUAxKAGkLQ3E0f/L -Xw9dt4lPgBOz00Lo/53YGW6SkJMAfC5e+9D/62/s1qkrSwDODSYm9P/Sxe90 -DdclwC6/bCr0/5oDdHtpXiUAJYPoEnp/fR2lxkf7EmAp8vlP9P5yeZzuq44m -wCSr5hB6f2dTazkdZhLga+pIF3p/K8p+FxM2EqD30L1m9P7cFYYXH29PhPYC -6lr0/ooyPp36xJEIz4Tji9H7e2josbLGnwiVlfxZ6P1RpvYoHTiVCAVnqmPR -+9O7NsFHgERIa5APRO+vntgaZHA5EaLk+lzR+3MOcnXyvJ4I/q/NrNH746Lb -+TvDLBFc1ZeN0PsLOhwyXX8vEaz7grTR+xubXnP97J0It25yKqptNfGGbdRK -RCLojpDOov/nZTN9nCMtEVTuSp1A/89DwP+aOCkRLky/4kX/74/72Z0a1Ykg -4ajHjv6fne1BZesXiXBkdZIB/b/JRWmWkHeJwO39YAO9vyi6FBPiYCKw0O6c -Re9vJEVOpvFnItCFp39H768sW5H0cSkR1neLDKD3x8T4MGWeOglmkp69Qe/P -+n0A267dSTB2QLURvT+vwd59gtxJ8CnvSyV6f5f2kkoIx5Kg84htAXp/+xy3 -t189kwQvy/+loPeX1kdrYyOfBI8loiPQ+3t6mJQZoJkEJXWHfND7q1VfuZ5u -mAQ5MhWO6P0JidFkV1olQUKbzF30/iYr++zaXZMg9Mr7G+j9iVXc7xgKTAKv -nltq6P0F7lqqnItLgnvX5y9KbfWT/Ov8dDlJcPer32n0/+Z1yg7tK0uCm6as -R9H/K/+7WXi8Lgk0fhEPoP/3xOzqU5n2JFCwl9iN/p+oS6Ou9ockkF5u2Yb+ -X8g/OW+zkSQ44XH1L3p/oT2zYvf/JAE/9fgv9P5UW7ocQtaTgDPE5St6f3L5 -U+dSGZJhFxN9D3p/ohfVY4vYk4EqIbkVvb8T5rT3nvIlwxLXkafo/ZWO7f36 -6mQy/Mp+Uoren61PQt/A+WT4Kqicg95f7Db/6+PKydBb8ikevb9giZk7i7rJ -8OqUZTB6f3s/fqekNk2GZ7VrD9D7M6u0EmJ2SIZKQrgten8TvrED+72SobB5 -/230/my2G7MdCU+GNOXSq+j98dKNDomnJEN013ll/q32l+A7daEgGfyvdp5H -/89eXZhFpSoZXAcNRNH/27+bwVOnKRlsbv3hR/+P5USzk+HbZLg14cWJ/t95 -o7srdz8lg64N8070/4avUTA4jCfD5YXsf+j9Jb1JJLotJMMFN9F59P70zU93 -+FKmgATFix/o/QV+++kVypgCRwI1P6H39/JbXWPMvhTg3jn6Fr0/HvbHYcmH -U2BP7L3n6P0xHPkxmimRAnSc26rR+5N7qdVOvJgC6xnxJPT+FsK2SxWppcAs -n0A6en/ph9lOl99MgTFydRR6f/vPezZWmafApxMKfuj9VVqqf3jsnAKd1X3O -6P1taCe61PmlwMuzdyzQ+/ubpFfQEJ0Ctc+X9dH7+9ddaPw8IwVKLgVroPf3 -LjOY/LIoBXLecsozbrVfMp1ny+MUSNQin0H/L0X3xNfW5hQI/SgljP7fb4ft -b9u6U8DL8PVB9P8avPIutX9JAccxvT3o/5XuplFr/5UCdy1/0aL/V/9UarTt -bwrozz5YRe9PbcflbW00qaDhsnMavb/jsQqVLSypoLCR/g29P79Bsd8vD6bC -WT+RD+j9fXbirn4unAonGBra0fs7PMG8vVEqFfijVOvR+2usY5uqU0iFvWxf -y9H7u+YieaNWKxV2pdnmoffHmu2t+8goFah4KJLQ+7v3ZG243DoVlgqiQ9H7 -M5KvWi5yS4Vfwjye6P0daa5Iyw9KheHKCnv0/nweUb7Nik+F3jMXTdH7C4gr -DUvJSYVXDe+vofdXPdjYH1uWCg1yty+j97fCIFsRVpcKla/n4e9W58XJcPq3 -p0Khur8Y+n8WMy073T+kQlofqxD6fzYJnyLvjaRC9M18LvT/ziyFZFr8SYWA -EQlG9P8e+385a7yeCq53WynR/1OsGDHTZUgDm+mri+j9lU1mH7zCnga3Hccn -0Ptjz+Qzu8iXBrqrLoPo/XFKOklLnkyDy970Xej91RzMTj92Pg1kaFNeovdn -0FQWflA5DSTCjzxG72/Cq3j7Ht00OMrytAi9v6SX2ey0JmnAnaycid4f15/k -h3/t0mAP9+cY9P6iTDIHJj3SgJ5oGYDen7t/XeRgaBqsH1m/j95fX+nq+7dJ -aTBbHm6F3h8lwSy/gZgGPyQOGKH39yaanra8Ig0+1ZVqofd3j2JqIbMhDd7J -EC6N4vMb43KIfJMGL9s6pdH/c07K8vAYSIPaK4bH0f9zsvTnsBpLg9KePzzo -/6VU94DeXBrkXPdmQ/9PsT1p6dK/NEj8ysyA/t+NhU9nJXamQ5hpzjp6f1wh -hUy8e9PB65foDHp/Z3vpHBgF08HR/sUoen8/eWhvr55Kh7vLmv3o/T2rLxkZ -g3TQ9xh9jd7fy980s12X00GT2rEBvT/X9X2RddfTQSFkWyV6f53HV57km6XD -WaaEfPT+BF4VOkbdS4eTCQIp6P1pMJ5quO+dDvz7asLR+0uH3ETjiHTYm6Pg -jd5fUzrVplJqOjAK9d9D72/qtv6CaGE6UJXeuYPeX0t3veveR+mwfOqvHnp/ -t3iORVI8T4dftcGq6P2phVeKjr9Nh2HC3otvcb2U9U3efkqHD81kCfT/kmIl -BKvG0+GVsvQR9P+upsi7JC+kQ0PX6/3o/4nEJul6UGZA1dUbzOj/LZVJtBkz -ZkDh4C9q9P8oDx1tld+XAem33JfR+2Nj9dI+cjgDoid2/kLvz76W4LBTIgMC -bDK+oPf3+sy9g39kMsBtQeQ9en+qjYI3u1UzwMatoQW9P6Lj7cNVNzLgNoXa -E/T+fnmJ+cffzYBrgV9L0Purp82xdXLKgMs77bLR+xMUqZnU8c0AmViKePT+ -atg8FiWiMkCCMyYIvT/bb/+i2NIz4GgmzwP0/t6XKz5dIGXAQf5KG/T+BjKN -HXuqM2BP0cVb6P0tvdZuqHiRAfQne3TQ+9unJpwc9S4DNqpvK9VudaXcHKX1 -YAbMnl04h/4f8eXDNaWfGfDjuf9J9P+0R+wDBJcy4PMlNn70/7KrIYuKOhPe -vc3nQP9vXJ1f6QtTJjRrnd6B/h9V6xG/J/szofZj6yZ6f0oCelfij2RCqaHu -HHp/UR6NhTanMyF3bHwMvb8jg7djFWUzIdHy/kf0/n5e1tjFq54JYbP0b9H7 -4/4Uw7l2MxO8XVKa0PtLCj/xqMc8Exw3jjxC749oKT5c7JwJ5n5PC9H7Y/Eo -SvfzywR9BpU09P5EW9J+6kVngmbU50j0/joVWNpEMzLhEpuVL3p/UtScEvRF -mXA2bd0JvT+Z1RqxLzWZcJInwhy9P6mjKy+qXmaCQOEBffT+5qImRoK7MmGv -SJk6en8vBKPi9IcygbGKIJe71d4TS72ik5lAJfVOEv2/gLdHCmmWM2G5wfAY -+n+lHaLbP1JnwZTcDDf6f7qfOTeLmbNg+LU3C/p/d35P+HoeyIIP6rtp0f+T -WSxOVj+aBa/7clbQ+3s/agm8klnQcPPUb/T+gkinXOZls6Bq5MUwen/aotsv -NKtnAemuVi96f5UW6ynx+lmQPj3aht5fJuwJMLHIghhHxzr0/tJTrlGKu2RB -wOq2cvT+qoz6GKn9s8DNOyEXvT+nB4ml3dFZYEsrmIje33Jn7lBWRhbcDq8J -Qe+vl0CTZV2UBddYLnmg93eqtG1W+nEWXEnut0Pvr251/gNdcxbIcN81Qe8v -jzZepbcrC04T/+qi90csq9DMHsqCo0dDVND7o3x39ZflZBYcfLgXwvH+Lkaw -SS5nAevpolPo/8nbl09QUGUDfb20IPp/ji8tmMzos2FD5s1e9P9o/DY2XzNm -w1zbjV3o/9mnWj0+wZYNP65MUaD/5zRffyZ+XzZ87nFfQO+P1WXe9y9PNry7 -vmsCvb/kXWyJNw9nQ/PXjM/o/UVkCjo0Hc+GJ6bH36H394pZhINfIhtKfzW8 -QO/PRFkkIOhsNuTaq9Wg99dwUrh+UiYbkpa/ktH78yAdr7+imA1hHnYZ6P2F -J0gFPFTNBm9qyhj0/g5+1uDYo5MNTiEx/uj9ld9wd3C6kQ3mTLz30ftb/fss -sd84GwwSKi3R+2OI3+cvdTcbNPfJGqL3d3hXqnSaTTZcyunRRO/vtyzh6bpj -NpwTMlFw2Wpxjl3UBg+y4WTpghT6fzymO9gafbJBQCxABP2/gzsIMweDs4Hr -CRsP+n8jY+R478hsYIQCVvT/TLq1qL7FZwN1y2l69P8mSRekZNKyYVm5bQ29 -v00FJ6mcnGyY6tL9g96fU8ASFSUpG75dnRhB78/i/KsEo7Js+DB4vw+9P7lL -c7ONj7Lh9S2G1+j9PXRz5jhYlw2NEynPLP+bBz1az+fZUGVztAK9v1tP8hoG -27KBtPCUiN7fPburF852ZkO6m0oyen8kkktYSm82xFAMhqH3d+j0zuzlT9kQ -GGjlhd4fTHF66nzLBredGw7o/dVk5ApUjWeDbWyEGXp/nvvLEpmns8GEk1sP -vb8hqQvd1gvZcC2z7Ap6f4at+h9er2bDFX6QMd7qrzE78oQoc+Bi0Ttx9P+8 -LFWl/ely4PRJo8Po/5XxHEsb3pUDx2pm9qH/J+Bb0HKONQcOnvNhQv9v4nrL -k2SuHGB9sZsa/T8Fp3CXhUM5wKCYu4Te3+csin9qQjmw8fbUJHp/4URB9WKR -HJjTejmE3l/oFWpLWvEcGP+o1Y3en7ZJmpqxdA58NvzejN5fVcX8Rt2FHOga -c6xF7+/ZBrMz+6UcaLakKUHv7z3T38d2V3LgyWxCFnp/OWWlL19r5UCZi2Ac -en8C2adT+PVyIHejJhC9v3xy0hlPoxxI8rvkht7fo6gPOf1mORDOMGCN3p84 -+2LvSesc8I66a4zenybFWnfIvRxwYlvRRu8vhHkqecQ1B8zTQhQvb3Uu/dsj -Z71zwICH6xz6f1XkXP+4wBzQKiw6gf7fwUf25F/hOXBJ5Cwf+n9J44Rk2bgc -OFf1hh39v/3UTBppKTkgKnVzO/p/q80/euayckCgcWoDvb+OydeHlAtygEve -Yxa9Pw/RJsmckhxgerNrDL0/eZ03nH8rc4BaI3PgP+9v9+xL1Sc58LfveAd6 -fwE8p6XyG3Ng6mZjI3p/y4Ss+2stOfBtRK0KvT9nPlFfjY4c6Ls7XIDe38ug -GZ3C9znwetouFb2/Ob6R+fWBHGh0pIxE7+92+fabml9z4NFqjA96f6+HbSIL -x3KA5M3rhN6foM2esPVfOZBBW3UXvb/2nRsaGnM5EBMuexO9Py37k6P5f3Mg -kKVXDb2/GrVKwupmDjxINpE9jc//RpCJKk0u2HIvnkb/z1DhkXbujlwwIQYc -Rf9v78vzTEu7c+H6UXZu9P8SfQ/HK3HmwpWHBbvR/9OTcB1N586Fi6cladD/ -OxsmvvGHPxdO17f9Re/PQMzw28VjuXDs4rUp9P7ODW5EJYjmwqH2ia/o/WWK -sTFMSOYCq6prD3p/WT/Il6UJucDQy9CG3l9xTpNeuFwubF5PfYrenw+zgdgX -5VyY+3q0DL0/0S+BfSc0cmHctC4Hvb8D1SDno5sLg79UEtD7WyNEuL/Xz4Uu -+8Fg9P7e8zt68ZnkQsuylTt6f6uMK5cdLXLhiceGLXp/vPmHxprtcqGMOvI2 -en+KkX8usbnkQl4Ity56fw12Fk6mHrmQxFSujN7f0bkE62q/XAhPAMKhrfZ/ -7HySJjQXfPZ1iaL/x2m6u0E7OheccowE0P8LzLRgJybmgoXQLCf6f020QVLz -6blgUOqzE/0/pguWQhfzckFLjIUC/b8dU/uGo8m5oPgkdx69v4LijNtfy3Ph -HIiNo/d36OBihUhNLoi2vPyE3t+eH4JvHtTngqCKdud/3l+WZPmrF7nA1f39 -OXp/Jj+PGXC8ygUmXadq9P6SjekHTN7lAvUQDRm9vxli9/7KD7nw91ZiOnp/ -RWrhwv8+58LvCcFo9P5ubJyjuzySC99sHvuh9/dbcaIkeSIX+hYuuaD3l9kR -e2BsOhfeuA1YoPdHzStzXXQxFxopzA3Q+6MdWTb0WMuFR4ErGuj9jRPrRF9R -5gF5Z6j8DpwPqphOVvo8yIjlkkL/by7a/bQRYx7EchYLo/+nPO5rXsyaB4GZ -Zw+h/zeYSrqzxJUHD/g79qD/l3Jh7qQMTx7YFd2kQ/+v1cqkJUwoD0xO/l5F -74+iilqgTyQPrtd4TKP3J1XQq3ZIPA9UzzGOoPdXP/BZ3kI6Dy6+yPyA3p/w -4AG6RxfyQFLxxCv0/rxlsuI2FPLgWGdjPXp/DCkmvxSu5MEhbfWH6P3ZOjgw -RmvlAdun4Tz0/ipuvl0fuJ4HDEb2Sej9Hf7hWsNjlAebY5Rh6P35pLuftTDL -g3nLWE/0/lp3fgqrtMqD8VleB/T+dF4mFq465MGgS5Upen8/VB5HXnTNg64N -2evo/YWIXpAJ9cqDFr/ey+j9kTclGrsD8uApg+mFRfRyzmfQ7w3Pg7KoRTH0 -/545uR0wis2DPLZAIfT/Loi+3yhIzoPkNPZ96P/dWCaRf2fmQThPISP6f6fV -qHnE8/PAp1CSCv0/9cax227FeeAs0r6I3p/fW22Hpoo8sKi69hO9P4p/2pdp -a/PAUOrnIHp/2gOTsyoNeaDV6NqF3t+dIa7bMc15oCi/vRm9v/sxP7P6XufB -+Tepj9H743W5QdrXnQeiGseK0fu7wezkbtSfB4L9dZno/Tl8kd6fP5QH+/Qv -x6L3x3yrIujnaB4wjQ4GoPd3bt9Qg8hkHmwzt3ZF70875MVz+5k8+Du9YYXe -355jZtHVS3nw2zHSCL2/aM+OoyvreTCyyq2N3p8U5Wr0OWoi9HmXXxre6i8n -5l94MRDhDe2Fs+j/EQPrm14wEaEpvOs4+n9MPnqhNOxEeMRizIv+37+U7oOK -+4lATp5lQ/+vTEXQJ5SXCBncvgzo/z1i0i/rOEyEWCLLBnp/1IZuhYwniBB0 -NG8Gvb+pCl8rdQkiPHgo9h29v73ebhsxZ4lgd7q5H72/uAtmej0yRDCt136D -3l+TubIfqyIRrl8ca0Dvz8j+2H0dVSKotjtVovcX17FLKlGbCBdVaQvQ++v5 -s9jSp0cEyd7EFPT+1lQnuDmMiSCsJxSB3h/o/5TVvUOEQ8OPvdH7E4vYEE+y -JgKbmaIjen+v1I/M9d0jwvapgTvo/anutX/A7kaETXvzG+j92el+7NLxJsL8 -8ooqen9nHpjNxQcSYcIj9OJrXK+F/cM94UQYpN53Gv2/ZycpU1niiNAdUnwE -/T+TLI5DGilEaGE6dwD9v+BSE4eoLCI8TehgRv9vV/tU9Nt8IpTv09+G/t/J -G48e7CghQl7O7+X/vL/3jaeUKomQLOT5C70/sZQ9NYG1RIgoZfyK3p/13kc0 -zQ1E8BHLeo/e32Z9Pg9lCxGcn5xoRe+P6vcUA+ENESyg6Ql6f/O7Ixvcuolg -2KJeit5fcVwEPO4ngrbKt2z0/u58/BM+P0QExW77ePT+GpSeFJz4ToTzulTB -6P2VKE+HW04S4dRQ7AP0/sJk4qBwhgiCt/ls0ftjjStpGFkiwr6fVbfQ+7uV -R9jOvUEEJlu5q+j9zXy4wnudOh+2LfYqVW91asQX2niGfFhxMz2P/l+a8OLj -TqZ8+E2xdBL9vxjWRAkG9nwYCQzkR//PtaDFS3Z/PvTv5OBE/6/qYnCiB28+ -vIkt3IH+34biiOvjw/nQxHnmH3p/bpwDR2aP50N1Zvscen/FTDYFRyXygcx/ -/Qd6f87hpD+3z+ZDZtHPj+j9HZoKo8mQyYfYk25v0fvTCNs/8eFSPgTVbH+O -3h9Lq0ESo2o+uJ9Le4TeX+fy1T2XtPPB7sUxEnp/2g8Ybnrp5YOpYn0aen89 -RW72j43yQa/zchR6f1++lmn9McsHVe0hX/T+zO8VUQlZ54PsJ2tn9P7ePLP3 -NLiXD5JGm+bo/TWxM7QluOaD8I9IffT+TD44DnZ45QOP1UEN9P5Oqz9poA7M -B7a5crmsrd439MlaOjwftt+/cAb9v2vdQz/tYvPh30bXMfT/knxaTxUm58O8 -n/FB9P9+yKQoDmXmwwTDHAv6f49M9Y7tyc+HwShfWvT/BoDxs2JxPnSz7VlF -7y+Y94muZ0U+tKbl/Ubv75ahYU7V43x4yiP+Db0/s0s0tRPP8qG8sLkXvb/l -o+VpB5rzgSii047e3/B148uar/MhuWqsDr0/Vb5DrwO78iFCyrkcvb/uD39Y -6/rywbeRNg+9v1uNvcJ/BvPBWT4pEb2/GyI9u/lG88HyjVAoen/uVn9eXv2Z -D4YatR7o/U21i8iG/skH7X5Fe/T+xCJjo54t5oOS/kcT9P5k6XjJM2v5cH7U -/Bp6f3aew1F8VAVwynxVBb0/x/PvZa/SF4DQn1AI2Wr7iLXmYMYC2Oe0Twz9 -v6kaoz11rAXAvFYsiP5fFyXtid9cBbDN5xwX+n/21X84DvIUwArt213o/wVy -8naqCxXAdLg+Jfp/wvY5Gr4iBTDCMr2A3t/kNofcKrEC6E/2nEDvj8SZ3PRd -qgA6uJkG0ft7OsNRwnahAJqIWe/Q+xvoWjRRUCiA6qMnX6L3d2hd4pfz5QIg -P2yqQe+Ps3qQUKhZAJmnNYrQ+ys/P23Yf60A4uq/ZaD3J9lvo0pnWABBFx1i -0PuzenFru6RpAbi3UwWg9+cAnXFmlgVgrxp3H72/9xHVkwn2BWDay2eF3p/3 -1H6mFpcC0NN7ZIjeX28KPeWCRwGoDctpofcX3ePexOtfALJmHxQccd77PK5o -hBbAmSlTafT/1IaZSF7RBSDssCSC/t/XY5JdpYkFwPM3kAf9v+mF5ZbP6QXA -7snBhv6fovPVEIa8Ati+jUSP/t+hyatckuQC+BdyZh29P5bQjfsm5QWwwPTq -D3p/fFGq+THVBTCRcH0Uvb8mUdWshroCGNo32YfeXxOZ4s6v5wXQneP2Gr0/ -k+MW6xztBdAqtKMBvT+tpUhDuc4CqCtNq0DvL1fyXrRdbwGUiwnno/f3Rmhf -VPqnAiA+qU9G7+/RWuiN9uECSIEr4ej9lY63Ls7/KICIliEv9P5sDvboH/xd -AL4qNvfQ+9vXVxWnPF8Azt2bZuj9yRCsk5xWCsBSN0oPvb+BEGrz7H8FYDR0 -UBW9vwuTbvRvaApB+/ZDGYOtlo/ud1rcUQhKPy9IoP93sORAxUGWQiDYdh9G -/8/GWuOpEmchnFo03o/+H1C7xNzjLgShB3NM6P/Rp8eezuAvhP2UftTo/xWZ -ksitRwuBOWjPMnp/9yLqJ/+cLASaXcRJ9P72XehZ4ZQshJVY8S/o/TWTZ/pk -zhfCNGdLN3p/m4ucvhayhTCaqdOC3t9LNfW1WKVC6Of/UYve3/1vqRfr1Aqh -o8i5BL2/md513VGdQnh+ki4bvT9zLbezO24WQnVNUhx6f6EBHL9P3SqEonOH -g9D7s4wbsNK7WwiZL2rd0PuLID5/6mNTCHGKSjaX/ztP+/pIjoUQ3PnRGL2/ -p5b7n79zKwR3bQsd9P5+WCe6LnkXgv2nVUUlPP92KFEcCCoEM6Owc+j/2Zif -0ZGNKAS9H/tOov93v/juffO4QlCzKuFD/+/E4pB5VEohyM6d40D/74F5+pHq -rEI4c//tdvT/Ko6SHn/KLwSRTf1N9P7i9WhYKUoKgcd/eha9P02uWoJAZSGw -b/caQ+8vJLRZUrm2EHZEM31E70/2zfF/Ng2F8I8tuwO9v9W11fi45kJYSDvZ -hN5f3FmRlcevC+Enz/Mq9P5uk14dG+wqhKFCjUL0/kSMeoUp+gvhvchIKnp/ -vN4a63xDhdBa5RCJ3t8wv3zqpdFCqJOi9kXvz8miitbyZyE8bIxzQu9P3ypb -JvJPIRDl+c3R+/OXZ1apWCyElDePbqL3d5GZjq93rRAiNeTV0fsz/BH8aomS -BL79H2TF8H3/LYWwl54ELvpmkuj/0e0l+J5lJIHl6NJR9P+uFLjG67OSwMg8 -iBv9P6tsdScvLhLo/OFgQf9Pl7uNP+cQCZScSDTo/907/S33hSAJCGtnVtD7 -i9/M/TMqTAIxn1dT6P2thzHvoBEjgRCd3jB6f4bzIosCUiTYHzHZg97fsOK/ -UgUgAfOeB23o/e3JDpa4I08CmpQddej9re7oDAtSIcEqd3oZen/bs3oeFmqQ -YJoonIven6BlBrFNlwSjR58loPdH9DlxZ1yfBAMPr4Sg96c4H/GX1oQEHae/ -uKP3p9RVf03QggTP623s0Pu7crgpSN6OBDUX/91G789qe3qAiTMJitqjdNH7 -OxGgrennToIs1UMq6P1FF/z+neNLgrjeh4QDeH0PLK81BZMgWE/mFPp/Sizv -Y75EksBjuFsA/b+3TgKp6/EksDe7tRf9P7lKc3uuNBKYTc3tRP/v6/tcjjM5 -JLjh4EeB/t/Fkd5wnUISqP3ds4Den98kdbdDKQnkPInj6P0JLYp9j6oiwZlt -Ep/R+zuyw7y15AkJREJbOtH7WxAvdHnVSAJe5qsv0PszcZtbHmshAXvij2r0 -/jpGVC9RdZBgx34XMnp/vx2bTLnfk4Aily4Dvb/10ypa0gMkWBBKjkbvL+/4 -PNPVLyT4WXrYH72/NaP6NPvvJBgSe+KC3l/c+6Kl8EkSvH+iZInen1XQi0Ok -GRK0wScD9P7WfOm4Xi6RoK7FQhO9P8F2j5GhdRI8VFmTp8f1uX7E7S8VGfK7 -w6TQ/xM9w/iNhYEMKbr7RdD/4zE7ySHCRIbIoZJD6P91jcbtv8RGBr/b51nR -/zOtODdrtI8MLj/f0qH/59V5PMGNhwxWtgZr6P2dlLahjxcig9Hi9DR6f+tz -m8qlImTQeeA1gt7f0NL3m61iZFCmZO5D709Wlp/wVYoMhKDsV+j9MX5oml4G -MojtEn2G3l8SqcGGWYEMh+OeP/zP+3vC03D4Mhn279UkovcXQD09eEGTDLuz -RpLQ+xv2Fnpz7RoZaATuhaH3N3bsfbCdARlWi6i90Ps7tTnLFmxChj8n4x3Q -+xuaCXXIsiDDaA2/GXp/tuvZmTV2ZBg4V30dvb9xzjOpb53J8PaF/BX0/urO -a5t+dyfDc8W+C3Nb3Wu88m/Vlww1nWbi6P/FPThmsTuEDEXay0Lo/731ns4T -iiJD1qegfej/dZoplJ5PIEO8EScT+n+6vJKBWmlkCP5BovrP/ytsPm6eQwYP -K6kl9P6uTc4VeBaSwWHu1U/0/nKHWqbjSslgdl9vCL2/vY4ytOQqMtzYnOxC -768zy/z3sydkUPd/0Izen5LmReL7RjLIbd9Zi95fsPu7o+MtZJCKTi9G74+C -ic1n7Q0ZRNhFstD7y1phL2R6Twbe9Gex6P21HvuYzjdABg5e1UD0/jTiDW9J -fiHDDtIXV/T+onnKlpW/k4HiuK01en9XnrffNJgkw2LVPyP0/tqNqmLsZ8jw -UypaG72/2in7RP8lMnxpPKQ4tNWqGvSWSetkeC9fcRb9v5NubkxFVEXQ9kbm -BPp/HpodfvX0RVCv8Z4X/b+OZsr2TsYieNh/ix39P+E67sFh1iLI159nQP9P -4KBQ0xxXEaSO+m2g91f9kdtxG08RRJqzzqL3p9XD8JdNqAj8/hC/o/c3O/9L -RUikCFycJAbQ+1vmfWV7RqwIrNZa3qD3p3Ap/7aSVBEY+1xtRO9P8pK/kB4U -gQ7deCV6f5n0FnUW8kWgHOFSgN5fq53ewQcqRQB76FPR+3Myua4VplEEYinJ -Eej9jbdZ6KbpFsHhg0d80Pv74Bl7rFi/CA7kP3FE78/Gqvfd09tFsPuY8l30 -/vTvicu9Ni8C2opPN9D7a3GqCf5oWwSrpy3V0Pu7qGOQMeFUBH/q1y62bfXU -3Anf5QdF8P1i+Gn0/7RPnJCk9S2Cgfb9R//z/yYN61mDi+CtaukB9P/+Ubfu -5ossghe953ej/9eqZyopGl8ENXqd29D/03grIwKpRVA8bPAXvb84KcP5y9lF -kGX25xd6f2vuz0P0CoogfsrrK3p/to5WM3dKiiDEgbkHvT+t9dtCTpVF4PE3 -uxW9v4CZ4uO+tUXg4Cn6FL2/m8KKtFENRXBn24tS9P5KQ8+VpTUXwY1QzRz0 -/lrGwgVJr4tAnXk0Hr0/a47zto+6ikAu8V4wen+//qoHN/UVgdT+be7o/W3e -6rDvGCyC47nxtuj9fROpOjYwUgS8hwVuo/d3WZShZnSiCDjKqq+i92cn9575 -z3QR7BRXUK7c6vXzLOdWF4qA4mnfefT/uBY6JGnWimAR7oii/2d7jnYbM2Ux -TLYs86P/d2DqeTYXXTF8UQnmRP/vRT81o8CuYujp5tyJ/p9rzzuVE3uKoU2X -/A+9v77KI3pSe4uhfkhqHr2/aUU2KdmDxVBx+/UP9P7U7iZOXBYohvyfep/Q -+6MZfXj36rFiSLX99Ra9v5TQu08MRYshavHBc/T+mE4+/3RXshj8HuysRu/v -Z15Dh/35YrhPmUFC70+oxiTKTbYYrIJE0tH7kz/9mNdPqRiMdzVEofd3dv5J -YJhaMVyNU/VD78+nzq4uTqcYlPd+dUbvj0H/a1PajWKALFsL9P4U8hmS8oyL -QVyAwgC9PwHj+fPFd4rhcHG0xn/en352daV1MRwQ5ZFPx/kwYd18cq8Ydj+u -OIP+n5ycFsdz12KgPX9RGP2/3hYjynavYlh78f4g+n9Mjy7UdwYUwx/F23vQ -/+sbWLr0IawYvnfO06L/F7oRSPwcUwwftf1X0ftj+LXQ/S2pGN5+Yp1G7++h -uVzXeEYxvDDK/4ben56Ma9bvvGKo+SHxAb2/W1JJME8uhmKr1nb0/rI4cx/+ -LS+G7Lmr9ej93c3PmNuoLob4++Pl6P0l5EfQUNcXQ8imS95/3t8Xp590L4rB -058+Cb2/X6x6mTvbi8Fhe0ooen/ndhH4d3cWw53oI57o/UGYwAO23mK4yf7U -Hr0/BU3WvL2fikE9XdkUvT9eAcb0A8PFIM/7+Rp6f/yt7GY8P4pBimR5Gb2/ -A8uilAJTxXD8+DoE4n5xvW19eK4Y+B6Fi6H/V7mrvOjY32LgkD4ghP6fjSPn -k+ObxbCzqZQL/T9j67xk0W0lQKlAYET/79NjLSXx7SWw+KaTEv0/EovIm9PM -JTCpYbiI3h/3OTFuKfYS+NL/ZwK9v+1z5hfP7i+BHn3vQfT+Gmd7Jc/zlkD7 -KHMXen+Mf102CYdLoN485yV6f0rPryZeOF4CFX9EH6P3J8fg9E9GvAQKnF4U -ofenGdYnJStdAqlrmpno/SlSecnLXSiBKJ/RGPT+PA478MorlIAfnWMAen+t -j6u65C+XwP2Iba7o/bmbX1JX0CwB6z0JVuj93V86maNwrQSMUwSM0Ptj53B8 -qWBQAlcP1mih9yfqx/pYwaQEVPIVLtnjfphjc1ewKAE41i+N/t8AlzuLgl0J -iFfcOY7+X36Vspu8cwkckfzLg/6fvUNAlZx7CRx4FsyG/l/2zpMNsr4lwCK7 -lwH9Py5J1dSLwSVA+4q8jt6fUsHoJZnIElhTlZ5B74+DcaEV4ktgpvf1KHp/ -szx+HITUEviud6Mfvb9X8fFS57JL4OPwr9fo/THtFRaRLiiBTjP3BvT+nhpd -mZMsKYEXUzsr0fuTObAeLFFZAo8dMvLR+8v6d3b2VG0JFP8VSUHvT72W+djJ -hhLI9mwIR+/v6rLHaZHmEkjYpuaN3t8pq6A9R1+XAKfmVBv6Y/+Xf1UjHueK -Hs/d+rB3L6rkCVd3Lk+hv/Ohxju5t9KUYOIoboT+TpOgthLf3fuEXdYqPejt -LMrnOv99GEDwXDqrgN6OwCdPQvBsNGGUmfYJ+jq3tl+8SmGaTLhbTz6Gvo5C -sDn1zZRswpkVwUz0dO52cNvKlOYTvNs8mNHTmaA7Kc1lU0ywFCrzRT+H4dVT -ronfDwn8e2sX0Msp07yWJvjgEWEgPc0MvRz6GPnwZwa1hPLKawPo4/iQVIZy -kusJ/Xp/lNDHKfipdcEkvongmmBYhz7OvZwAiTi6l4TGW2Rh9HH6vN8qDeS3 -EPpedGSgh3NhcnlFxLadMFb9ihE9HFnGHc2Rt98Q9p7L9kL/xnpQQWjRt5OQ -qqM+g94NlxvL+9IfXYQ4qk9G6N3MKwsbGOS8J4jInu9G3+a90h3XEPteQiyH -mwz6Nsdy+kyFdfsIk17RFejZ3JI4aianOUAwdPfmQc/mckbZs85bnwj7dinH -oF/zlOvKw/bgQYLcqd8U6NcwxYX5nmj+QqCdMrcb3eox2dZz3qHDhCDppq/o -1cQFBVmnP/tGmDkwo4peja7Z4yWLtRGCeeLKM/Rp5ha6H0zKfifsIw4Ko09j -G5STvTdpjCB8KSUNPRrnUgLD8sIPQqvXie3o0ZCPM+v56E8QGFWz7qM/M0f7 -RvJx10+CSOXED/Rmvt9yuJSk+ougVLJDB70Z9nTd44f6pwih5+hfoi/jLcEV -q24xTWC//eUk+jLTv7TC92ybIbAeisxET4ZGiFtcY2CGUHyPYyd6Mk+aHsYN -V8wSKAzcXN/i/611LptN0XME6a81P9CPucFP2z7rOE/wWu7QQj/m2x52OQeD -BcJiQX0TejE55OBWmSuLhOe/A0TQi2nZYZZnKLNEYOg4nIo+DKXp0PE30suE -WZl8WvRh3o3IPfOT+kvIVltzQA+mtGpvXvD5FYL04tGv6L9o0VBIfFRYJXw/ -Ja6C/stfqpdtjjprhBf0HI/Rewnr0X6oZ75OWHHu4UXvZSWpUzbUd4NQ5mEe -ib6LubldP1XOJmHbgY8rtfj/q25O08vmf4SDOrym6LvcrHI5+sOZAvgE5bvQ -c5Fuo1vcxUgJYtEyZ9Fzafw8PTlQSAmOcRwF6Lew6x9/rCBHBXQn25jRb9E/ -/nmb7igVsJipP0CvpZl0wIshgBqqJarG0Gd5nCrarX9kG7Bm/VFDn4XqklmB -Vtc20MyleYoey8pt7qJJVxqIhkU+9FhyuLqCeAVpYc65IQL9lV4aml+rvbSQ -omi8jN6Kraie171AOih8NGSE3sr9vd4zYdL0cL5O7HXuVquQpSdlZ+nB8+Zt -MfRWXkobc6YVMUBoslU6+iomCV8EY8y2g6+tGg36KpG/Hz4XENgBId922KCn -kj36M/7ajx3QMJ3Th36KqF/Z5SNFO+Fi0m5AP0X8omRCkt0ukPisV4heighF -F1eeFCPUPvVgQi/FmGYgRIWGCaYk3FzQR6GkehEZ3cMEfy6rf0UPpYJ2+68z -msww8u+fAnooie/FApfrmOG7akgZ+ifyfeJ/qIV2A6v0FBv6Jw8lrNf143ZD -3PPDHuFb/aJVwZ+GkgU8xwjf0TuZY5bQX7VlgcX84yronUxcrtY/N8wC7NR/ -K9A32WWievONxh6g3EjlRN9EdCb6RGHzHngfx+GFnsnBsxxl786wArnNegz9 -EgIhoEyujBWIyRkq6JcczQ2moxVgg2Hawgr0SrQbBqL2ZLCBG0soB3olmcmn -ua3Z2SGmTsEDfZK171YhLDHsIEM1NIIeCS2NOol6FwcU/risiB5JCV2DxoVQ -Dvh2N7HUBeeLlHmznZ4TdoQ8YUGPJFt9NCstmBMuXqpxQX/kw56guScMeyE3 -L3wQvZGKyshjfOF74VLmORn0RmaS6Xn7mbjgimRrPvoiekM7Sz/Ec8H/tHfn -z1T+///AZSelJITsu4js68O+78e+O3YhpCyFZItKEYWU5UUhR1kShUqlpIgk -S5KyRCSF7L4er5n3Z75/xOvH+1zXL+d5rrnmPnPmfjvvgkXp0Rchajb/w8XB -ATM6PsHoiXRI6Q5XF3PAo8bTPeiHGDwdkLokzgmObwPl0Q/hqmk8UX+fE77F -yeehF9J+VCJBWPMghHUPbKAPUrCVLPX17UHgabX1QB8kRJjPZsKJC2itSM/R -A5HW9Xol84ML9OKHhT22cx6xyeP1KW74bT6Zhh6If47lei81Dwi3vJ5F/4M6 -0fSIvwUPpKaNHEcPd+aFVKvQu0qI3RPiix7urtoYLf6+Sjh+lcwJPdzi0dAs -7k+V4MuZYYYersrWngaOr5XgXMyr5YT9sMGVxPq9EixEauTQxzV+5Bq+72cl -6FZpiaKPG17DvItxoRKUZd9zoo9LY5QYQb9aCZIPPfegjysgUV1LRUYCfo0F -CvRxw6gLnpJRk4CtLfEvergHz1gWre0kAYPJ/h/o4XZrv7Fa2kuCHT2ln9HD -fbbK1PeLlQRLdvI96OGWm4qK/zhIgunhthfo4baMMVmN85NgxNOuET3cVt/3 -RiOiJHg/NVmJHu5qTPD+gcMkeHUsshA9XMnOyeoeORI0L9JmoYdL2tTieaNC -gupTuSno4RrcT3B/oUmCWzvETqGHa3eNFNaiT4LrKQ+D0cN9YPjc9oEpCS7t -Miaih9se0sFwj0CCxCtDNujhht9ryypzIEHkgUBDPfz8lY0/C91IEFSwroo+ -bjt1GXuuNwmIghel0McdImazZRwlge2dgwLo49oSk76fCyWBsXQVK/q4TbdO -pZ2JIIHGA/Wd6OMyd5/ajIghgaxa1yZ6uDPxqTrHEkgg+sztN3q4dg5lzj6p -JDho+GscPdzZpSE9l0skYOo6M4AebteKMIV1NglobPa+RQ+3mOxyhtF1EqwN -Fj1BD/dyOetvjSIS/HI/Uocermlmq4DCbRKMT7TeRg93j32WqASJBAOBhOvo -4eZcu0zGX0uCzt/f0tHDFZt9WM7WSIJnkeFn0cO9ssAiuPsxCR5sUp5ED1fQ -sCyM4gUJKhOz/dHDfZgUlrn8mgSF9EIu6OHW6kQlzr4jQfblegv0cJe4nxh8 -7SNBGou+jtR2/nPPaKTvEwli8z8qoI9beJnToOMrCY7z+Ymjjxtpp5Tw+DsJ -/MqWudDHPXuj5HLtTxI4S6YyoY9rsOoRcnuBBJZ1B6jRxx2hPMF3fZUEusoV -K+jhjhJGb6WTVYHyE+VZ9HBDYyo24qmr4LBexxf0cJVYe4VOMFQB/xunXvRw -A+s9+PyYqv6vjz6fs/3lyFb1Xx/9r4/+10f/66P/9dH/+uh/fXS7j252pFig -R5f351pLUR4PZEZx1aI/1964diF2nAfW2tL3oz+nfNogdUuKFwh1/RHozfnW -WhUIxPBCreb6APpyJyr+PJxt5wXZYysq6MvRxNG9cmTlg1nVdzfQk2Pkjag5 -6s0HKxVnttCPK7cS8OGp44NjjfQe6MdN3t7ojKTgBx+/4Fb04qqSF/rDCfyw -/OAOv8l2Pie0dYy5hB9Uy1oT0YuLvMx2xm6RHwyVasfRh+u+qbGopi8A8kdj -9NCHY9g49ehlrgBwqPPeRg+uQLi3ZWFGABhrbtKgB9d91O7Hcw1BEHm56Iv+ -25VJNgnFbEGIjRF6hd6bdapUiNm0IAh9kBJB722Q8VEhjYYQyHcyn0Pf7QD9 -YIXnVSF44dcziZ4bw8faaOKsEEyV+Ouj55ZaenydQlcY6s8O3kK/jSPckNPg -hjBokwtSo982vCegTXJJGEr5Db3RbzM5wDj5wFwEfk3oPUevTWj1ZMC3chFQ -MeHiR69Nc25MtZpSFHIIXfHos3lQFBnxuovCwQ2HL+ixsexZjpNpEoXPBi3q -6LFlNau0T7KJwZz8ej76axfOtjKpnxQDr5f71tBboy2ZNJDvFQPLVUoH9NY0 -5XbavzsiDu1dXfXoqyWwZh5iyBSHEf1wZvTV1lfGa6bmxeE28XcoemrFDic+ -+lkdAlkeoy5e/L7ja5NTaw/BtTOnDqGnpnSOjWS8XwLGEpJT0U/Lt+ZVuBsh -ARJiRyfQS2vLMd9bNygBicdFtdFLc+0VFXFUl4Q1t6cF6KMtEVT8iooloWTu -yDp6aFNSzC2pNIfhMl+sPXpoipaGLPuCDkP3cnEd+mdHfcNd1N4fhsiwoj3o -n8muHNCgPCgF2ZeiAtE7u3MzW+6hhRQoWYi/Qt/s8eH4pCeJUnCyrp4ffbO9 -XDJCrI1S4NjEEYeembQm5e6WWSn46+s4iJ7ZhT5zmft80uD8IEwOPTPn+OMX -1u2kIa/C6zL6ZXlGXYxZF6XhsabUD/TKfhS+qD31TBoGT3/QRa8s0/5RcO2K -NKw7WReiT+Z2h1VBReoIyIxUrqJHVqSoQ7HP9whcpB6xRo+MhYrUrnzzCLD3 -TFehPzYU/eRMzYcjMKn5jnb93738HFf0LhmgsL1ERG/MmIqUl6ErA6d2izSh -L5byPXh6OUYGXI7m7UdfjIWJRHmvXgYeBE4EL+J+e2J0pH5OBq4xMbxCT+wf -ibSwXaKyQOuykxc9sWEWwdpaoiywmYxFoR/2UlY2pzxfFnpHrvagF6YTp8I2 -1ycLhmz84uiFURGeiibulYP4xXMJ6IOdDjV75mciB+cjXg2hB9ZFFjyUnyIH -ITdHZdAD6zhP8uN5JgfqAb3n0f/q23HD48+mHGwNFn5D7+tLfWfTfhV5ePxL -TwW9LxfPjyEpEfJw7k5bJvpehwXMwg3q5MFnJ880+l6F0W+a7eflwXMPQRN9 -L2uTn9oNkgqQ9tAtBz0v1W+WGz6BCjBOpzeHflfq7LUvXhUKELNJpYd+V3GM -51j1dwVwySnIR6+Lnd5xy1RYEdI/Mv1Bn+tGEL+Iko8iHGhyM0Sfa3bC3+Z4 -qSLQ6iUXoMfVzTYYsz6mCJ4nkhfR32Kk58/pFVACGSN3Y/S3HASX8ta8lODs -831F6G2ZKtHEhJYqge1Y4RL6Wq6RDHLyE0rQUE5j8hrPI7Kl0VBYGe4xGxSh -r9Uq27+j0k8Z9ASJS+hpzdCxUrpUKEP8kI0xelrdjcoPnGeUwV+BvxD9rKv+ -VEx3JFWAQun1AnpZZMUqOw1CVcD+i5Ehell+5iW5MnUqECRRegN9rPqntHVB -f1XAkGdgHj0si0pZyyVlVfjTMKGLHhaH0JLv21hVOPb3bS76V0fLD879blWF -F0Pps+hdre2LHfahUYNlb1FN9K6mb82IipqowZ6rN7LQu6qg1uxQytjOgT8m -0bciV7FpyOlTg81JJhX0rdaqqL7rcqrDMB1zOnpW36SkLTWJ6lDX/fML+lWq -vxum08rUIQn+kUG/qjnlzB2+OXWwIUgno1elMxWURisPILwrtx99qveh/rGq -MQBkQYNi6FMlj7jEtjwHGA1bPI0e1SvRfA19ag3o4pruRH/qgOiCuq2YBrwN -aeBBf8px9K7cBTMN+OTnHobeVE2EIc9UmAaQ0Xx9ht7UMy3V1cBrGqBirLYf -vanK15SPWZs0IF0uzAd9Kap2noCpLxqw9uLMA/SkBkUY/45SaULiuh8telKV -1BYeO8Q1QWxAzAH9qIB7XiX6Fpow59RWjl7U8dKuR3UnNOF9osoq+lCZV5n/ -MbiuCX2ENCP0oVa8G2wonmrC6quaPPSg9IQ1u8YmNEF9rG4a/acIbvmdswxa -UFx6WRn9p/nn3FScMlrb56OXht5T9OOUhlAHLehg/zCA3pPC92Wen3FakN6p -JoreU6XhllLGLS04JnImEn2nBm6RLce3WhAokv8SPaecjzsCjRe0IKkzgwU9 -py+MnKeJHNrQwO7hjX5TTA6NeKGWNpDvoq9Dr+l+mG04ZYA2eJZeIEevSaD7 -OuFShjb0fftugT5TI2vgc41GbXB6xVWAHlPjkHMr26g2/LSSnEV/qeUbpSEr -nQ6cT2BVQX+pVnidoCqtA9KOg+fCt/PHd0OfUxx0YKjvZB96Sw+V1MdX4nUg -eWWGH70l9Z8txMwKHZBoVQ1FX+nanVUry/c60Cnl04KekqH7pWr5dR0g6vrv -RE9J7BBntJagLkxs6dqjn0R01ywLN9MFa/f1EvSSAuIKFd5G6EKZz4V59JF2 -qX3iNynShU9MS2roI/nE5vjNv9aFH15KaeghTThE72he0IUuZ9s+9I/MWUWm -q7j04OyqCR/6R42HDbleGujBhtrBYNftfNE0OZfquB4oC79sRO8o3STVLuCG -HhypN6JC76hHaYOw+FIPPk/ftkDf6DUhPe3Wbz1QfPH5OnpGavTTSzEH9UFB -d24C/SLzzZasUwb60BswII1+URx5s1vBcX2glr9xGr2iMvZswtRNfXh5S/kl -+kQ0p1eOOrzWB4bm6r3oEylcvFEyt6gPXZHkzugRKU7IrdzhNQDKwUO30B8K -soj0Tjc1gNIvUr8M8X3axjF+NcoAbl3YrYz+0M4T/cdflBrA1vCLBPSG9Bt9 -d7H3bN/fa/sWfSFvvkRS1qYBXAp5yoK+0FjIlLmcuCHUVFO5oydUd9X615qd -IVDm8JejHwQPEtK+JxpCFD/Hb/SCvIXl2deqDYHaekYZvaDEoEPXZUYMoUj0 -WgL6QFGaInSZDEagXsLxBj2gkgszbizKRvDuWRQzekDT/mrXn/gagcm5amf0 -fx4Kfqm/nG0EpfOtJTLbeY/69arkZ0bQtUWaQe/nFFE+tnjeCJprwmTR++EM -j+Yd4zYGD/q9p9H3qUqSzjI2M4Z71KnP0PM5PyTU03/aGPJuD9Kj51PxQ+jj -+TvGwDRLZYV+T6XL1k2vQWPY+ZE+F72eQfo4QU86EzjhPTWCPo/45gmfFEUT -0MopEkKfxyT8vku3rwl4HZMJQo+ncIiRRvOaCXRM36xFf8ew1961r80E/OjH -VrjweZbydstYMgHxTnIN9HfmftNThwmZApX8WhJ6O3useM0ibU1hXPNtB/o6 -A3VnZEqSTeHRfORe9HUC68hrFutNIUJ30xY9nTccZ18ETpoCrZpLPvo5w5OD -/nRsZuDcnz2KXk6Q/nReh4EZEFnLhNDLUbuebl0bZQZ0q1eOoo9DdvJ25pMK -M4AzDvfQwwnI32/5Z8gM5suXF9C/kaB6dN54lznsighVQv+GfiBavV3dHOIn -n8XQbueuMCXvwBBzUFqbe4rejafbpzXZYnPgr1mkQu+mw8Vok6/XHMQZPhii -b5N3V06Wg8IC1OjSL6JnE6XNfF2B0wL0ynm60a8x3l9f7SNnAVIzF5jRr/nz -fc/JMjMLmOrusUOvJpKDY2Ld1wIcHX/noU9D9U/Hlme8BYQk/RhGj2Z+N/vT -4TwLYCa08KBH8zRlUzigzgKOPAvwRH9GpCv0CF2nBdR8+FWK3sx+jaDPDyct -ID7N4vu/3kzntGjcDkswme2PwH3R/34/v72iX41+/v/yN0WfS/j/Nf/LGR9E -01z+v+vJo6li6NXY044z2XJYQuVgfiD6NE377K6BnCUMnUitQo/mzT3V+yrm -lrBRY/4L/ZnnipkBRv6WsJoxJ43+zJGb1vePJljCIyb/4+jNrAbGXym4YQnC -ck116Ms4vWahmHiwnVe+L6InMx++jxx6LKHYdU4ePZnHz0+mV8xYQpx3VwT6 -MVJ3NG6J0FhB6a7UBvRiOGtD9Rt5rWDdlnMFvZgusp0hzqpW4KeZqoRezB6r -3VxMdlbQ86YrCn0Y3s0Y88FQK+BamWtED6Z90Zn8/gUrONI2tYL+i82zKtni -21awKNOshP7LP2kRY0WtVmCoFRCF3osAXRNj3bAVsMz/akDf5Wt2fF3/shWA -huVy57976853jMwEKDt8XgE9Fxf5MqLDYQLIPb55Ev0W4jKL330jAnT8PH8f -vRZVQb4Rfh8CmLZYLbzczmbMPS9K4glwQ+LPEfRa6uoPsyveIECBalAo+ixm -13QGhxsIIP/jyV30WNoG2Smv9hJAW+nnLPor2dCc7f6LAOVCi+Lor+zkUrio -xmANRrUf/NFbIfhlfT8kYg00I5duo68SUzFaKqljDU/L+cfRUzlLf+iZlrs1 -OO7L4ENPxcggXt3/tDVUc/S7oZ/S0Dy3vzjHGspal/PRSyl3TdafrbMGbto/ -AzW4r2207jbqtoaFH20s6KXULwRUP5y1BrrgcAL6KG3vB8ZV6W1ANX3tEnoo -ljU1gd1CNnDUxPkN+ie5yTQ6kdo2EFF2lRb9E5rRaX9p9+37iyt00DuRnzn2 -af20DeQp5Zy59e/zVJE7mGsDwcFuTeiZeLVWFbyut4GrGmTL6Jd0j2fMvHlv -Az/vRsugXzIV4B87+ssGrJs6g9EruRdnTKDdbQul3uvl6JMkzZj5a4rbQtMd -inH0SaSZz7dcMLCFiPQxbvRJZv6wWk5728K9XQWO6JH48O/mdkywBR1RmWz0 -R972Jgt9KrSFXaOFXeiNDPVe9w5psYUJ2e906I18TPMdZPlkC3cE6HTQFyld -pkzoWrEFuXsUseiJtD+85JLHageuHz8+QD8kjFbAL0LODnbkJc2jF5JY+7nQ -h2AHS38ZxNELKRAZpvILtQPW+SAv9EGEj6lln7pkB2pJZTeS8XxFuUyLSHYA -dU196IGIZd0UH+iwg+WYCkb0QG6mfJbkn7YD9a+hBuh/JJ3ctI6jtYfvX5ji -0fuoDObOnxWyh3dRFxvR9xhi8yQP1rWHjsrRefQ8vjX8SCLzsod7UYxi6Hnc -kvgkUHrWHmy/sBDR7+CZtxt2KrKHK1/+5qLXEcaWQBJ4Yg9q0TXd6HPMEM5e -2fpsD6IkPTr0OVjmoi/ObNiDRFSdRii+b95l5v7gdACuz2sR6HEsRf+6v67i -AF1DnHfR35Dd+egLt5MDsIaxTqC3YajMzmYT7QCvCn9wordxwlneMT/XAep9 -cwnoawR6KJYuNjjA/TaeNPQ0/HsN/7r3O0BOc/wT9DOkP2WZff7rAEdMmpbQ -y+BR0SkPYnUEm7B3h9DLUDRPoGJUcIT3h1uI6GMIM8V6tNo6QlxiUg56GLYH -PB6lnHQE0RChTifcr2c77HW76gglvwsp0MP4Y53tYVDvCI93Liqif6H4WKtC -u88RTB7xBaN3ETdwecpiyRE4doj9g75FtM7dgyEsTrDxib4fPYth1U6dInkn -eGz2ggE9C8/rXC5jtk4gY+ugiX7F195uL6UIJxD+03YCvQrz1QOOhdecIEBw -dwX6FO8bRFUONDjB6xnJz+hRdDrIUpf0OwGLoSgTehQzrUGNGitOwK68oau3 -ncVP0hPmDjjDrccVUehPzGRA911lZ0gakCahN7HxTuvIWSdnSEzJ/IK+REWa -UbjPaWcIbX+zD32J1pqL2c43nIG14IseehJVA9pZXi3OoEXbGYV+xIlDpcGx -I87QQpldiV5ET/g0XwWZC9hmyY2gD6F8wPTuJK8LfKi/uxd9iFlOGiYFbReg -CqTQQQ+CgodgmOPlAvdqpU6i/3DKLZCwM9kFii4qlEltZ/376ZKXb7tA8Qrr -IHoPjjlL/ULtLhD9t3sneg8NnKMWXdMusJDsrYa+Q/yf81mpDK7Qf7snGD0H -yqNyxTaSrvDT4UAh+g0vgzkjZSxcYTNfqRu9hvyUM8z8Ya7wLkSGHL2GO0NF -JwSyXEH8A7UM+gxnJZ/mKtS7Ql97rSd6DMKj3HHO/a5wzUw5C/0F3t9b/FdW -XUHOM+85egvnN/5JHuJ0g5M0vQvs25lPzbxUDtyAR2VSAL0F+3O6sUUebvB3 -4701+gpO1h2M3Ilu8NQ8LxE9BYk6QfuqW26gKaVch37CXfs8G/N2N1AvqP2G -XkLt5WPU5DNuEJ1PvQ+9hCSBk7KeNO7QICyjhT6CEXWlWiebOzRrKIWihyB6 -duOglpg7GE+yFaJ/0LSW3tui4g6CHD2d6B08FExy1zF1h12fvDbQN6Amp3ra -4+oOz0W7xam2c/WozKJ/yPZ1SlZH9A1ovRXW6c66Q1mA/Dn0DK6ekvtQc8Ud -7FwO16NfIOtlHedV6g4DQzvG0CugJz1c4XrgDnPjpL3oFdyUuaT99ZU7OJyS -AfQJ+gVWnKoG3aHvemYgegS7N7i1EmbcQUi/Ixf9Abpz4ovum+5AEzPctvDv -3kk7XH+PByiotf9BX2ArLKNFns8DwlPSedAXEFlWfS8p6wExThKmc7hniz5W -fVjPAxgbS6PQE3hCsLJXsveA8YK/pegHMEkwvjQO8IDHjPw96AVEbXWt+5z2 -AFtakU30AWr2PV5OS/cAv1RqMfQBVMhpHj4o9IB7mS026AH41PbAbI0HTPNb -xOP+38PNNFX8hQd0qT6qxL2/UnpxdthHD+AY3vqI+/4Z1k3P1ikPSKHkosB9 -f7DAhT/s6x7QWbNf8t89v3iU9undRCifnLDHPX/z4rzVBA8RuoszEnC/fzOP -n9dBhgib3/ZX4V4/9awKqVeXCFMVx/txnz/o7btkb08E478V5LjPP2j6YW08 -gAgDrx4dwj0+V0Zt06kYIphy37LF/f3PQSlF9stEcF71P4N7+3n+00FPi4nQ -7EBTgfv6sfvPnULvE0FILfY97ulnxJTJxV4Rwaykcx338wVBDO4/BonwPX1Z -EPfzuxqPht+fJcKd9TUz3M+/zTmnfo7M8//6LPXUlWbPfZ7w/wBVhupK - "], {{{}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, \ -{}, {}, {}, {}, {}, {}, {}, {}, - {RGBColor[1, 0, 0], Opacity[0.2], EdgeForm[None], - GraphicsGroupBox[PolygonBox[CompressedData[" + GraphicsBox[{{}, + InterpretationBox[{ + TagBox[GraphicsComplexBox[CompressedData[" +1:eJzs3Pc71/3bB3ASIpIZCS3ZMkLmSfbMyE62SPbee+9NRklCRkJKkqSMimhJ +aSmyhUIK9/k+7uO+75/u/+DbL9fxuD4+n8/rfb7O83keXUdXh+w8DB13kJCQ +kNOQkBD//O9f650k/8+vwfkjOU8vrv7v62f5+lkeomPqfzKftH6p8D/Wm1hv +k0Ib3DSn7kTP6bVfURV7q6AqMbPZgW6hmtM9ZvNeQaY9eOk+eopWictr6pPC +caXdE+1ozYH+fdYTXxWO9Ba/u4fmso3hN4r5rsCqK/i8DZ26UpTxVfeHAu2r ++5130YkJDRdGVGYUSM11m++g74QayuxwnldY/fTxeiv6jVTObIHlT4UZB/dL +t9Eay2HS+9iWFT7PbKW1oF+9+6ftNLei8NozI6oZbZnxNefim98Kfatcfk3o +khH9evrXawodoY3Ot9DBPWbW8lN/FJp2KJ1tRIeqJBaP0P9TuJ44fPomOul1 +Qlif/pZC8R475Qb07kFz7lBDEsjMXZasR68YfbjLk0AKsftj+OvQ7i2MqpY9 +OyDoCiNnLZq0iYF/996d4HbsGv0N9LNd/z4IOJCDXd0J8ho0r8/I+K1HFGAq +9mS9Cn1eyfZ9lsAu0L5rPHcdbRH9wethGRUoKkx+rkTfuPfZVezAbpB47P/q +GvoTr2jZWAUN8GlR9lagd+RmLDVL7AHOoYJ7V9HkFcm29cN0wGDC21BO1OMj +/9an9r1AOXa3/ApaRUTPaz6HHv7ZauZdRnNm1lb882SAnz9GE8vQkkFDUpRG +jDDhdiG0FC2YpTZKKcME71c2PErQAe/C5f5wM8NgUIp9MbqDrYPzLQsLdG+z +m15C0+STqRXR7IM7cXVaRcTnz29FylOyQt1ueYVC9FvF5esdFGxQnjUgWoA+ +kFNRwLR7P+TtO8edj1ay0pCVZ2KH5NIF1jw0n413tNjhAxBxJIImF+1W989x +8QQH+NTQkeSgK7+uT7rrcILz8SsrWWieayV76py5wOq2yI9MtPi1fWtDKgdB +Z/5dQJfLaue/mqWXunYH4T/z8p95+c+8/P/zYiDb9T4DXTEa8qJy5CCodRkM +pqPPSDEfennxEMioj3eloZvWXSONdh6G4wPet1OJ+0+bj+MpOwxHjchqUoj5 +Wfg2oC1zBFhHc0qS0df2uK13vDsCtNZHM5PQu+y9a0KCjsKOiZaYRPS9iCuJ +0Qe4YfWCakACmn74nNJQFzfM/nxzIR494NIbbedyDD77O52LQ7+eKtuWZOSB +1/9WDWLRjX467tqdPNAfnaAag054NZxY6sYLHbtYpaOJ+0ruphHg5IOm9GrB +KLQRt1L70hAfVDFJH4xEP0ycN1uM44fiS/2MEeg4ix/1h+QFIPOgBWU4+lZU +V1z8qgDEXp/ZCEWvtoXcZG8ShCDBkIUQ4vyyIZufPITAvWn3eDDRT4ExqoPH +hcHuZMmbIPS5mQabH0vCYPpAsD8QrfvnvABv63HQUem4H0DM13rjKXUFEVB8 +qtvoj14m7+dNvSoCEvqfKvzQBhpM7V8pRYH/rXuBL5EnJKoLau6iwHl2O9kH +rSxy7e69N6LAOJ4R7o0OUtzDIKcgBpTOB7290H53F1f6q8Tg33yjoyeRN+tK +5vYM4rDko2TugZbZTFCgDBeHiT/DOu7E/Io8K2+ZEYf3EXaKbkS+yRdGXzA7 +AS/IV8QvolsX/n7l6T0B3SkxPK7oMqbIR7OSEnCXnon9ArrGn5f3dpUE1BVc +2+OCru/zYI1hk4RyDokdzkR/3lC5dCZVEvIrnvx2Qhc1ULTykkhBMp/JtCO6 +wCXGb8tXCiJuTo45EP2VuPLt9YwU+EoEDNmjl+bWaGttT4JzO+VjOzSM5JNG +jp4EK6XCO7bon3ukBw0NpcGgl7fWBu3IVhV7+Lk0qOm2lVmjKf0opBbUZED2 +lWb2OTTZ2Nv1lkcycNz8fZwV+kj64ic/kIWjny8EnUUXloduH++QBTbHvxct +0dUW/7zGZeWAdjbFxgItkix3OrVdDnZ4HThjjn63bHpLUE4e1lbr1M2I+rU0 +Nz/qkIfZUHlZU3Tvw3/euooK8GXHoLAJ+u5pbtrn3QrwOvHcYWNiP9jblctr +APTvWWQ+g/6qxadfPgDwIDeCygg9WJDP8ZdPEZr27900QAsZNTwqdVOEqitX +fuqjFyo8+O7eUoSSY6LfT6NDqpK+a64qQmZd14geWr9MNcFQVgnixAyf6aK3 +H8ZVDkcqQdDd8Qc66P2sj1/19CiBu4JPkzb6lwl9lSjtKbB/THZdi8jHNsbb +9GdOgalWbpEmOv0bea5T8SnQGTqapoE27bn9/vi3U6BkcjtSHc0QG3PQTUAZ +JMZUfdXQj8xtNjl8lYHf7u15VWKfJg9QnepQBs4pJ0sVol91Pnx6R6ECjO5r +espom3YelnF9Fdj1K+HUKXR//0dNq2IV+BfEKqlEzKdUC5PWpAosbVfzKRL9 +mDxHXy2qCpNx0hyAPr3L8KN/mCq83/10rwLRP80nGVv7VeFFlsVOeXSXbqi+ +I4sadO+bXZMlzmscIRZrrwZ3S0NmZYh9S8NvynBLDeqP0HyWRr+Mmj5Lt60G +5TUlL08S95PbMB6sqw75x4V6pIh+IJ9POFOiDim3O9ok0ZHhl+aKZ9UhQlav +XgL9u1rolr6sBvh2fbpyAq0gW+rvnaIBLuoeueLo2T3Wn0jGNMBqYDtBDL0m +eT75r6AmGBplhogS+5SVh8MuXBPURg96iBDvj0uVPjGkCbLWt+yOo1lHSWK8 +DmuByISSiTAxb6zOpWx+WnDU9aWmEFFf+oNCgn1awLZkJy9IvD9i+201uzbs +CVgREUA/EDZWzPDQhh2bMUf50V+4f+z53K0Na9FMrHzojOdLUwWsOjC7q3I3 +LzEP+3j82tx04Eu6xPYx9Ofb9RbK3TrwhqlnmRudrHBQSYJNF/ovmUweRWvb +pQ6le+jCg4M/Ro+g8zi8b53u0YXm6wEDh9F0849SAzj0oEpwV9ch4jxkX3dT ++OlBSVNhy0FiXmPK3m0814PMk3zVXGjbFrlSY+7TEPegrZiT6GcV150s4ach +WEUrgwM9H63eJjtyGtyfvo8+QNzvYL24Pas+2Ou7+rMT+bOo99FCVR/M3v51 +2Y8e2rpzM9ZbH3TOplqxof/ynI76clkflMYPGLASeZ92k9d9QB8knetV9hH9 +N1cdKvRXH/gX5E+yoLcAznDyGQCX76AAMzrW6Ua8oqkBMG6c42Ii+q/PeDYn +zgB2RS4yMKIvk3wxZ20xgE3ySAoGYp9H7L8xMG4ASyl7N/aiX0hStTTTG8Ik +ffk8HbpYefz0U0VD+FAg+nUPWimP1oTe0xBecDx6TYvuLDp6OfGyITyuMOyj +Ic47tUQm8sIQ7vJ9a99N5OuipxXltiHU3/S5SY0+VTYQu+e4EVyV2FlBRfw8 +k4ORqrUR5Lfn5u8iXjd4eLU2wwhSlLiTKYl5+lyqo/TQCCJ7b4dREHmZ8IOf +YskIfHXVvMiJ/b3Vum/j0BlwefXWYSc6sODM/AGjM2Blft6MDG2/KhnvHnsG +DD+vae8g6t25o2Ph9hlQd0wEUvRV3eSLpT/OgOwsqzgJWtpuwCOYzRhEvGqO +bbuudt4cWy+P0zYG7jXp/VvoBjenTw/CjIEt7CntJnpdp4TkWKMx7CGzJP2H +DlnU+X5v3BjIkmZ/baAZHpg4hjGbwNqe0Kk/6LfPu63dNExgLpdmbB3NmNN/ +MznEBL7sL32xhh6s6BN902ACb64Ida+ip510HumMm8DTYw9af6NfvaRWWGI2 +hQd1ejd+oT8c+x71SNMUmsU+l66gd1wfv/AwzBSq73pkLaN9DWXeztwyhRIF +krgldFiNRrnSpClkPc4M/Ilmt8mtebzfDOK0Dl1cRNMfHHjuc9oMgoduWS+g +s1WfTenFmoGHySmjebRracYH4zYzsB97qTaHvndEOSZxwQzM7OxlZtEi3ZIP +Jo+Yg+7UitAMcV6mbxc8zc1ByT320DRa9eDUee4Mc5D8xcQ8hS44QJtL/sQc ++IMrd/1AZ4kqDu79aw5cJJL/JtCJ0vm/1UQtgCm+Z/E7+nqM1nTVeQvYRWP6 +7Rv6Yf6DRPEyC9jM+vF2HF34K6h5+rUFLO8LfPoVfeMjn+bT3ZYwWbrrwRfi +/MzlfG9OWcKHI0W3PhP1qho5QR1sCUM1fJWf0BE3ZrRcblnC4+P3Cj+iI6kP +6CxPWULbba3UMbSp1kvWyoNnoV72Q8QHdJFOYVaU2Vm42uXq8x4ttJ+jICHz +LBSo/3MaRXfViFPf6TsLKQOpFu/QHVUvn9GRWkGkEYfeCLpEgakjS9oK/Ebr +ld6i2z9LdMp5W4GLtYLEG3QeTWHz3lorODcxyPsa7XTwQQjtdyswdLU+8Aqt +vl/vjxjHOVBfWqR7ia49v3dPlMk5kAuIJBtGq9xcLF7LOAcim3vXXqCtS9Zj +8/vPAXdM+cwgUa8b5rVWZNawn0rs0wCadNluSUfeGvZkPBp+jq5ZiZN2CLAG +MmajJ8/QyU7GThW3rGHt0re7T9FjO+MsqOesYe6gb10/erLON1SH0Qa+Xt95 +pQ/d1yubXSViA28E83J60Stlh21Y9GzgaRN3Qg864MDjkUJXG+g82Rr8BH1c +5NmMcJINND9Qc3+MzrmznPzuug1Uq4zYdhPz50h+I++xDZQ+PW/8CK1V+1Ha +adwGsvTXNbrQOpcdBbVIbCH+baLcQ/SJH/k+Spy2EHyWTaST6MfLwaQ6crbg +MV5z5AFxX2p8fS4WtuDgLLOvAx115WnbpUBbMFt4Sn0fHV+V1/cp3xZ0fS23 +7qEHPIYmJW7bwqmN2aU2tD7jEMWVV7YgGRk6cRctXLy+n2PZFgQoaEfvoDOn +7zPX77UDrtTS563o5oqy6dPH7YCJQfjhbTTfAeEkcj07oCp80NyClpCn/jFw +0Q42OU5XNaONfb78rk6xg+WKz5ea0BsFF6vzb9jBDz7P9Ftou7HApfx+O/hw +kyS6kZinlo/dNVN2MCSR5XcTffVRFMMLSnt43H7IpQE90mU+RMFjD21KTWfr +0Qbezj/11eyhofeUfh06LfaBY72jPVzVfaVci5aKCz5yIM4eCl7ZS91A0/X3 +HSi9Zg+p5r/4a9D/zL6piDy2h8jPsZzV6FOf6DPefLMHP0dmhir0+/SahVQy +B7gwW0l+Hf2bjdbU5IgDnPOS/HMNXZpb1y6q7ABGaz1zFehQJ/K9XPYOoB5m ++uUqukfyqjpnjAPIkU29KkdrjO43OV7hAKJJgb1X0PmyTMcNux2Am46q/TJR +D0PFnvhvDrA/r6ihDD1HcY5ugMwR6Nj5r5aiLx+V3jp61BHIyu/llaCfqN2I +T1dxhPVj2knFaIee/BIqR0eYq/sQegktW/1TPD/OEb6KXfQsQr9LblU8cd0R +3t79Z19I9H/uSuu3Hkd4qpBmWoA2su9MvvbDETofc2jno8XW5Rv9dzlBi1aD +Qh56rfoyuwWfE1QPKYjlEvNIe6hLT8sJSk1ecOcQ+bhAnXfG1QmyxqzZson6 +nGhKcU11gni7nzRZ6KR3AUU59U4QMhVJkknk81Bf0/NBJ/Bwp/+VTtwHCedz +lp9O4PCr/EcaWl7g36gX/XkwDxb7kIpO+Lgy9FHsPOiSdA+moIPsyistzpyH +U/FGj5KJejXW6Uz5nQcpmu+3k4h9Zdl/L77gPAhk+9Ykog2jW79LtJ2Hg6zk +pQnozk6Fzt/vzwNTWV5mPHqT/ST0/DsPVEePxcYR9/c3xryK0xm2aloDYtGH +6OnIihSdYfm4umsM+pf2sEixnTP8uD1yLprIr6cDI/WxzjAm62wYReTzM4b5 +oevOMNS1rhqJ/napzXFnvzM8UU+SjkDbJE1Kasw6Q9sAm1A4MZ8jfcYltC7Q +YHTjYBh66salOyQiLlAxKsMUSszbvysGfoYuUGD9jDIEfThFgG3D1wVSJyz/ +BqH/DOaSZRS4QJTr3EIg8f1TShQn7rmA31LoeADaJesqy/SYC1wIoH3rj95i +EOVv2HaBc5ul/X7oPeLekjGHL4BRjHCHL9GPvL9FnFUvgAZVZ6MPWjfViM7K ++QLIZZy+5o1+qSPaa5tyAUSZvxR4oX+Uyen5N1yAY8WeKZ7E8/wUunRp+ALs +P0Qa4YEOGeu9MvjrAtBVZXm7owX2j5gysLrCTqHDTm7oJW+x246yrrDe1GR+ +kdj/zfca+s65wvxJZV1X9O0yCxnZaFf4+uCV4gWi/l8o9DoqXeGtisMJF2K/ +7njwWa/fFZ49/cXjjI62TJxYnHOFTv049vPE6+SBxlf2XoSWt8x0TuihoWpu +mxMXoebs9R2O6DoJRZ3jZhehdFxy1Z6YH023TtrQi5Dt3Dtth9ZudPb7e/ki +xC+YfrRFk+f4Oqx3X4QQ36khGyLvM8eid05dBM+NwMfWRP81/e7honEDh0iq +u+fQknacPFoibmBOcanWCh1u/qAo5owb6KXyXz5LzGeRGvPzQDc4xdCebUnU +95xo5pFSN5Aq1I63IPY5ww7S5C43EOAcCzJHVyupntuecIOD1y66maF3Z2aW +xVC7AzP/po0p+n5d9F2W4+5A1Zh2xoTIR7Xuq3eN3GFLglPDmNiHJGRnXALd +YaW9QfYMcX6nv494S93hhxIcN0KvfnWfXO1yh7HeF4cN0SINvLdfTbrDsK4N +iwGxDwb+cXfu9oAnr35S6RPztNV7vE3EA+6ZR23qoc0aPfq7jD2g4TP9ki5a +ee3r9LtgD6hwvPpdB80Sw5y4ddkDCmfF3mmj7XOoisWeeECqV/czLaL/556w ++c14QNSaUacm2uN8/eY4pSf4h31v0kBz+3oWZ+/3hAtkftfV0Xeu37fVFPIE +6yTyS2rE/mg9pkOp6AlGdPlpqujYGHWT54aeoJF3LEqFyAu6+yGFjp4gz37H +VxktHsp91zXQE0TL1Z1PoU1I+SjVUjzhGM87SyX05KyHA0+ZJ7DXO59WRP/M +r3pGd8sT6MT/nAJivzlFndzu9oSdbUmSCuidT5qu/37rCesK+/nlifqz/aZf +mfaE+cc3OOTQ13rJ/df+ecK4liy9LDGvvNcHd+z1grdDz3bKoHlji/cxH/GC +ZyZn108S90V/U0dY0gsejs3NSqHb5upd9DS9oMUu7LOkK/Hfl1wu+J31gpop +2lcSxOuOt7UqPLygzL2s5wTaMc+V4l20F2T/Er4njuYRci5izPeChODOejE0 +WXzKtnGNF4SQ6JeLEvuvu+3E5fte4Bn/JVcE/XTo/YnFF17gSOOVeBxdYvb+ +r+o3LzDPJg0VRp98XBVXseoFeqzZHkJoVgnJQUpqb1AuO2wviB6ODB325vAG +qaPNJgLoPEG/lO8i3iB4Q1mLH/3ImXP9rIo3HBR5Lc+HDqYJZhwz9QbmVgdR +XrRcVtqoras3UMv9PspD1HOPndpCuDdsdcWxHkMXS+w0icr2hhV1Fhpu9LJO +7E72694wNXB9+whaQnBVt73NG8aMpFYOE/Mp5SVsN+ANw6O9k4eIfD679yrd +V294Ym32/iDxvDTL17p/ecO9iakBLrSw9Enx8F0+cNM1qIsT3XV6lyYc8IGK +JarbHGhOyZRxChEfKAy4VH0A3aI6//e1sg+kbfKXsBP7Qf1cVo2pD0TFtGfs +R4960l+OdfUBfyqdGDZiXq3kDzlF+IBrxpg/K9p7zwEmvRwfsGZ2u7CPqM/4 +jI9clQ+cKd60YiHuL39GTrTdBzQOpRswE58na+0q+MIH5Ks4VZmI/pbJ/Cv0 +zQfEhG6eZESf1+qblljzgWPNIMhA7AM3YzGV3b7ALj3ERY/WWUwcNuPyhb2d +Nox7ic+zvtPtI+4LO1WXKOjQgRknyfPUfeHP06gNWrRryvns+5a+MK/PsEBD +5M+lq+dnPHxh/O3Vr7vRtFLaUZyxvjByVvwNNZG3dD0fzAp94dl4dx8VkV/b +wT5Fdb7w0PnM/V3E/dC8Ufny0BduL3y/SUnU1/aUjtAbX6jx9augIO739In4 +yGlfKNsgLyBHR6lwLY5u+kJ2ZH7yTjT1s+AYaQY/SKDgCSdD74vvgsvH/CA0 +9Y7XDrS6kNfB3bJ+4Mmg4UhK7Jck6sNhp/3AsfCdGQn6hBe90m97P7DgdNHZ +vrDaafWEJtgn0A/0rv2BLXS6W3z/WqofKPMni2+ie87sFowu9wOpxv08/9CL +jgJlDK1+IChZu/8vOjIx6EDNUz84dF92zwZ6f2lKhepnP2A+9Zz0D5oveOP4 +1IofUPed/b2GzpqyfZC5yx+2deenVtHmV2y0gcMfVl6Fjf1G26oWvloR9Ycp +8z1Dv9DMDvVGDWr+8PFzWfcKWthdvc/d0h+GHY/fWUZ739krLOHpDz2znTeW +0AHerVGkcf5wz0u/7Cfap36q81WRP9xc+5K1iCY/bTFR2+AP18K84hbQ9Ixd +C0nd/lBItiNoHp2Z8Oad2zt/SEvKvjiH9qUyumI67w/RdEdsZtEJXWtK6jsC +wD+v2WgGbVgee09uXwC4squoT6MpbTooTgoGgHX5a5kptGeA8xFppQA4w+Mo +/AM9efA0raJJAGjW/z40iVYxlO/ScQ0AefF45gn01D1SOevIABBrY6H6jm56 +6BYckBcAPFD1bxxNFWYclHcjANifSP38SnxeW4ZEW2cA7NXu+/YF/Xnhd+34 +6wDYOWw28hkto+AwSj8TAH9Mpp9+QlN4t91T2w6AhbGgBx/Ron/e6kQxBcK4 +HXXTGLr2+5XULr5AGJm6VPkBHf19058SAuG5u0DRe6JeqiO7jM4EwsNf7amj +6KNzrHDNJRBuB+tEviPu2+QWy9/wQLhB8tFnBO2Uk5VqmhsIZfFu598Sr6vW +l7bVBEIOzZbFG+J5ijfUDnUGQkJ2ut5r9BF977j014EQysp16hXarJrOhHQm +ELzKbkq8RJe4Dd0O2g4Ex6OKfMNoqfmW8jWmILC4MXRgiOi/350sIfxBcFrE +du8L9PHhVfqdikGg3LpENoiu07DNzDYOgpNy0WvP0V7FVBnHXINA8BHD7DO0 +TcQ/6oeRQXBIo+LTU3Q3hyapdX4QsAyKv+wn3r+X1IOsLgiozzx+0oe2szlp +UN8VBNujZ9p6iXmJJK88OxIEv6wn6nrQz/SiztPPB8HUhN+VJ+ivtL15z3YE +w0dXitzHRH84/hJMYQ2G4aX8hG6in87x8esLB0NPAE/II/Rpm8Tk/SrB0L55 +x70Lffm4pPaMeTDcjNGwe4h2mzF36fQIhmtUo8adxHkoOMaL4oKhKMNF8wEx +L3q1TUHFwZDGvCHXgWb9LPbu3K1giC5OFrlPzPux76c1e4Mh4BD70XZ05+cl +FumPweBaVbvvHlE/+ix+4ZVgsBGS292GNqH+k8BLFQJnmp9v3UEr2NoL8nKF +gKa01XIrcb8/dzMLSYSAQuf8xG2iv16JgJR2CIipho+2oNcdGarVbEOA59me +gWbi+ZRWdCwDQuCAweWHTeiZk6wCfmkhsHfkeMstNK15H+RWhAC51cOqRrTW +d53Eu20h8Gdcv/gmWsxgiXT8RQgsOH9Nb0Dv0yC7sXcyBL4teEXXo/uuvAxT ++RcCI747/OvQxja3gsMYQuH5RrZLLfpV60ppO28odEUesbqBPra5+H1LIRRu +U7To16CfNm3pqBuHwo1UFZVq9PWQ4Fe5rqFQxvBGqgo9wvHQ70dUKOQUOgpc +RydFCItCYSgkcq5yVqIHrx0jK2kIhdBr8QzXiDyp3z29+TgUvPj3UVQQz/vy +1BeHD6Hg1Fj1p5w4v5P45NBSKFhInpy/glYaUFtX2hUGp+/3fbmMXo2aYrzL +GQYqp8xflxF5vCdeUlwiDE72TfeWov/RZlg1a4eBkF5wewnx89x+8SftwuDQ +a+qbxUT/7qpreBQYBiwWxVcvod951rwyyAiD3V8E8ovQLAcnlicqw2Db8X5S +IfrD2DuqiPth8GtWJ6wA7e9JwsLxKgymvT565hN5WjnK9HA6DD6uuTnkEftA ++TuZM0k4vAzbMs1F36G/+oVpXzj0kGVo56DPDuVX9wiFQ3sSF2QTeSmtYR6m +Eg6NdI1iWWiNf/I/T1qGw7U8xWOZ6JudpK5/vMKhiH2YLQP9nZ2r90FiOKSX +29KmE/l46ciOpMvhEM2zTJKG5r5dxmbWGg4B9dG/UtClw4d2Cw6Eg6s441Qy +elZJ8fXO7+Fg01bxIQntoZThPb4RDsZw4kUiWnKl8ttj+gjQfPL4UQL6oA0H +dx1vBChoG7fGo7d9M08UQgSID0/UxKF7SUrokkwigMfUvzQW3dD0qTncLQIO +fKTIiiHyhPUPZ1BsBNDbF8RGE/NRkqYXWBwB5NM8gVGEYxQVQ5siYMP9rmsk +Om72zlJsfwQs/NKwjiDy7mmxTfaXCPgWPGoYjlaezUu+thYB70guqIWhN39X +t1pRRsLz+A3pUHRhmULMLEMkdNGkCIWg72d8qwnhjITWbPZDwUS/6Xvy7eGP +hBusdUxBxDyefkBSIREJl8vkdgWiT36pEpZRioScowN//dFF0ruaXulEQuIN +q0U/9EBAe5KHWSSEiSyM+6Ldi8paaB0iwas1/K0P8TwRGaINHpHgJEf31Bv9 +bebitn5IJFg+utzhRTichWM1PhJOa4jc8iTOf/9iUml2JKgMPrzmgX5AbqCs +XhYJJ88YFLqjLxVUaq7URILQ+68pbkR/pusWl9+OhMM23hEX0X++CEoZdkUC +y+QOH1d0uD3vAfKBSNh9McfpAjqmm1vz3rtIIFk+YuFC9GPi7g6v75HwK6BF +15mYX8Yuf4GfkTC9qaJ0Hv22VNjvx99I+BTz5oQTmkNK/k4lZRS8pHLidUS3 +9byVdWKMgt6MVXYHdD7VJCkfVxS0MyfQ2aPvZpnsWuCPgsbifWR2RH89YdK7 +LRkFlYeqV22I+/lE+zL8VBQUVZ2csUa/nD6eo6UXBelC/R/PEfn7xCWT1SIK +YprNh63Qcodr+6YcoyBAeubxWfTViO8y7V5RcLEz+K4lMT/ClJMZYVFgo7q7 +zgIdrEPe75QUBcbPii+bo1X6hschLwq0DARzzNCCZ23E2MujQGHkfrwp+l5G +Zet6XRSIW+kGm6CDWvPd392NAt5vH92M0TKLfOb3HkfBARd32zNEPx8x8Ssb +igL6xa0zRmhOCsZHsWNRQO6XoWGIfsJoruA2FQUbG1xyBmjqrEPTpr+iYDGy +8bg+WifZqUuFJBq+USgdOY2eeHm4R5wmGt6lDrPoEfXaabh6lDUaBhjsqHXR +DOE/9VmPRkNX4fKmNnrY/u9rWpFoaOWMWdJCj5Z6RpHLRUPtNcYJTWLfjugZ +b6tHw2X+a+800HSReaf/GkVDbuOJ5+pEvveqePyxjoZEySedakQ+llk1/3GN +hrD7xs2qxLzf+7r/X0A0eJ+avK6C1k95dY0kNhqc+vwvKaNzVCT1KTOjwVKP +Mv0UuvYt7YG9JdGg/7ogSglt//McJXt1NKhY8Popoic3hel5W6JB+stdZyD2 +L2/sSamH0SDkpHlWAT1t5Biq8TwaDs+NnpZHNx96/8HyXTTs876gLIfOnJsx +9voeDbvXNyRl0bf7r0wn/owGkvAUfhliHhPI86/+i4bfZAc4pYn93HDE4sGu +GJhOqqM/ScxXKIXEGFMMfKKTJ5dC/51p4/53MAZe5g2sS6C9r2sJcArFQC/7 +ubkTRH0Ze1ROScfA/fKFz+JoIV0ZL2fVGGjkiXglhq461Hoz0yAGKuvpekWJ +/cikuX3PKgYuiV+5J4L+aUVm/cMlBtLbRBqOo8t//B5g9o+BGOgqFya+X09M +Wy06BgKfGOQJoZm+PX0TmB4DF7XHEwXRVtdeXqy/FAO2w96hAsT9qVjRfb8e +A8amZJ78xH49EnH/QHMMaH3Msecj8s1I18e0MwbA/qgpLzo396NY7rMYEJ9u +0eIhPu+M2sbLkRjg9VBVOIYWu1nWx/g9Bjh+vxHlRtu6b5WY/IwB+hAn7qPo +95Th/sX/YoCCdI31CJG/pZJnxnfFwkZ8As1hNPumkoQAcyws0rCSHEK3lN1j +9T8UC9+zq1e40GyV7ZuPhGLhHav0D07ifGM23+hlYmGgrP89BzGP7T19dmqx +8OioxeAB9No56vrbhrHQemOmix0tkq6ZQW0dC7UiIbf3E/3cetXd1jUWLrfu +rmEj9tn8Ca17AbGQK1dSwor+Ms91iDk2FpIeCWbuI/Y7a+yyV2YshGl0xLCg +XXyjO4ZKYsF7UDeAGa1YJxclWhML5898usBE5DvdG7nc27Fg+d79HCM6Ict9 +cb0rFvRttg0Y0Gp9goXWg7GgOpmhSk/s4zlxyb73sSB98aD0XsKj1X1iP2JB +eLlRkI6Y/9239C6vxMLhQKWDe9A+V7x7aUniYN/WMCMtkW/GTGLhNHFAE2tH +SUPMT1JH2k/WOCChXtmgJj5POn/UgTsOfmfELFChJXb0Mn0QjYMZZqbxXcT8 +cAYpGCnEwafia28o0VxOb40HtOLg1SGJfgoi//SpLDRN46C36sl9cvSpn3Ja +vfZxcF/IpHEn+vevEm51zzi41TxZQUb0Y43xbF9oHFRKBxTsIOZ/NeeSTlIc +XOqkTCEl7tMyTuxlXhxkqBaGk6BJf5s2mV+Ng5hnvN7bLqud40EybN8a4iDQ +oM1xCy0ifdbJvT0OLo5omm+iT7PPF/7tjQNbq/c6/9Bs5RyNya/jwOTbBcW/ +6HPfOGvZv8aBlstf8Q20e/bhpIb5OIDFFJ4/6KLfFprKG3Fwwu8A+zr67szG +zChFPPD+rduzhm6ZkHDzZowHjij5Hato2p/wguZgPDBQDv7+hbau1t1bIxgP +FGnnplfQ80q5x9Wl4+Evw+LYMlowVlPwh2o8LBZGDC2h1Z9WkCcZxsN3zr2P +f6Lrdry/J2gdD6PXrtxZRBc0HNF+6RoPA/yitQvosq93bwcFxsOjxq6yebSU +0ss/h+Pi4Y6kYfYcWm9nDctgVjzU3h+Pm0X3LPvvDimLhyunfIJm0AyO/iN8 +tfGQ20fmNo1uUx4Pen8nHpL0cm2m0LMUq0upj+Mh/PXRMz/Qe1rnFZSG48Hb +4rb6JFr7B7nN2sd4OP9FVXYCfbgz5MzNmXg46/RW+Dt6dTGV3WUtHvTnnA5/ +Q19rC2g5ujMBVL3XmMfRdNPBnON7E0B6PYHqK7p55I1ZOUcCCIezbn5Gy7v2 +2dnxJ8CRnTU/P6GZmHNkuaUSYF+y9PeP6D/D/uPTyglAs/fpyBg6g++2SaN+ +ApDmWzz7QLwulFkQaJUAv9lnH7xHXwjRunbqQgLMlIc0jaI7pHhC9wQkwGce +muvv0LsYLnCOxSTAq/qSohG09CnT5NrMBOgTF0p7S5w/UbwztDQB7rd1RL5B +Vwqp3D99IwFugZ7va7Qw6YvIo3cS4PqTT+dfoV0s9tP87U6AS9oeli/Rmvvc +rF4NJUDG8LbeMJq3ZI9v/ccEiDXNPDWEtn+ldjppJgECPx6UfEH0i+C5Bae1 +BHCzv8U3iP5VWqqvtjMRbKeVOAbQvlf0/HjoE8HE4+Xe5+gRsXZLas5E0P5t +t/MZOntEiGyRPxEgZGWtH/0wd+nCG6lEOEEaO9tHeMUgu0MlEfgSmD73ol+R +FAdWGSQCB23lyx70fS02jpxzicCQI9HzBG0xzhYR6ZoIFGw9bY/RuhYzpR6B +ifC3zKS+G53T8y7QJi4Rfh79ceURmue+OJ1RdiJ8vxGQS/z570p9LRv1y4kw +KrIr8SF6ku2iq3xdIgy2FoZ0oquEpo9LtCXCIzk+jwfE+w8x1Av3JMKdR212 +Hejnu06O871KhFoNLZP76CcBdYPHviTClcH3mu3o2oguL+75RMg74yp/j6hv +Y/9T7o1ESHr/V6QNXcLCOspDmQThNqlH76Lb5UlKBJiSwGfyAOsdtKzQWwbR +Q0lw/mL97la0t8uC3EnhJDi7LL/dgiZZKWVTkk0Cg8DB5WZ0gJpMtbZGEqhu +nZtsIvrNkm3K1DgJZGIXR2+h565nvXO0SwJh6siBRnQ+80agn0cSHMnc23UT +/Wzvjb740CRgZSlvaSDmO+RPT1FSEtCUiFbXo782Kfo05CcB6eFHxXVo1rR3 +zx9XJMFqlWFGLfqz+f5XY41JMCP0LfoGuvGrddxqRxJ8bvbxr0GnO5J9o3+W +BK+kd16oJupTZj0r/C4J+jpzrarQ+x68KNWdSIIOVW6D62jnizf/uC0nwa1n +t1Uqif7tt9jK2E6C6wZqJ68R9XYVrm2mSYbikbcCFejbNgnb79iSIcPqPNdV +Iq8Euje2jyVD7Lc1hnI0RQ+U8J5IhkCXRIor6Dtllt8MlZLBbZF1o4zIhyK/ +F+F6yWDnVzNfiv65d966zjIZTP5Kfy0h+nv4UO4H52TQjnr6uhh9ncPXlcY/ +GRQpLfsuEd9XqzKhEJMMJ9Jm24vQNpW/SHwyk4GPMfRmIXFf1RMd1aXJwFlE +U1GA/tYdxP7lRjIwcJXm56OPhDKysd1NBspKoeQ8tFkPc6vRk2T4y/8gLBd9 +ivr7UsbLZPjZqOeVg449NP5s4HMyTEh+dsgm8tXUV5l2PhlG73uYZaH3O2+b +6m0kw+ApEp1MtHnU1K4syhTo7suEDDSfUp7xG6YUuKN3SDyd2BetrvLsh1Og +7vWtY2lEf5572Wl3PAWuWJzan4qW4xMZq5VLgbwvL2lT0K995rJWNVMg2cme +NJk4z26PL6dMUyB8buVXItpS8GBvpkMK+HjHTiUQeR3lrPrFKwWc15nG4tE3 +D9+yEI1IgbPhlS/i0A6F9hSxqSlgsFOyOxZ9cGRa/V1RCqgm97TGEOdXauAU +rkoBmb2mN6KJ/XGdPTmuJQWO5/8ojULTq5QmfepKgSMHArMi0XmPyg5Iv0gB +1qu74iLQLCdTT+WNpQAtb1FgODrs2MuN5ekUIG3guxiGTgv5qGK4lgKr4ves +Q4n5z2Q/2LwzFWbbtIxC0EH8GynMDKnwGT6oBaN7A38kBHGlwusnrjJBRL1Z +DBk/C6ZCn/Y/oUB0HGWNkJpMKnQMpx4KIPb7fcu3Deqp0GTKweyP3qB9T8Nm +nArXP9bv8kO7Qe5QrF0qFNsr/PNBq25uHFz2SIXM6cFFbyKvrSI2bcJSIdbD ++psX+sSnbMfh5FQI+r341hMdcr7KTLkwFdxCIp96oHdqHn/bWpkKdqT0D9zR +UzKlHwWaU8E0ofyWG1rCysH96sNU0KYVq7yIrpFaT9g/mAqKOY8KXdH+bc/5 +cj+kggSbUeoFNKm0iRHddCrwXf4W4ULsHx+qnamrqcDJ7evjjHZNs5Sl3pkG +DLU7z59Hj+19tJ5EnwaUonkWTsQ+XWiS3c2VBv9aufUcie8Lu0aWLpgGP+Va +lRzQIsG0+gwyaTDxSE3Cnti3FI4HC9XT4L3GCK8dmrLmsC+XcRoMDp4/YIvu +13ugVW2XBt1n1uls0CkajRVinmlw930imTWRV9pe4Q/C0qDOhm3Nisgj7+D3 +2ilpUD5ZM3MWvSajeud9YRrkXZT5ZEnkU7w2k+v1NEhefjpsgV68RLn0rzkN +IgItn5ij/x78pZPZlQY+W7N3zYh9dTSVh/tFGjjHhtaZohWYtSPbx9LAipr2 +igmxfx/XmRjNpIFBZmmOMZGvjkI35tbSQI1FOOEM0Z+ZmsEJ5OkgU/Ig2AjN +IR/ec4QxHY4fPu1uSDzfE430roPpcLT6s60B+vLksWEb4XRgFfY01kfTdOSn +k8qlA20LieZpIk97WXoqNNNhh0yWnB6acZI7QN00HVY7D4nooovMlMvnHNJh +VrXpiA4xXw8XlHO80+Hzs1P7tNHjHNXnZCPT4bXBK2ottOSrxZ/f09Khf8R+ +SwNtXa62kVGcDh1Wv5bU0UY1QsFyNenQ9C12Qo2ov+sxz5nWdKhyYR5VJc7f +2/Sh6HE6FC9WPldBT/87c1/rZTpk+kk+VCbmqzqDfvNzOsT97Wk+hfbqnXjf +OJ8OQVGmVUpEP7S83+f0Nx3cKacuKRLzy7vce4AqA+zSAtMBfVGmceY1SwaY +MlJFKxDzZVMUkX40A3SKivzk0X0XxeI1xTJAkYvfRQ69K5Nki1wxAyQq752V +JV43TZrs1s0AfgFtfRn0iwZDiLHMAM5bH5SlCY+NU6q4ZACj1EWpk8S8xT8E +ioAMoOz4xy9F7Ita78n+2Az4dyqNUxJtXxv5Nz07A5b6OBgk0Ovy3uHGVzJg +Qq+B/ASaJ+iFO0dDBrx/rfBHDH188ODzyfYMeGHxYk4UPU+1mHerPwO6v1h/ +ESHqc6b7WdhIBtx1+vnqOPq7KI+b9kQG1M1F9gqjZSKvBe1fyYByb/p2IXTW +fbtAP5JMyF8vbxAk8tSM2uUcZSYkh4tdFUAvJ6fv0diTCRE7u/P40V3rLc5i +zJngm2yUxIf28FEO4DiQCc57v4fyEvn/Z1ua6kgmWOX7evIQ9WhtbPzNlwmG +B8gdjqGdyA58GBfJBLWreabcRJ467GobksoEWd5j2kfR71LktDoVMuF4Q6vC +EfSgaUR6g2omHD2hLnaYqOergrgynUxguzfCfYjINwnd4xlGmUCr6Mx2EF36 +1Skl0iITdvSs03AR+eNcV+Rtmwlr2kkknMT9x06YOzpnwuww268DRP043z8z +88iEL6Y3frCjM7UNF3X8M+H1R5kP+9H6WiyPlcIyod/+2SAbejN+QVMqNhMe +TFs+YkULFFVECqVkQpPH3O19xDyqbJ0/mp0JVb9Da1jQt/x7t9mLMqEkhLaU +Ga2j3afOdCUTMknLMpmIfqd8CrRVmRCXIBzLSMwLR/4PioZMCKLtDGBAF/Cu +KpDezgT3nNOu9ER/LzWr/mvPBHu2L+f2ohkuFP1de5QJppc9DemI+bvlafOr +PxN0uEnV9hDvp9vpuzSUCUq1WdK0xPz+4ZBcHMkECdHDQjTofJqk6/OfMoH/ +TtPB3eh4xr1P5iYygUtemYma+Lwd4Vlzc5nA2P2KkgqdsVa6e34lE3ZpOvyl +RNPJy4ovbGTCv8FfCxToJrVDu36SZsHSmbhxcvRkE3vy8q4smHzP/HYn2ldr +9e5vuix4b3O9nwztQxKW+4clC15MSnbsIO53OeTAFkcWPL7Y20iKFjvfqU/G +nQV3l02vkaC3hxhFqQSzoD5wqmDbGc/3TLWDTjwLyrcCU7bQzuyHf7PIZEF+ +LFXEJpr2lt87TqUsSKG+5P0P7V1Je55HIwsiMvmd/qJrZO9cETmdBb4s7eYb +6MAvECdjkgUuJdq6f9C6/H5MqlZZYHV4THEdzTN6VE/fIQsMqy+eWEOnvT0g +dtY1C9SEN3lWifdLCDx09s4C2ZY09t9oLTHOdb+gLBCR4aT7hW4TezwaE5kF +Rx827FhBP3oy65CdkAVsarC6hC6psC8qT8+CPc9fTP9EV9Rv+N/Ky4IdhjYf +F9H6CxGbXSVZsDbyc2gBzV30SPBVRRbMWUU9nkdfoc7eOXEjC758o787hz7e +1xG7disL3rhcrZ1Fc0Qy11O3ZUH/otjlGXQClUsY58MseODXnT2N1nNI/C3W +mwXNf43ip9CUbcrMGoNZUBX1PegHesHMdczqTRaUUPq5TaJHO15o+Y5lQVYa +ue0Emj9ZySblWxbEMeaf+U48X2LWgYqZLAguOqbxDb1clxnRvpQF7lx3ZMfR +Hgx7k16vZ4F9pfrxr2j1zS8nF7azwEzg3eEv6KHR1+m7KLNB55Yzy2d0mVxH +8pE92aAk9YfqE9p/3FUQmLNBsiNpcwyt2NjkY3kgG/iV9y99QG812dsEHskG +rv4b39+jO7gMlvP4s4HxtOy7UeL5jXT5WkSzYdebZ8/eoa1e8ZO/OpkNmxZn +O0fQx3Tuxy1DNix9mWt6i67Mfn2DQT0bJp3Crr8hzl+h5iuulw0f5mgvvUZ/ +vDrx9YxxNrzwLkt7hSb5GLvifzYbHq8LR71Elz5Zqy6yz4a2cPytAXrfOv2O +jgvZUL9T33kI7SZVtfXFKxuuJn+xfIEWdE8pIw/Khvy9XqcH0Y4N2V/5I7Mh +JZ9UeQB9WTSrVz8hGyIPZEs+R782s9QPSM8G36uH+Z+hreuehJTlZYMLbzPH +U/SfD9c0e0qy4VyDMn0/+saDgbaFimwwPPF6Zx/6oSHz83212aB+z2G9B93X +oR+p1JQNsoq/Z5+gY3SM37q2ZYNIT9znx+j33usD+Q+zgVuH5VU34Wd05x/1 +ZgPby+s9j4j5YvMqXxjMhj1mUve6iPlQXQ5lf5sNZJ966x8Sn2ft8kfjYzas +2ZuVd6J3XK5jCfieDXPTU7kP0Eftsl9XzmbDF4+gxA40qfCS2JvlbHjzmyr0 +Prq44bIo+UY2PA255NGOHmz1HZIgzYEHpAL299A6iup053flQHNCu0kbOtt1 +Yb6QLgeqaXW07qInIpQuPmPJgZKcMfk7RP/N7kve4siBLDY30Va01ylNdTHu +HIi/vHn0Nrpfsb7CSTAHgrnTWVvQtn3sl4vFc8CjlpOmGT379aL0sEwO2Ive +3L6FvnU/0JvyVA6Y3YGVRnR+wz4tBc0c0JUfmryJJj9x+KGffg4oddu8b0D/ +XvR8XW+aA5KaSwP16LHasfjJczkg8CKqq46op6nCCJdTDnAZM9yuRT+m8nhi +7pYDTB+uVt8g6rOtbpDrmwO7bMVLaoh5uXQ1+EVIDmxOdmdUE/PhZKa0OyYH +li+eialCT9ErV6on58Dk8nf/6+glH7nrsVk58CHQ70IlkZ9JnCqPCnNgaIv8 +3DW0nG93OMmVHHgcm29QQdQjnNwEqnKgjZpH9SpRP1b8Vw05UJ9552Q5OuXt +09EHt3PgKouG4BV0lR9Z/Pb9HCgoecd1mfj+EeVBxcc5kHLYhbGMmHfy803R +z3IgsvoPRSmaSVhD7MnLHPATTt4oRhdVPtLd9T4HXFr2L1xCX/xxa6fO1xw4 +J1P7tYioR+6SSeZUDhg+lH1TiP7na6XyZjEH1NWe9xUQ9+83/Hz/Wg7IPT97 +Px/NdYPvp81WDogYzt/MI+Zf51RDFXkucL8Lq8gl8vL+GsUiTS7sP7enIId4 +vohjG5JMubDne1lyNpF/SrUJEey5QHbheHgW0U9v7G72Hc6F9cVOr0x0sji/ +FwN/Lsz56TtmEOcJ/PT8rGgufP37xSwdHTxz9lHVyVx4E+Wlk0bk73K0wQrk +wlPKHYqpRD0OCgeDei50pmWLp6BtBOXkUvVyoZnxCE8y2nUpuWDUOBeqi5r3 +JxH96L2ewmOVC6VcKnsS0Z0jdmz+DrmQVfmaNIHIc89qeOKaC/ECjr/j0N03 +q7aYfHIh+NbvqVh0rCiYOAbngodU/FgM+vttXbXWqFxw6GAZiibm9UjTIGVS +LpgpV3VHoakTtBfNM3NBt1/qTiSR/4x/quoKcuHU6b4bEcQ+OnhpfbssFyTf +mJWFo8WE93w1up4LApbTWWHoxi8nbKvrc4Hra1BcKFGfHQuBmy25wHSeOiiE +yIN4Nl6j+7lANX/pYjC6K7LAvaY7Fza9BWyC0JoiGjokz3Jheb3dKJB43rXd +XaYvc+FHuI56AJF/Nt3Pb47mwoedH2X80eFspz12fc2FoWQ3YT/iftYzqm2n +cuHJ3q1DvmjGP06B7Yu50JafzuxD5J/c7U/Ma7nQcICLypt4/+6zHzy3cuHq +1Zv/PJ2JP58i5/6cPA8KeBV/eqCpeKULeGnzILVh6Js72vKxmEkcUx5EnrAd +cUM3Je6uHWfPA797S08vol+EVOYpHsmDC4rRD1zRN5+OM1/mz4NzPQxNF9Cp +fZUCW6J5YKRTUemCnl4YemslnQfqL8WLnNFZH9X2PVDMAzmzx6nniTy0mJnj +1MgD0U9nIp2I/WhYZhp5Og+4HSZ8HNE/RlRNx03yYP+M33kHNPPRx7Mq5/KA +zpPC0p6oR/4/pmrHPCBbzdezQ8vefvlyt1serIfwnLJFZwryc3v65sEc6V0J +G/T5F5O734TkwdcEDT5rNGfBXLxMTB68pR09cA5dWMGVfyU5D57muOy1QqvK +OkhTZudBJ9sG2Vk077MyT/eiPGi5nLxmgf4y2Sz79koeVHOzz5oT/aGReEmh +Og9Ka2s/maHjh0nSqm7mQbao3EtToj+SdjDS38mD+DvPn5gQ/VvqLRjyIA9C +5K3ajNECDpKjE0/ywKN7vu4MkTdm4mwGA3ngoBl+xQgdxXl67v7rPDB/sSfX +EF0S7mHIN5YHusaXEwzQvS7B2vnf8uDUh+Mh+sT3rxmPkM3mgZTtQ/fTaFOn +qd9ey3kg8EPfTo+YJ3bWqi9/8uCg21djXbTdtWczp0nzgWnFS1OHuL/m6Yed +u/KBKmiHvDZaitucT2RvPmxtZYtooS02SI6W78uH5dgjRzXRV8/2NTJw5cMP +6pZ9GujrJfkvYo/lw1imym51tJGYUeSaUD4MsbzZUkVv3J94dEEiH56UOC6r +oM3JJAs+yeVD2+HVCWUif1cENg1V8qGhOn70FPF5FTd/9WrnQ4XwvgEldK1+ +TZi8UT4UtFQ9VCT60Zq0sNkiH1JlTrYAcT6rK8r8dvkQ9bCvSsGZ+P8z3SPL +XfLBT828WB69i9NEj80rHy48n06XQ2t3KdRnBeaDtWFwtCyRZ4wMpdSR+WD0 +jtpfBp3g0sYRm5APGueKXaTRTgqcx7fS80Huu4DVSSIfJfmHA/PzQfTCfX0p +9DpHL9mv0nw49lNHRZL4/OlPPR6V+bDf/6OUBPrYbcv9c3X5QPfPTeAEOq+H +Z8u5JR92Rm9xiqNpUoS8J9vzYZ0yg0EMHVBo6u/QnQ/zaVwUomjD+kzqb0/z +4Stj45/j6ObGbmG7l/nwtkhxXpi4z6YP419H8+EZ1/AXIWKeZrqP2X3Nh85K +29eCRN61n98Yn8qHFoHlXgH0y4YmK4ef+VBzK7qdH30/IFN/ci0fSqUYb/Kh +G5bnXjpv50N2R8VVXjQrd8v3WYoCiFc+kc+DLpd/GeexpwBC+h8nHUPL54q3 +rjAXgOdp4zBuwjce+wVyFIDDmwnPo+gL/N6PN48WgLmlv8MRYr6nea/GCBaA +3lcKs8No8e9v9lCfKIBT5wu0DxH7U9mJOku2AKTmeeAgWtKsN5dVuQAEfe6K +caHnSyfrr2gVwME/Gsc4iedtu2XMZ1gAzBGjbBxEXoSwpDaZFwAV+QXaA8T3 +j+0wkLMtgK3kDRJ2Iv9IHK/1OBfAyt6UX2zoDAmBeAPPAviRzz7Fiq75pbw6 +FlAAYwfqPuxDj30p/eUcUQDDV+VesKC3enmifscXwBPegUfMRH9eHSqOTi+A +ew1WrUxok9wElb35BdBwYqGGkdiHs7IhZaUFUHEvvJQB7XDoIwhVFkChIl0W +PXrmvUX2/boCSO25HLsXvfjjmrdOSwFE6YgE0qF7lGu/jLUXgP/Lh657iH3P +fG7MrbsALpgZWNOiJxvqHbefFgB7et27t+f/7+8DMp/fRUHn9n9/34+eT9Ef +WrSKXm3jscndcOoP3zwNOuvSfGfxBDtYf/pqSIOf9yY9OTTrZQEYOXir7UZz +WE8cPPq+ADRmdshQE/vud4Phna8FIO+ZI0SFHj3zjl57ugBEV48c2oW+fcPw +3OefBXAstIWJEr1qQHfSdx3Pt0N1FwW6dXi7jIqkEOgS3/zdia74yJxzmbIQ +du5xWiRDc5koM0nSFcKfnNXxHUSeawQfHmAphHm2hLekRH8u1HU4cBbC+OV9 +T0nQKo0DE/+4C+Etd3XHNj5/66EXl/KECuFZ7clbW+jlndfGhCUK4aFo/7VN +tIsm1PbJFULLHfPCf2hJmlwKe5VCqJGfSfmLVijMW9jULoSy7uCIDbSFk5R9 +kVEhZGvu9vmDDuRwc5CwLISEF8VO6+jH2fzLw3aFEGIsaLGGft5vT+NxoRA8 +P9zXXUVX/6JppvEuBEdbXaXf6EO++ydvBBWC+Y+PJ36h349EVmpGFYKemzvv +Ctr/04mlqcRCUF7ZYl9Gd/sJ9CdmFoJUUAbdEvrbPXMhvsJCENzmIvtJ/PxG +C8fTy4VwMK5xdQH9qFHskmtVITDvVpqZR39P6rtKe7MQqLOGP86hf9lelGps +LYQtFrvhWbSUKpOZ0YNCWClZfjyD1vBs3bn2pBCmDsfcnUZr6WvKFw8Uwlg1 +Y90Uem9MN6nim0IYFr52+Qdab4TdcGKsEHpaTuRMovt1NIVTvhfCPZkn8RPo +BCblfNG5Qrj50Dj4O3ozkyT53UohVKhNun1DK5oEUUX+/S9K7isQyzdu4LiR +1UCyUim7QSUkqueXjIyypZRVKHtkRPbee+/x4HnMEClCZVUSESpKJJJky+z1 ++x+8Z+/Be/g9u+/7+l3XfXLfn2RI7nA2HtnqM0fzWQ9Tp0CEJp3Ot63u3uZe +3LU9BXwGkhSHt/ok89JbV5YUcDY4fO7rVo+QdrjzcaWA5ffaE1+2eo9BdfVb +nhQwtFDiG8LnMfvL7f6RFNCe+cg+uNXL10hv+ERTQNHZYvvnrV6pGy18dyYF +zq+vbnzcal/nJCb3CylwyjdsdmCrVzmeUR1RTAFB+v1j/VtdclvVp08t5X/3 +G6WGfJi/bsr/e79Jej0d3rHVYJOe2/GLH4RpVHq3b/XtZc21gO/H4FDY5zaG +rR4WJ/11txEFtt1WdfRbbfnyu3z8pDhsT1ovo9tqm4m/E8FmZ2Bzf0Qu7VaH +tXiM6Y2chfncA4k0W804tAO2GwCMHy4L2bbVO0Iy3N9YycBgGcGD2hq/J+oO +8z4qC93i7+yotnpv3pQq/4QctDw1NKHc6gf3n7bV5CvA0wszuhRbfT+Y5q/k +bUUob/VW+We1Ne+Syd8KDylD3uXdsIk+Qvq5QNovKpD8PufUBnouD+rHtdKu +QMS1U4Lr6GuofqOLvqYGPl9e7F1Dz4db2nEoVB2cTbR2rW71LeYseQtzDbCY +HKVY2WrfF9Su05c0wdDOcWF5q2m0LjNZCGqB9tK2iaWtbq69yTC0TRsU3RM+ +L2611kvOu5dGteE8leC7ha3euc9EmPxcB04F17yY3+o9Mry6VNlXQZDxUs3c +VptFnf6p6akL++L7ybPoe5zxH029eQ2YuO5mzGy1gtK0wifp67At+2/0n63W +zNdl3b1XD1YEQvynt/rJ9rzLsKwHv4v33v+91aeZq2ZMPtyAEdEiy6mtjhWw +oPStugn9j6UNf231w7gir8QYfXhz/o3m5FbHu1+1yrU1gKaXNxR+brXVZ7X2 +/CuG8EhpSmoCPYqL55S72IyA/M5dZHyrx1g+3n3lbwSZOrt4fqD/wWt7oWHe +CGI/Z7CObbUfr2132S1jCDI+Tv99q+3LlPandRuD+3jD2shWi6rb8vhduAV2 +1mp/vqHX4qH+zaz8FpjOfx0Z3mpyqv5NBe7boOdq1/cVfZZF2jieiNug+o/i +9Re8no76gL9rt0E2IObZ0Fb/VPgj/sbCBCR38FYMbvXrB4w5KR9NQDimkvh5 +q9utQ1/fVjQFHg7Z5E9bHb2zp+LIY1Ngy+gJ+7jVJeLXdH8JmMF2PhOvAbz+ +8pA6UrwZ/CMtOPSjjyPW/8WY+g7MHw8w60Nv4/bD5+wOd2DiEZveB7z/d/4m +bcN3YFC64ErvVvcuvmy7p3YXuptOy/TgesDQr30Nd6FVoU38Pfox1Be6G4XN +4WmH7uFu9GsCbtw3TDOHcs2JfV3oxyxnfltlsADiwH2md1vN4OK6K/a+BSQb +MFB3bvUNJr11/nELiPiestSx1am15WVVOpbgY3F08s1W77r2k5/QbAnOM0+H +0Ntja3IybD5lBZbOKt2v0M95+NJAIccKDNc/N7dv9bYPMnzNTNag7WtV27bV +N9sNSs97WoMS/UZxqxX+rxCyUTllDecjI7JacH34TrDy37CBU6zccc1bfdi4 +cTb6lQ0IpZYFvtxqeU5S4oqkLew7BG4vtnrAX5faoMAWmAveWT9Hv2X8wH7D +7XawTdjIGL0/huBvXBEydrBSMaON3p8ZUWSz9r4dTEv6KDbg/EpItY6U28HI +s93n0P+rPXr23vZxO+iXzT2B/l+5uQXNSW576Hh1ig/9v7XODXctHXtoUnvJ +jv7fexHhT47h9lD9QWs7+n8H1YUPxL+0B/KN7xvo/XktiStUrNpD5jfHWfT+ +VAbcdTpEHSDuDs0Yen+xedIqY3cdIOh3wgB6fzwbRKH1LAdwvyfYgd6fn/fc +JHO/A9iv1DSi97et6XoCH+M9MPW6VIXeX4XcbiFx+XugRzNQgN6ffqRa9kX3 +e6AadjcVvb+8PSqUalX3QHb3SgR6fz9kpJWvT96DM0khPuj9aXtoPTDmcQTh +A1xO6P39PPQ2/s41R+DJK7qL3p+D51CiZZQjsB85exO9v4gvT32sWx1he/kb +NfT+ir3TdKw3HOGf+E1ZEs5DWjWLpbgTLDydOo3+3y89eGJm6QQTFzyOov93 +9LuNklGuEwy17uJG/4+s4fZS96MTdF/O3I3+38HqJKErzM7Q+v44Dfp//Bwb +ThcuOUPdtca/6P2JuwyXiXo6Q/kXtSn0/h6+cXl/qNoZiCbDX9H7G6ZcGd41 +5Qwpk3Y96P0tb6R8+svrAhF2lG3o/e1Oi278dt0FfJdinqL3d7aYJbo92gWc +3XnL0PuzW9a5XNrmApZUVTno/WVD9ELUpgsYBcsmoPdXIr0cbCdxH7QZe4PR ++7NJbGJQs7oPSvEm7uj9PV7mcTmWdx8IXIu26P1pr6p303y6D6eyA26j98ck +6sf5hdkVhATZddH7KxOYvvzokivsKylQRu9v41qbTbCnKzCfkiTE4vlyUc5T +r9oVaGrbRNH/G9ZIfXB0yhVWzl8TQP/v0NSm2V9eN5h+OcGJ/p+lTeGF5utu +MKrkuhP9v3LhV/SR0W7Q/46BAv2/n/YpjTptbtChkzqP3p+A9xXTfZtu8Pzz +0XH0/nyjuVa/iD+AauO6T+j9neqR8My2fABF4yqd6P152fbOGuY+gEzrwefo +/bX7HdM58PEBxM1bVaP3FyJgRxpgcodg1w0Sen83jPt/xSi4g/u/iHT0/uak +Ew8qebiDfQB3NHp/QqXfZDer3MFsR7kfen9z5OlrFZPuoBcDLuj9OXDNGdzi +8QA1ji4L9P5+fTl0dfc1D5DNMDJA76+js5zQEOkBZ/hmNdD7K6vt4TRv8QAR +so+8x1br3nr+ffe6B/CcYJFC/68uuDS39pQnsFfnCqP/RzXcoalv7gk7zood +Qv/Pb4/24r8sT/jX9HIP+n/vWlxCs/s8YUFBmw79v+JoKxbY5QUTHd9X0ftj +p7kT8VnWC4Y0nabR+1MpTltzcvOC9wM0I+j9NVOBPmOFF7QaJH5A7++0b0Al +cdwL6r4LvkLvr/NNt8d+Jm94aPG4Hr0/rl6zdNOj3kCcufQQvT+g319dKu8N +Kc4Deej98cXuaF8w8obI9btJ6P2pJ6l9kHb3Bl/flVD0/pbOUA15JXmDC32o +J3p/v+LOf31Z6Q2WkVwO6P2VNe0bpO30BiPWYlP0/sx6anoUf3qDTurZ6+j9 +/fh8uDVkmw8oHeq4jN5f0VhY1auDPkAouHnhzlZ/XF5Joz/rA2LCv8XQ/1Ni +i/BSuOoDQpUeQuj/eZwzNPCz94H9Zxj3of9HuhN6pjHcB5gbMhnR/ysOP8y4 +WugDNHInqND/48rQ+ir20gdWXzUuovcnHShQYvXFB6bV1H+i90clWutIXPGB +0Q/Dg+j9HfQVkPrM6gsDN+y70PubvR60wnzSFzq+UTaj9xcVv1wtr+ILz+/E +Pkbvr50h2sbVzBeqf/MWo/d3KMKCr8THF4ruVWWi99f3O7t3KN0XslZkY9H7 ++7502Zex1hfivHoD0PtbtwkWJvT4QjCNqSt6f5Gc1j1W077gEbZohd5fSwWr +SyqDH9jvDjRC7y9yIZmjjd8PzJLYtdH7i0rcUz0HfnDjQOElza0WU8pUO3DD +D9TyJM+i/5f7RHNcwdkP5I60H0f/71W0srttjB+cKb/Gi/7f1XtZjEklfiAi +8ZMN/b+nlJaZz9r8gLfOlQH9P5GB1mOjI37ALrN9A72/4siOGrpNP9jRljqD +3t9ybQIc2+sPFFeOfUfvL+PH2dYr4v6w8L6uH72/mdwBZVs1f/h57fIb9P4Y +nQLeRln4w9CXwQb0/oa+3lAtD/CH9ybWlej97bnl9PZttj+0TW7ko/d33PmX +8q86f6izi0xB7889tr+Vrt8fHi5xR6D3t/eIlgzfnD/ku5d7o/c3W+jy9Pyu +AEihuuCI3l/jfYNTuocDIDK46w56f7speci2sgHgy2h8A70/hdwB7iCDAHCJ +n1VF7y/mSWZchmsAWHH5Xjy31atZ4XRV8QFglM1yGv2/m0V1bm3lAaAjmHcE +/T/LI/K/P70OAOUSsQNS/73PLxr+HgsAwqlmZvT/wqs6ujYpAkGsVnsb+n9n +VTZkmPYHghBhbBm9v26735XckoGwv9npF3p/YFTDJ6IZCLuVab+i96euYR8v +bR0INF2J79H7K3WRpLkUHAirOkKt6P0NUvE6a+YFwp/Pj5+g9yfLrTx+syEQ +Ro0VS9H7E5htv2b2MRAGxgey0fsrynz82mYhEN5am8ej99cvf+K8M1MQPJ9f +CULvL5lS6aH70SCocQ19gN5f7cQBfl/5ICj6x2WL3t+vXW3JgUZBkBVQfAu9 +v1YvfcbQB0EQv+PcVfT+2hRX/cMTgyA4pkNJaKs1bWvWIyqCwIND/zz6fzWL +RU6RHUHgkPH7JPp/Qp9m/kSMB4EZnyc/+n8t7DmW4VTBcIPMyIn+X3pO70TI +gWBQO5G1A/2/XCvincAzwSBXfeIfen/1pkcmfLSCQeps0xx6f0+d3S3cbYJB +5Ln6D/T+DAJqpp1CgoH30reP6P3p3ptxtCEGA8db+7fo/Slwy26YNgbDDi2q +5+j9PTNsC7r5KRgoPsY+Qu9PZlciq+ZiMCwY8JHQ+1P625anwBwCP79XpaH3 +d3HaSUL6WAh8sZCLQu8vorb2lbBCCLyf6fVF7893P9mI2zgE2pxNndH7s/1s +uMroHgL164vm6P2lVS4mbiaGwEPfQH30/hRu+J3+XREC+fQcGuj9kUN5Pn7q +CIHUyEK53bjfZic828ZDIJL1zBn0/24R5oSqqELBL7X9GPp/rHuv9mYcCAWX +Q9cPov93RkjEP+hMKFgV/GRB/2+RIVrSTisUjIXdaNH/czRImta1CQWdyu2r +6P0NPrtBJoSEgvKZtN/o/ek8W7zDTwwFaDj2Db2/HdMeRxkaQ0FMrr4Xvb9j +Qztnpz6GwuHXl9vR+xvfU1f3biEU9qsP1aH358SRE1rBFAa7+6zL0fvbbd6v +H3s0DGhvbuai97cZ4CzhIB8Gq98iE9H7CxhP3K1hFAZ/7hwMRe9vhUpj7viD +MPj+u9wDvb8apWf9OxLDYODeBXv0/niOLDwffxgGb1e6TND7K97OWPHiTRg8 +9zK+ht5ftxZffvqPMKihmVNB7++A2eVMJ8pwKA7zhTX0VLJy0q/sD4es3XvE +0P+LuXY2m18yHOKT8gTR/2ObECWvaoRDyAFxLvT/XLIyHr+zCgePvOZd6P9F +dSS+yQsKB4cjOpTo/116IjHmnBsOd8rHFtD7Sy2O3ab0LBxuSDhPoPeXMtZy +hGsgHNTraAfR+7PIH9eenAsHOZmkd+j9KQowBz7ZFQFSbUIv0fsLSNN9FnQ4 +Ao5fqa1B7y9Uum9VWzYCeHsUi9D7GzhJJPAYRADH9Y8Z6P3tJH8MnrofATu/ +mseg9/fpVeTHmrgIoDBd9Ufvj6Xm80nvsghYnAy9j95fTvhApOKrCPhpt88K +vb+ouxnzTN8j4MtSsSF6f9TGFw37NiOgx/2cFnp/siFfu9P2RkIb1VuFH+jh +zISrGIlHQn2wvjT6fwO5tzr41CKhgnFaBP2/a4X3tX+YR0J+vCcP+n/rlOOj +hf6RkMrFxIb+X1lli9vdrEiIzM6iR//Ps1p47+GnkeAneHIdvb8sKvHGH72R +cL+k6Q96fxaR81bEP5FgdUpjFL0/QyU/XuPtUWBc+60PvT/+Q2tf9wtEwVWC +w2v0/kLWHPL7IQqUm6ka0PsLaKK6F6MXBaAcV4Hen7T6C0VlpygQ7+LLR+9v +MeiVIFV0FBy++igZvb/jMid3PSmKggODcuHo/QVrMK7btETB7lsfvND76w99 +MM83HAW0E6b30PvbWxc1378aBWvWS2bo/cFVUc+W7dHwZz5QD72/KhbaIG7O +aPjuyqGK3t+JBQ1WF4Fo+PivUKYL5+3TIcF3p6LhbcAZCfT/hnI86wUvRMOL +Ha8Oo/+3X8il3+NKNNTEXN+P/p+XLLtLr140FHNMMqH/19l5J+3o3WjIznCj +Rv9vKtNFztspGuL5diyj99cfpOHwwTcaQshpk+j9kW9QChyNjgbPE8Jf0PtL +mYy96ZkRDQ7V9d3o/a1Qsex9XxQNd85eaUHvT8o+VE+gNhpuPB+qRe/vxz86 +nvst0aB+yaYEvb8Sl0Sr1++jQf7tZhZ6fzpZMuf3D0eDlFZUHHp/bWfZ4qx/ +R8PxjweD0PvbyXzApmE1GvgMH7qh96c0ZNLJSB8DHGMXbND7a7v1t8qALQZ2 +WnYbo/f3S3+Uu4w3BihnjXXQ+yOEijJtnIiBRec5xTqcj5xpf5XzMTC57nsO +/T+pG4LeKcox8MV3z0n0/1Ksv1OM68ZADz2RD/2/lHBxOnHTGGiPFOdA/8/K +gjfO2yEG6llbtqP/d+fxE2KHVwxUpOpsovdHw0t7jjMiBgoO/ZhF72/k6p7r +t1NjILXAeQy9vyaK32ulhTEQJUz3Eb2/kPZM/r+PYsCvMqkDvT8FHfEemRcx +cP/M4Sb0/oQvP6ELexcD1g21Vej9xalLvegZjAFjOaVC9P5WqZuo9k/GwNXX +H1PR+6Olvf7q9nIMqKhbRKL3F7TAxF68LRagb9UHvT97y5mJ2d2xIH4zzAm9 +v9FttBfPHIyFwyP7zNH7471+k8dTOBYO3C25id5f1uZm4EupWGCZPqeO3t98 +yIoD/aVYoHV8K5u/1V8jdMcua8fC2oq+JPp/Wmn8X6KNY2HGa/oo+n+mMlb6 +vTax8J3Gixv9v+u7pG9zuMfCxzAmFvT/MkNT/lwPiYXO3dk06P91XkykSk+M +hRdJJ1fQ+3v47Gz6UF4sPD7wfAq9P0mfhHruilgoztMYRu8vYHf5bcOGWMg+ +MtKD3t/ETFxM1ptYSCh3aEPvbzBLTeHrQCyESFDXofe3M+O3D/ePWPCsiytD +70/T1FVOfz4W7snw56L3V31vMyKNIg7utD1KQO/PRibY4OOuOLh5RT4Evb8f +8rw17PviQL3ngzt6f4+W+uO1DseB/HUzO/T+8toerUZJxIH016Xb6P2VHG0e +f3MxDo6bBumi97cWtfsmnXoc8P3iUEHvb9qUpHtRPw447UmEKPR59sZ/creI +g53LZ06h/yfJOvy9xiUOKD1eCaD/p9CU5DzjHweLVHp70f+z8m+NOhIbB5PB +kzvR/6NvdTl5KysOvjI+oED/r2Si5lpqSRz0xO9YQO+PWzWK/v2TOGjnSh9H +7+/m1Z0XGNri4Fm28Gf0/hIMpf5BbxxUCD7rRO9PrJVHwflbHBSUXHmB3l/3 +9y7Wkuk4SDv1pRq9PwVWTctva3EQVWtDRu8vo/ihEjtDPPgT/qWj98dJ8bdc +mT0e7jdHRaP3J24qlunJFw/Wyof80fvLFbZhrTwZD7e6Hrqg96deUMUydj4e +rl6VsUTv79YhphQOlXhQGew2QO9PcjysUOlaPFy4dUsTvb+78hKEB6bxID4x +J++21a1+7PolDvFwxMZPCv0/o5/S24a84uHAwh4R9P886krFdkXEA4sb8RD6 +f7nn3X6dS40HOgoJVvT/IiuKj1kVxsNaQAsd+n/ndK8spD6Kh5kdV9fQ+xuz +spB/9TwexmJ+TKP3t3mc8eByZzx85HAZQe9PakDWh38wHjoz6PrQ+wvOYbfS ++BkPL/iSX6H3x/ki5qvHUjw8Jh9+ht6frm3TZzJ1ApScePIQvb+ZwVKjD8wJ +kF2tRETvr/OcqQ0FdwIknP2UhN7fgcbZbceOJUDoc4sw9P4UYg34dc4kgOel +NU/0/pZGajo85RPg3tswB/T+OAdpNgs1E+Cu1n4z9P4+FVyt7TJMgJsfS66j +91dk8Wjtr1UCaBiev4Len/SVo+2H3BJAfuztBZOtfuDw8oBiUAJIWxqIo/+X +vx66bhOfACdmp4XQ/zuxM9wkIScB+Fy89qH/19/YrVNXlgCcG0xM6P+li9/p +Gq5LgF1+2VTo/zUH6PbSvEoASgbRJfT++jpKjY/2JcBS5POf6P3l8jjdVx1N +gElWzSH0/s6m1nI6zCTA19SRLvT+VpT9LiZsJEDvoXvN6P25KwwvPt6eCO0F +1LXo/RVlfDr1iSMRngnHF6P399DQY2WNPxEqK/mz0PujTO1ROnAqEQrOVMei +96d3bYKPAImQ1iAfiN5fPbE1yOByIkTJ9bmi9+cc5OrkeT0R/F+bWaP3x0W3 +83eGWSK4qi8bofcXdDhkuv5eIlj3BWmj9zc2veb62TsRbt3kVFTbauIN26iV +iETQHSGdRf/Py2b6OEdaIqjclTqB/p+HgP81cVIiXJh+xYv+3x/3szs1qhNB +wlGPHf0/O9uDytYvEuHI6iQD+n+Ti9IsIe8Sgdv7wQZ6f1F0KSbEwURgod05 +i97fSIqcTOPPRKALT/+O3l9ZtiLp41IirO8WGUDvj4nxYco8dRLMJD17g96f +9fsAtl27k2DsgGojen9eg737BLmT4FPel0r0/i7tJZUQjiVB5xHbAvT+9jlu +b796Jglelv9LQe8vrY/WxkY+CR5LREeg9/f0MCkzQDMJSuoO+aD3V6u+cj3d +MAlyZCoc0fsTEqPJrrRKgoQ2mbvo/U1W9tm1uyZB6JX3N9D7E6u43zEUmARe +PbfU0PsL3LVUOReXBPeuz1+U2uon+df56XKS4O5Xv9Po/83rlB3aV5YEN01Z +j6L/V/53s/B4XRJo/CIeQP/vidnVpzLtSaBgL7Eb/T9Rl0Zd7Q9JIL3csg39 +v5B/ct5mI0lwwuPqX/T+Qntmxe7/SQJ+6vFf6P2ptnQ5hKwnAWeIy1f0/uTy +p86lMiTDLib6HvT+RC+qxxaxJwNVQnIren8nzGnvPeVLhiWuI0/R+ysd2/v1 +1clk+JX9pBS9P1ufhL6B88nwVVA5B72/2G3+18eVk6G35FM8en/BEjN3FnWT +4dUpy2D0/vZ+/E5JbZoMz2rXHqD3Z1ZpJcTskAyVhHBb9P4mfGMH9nslQ2Hz +/tvo/dlsN2Y7Ep4MacqlV9H746UbHRJPSYborvPK/FvtL8F36kJBMvhf7TyP +/p+9ujCLSlUyuA4aiKL/t383g6dOUzLY3PrDj/4fy4lmJ8O3yXBrwosT/b/z +RndX7n5KBl0b5p3o/w1fo2BwGE+GywvZ/9D7S3qTSHRbSIYLbqLz6P3pm5/u +8KVMAQmKFz/Q+wv89tMrlDEFjgRqfkLv7+W3usaYfSnAvXP0LXp/POyPw5IP +p8Ce2HvP0ftjOPJjNFMiBeg4t1Wj9yf3UqudeDEF1jPiSej9LYRtlypSS4FZ +PoF09P7SD7OdLr+ZAmPk6ij0/vaf92ysMk+BTycU/ND7q7RU//DYOQU6q/uc +0fvb0E50qfNLgZdn71ig9/c3Sa+gIToFap8v66P396+70Ph5RgqUXArWQO/v +XWYw+WVRCuS85ZRn3Gq/ZDrPlscpkKhFPoP+X4ruia+tzSkQ+lFKGP2/3w7b +37Z1p4CX4euD6P81eOVdav+SAo5jenvQ/yvdTaPW/isF7lr+okX/r/6p1Gjb +3xTQn32wit6f2o7L29poUkHDZec0en/HYxUqW1hSQWEj/Rt6f36DYr9fHkyF +s34iH9D7++zEXf1cOBVOMDS0o/d3eIJ5e6NUKvBHqdaj99dYxzZVp5AKe9m+ +lqP3d81F8katVirsSrPNQ++PNdtb95FRKlDxUCSh93fvydpwuXUqLBVEh6L3 +ZyRftVzklgq/hHk80fs70lyRlh+UCsOVFfbo/fk8onybFZ8KvWcumqL3FxBX +GpaSkwqvGt5fQ++verCxP7YsFRrkbl9G72+FQbYirC4VKl/Pw9+tzouT4fRv +T4VCdX8x9P8sZlp2un9IhbQ+ViH0/2wSPkXeG0mF6Jv5XOj/nVkKybT4kwoB +IxKM6P899v9y1ng9FVzvtlKi/6dYMWKmy5AGNtNXF9H7K5vMPniFPQ1uO45P +oPfHnslndpEvDXRXXQbR++OUdJKWPJkGl73pu9D7qzmYnX7sfBrI0Ka8RO/P +oKks/KByGkiEH3mM3t+EV/H2PbppcJTlaRF6f0kvs9lpTdKAO1k5E70/rj/J +D//apcEe7s8x6P1FmWQOTHqkAT3RMgC9P3f/usjB0DRYP7J+H72/vtLV92+T +0mC2PNwKvT9Kgll+AzENfkgcMELv7000PW15RRp8qivVQu/vHsXUQmZDGryT +IVwaxec3xuUQ+SYNXrZ1SqP/55yU5eExkAa1VwyPo//nZOnPYTWWBqU9f3jQ +/0up7gG9uTTIue7Nhv6fYnvS0qV/aZD4lZkB/b8bC5/OSuxMhzDTnHX0/rhC +Cpl496aD1y/RGfT+zvbSOTAKpoOj/YtR9P5+8tDeXj2VDneXNfvR+3tWXzIy +Bumg7zH6Gr2/l79pZrsup4MmtWMDen+u6/si666ng0LItkr0/jqPrzzJN0uH +s0wJ+ej9CbwqdIy6lw4nEwRS0PvTYDzVcN87Hfj31YSj95cOuYnGEemwN0fB +G72/pnSqTaXUdGAU6r+H3t/Ubf0F0cJ0oCq9cwe9v5buete9j9Jh+dRfPfT+ +bvEci6R4ng6/aoNV0ftTC68UHX+bDsOEvRff4nop65u8/ZQOH5rJEuj/JcVK +CFaNp8MrZekj6P9dTZF3SV5Ih4au1/vR/xOJTdL1oMyAqqs3mNH/WyqTaDNm +zIDCwV/U6P9RHjraKr8vA9JvuS+j98fG6qV95HAGRE/s/IXen30twWGnRAYE +2GR8Qe/v9Zl7B//IZIDbgsh79P5UGwVvdqtmgI1bQwt6f0TH24erbmTAbQq1 +J+j9/fIS84+/mwHXAr+WoPdXT5tj6+SUAZd32mWj9ycoUjOp45sBMrEU8ej9 +1bB5LEpEZYAEZ0wQen+23/5FsaVnwNFMngfo/b0vV3y6QMqAg/yVNuj9DWQa +O/ZUZ8Ceoou30Ptbeq3dUPEiA+hP9uig97dPTTg56l0GbFTfVqrd6kq5OUrr +wQyYPbtwDv0/4suHa0o/M+DHc/+T6P9pj9gHCC5lwOdLbPzo/2VXQxYVdSa8 +e5vPgf7fuDq/0hemTGjWOr0D/T+q1iN+T/ZnQu3H1k30/pQE9K7EH8mEUkPd +OfT+ojwaC21OZ0Lu2PgYen9HBm/HKspmQqLl/Y/o/f28rLGLVz0Twmbp36L3 +x/0phnPtZiZ4u6Q0ofeXFH7iUY95JjhuHHmE3h/RUny42DkTzP2eFqL3x+JR +lO7nlwn6DCpp6P2JtqT91IvOBM2oz5Ho/XUqsLSJZmTCJTYrX/T+pKg5JeiL +MuFs2roTen8yqzViX2oy4SRPhDl6f1JHV15UvcwEgcID+uj9zUVNjAR3ZcJe +kTJ19P5eCEbF6Q9lAmMVQS53q70nlnpFJzOBSuqdJPp/AW+PFNIsZ8Jyg+Ex +9P9KO0S3f6TOgim5GW70/3Q/c24WM2fB8GtvFvT/7vye8PU8kAUf1HfTov8n +s1icrH40C1735ayg9/d+1BJ4JbOg4eap3+j9BZFOuczLZkHVyIth9P60Rbdf +aFbPAtJdrV70/iot1lPi9bMgfXq0Db2/TNgTYGKRBTGOjnXo/aWnXKMUd8mC +gNVt5ej9VRn1MVL7Z4Gbd0Iuen9ODxJLu6OzwJZWMBG9v+XO3KGsjCy4HV4T +gt5fL4Emy7ooC66xXPJA7+9Uadus9OMsuJLcb4feX93q/Ae65iyQ4b5rgt5f +Hm28Sm9XFpwm/tVF749YVqGZPZQFR4+GqKD3R/nu6i/LySw4+HAvhOP9XYxg +k1zOAtbTRafQ/5O3L5+goMoG+nppQfT/HF9aMJnRZ8OGzJu96P/R+G1svmbM +hrm2G7vQ/7NPtXp8gi0bflyZokD/z2m+/kz8vmz43OO+gN4fq8u871+ebHh3 +fdcEen/Ju9gSbx7OhuavGZ/R+4vIFHRoOp4NT0yPv0Pv7xWzCAe/RDaU/mp4 +gd6fibJIQNDZbMi1V6tB76/hpHD9pEw2JC1/JaP350E6Xn9FMRvCPOwy0PsL +T5AKeKiaDd7UlDHo/R38rMGxRycbnEJi/NH7K7/h7uB0IxvMmXjvo/e3+vdZ +Yr9xNhgkVFqi98cQv89f6m42aO6TNUTv7/CuVOk0m2y4lNOjid7fb1nC03XH +bDgnZKLgstXiHLuoDR5kw8nSBSn0/3hMd7A1+mSDgFiACPp/B3cQZg4GZwPX +EzYe9P9Gxsjx3pHZwAgFrOj/mXRrUX2LzwbqltP06P9Nki5IyaRlw7Jy2xp6 +f5sKTlI5Odkw1aX7B70/p4AlKkpSNny7OjGC3p/F+VcJRmXZ8GHwfh96f3KX +5mYbH2XD61sMr9H7e+jmzHGwLhsaJ1KeWf43D3q0ns+zocrmaAV6f7ee5DUM +tmUDaeEpEb2/e3ZXL5ztzIZ0N5Vk9P5IJJewlN5siKEYDEPv79DpndnLn7Ih +MNDKC70/mOL01PmWDW47NxzQ+6vJyBWoGs8G29gIM/T+PPeXJTJPZ4MJJ7ce +en9DUhe6rRey4Vpm2RX0/gxb9T+8Xs2GK/wgY7zVX2N25AlR5sDFonfi6P95 +WapK+9PlwOmTRofR/yvjOZY2vCsHjtXM7EP/T8C3oOUcaw4cPOfDhP7fxPWW +J8lcOcD6Yjc1+n8KTuEuC4dygEExdwm9v89ZFP/UhHJg4+2pSfT+womC6sUi +OTCn9XIIvb/QK9SWtOI5MP5Rqxu9P22TNDVj6Rz4bPi9Gb2/qor5jboLOdA1 +5liL3t+zDWZn9ks50GxJU4Le33umv4/truTAk9mELPT+cspKX77WyoEyF8E4 +9P4Esk+n8OvlQO5GTSB6f/nkpDOeRjmQ5HfJDb2/R1EfcvrNciCcYcAavT9x +9sXek9Y54B111xi9P02Kte6QezngxLaijd5fCPNU8ohrDpinhShe3upc+rdH +znrngAEP1zn0/6rIuf5xgTmgVVh0Av2/g4/syb/Cc+CSyFk+9P+SxgnJsnE5 +cK7qDTv6f/upmTTSUnJAVOrmdvT/Vpt/9Mxl5YBA49QGen8dk68PKRfkAJe8 +xyx6fx6iTZI5JTnA9GbXGHp/8jpvOP9W5gC1RubAf97f7tmXqk9y4G/f8Q70 +/gJ4TkvlN+bA1M3GRvT+lglZ99dacuDbiFoVen/OfKK+Gh050Hd3uAC9v5dB +MzqF73Pg9bRdKnp/c3wj8+sDOdDoSBmJ3t/t8u03Nb/mwKPVGB/0/l4P20QW +juUAyZvXCb0/QZs9Yeu/ciCDtuouen/tOzc0NOZyICZc9iZ6f1r2J0fz/+ZA +IEuvGnp/NWqVhNXNHHiQbCJ7Gp//jSATVZpcsOVePI3+n6HCI+3cHblgQgw4 +iv7f3pfnmZZ258L1o+zc6P8l+h6OV+LMhSsPC3aj/6cn4Tqazp0LF09L0qD/ +dzZMfOMPfy6crm/7i96fgZjht4vHcuHYxWtT6P2dG9yIShDNhUPtE1/R+8sU +Y2OYkMwFVlXXHvT+sn6QL0sTcoGhl6ENvb/inCa9cLlc2Lye+hS9Px9mA7Ev +yrkw9/VoGXp/ol8C+05o5MK4aV0Oen8HqkHORzcXBn+pJKD3t0aIcH+vnwtd +9oPB6P2953f04jPJhZZlK3f0/lYZVy47WuTCE48NW/T+ePMPjTXb5UIZdeRt +9P4UI/9cYnPJhbwQbl30/hrsLJxMPXIhialcGb2/o3MJ1tV+uRCeAIRDW+3/ +2PkkTWgu+OzrEkX/j9N0d4N2dC445RgJoP8XmGnBTkzMBQuhWU70/5pog6Tm +03PBoNRnJ/p/TBcshS7m5YKWGAsF+n87pvYNR5NzQfFJ7jx6fwXFGbe/lufC +ORAbR+/v0MHFCpGaXBBtefkJvb89PwTfPKjPBUEV7c7/vL8syfJXL3KBq/v7 +c/T+TH4eM+B4lQtMuk7V6P0lG9MPmLzLBeohGjJ6fzPE7v2VH3Lh763EdPT+ +itTChf99zoXfE4LR6P3d2DhHd3kkF77ZPPZD7++34kRJ8kQu9C1cckHvL7Mj +9sDYdC68cRuwQO+PmlfmuuhiLjRSmBug90c7smzosZYLjwJXNND7GyfWib6i +zAPyzlD5HTgfVDGdrPR5kBHLJYX+31y0+2kjxjyI5SwWRv9PedzXvJg1DwIz +zx5C/28wlXRniSsPHvB37EH/L+XC3EkZnjywK7pJh/5fq5VJS5hQHpic/L2K +3h9FFbVAn0geXK/xmEbvT6qgV+2QeB6onmMcQe+vfuCzvIV0Hlx8kfkBvT/h +wQN0jy7kgaTiiVfo/XnLZMVtKOTBsc7GevT+GFJMfilcyYND2uoP0fuzdXBg +jNbKA7ZPw3no/VXcfLs+cD0PGIzsk9D7O/zDtYbHKA82xyjD0PvzSXc/a2GW +B/OWsZ7o/bXu/BRWaZUH47O8Duj96bxMLFx1yINBlypT9P5+qDyOvOiaB10b +stfR+wsRvSAT6pUHLX69l9H7I29KNHYH5MFTBtMLi+jlnM+g3xueB2VRi2Lo +/z1zcjtgFJsHeWyBQuj/XRB9v1GQnAfJaez70P+7sUwi/87Mg3CeQkb0/06r +UfOI5+eBT6EkFfp/6o1jt92K88BZpH0RvT+/t9oOTRV5YFF17Sd6fxT/tC/T +1uaBodTPQfT+tAcmZ1Ua8kCr0bULvb87Q1y3Y5rzQFF+ezN6f/djfmb1vc6D +829SH6P3x+tyg7SvOw9ENY4Vo/d3g9nJ3ag/DwT76zLR+3P4Ir0/fygP9ulf +jkXvj/lWRdDP0TxgGh0MQO/v3L6hBpHJPNhmbu2K3p92yIvn9jN58Hd6wwq9 +vz3HzKKrl/Lgt2OkEXp/0Z4dR1fW82BklVsbvT8pytXoc9RE6PMuvzS81V9O +zL/wYiDCG9oLZ9H/IwbWN71gIkJTeNdx9P+YfPRCadiJ8IjFmBf9v38p3QcV +9xOBnDzLhv5fmYqgTygvETK4fRnQ/3vEpF/WcZgIsUSWDfT+qA3dChlPECHo +aN4Men9TFb5W6hJEePBQ7Dt6f3u93TZizhLB7nRzP3p/cRfM9HpkiGBar/0G +vb8mc2U/VkUiXL841oDen5H9sfs6qkRQbXeqRO8vrmOXVKI2ES6q0hag99fz +Z7GlT48Ikr2JKej9ralOcHMYE0FYTygCvT/Q/ymre4cIh4Yfe6P3JxaxIZ5k +TQQ2M0VH9P5eqR+Z67tHhO1TA3fQ+1Pda/+A3Y0Im/bmN9D7s9P92KXjTYT5 +5RVV9P7OPDCbiw8kwoRH6MXXuF4L+4d7wokwSL3vNPp/z05SprLEEaE7pPgI ++n8mWRyHNFKI0MJ07gD6f8GlJg5RWUR4mtDBjP7frvap6Lf5RCjfp78N/b+T +Nx492FFChLyc38v/eX/vG08pVRIhWcjzF3p/Yil7agJriRBRyvgVvT/rvY9o +mhuI4COW9R69v836fB7KFiI4PznRit4f1e8pBsIbIlhA0xP0/uZ3Rza4dRPB +sEW9FL2/4rgIeNxPBG2Vb9no/d35+Cd8fogIit328ej9NSg9KTjxnQjndamC +0fsrUZ4Ot5wkwqmh2Afo/YXJxEHhDBEEb/PZovfHGlfSMLJEhH0/q26h93cr +j7Cde4MITLZyV9H7m/lwhfc6dT5sW+xVqt7q1IgvtPEM+bDiZnoe/b804cXH +nUz58Jti6ST6fzGsiRIM7PkwEhjIj/6fa0GLl+z+fOjfycGJ/l/VxeBED958 +eBNbuAP9vw3FEdfHh/OhifPMP/T+3DgHjswez4fqzPY59P6KmWwKjkrkA5n/ ++g/0/pzDSX9un82HzKKfH9H7OzQVRpMhkw+xJ93eovenEbZ/4sOlfAiq2f4c +vT+WVoMkRtV8cD+X9gi9v87lq3suaeeD3YtjJPT+tB8w3PTSywdTxfo09P56 +itzsHxvlg17n5Sj0/r58LdP6Y5YPqtpDvuj9md8rohKyzgfZT9bO6P29eWbv +aXAvHySNNs3R+2tiZ2hLcM0H4R+R+uj9mXxwHOzwygceq4Ma6P2dVn/SQB2Y +D2xz5XJZW71v6JO1dHg+bL9/4Qz6f9e6h37axebDv42uY+j/Jfm0nipMzod5 +P+OD6P/9kElRHMrMhwmGORb0/x6Z6h3bk58Pg1G+tOj/DQDjZ8XifOhm27OK +3l8w7xNdz4p8aE3L+43e3y1Dw5yqx/nwlEf8G3p/Zpdoaiee5UN5YXMven/L +R8vTDjTnA1FEpx29v+Hrxpc1X+dDctVYHXp/qnyHXgd25UOElHM5en/dH/6w +1vXlg28jbR56f7cae4X/DOaDs3xSInp/N0R6dvON5oPlG6FQ9P7crf68vPoz +Hww1aj3Q+5tqF5EN/ZMP2v2K9uj9iUXGRj1bzAcl/Y8m6P3J0vGSZ9by4fyo ++TX0/uw8h6P4qArglPmqCnp/juffy16lLwChP6EQstX2EWvNwYwFsM9pnxj6 +f1M1RnvqWAuAea1YEP2/LkraE7+5CmCbzzku9P/sq/9wHOQpgBXat7vQ/wvk +5O1UFyqA6XB9SvT/hO1zNHxFCmCEZXoBvb/JbQ65VWIF0J/sOYHeH4kzuem7 +VAF0cDMNovf3dIajhO1CATQRs96h9zfQtWiioFAA1UdPvkTv79C6xC/nywVA +fthUg94fZ/UgoVCzADJPaxSh91d+ftqw/1oBxNV/y0DvT7LfRpXOsACCLjrE +oPdn9eLWdknTAnBvpwpA788BOuPMLAvAXjXuPnp/7yOqJxPsC8C0l88KvT/v +qf1MLS4FoKf3yBC9v94UesoFjwJQG5bTQu8vuse9ide/AGTNPig44rz3eVzR +CC2AM1Om0uj/qQ0zkbyiC0DYYUkE/b+vxyS7ShMLgOdvIA/6f9MLyy2f0wuA +3ZODDf0/ReerIQx5BbB9G4ke/b9Dk1e5JMkF8C/kzDp6fyyhG/dNygtggenV +H/T++KJU82OqC2Ai4fooen9NoqpZDXUFMLRvsg+9vyYyxZ1fzwugO8ftNXp/ +Jsct1jnaC6BVaEcDen9aS5GGcp0FUFeaVoHeX67kvWi73gIoFxPOR+/vjdC+ +qPRPBUB8Up+M3t+jtdAb7cMFkAJXwtH7Kx1vXZz/UQARLUNe6P3ZHOzRP/i7 +AHxVbO6h97evrypOeb4AnLs3zdD7kyFYJzmtFIClbpQeen8DIdTm2f8KwGjo +oCp6fxcm3ejf0BSC9u2HMgZbLR/d77S4oxCUfl6QQP/vYMmBioMshUCw7T6M +/p+NtcZTJc5COLVovB/9P6B2ibnHXQhCD+aY0P+jT489ncFfCPsp/ajR/ysy +JZFbjxYCc9CeZfT+7kXUT/45WQg0u4iT6P3tu9CzwilZCCux4l/Q+2smz/TJ +nC+Eac6WbvT+Nhc5fS1kC2E0U6cFvb+XauprsUqF0M//oxa9v/vfUi/WqRVC +R5FzCXp/M73ruqM6hfD8JF02en/mWm5nd9wshOqapDj0/kIDOH6fulUIRecO +B6H3Zxk3YKV3txAyX9S6ofcXQXz+1MemEOIUlWwu/3ee9vWRHAshuPOjMXp/ +Ty33P3/nVgju2hY66P39sE50XfIuBPtPq4pKeP7tUKI4EFQIZkZh59D/szE/ +oyMbUQh6P/adRP/vfvHd++ZxhaBmVcKH/t+JxSHzqJRCkJ07x4H+3wPz9CPV +WYVw5v7b7ej/VRwlPf6UXwgim/qb6P3F69GwUpQUAo//9Cx6f5pctQSBykJg +3+41ht5fSGizpHJtIeyIZvqI3p/sm+P/bBoK4R9bdgd6f6trq/FxzYWwkHay +Cb2/uLMiK49fF8JPnudV6P3dJr06NthVCEOFGoXo/YkY9QpT9BfCe5GRVPT+ +eL011vmGCqG1yiESvb9hfvnUS6OFUCdF7Yven5NFFa3lz0J42BjnhN6fvlW2 +TOSfQiDK85uj9+cvz6xSsVgIKW8e3UTv7yIzHV/vWiFEasiro/dn+CP41RIl +CXz7P8iK4fv+WwphLz0JXPTNJNH/o9tL8D3LSALL0aWj6P9dKXCN12clgZF5 +EDf6f1bZ6k5eXCTQ+cPBgv6fLncbf84hEig5kWjQ/7t3+lvuC0ESENbOrKD3 +F7+Z+2dUmARiPq+m0PtbD2PeQSNGAiE6vWH0/gznRRYFpEiwP2KyB72/YcV/ +pQpAAuY9D9rQ+9uTHSxxR54ENCk76tD7W93RGRakQoJV7vQy9P62Z/U8LNQg +wTRROBe9P0HLDGKbLglGjz5LQO+P6HPizrg+CQYeXglB709xPuIvrQkJOk5/ +cUfvT6mr/pqgBQme19vYofd35XBTkLwdCWou/ruN3p/V9vQAE2cSFLVH6aL3 +dyJAW9PPnQRZqodU0PuLLvj9O8eXBHG9DwkH8PoeWF5rCiZBsJ7MKfT/lFje +x3yJJIHHcLcA+n9vnQRS1+NJYG92ay/6f3KV5vZcaSQwm5rbif7f1/e5HGdy +SHDDwY8C/b+LI73hOoUkUPu7ZwG9P79J6m6HUhLIeRLH0fsTWhT7HlVFgjPb +JD6j93dkh3lryRMSiIS2dKL3tyBe6PKqkQS8zFdfoPdn4ja3PNZCAvbEH9Xo +/XWMqF6i6iDBjv0uZPT+fjs2mXK/JwFFLl0Gen/rp1W0pAdIsCCUHI3eX97x +eaarX0jws/SwP3p/a0b1afbfSTAk9sQFvb+490VL4ZMkeP9EyRK9P6ugF4dI +MyRog08G6P2t+dJxvVwiQV2LhSZ6f4LtHiND6yR4qLImT4/rc/2I218qMuR3 +h0mh/yd6hvEbCwMZUnT3i6D/x2N2kkOEiQyRQyWH0P/rGo3bf4mNDH63z7Oi +/2dacW7WaB8ZXH6+pUP/z6vzeIIbDxmsbA3W0Ps7KW1DHy9EBqPF6Wn0/tbn +NpVLRcig88BrBL2/oaXvN1vFyKBMydyH3p+sLD/hqxQZCEHZr9D7Y/zQNL0M +ZBDbJfoMvb8kUoMNswIZDsc9f/if9/eEp+HwZTLs36tJRO8vgHp68IImGXZn +jSSh9zfsLfTm2jUy0AjcC0Pvb+zY+2A7AzKsFlF7ofd3anOWLdiEDH9Oxjug +9zc0E+qQZUGG0Rp+M/T+bNezM2vsyDBwrvo6en/jnGdS3zqT4e0L+Svo/dWd +1zb97k6G54p9F+a2utd45d+qLxlqOs3E0f+Le3DMYncIGYq0l4XQ/3vrPZ0n +FEWGrE9B+9D/6zRTKD2fQIZ4I04m9P90eSUDtdLIEPyDRPWf/1fYfNw8hwwe +VlJL6P1dm5wr8Cwkg8Pcq5/o/eUOtUzHlZLB7L7eEHp/ex1laMlVZLixOdmF +3l9nlvnvZ0/IoO7/oBm9PyXNi8T3jWSQ276zFr2/YPd3R8dbyCAVnV6M3h8F +E5vP2hsyiLCLZKH3l7XCXsj0ngy86c9i0ftrPfYxnW+ADBy8qoHo/WnEG96S +/EKGHaQvruj9RfOULSt/JwPFcVtr9P6uPG+/aTBJhsWqf0bo/bUbVcXYz5Dh +p1S0Nnp/tVP2if5LZPjSeEhxaKtVNegtk9bJ8F6+4iz6fyfd3JiKqIqg7Y3M +CfT/PDQ7/Orpi6Be4z0v+n8dzZTtnYxF8LD/Fjv6f8J13IPDrEWQrz/PgP6f +wEGhpjmuIkgd9dtA76/6I7fjNp4iiDRnnUXvT6uH4S+bUBH4/SF+R+9vdv6X +ipBIEbg4SQyg97fM+8r2jFgRWK21vEHvT+FS/m0lqSIw9rnaiN6f5CV/IT0o +Ah268Ur0/jLpLeos5ItAOcKlAL2/Vju9gw9UigD20Kei9+dkcl0rTKMIxFKS +I9D7G2+z0E3TLYLDB4/4oPf3wTP2WLF+ERzIf+KI3p+NVe+7p7eLYPcx5bvo +/enfE5d7bV4EtBWfbqD31+JUE/zRtghWT1uqofd3UccgY8KpCP7Ur11s2+qp +uRO+yw+K4PvF8NPo/2mfOCFJ61sEA+37j/7n/00a1rMGF8Fb1dID6P/9o27d +zRdZBC96z+9G/69Vz1RSNL4IavQ6t6H/p/FWRgRSi6B42OAven9xUobzl7OL +IMvszy/0/tbcn4foFRRB/JTXV/T+bB2tZu6UFEGIA3MPen9a67eFnCqLwONv +dit6fwEzxcd9a4vAwVP0KXp/N4UVaaMaiuDOthel6P2Vhp4rS2sughuhmjno +/bWMhQuSXheBOvNoPHp/1hznbR91FYFc4r1g9P5+/VUPbuorAqn929zR+9u8 +1WHfMVgEx3PjbdH7+yZSdWxgpAh4DwvcRu/vsihDzehEEXCUVV9F789O7j3z +n+ki2CmuoFy51evnWc6tLhQBxdO+8+j/cS10SNKsFcEi3BFF/8/2HO02Zspi +mGxZ5kf/78DU82wuumL4ohLMif7fi35qRoFdxdDTzbkT/T/XnncqJ/YUQ5su ++R96f32VR/Sk9hZD/ZDUPHp/04psUrIHi6Hi9usf6P2p3U2cuCxQDPk/9T6h +90cz+vDu1WPFkGr76y16fymhd58YihZD1OKD5+j9MZ18/umuZDH4PdhZjd7f +z7yGDvvzxXCfMoOE3p9QjUmUm2wxWAWJpKP3J3/6Ma+fUjEY72qIQu/v7PyT +wDC1Yrgap+qH3p9PnV1dnE4xKO/96ozeH4P+16a0G8UAWbYW6P0p5DMk5RkX +g7gAhQF6fwLG8+eL7xTD4eJojf+8P/3s6krrYjggyiOfjvNhwrr55F4x7H5c +cQb9Pzk5LY7nrsVAe/6iMPp/vS1GlO1exbD24v1B9P+YHl2o7wwohj+Kt/eg +/9c3sHTpQ1gxfO+cp0X/L3QjkPg5phg+avuvovfH8Guh+1tSMbz9xDqN3t9D +c7mu8YxieGGU/w29Pz0Z16zfecVQ80PiA3p/t6SSYJ5cDMVWre3o/WVx5j78 +W14M2XNX69H7u5ufMbdRXQzx98fL0ftLyI+goa4vhpBNl7z/vL8vTj/pXhSD +pz99Enp/v1j1Mne2F4PD9pRQ9P7O7SLw7+4shjvRRzzR+4MwgQdsvcVwk/2p +PXp/CpqseXs/FYN6urIpen+8AozpB4aLQZ738zX0/vhb2c14fhSDFMnyMnp/ +B5ZFKQWmiuH48XUIxP3ietv68Fwx8D0KF0P/r3JXedGxv8XAIX1ACP0/G0fO +J8c3i2FnUykX+n/G1nnJottKgFKBwIj+36fHWkri20tg8U0nJfp/JBaRN6eZ +S2BSw3ARvT/uc2LcUuwl8KX/zwR6f9vnzC+e3V8CPfreg+j9Nc72Sp7nLYH2 +UeYu9P4Y/7psEg6XQL15zkv0/pSeX028cLwEKv6IPkbvT47B6Z+MeAkUOL0o +Qu9PM6xPSla6BFLXNDPR+1Ok8pKXu1ACUT6jMej9eRx24JVXKAE/OscA9P5a +H1d1yV8ugfsR21zR+3M3v6SuoFkC1nsSrND7u790MkfhWgkYpwgYoffHzuH4 +UsGgBK4erNFC70/Uj/WxgkkJqOQrXLLH/TDH5q5gUQJwrF8a/b8BLncWBbsS +EK+4cxz9v/wqZTd55xI4IvmXB/0/e4eAKjn3EjjwLJgN/b/snScbZH1LgEV2 +LwP6f1ySqqkXg0uA9hV5Hb0/pYLRSzKRJbCmKj2D3h8H40IrxJfATO/rUfT+ +Znn8OAipJfBd70Y/en+v4uOlzmWXwMfhX6/R+2PaKywiXVACnWbuDej9PTW6 +MidZUgIvpnZWovcnc2A9WKKyBB47ZOSj95f17+zsqdoSKP4rkoLen3ot87GT +DSWQ7dkQjt7f1WWP0yLNJZCwTc0bvb9TVkF7jr4uAU7NqTb0x/4v/6pGPM4V +PZ679WHvXlTJE67uXJ5Cf+dDjXdyb6UpwcRR3Aj9nSZBbSW+u/cJu6xVetDb +WZTPdf77MIDguXRWAb0dgU+ehODZaMIoM+0T9HVubb94lcI0mXC3nnwMfR2F +YHPqmynZhDMrgpno6dzt4LaVKc0neLd5MKOnM0F3UprLpphgKVTmi34Ow6un +XBO/HxL499YuoJdTpnktTfDBI8JAepoZejn0MfLhzwxqCeWV1wbQx/EhqQzl +JNcT+vX+KKGPU/BT64JJfBPBNcGwDn2cezkBEnF0LwmNt8jC6OP0eb9VGshv +IfS96MhAD+fC5PKKiG07Yaz6FSN6OLKMO5ojb78h7D2X7YX+jfWggtCibych +VUd9Br0bLjeW96U/ughxVJ+M0LuZVxY2MMh5TxCRPd+Nvs17pTuuIfa9hFgO +Nxn0bY7l9JkK6/YRJr2iK9CzuSVx1ExOc4Bg6O7Ng57N5YyyZ523PhH27VKO +Qb/mKdeVh+3BgwS5U78p0K9higvzPdH8hUA7ZW43utVjsq3nvEOHCUHSTV/R +q4kLCrJOf/aNMHNgRhW9Gl2zx0sWayME88SVZ+jTzC10P5iU/U7YRxwURp/G +Nigne2/SGEH4UkoaejTOpQSG5YUfhFavE9vRoyEfZ9bz0Z8gMKpm3Ud/Zo72 +jeTjrp8EkcqJH+jNfL/lcClJ9RdBqWSHDnoz7Om6xw/1TxFCz9G/RF/GW4Ir +Vt1imsB++8tJ9GWmf2mF79k2Q2A9FJmJngyNELe4xsAMofgex070ZJ40PYwb +rpglUBi4ub7F/1vrXDaboucI0l9rfqAfc4Oftn3WcZ7gtdyhhX7Mtz3scg4G +C4TFgvom9GJyyMGtMlcWCc9/B4igF9OywyzPUGaJwNBxOBV9GErToeNvpJcJ +szL5tOjDvBuRe+Yn9ZeQrbbmgB5MadXevODzKwTpxaNf0X/RoqGQ+KiwSvh+ +SlwF/Ze/VC/bHHXWCC/oOR6j9xLWo/1Qz3ydsOLcw4vey0pSp2yo7wahzMM8 +En0Xc3O7fqqcTcK2Ax9XavH/V92cppfN/wgHdXhN0Xe5WeVy9IczBfAJyneh +5yLdRre4i5ESxKJlzqLn0vh5enKgkBIc4zgK0G9h1z/+WEGOCuhOtjGj36J/ +/PM23VEqYDFTf4BeSzPpgBdDADVUS1SNoc/yOFW0W//INmDN+qOGPgvVJbMC +ra5toJlL8xQ9lpXb3EWTrjQQDYt86LHkcHUF8QrSwpxzQwT6K700NL9We2kh +RdF4Gb0VW1E9r3uBdFD4aMgIvZX7e71nwqTp4Xyd2OvcrVYhS0/KztKD583b +YuitvJQ25kwrYoDQZKt09FVMEr4IxphtB19bNRr0VSJ/P3wuILADQr7tsEFP +JXv0Z/y1HzugYTqnD/0UUb+yy0eKdsLFpN2Afor4RcmEJLtdIPFZrxC9FBGK +Lq48KUaoferBhF6KMc1AiAoNE0xJuLmgj0JJ9SIyuocJ/lxW/4oeSgXt9l9n +NJlh5N8/BfRQEt+LBS7XMcN31ZAy9E/k+8T/UAvtBlbpKTb0Tx5KWK/rx+2G +uOeHPcK3+kWrgj8NJQt4jhG+o3cyxyyhv2rLAov5x1XQO5m4XK1/bpgF2Kn/ +VqBvsstE9eYbjT1AuZHKib6J6Ez0icLmPfA+jsMLPZODZznK3p1hBXKb9Rj6 +JQRCQJlcGSsQkzNU0C85mhtMRyvABsO0hRXolWg3DETtyWADN5ZQDvRKMpNP +c1uzs0NMnYIH+iRr361CWGLYQYZqaAQ9EloadRL1Lg4o/HFZET2SEroGjQuh +HPDtbmKpC84XKfNmOz0n7Ah5woIeSbb6aFZaMCdcvFTjgv7Ihz1Bc08Y9kJu +XvggeiMVlZHH+ML3wqXMczLojcwk0/P2M3HBFcnWfPRF9IZ2ln6I54L/ae/O +n6n8//+By05KSQjZdxHZ14d93499d+xCSFkKyRaVIgopy4tCjrIkCpVKSRFJ +liRliUgK2X09XjPvz3z/iNeP97muX87zXHPNfebM/XbeBYvSoy9C1Gz+h4uD +A2Z0fILRE+mQ0h2uLuaAR42ne9APMXg6IHVJnBMc3wbKox/CVdN4ov4+J3yL +k89DL6T9qESCsOZBCOse2EAfpGArWerr24PA02rrgT5IiDCfzYQTF9BakZ6j +ByKt6/VK5gcX6MUPC3ts5zxik8frU9zw23wyDT0Q/xzL9V5qHhBueT2L/gd1 +oukRfwseSE0bOY4e7swLqVahd5UQuyfEFz3cXbUxWvx9lXD8KpkTerjFo6FZ +3J8qwZczwww9XJWtPQ0cXyvBuZhXywn7YYMrifV7JViI1Mihj2v8yDV8389K +0K3SEkUfN7yGeRfjQiUoy77nRB+Xxigxgn61EiQfeu5BH1dAorqWiowE/BoL +FOjjhlEXPCWjJgFbW+Jf9HAPnrEsWttJAgaT/T/Qw+3WfmO1tJcEO3pKP6OH ++2yVqe8XKwmW7OR70MMtNxUV/3GQBNPDbS/Qw20ZY7Ia5yfBiKddI3q4rb7v +jUZESfB+arISPdzVmOD9A4dJ8OpYZCF6uJKdk9U9ciRoXqTNQg+XtKnF80aF +BNWnclPQwzW4n+D+QpMEt3aInUIP1+4aKaxFnwTXUx4Go4f7wPC57QNTElza +ZUxED7c9pIPhHoEEiVeGbNDDDb/XllXmQILIA4GGevj5Kxt/FrqRIKhgXRV9 +3HbqMvZcbxIQBS9KoY87RMxmyzhKAts7BwXQx7UlJn0/F0oCY+kqVvRxm26d +SjsTQQKNB+o70cdl7j61GRFDAlm1rk30cGfiU3WOJZBA9Jnbb/Rw7RzKnH1S +SXDQ8Nc4erizS0N6LpdIwNR1ZgA93K4VYQrrbBLQ2Ox9ix5uMdnlDKPrJFgb +LHqCHu7lctbfGkUk+OV+pA49XNPMVgGF2yQYn2i9jR7uHvssUQkSCQYCCdfR +w825dpmMv5YEnb+/paOHKzb7sJytkQTPIsPPood7ZYFFcPdjEjzYpDyJHq6g +YVkYxQsSVCZm+6OH+zApLHP5NQkK6YVc0MOt1YlKnH1HguzL9Rbo4S5xPzH4 +2keCNBZ9Hant/Oee0UjfJxLE5n9UQB+38DKnQcdXEhzn8xNHHzfSTinh8XcS ++JUtc6GPe/ZGyeXanyRwlkxlQh/XYNUj5PYCCSzrDlCjjztCeYLv+ioJdJUr +VtDDHSWM3konqwLlJ8qz6OGGxlRsxFNXwWG9ji/o4Sqx9gqdYKgC/jdOvejh +BtZ78PkxVf1fH30+Z/vLka3qvz76Xx/9r4/+10f/66P/9dH/+uh2H93sSLFA +jy7vz7WWojweyIziqkV/rr1x7ULsOA+staXvR39O+bRB6pYULxDq+iPQm/Ot +tSoQiOGFWs31AfTlTlT8eTjbzguyx1ZU0JejiaN75cjKB7Oq726gJ8fIG1Fz +1JsPVirObKEfV24l4MNTxwfHGuk90I+bvL3RGUnBDz5+wa3oxVUlL/SHE/hh ++cEdfpPtfE5o6xhzCT+olrUmohcXeZntjN0iPxgq1Y6jD9d9U2NRTV8A5I/G +6KEPx7Bx6tHLXAHgUOe9jR5cgXBvy8KMADDW3KRBD677qN2P5xqCIPJy0Rf9 +tyuTbBKK2YIQGyP0Cr0361SpELNpQRD6ICWC3tsg46NCGg0hkO9kPoe+2wH6 +wQrPq0Lwwq9nEj03ho+10cRZIZgq8ddHzy219Pg6ha4w1J8dvIV+G0e4IafB +DWHQJhekRr9teE9Am+SSMJTyG3qj32ZygHHygbkI/JrQe45em9DqyYBv5SKg +YsLFj16b5tyYajWlKOQQuuLRZ/OgKDLidReFgxsOX9BjY9mzHCfTJAqfDVrU +0WPLalZpn2QTgzn59Xz01y6cbWVSPykGXi/3raG3RlsyaSDfKwaWq5QO6K1p +yu20f3dEHNq7uurRV0tgzTzEkCkOI/rhzOirra+M10zNi8Nt4u9Q9NSKHU58 +9LM6BLI8Rl28+H3H1yan1h6Ca2dOHUJPTekcG8l4vwSMJSSnop+Wb82rcDdC +AiTEjk6gl9aWY763blACEo+LaqOX5torKuKoLglrbk8L0EdbIqj4FRVLQsnc +kXX00KakmFtSaQ7DZb5Ye/TQFC0NWfYFHYbu5eI69M+O+oa7qL0/DJFhRXvQ +P5NdOaBBeVAKsi9FBaJ3dudmttxDCylQshB/hb7Z48PxSU8SpeBkXT0/+mZ7 +uWSEWBulwLGJIw49M2lNyt0ts1Lw19dxED2zC33mMvf5pMH5QZgcembO8ccv +rNtJQ16F12X0y/KMuhizLkrDY02pH+iV/Sh8UXvqmTQMnv6gi15Zpv2j4NoV +aVh3si5En8ztDquCitQRkBmpXEWPrEhRh2Kf7xG4SD1ijR4ZCxWpXfnmEWDv +ma5Cf2wo+smZmg9HYFLzHe36v3v5Oa7oXTJAYXuJiN6YMRUpL0NXBk7tFmlC +Xyzle/D0cowMuBzN24++GAsTifJevQw8CJwIXsT99sToSP2cDFxjYniFntg/ +Emlhu0RlgdZlJy96YsMsgrW1RFlgMxmLQj/spaxsTnm+LPSOXO1BL0wnToVt +rk8WDNn4xdELoyI8FU3cKwfxi+cS0Ac7HWr2zM9EDs5HvBpCD6yLLHgoP0UO +Qm6OyqAH1nGe5MfzTA7UA3rPo//Vt+OGx59NOdgaLPyG3teX+s6m/Sry8PiX +ngp6Xy6eH0NSIuTh3J22TPS9DguYhRvUyYPPTp5p9L0Ko98028/Lg+cegib6 +XtYmP7UbJBUg7aFbDnpeqt8sN3wCFWCcTm8O/a7U2WtfvCoUIGaTSg/9ruIY +z7Hq7wrgklOQj14XO73jlqmwIqR/ZPqDPteNIH4RJR9FONDkZog+1+yEv83x +UkWg1UsuQI+rm20wZn1METxPJC+iv8VIz5/TK6AEMkbuxuhvOQgu5a15KcHZ +5/uK0NsyVaKJCS1VAtuxwiX0tVwjGeTkJ5SgoZzG5DWeR2RLo6GwMtxjNihC +X6tVtn9HpZ8y6AkSl9DTmqFjpXSpUIb4IRtj9LS6G5UfOM8og78CfyH6WVf9 +qZjuSKoAhdLrBfSyyIpVdhqEqoD9FyND9LL8zEtyZepUIEii9Ab6WPVPaeuC +/qqAIc/APHpYFpWylkvKqvCnYUIXPSwOoSXft7GqcOzv21z0r46WH5z73aoK +L4bSZ9G7WtsXO+xDowbL3qKa6F1N35oRFTVRgz1Xb2Shd1VBrdmhlLGdA39M +om9FrmLTkNOnBpuTTCroW61VUX3X5VSHYTrmdPSsvklJW2oS1aGu++cX9KtU +fzdMp5WpQxL8I4N+VXPKmTt8c+pgQ5BORq9KZyoojVYeQHhXbj/6VO9D/WNV +YwDIggbF0KdKHnGJbXkOMBq2eBo9qlei+Rr61BrQxTXdif7UAdEFdVsxDXgb +0sCD/pTj6F25C2Ya8MnPPQy9qZoIQ56pMA0go/n6DL2pZ1qqq4HXNEDFWG0/ +elOVrykfszZpQLpcmA/6UlTtPAFTXzRg7cWZB+hJDYow/h2l0oTEdT9a9KQq +qS08dohrgtiAmAP6UQH3vEr0LTRhzqmtHL2o46Vdj+pOaML7RJVV9KEyrzL/ +Y3BdE/oIaUboQ614N9hQPNWE1Vc1eehB6Qlrdo1NaIL6WN00+k8R3PI7Zxm0 +oLj0sjL6T/PPuak4ZbS2z0cvDb2n6McpDaEOWtDB/mEAvSeF78s8P+O0IL1T +TRS9p0rDLaWMW1pwTORMJPpODdwiW45vtSBQJP8lek45H3cEGi9oQVJnBgt6 +Tl8YOU8TObShgd3DG/2mmBwa8UItbSDfRV+HXtP9MNtwygBt8Cy9QI5ek0D3 +dcKlDG3o+/bdAn2mRtbA5xqN2uD0iqsAPabGIedWtlFt+GklOYv+Uss3SkNW +Oh04n8Cqgv5SrfA6QVVaB6QdB8+Fb+eP74Y+pzjowFDfyT70lh4qqY+vxOtA +8soMP3pL6j9biJkVOiDRqhqKvtK1O6tWlu91oFPKpwU9JUP3S9Xy6zpA1PXf +iZ6S2CHOaC1BXZjY0rVHP4norlkWbqYL1u7rJeglBcQVKryN0IUynwvz6CPt +UvvEb1KkC5+YltTQR/KJzfGbf60LP7yU0tBDmnCI3tG8oAtdzrZ96B+Zs4pM +V3HpwdlVEz70jxoPG3K9NNCDDbWDwa7b+aJpci7VcT1QFn7ZiN5RukmqXcAN +PThSb0SF3lGP0gZh8aUefJ6+bYG+0WtCetqt33qg+OLzdfSM1Oinl2IO6oOC +7twE+kXmmy1Zpwz0oTdgQBr9ojjyZreC4/pALX/jNHpFZezZhKmb+vDylvJL +9IloTq8cdXitDwzN1XvRJ1K4eKNkblEfuiLJndEjUpyQW7nDawCUg4duoT8U +ZBHpnW5qAKVfpH4Z4vu0jWP8apQB3LqwWxn9oZ0n+o+/KDWAreEXCegN6Tf6 +7mLv2b6/1/Yt+kLefImkrE0DuBTylAV9obGQKXM5cUOoqaZyR0+o7qr1rzU7 +Q6DM4S9HPwgeJKR9TzSEKH6O3+gFeQvLs69VGwK19YwyekGJQYeuy4wYQpHo +tQT0gaI0RegyGYxAvYTjDXpAJRdm3FiUjeDdsyhm9ICm/dWuP/E1ApNz1c7o +/zwU/FJ/OdsISudbS2S28x7161XJz4yga4s0g97PKaJ8bPG8ETTXhMmi98MZ +Hs07xm0MHvR7T6PvU5UknWVsZgz3qFOfoedzfkiop/+0MeTdHqRHz6fih9DH +83eMgWmWygr9nkqXrZteg8aw8yN9Lno9g/Rxgp50JnDCe2oEfR7xzRM+KYom +oJVTJIQ+j0n4fZduXxPwOiYThB5P4RAjjeY1E+iYvlmL/o5hr71rX5sJ+NGP +rXDh8yzl7ZaxZALineQa6O/M/aanDhMyBSr5tST0dvZY8ZpF2prCuObbDvR1 +BurOyJQkm8Kj+ci96OsE1pHXLNabQoTupi16Om84zr4InDQFWjWXfPRzhicH +/enYzMC5P3sUvZwg/em8DgMzILKWCaGXo3Y93bo2ygzoVq8cRR+H7OTtzCcV +ZgBnHO6hhxOQv9/yz5AZzJcvL6B/I0H16LzxLnPYFRGqhP4N/UC0eru6OcRP +Pouh3c5dYUregSHmoLQ29xS9G0+3T2uyxebAX7NIhd5Nh4vRJl+vOYgzfDBE +3ybvrpwsB4UFqNGlX0TPJkqb+boCpwXolfN0o19jvL++2kfOAqRmLjCjX/Pn ++56TZWYWMNXdY4deTSQHx8S6rwU4Ov7OQ5+G6p+OLc94CwhJ+jGMHs38bvan +w3kWwExo4UGP5mnKpnBAnQUceRbgif6MSFfoEbpOC6j58KsUvZn9GkGfH05a +QHyaxfd/vZnOadG4HZZgMtsfgfui//1+fntFvxr9/P/lb4o+l/D/a/6XMz6I +prn8f9eTR1PF0Kuxpx1nsuWwhMrB/ED0aZr22V0DOUsYOpFahR7Nm3uq91XM +LWGjxvwX+jPPFTMDjPwtYTVjThr9mSM3re8fTbCER0z+x9GbWQ2Mv1JwwxKE +5Zrq0Jdxes1CMfFgO698X0RPZj58Hzn0WEKx65w8ejKPn59Mr5ixhDjvrgj0 +Y6TuaNwSobGC0l2pDejFcNaG6jfyWsG6LecKejFdZDtDnFWtwE8zVQm9mD1W +u7mY7Kyg501XFPowvJsx5oOhVsC1MteIHkz7ojP5/QtWcKRtagX9F5tnVbLF +t61gUaZZCf2Xf9IixoparcBQKyAKvRcBuibGumErYJn/1YC+y9fs+Lr+ZSsA +Dcvlzn/31p3vGJkJUHb4vAJ6Li7yZUSHwwSQe3zzJPotxGUWv/tGBOj4ef4+ +ei2qgnwj/D4EMG2xWni5nc2Ye16UxBPghsSfI+i11NUfZle8QYAC1aBQ9FnM +rukMDjcQQP7Hk7vosbQNslNe7SWAttLPWfRXsqE52/0XAcqFFsXRX9nJpXBR +jcEajGo/+KO3QvDL+n5IxBpoRi7dRl8lpmK0VFLHGp6W84+jp3KW/tAzLXdr +cNyXwYeeipFBvLr/aWuo5uh3Qz+loXluf3GONZS1Luejl1Lumqw/W2cN3LR/ +BmpwX9to3W3UbQ0LP9pY0EupXwiofjhrDXTB4QT0UdreD4yr0tuAavraJfRQ +LGtqAruFbOCoifMb9E9yk2l0IrVtIKLsKi36JzSj0/7S7tv3F1fooHciP3Ps +0/ppG8hTyjlz69/nqSJ3MNcGgoPdmtAz8WqtKnhdbwNXNciW0S/pHs+YefPe +Bn7ejZZBv2QqwD929JcNWDd1BqNXci/OmEC72xZKvdfL0SdJmjHz1xS3haY7 +FOPok0gzn2+5YGALEelj3OiTzPxhtZz2toV7uwoc0SPx4d/N7ZhgCzqiMtno +j7ztTRb6VGgLu0YLu9AbGeq97h3SYgsTst/p0Bv5mOY7yPLJFu4I0OmgL1K6 +TJnQtWILcvcoYtETaX94ySWP1Q5cP358gH5IGK2AX4ScHezIS5pHLySx9nOh +D8EOlv4yiKMXUiAyTOUXages80Fe6IMIH1PLPnXJDtSSym4k4/mKcpkWkewA +6pr60AMRy7opPtBhB8sxFYzogdxM+SzJP20H6l9DDdD/SDq5aR1Haw/fvzDF +o/dRGcydPytkD++iLjai7zHE5kkerGsPHZWj8+h5fGv4kUTmZQ/3ohjF0PO4 +JfFJoPSsPdh+YSGi38EzbzfsVGQPV778zUWvI4wtgSTwxB7Uomu60eeYIZy9 +svXZHkRJenToc7DMRV+c2bAHiag6jVB837zLzP3B6QBcn9ci0ONYiv51f13F +AbqGOO+ivyG789EXbicHYA1jnUBvw1CZnc0m2gFeFf7gRG/jhLO8Y36uA9T7 +5hLQ1wj0UCxdbHCA+208aehp+Pca/nXvd4Cc5vgn6GdIf8oy+/zXAY6YNC2h +l8GjolMexOoINmHvDqGXoWieQMWo4AjvD7cQ0ccQZor1aLV1hLjEpBz0MGwP +eDxKOekIoiFCnU64X8922Ot21RFKfhdSoIfxxzrbw6DeER7vXFRE/0LxsVaF +dp8jmDziC0bvIm7g8pTFkiNw7BD7B32LaJ27B0NYnGDjE30/ehbDqp06RfJO +8NjsBQN6Fp7XuVzGbJ1AxtZBE/2Kr73dXkoRTiD8p+0EehXmqwccC685QYDg +7gr0Kd43iKocaHCC1zOSn9Gj6HSQpS7pdwIWQ1Em9ChmWoMaNVacgF15Q1dv +O4ufpCfMHXCGW48rotCfmMmA7rvKzpA0IE1Cb2LjndaRs07OkJiS+QV9iYo0 +o3Cf084Q2v5mH/oSrTUXs51vOANrwRc99CSqBrSzvFqcQYu2Mwr9iBOHSoNj +R5yhhTK7Er2InvBpvgoyF7DNkhtBH0L5gOndSV4X+FB/dy/6ELOcNEwK2i5A +FUihgx4EBQ/BMMfLBe7VSp1E/+GUWyBhZ7ILFF1UKJPazvr30yUv33aB4hXW +QfQeHHOW+oXaXSD6b/dO9B4aOEctuqZdYCHZWw19h/g/57NSGVyh/3ZPMHoO +lEflim0kXeGnw4FC9BteBnNGyli4wma+Ujd6DfkpZ5j5w1zhXYgMOXoNd4aK +TghkuYL4B2oZ9BnOSj7NVah3hb72Wk/0GIRHueOc+13hmplyFvoLvL+3+K+s +uoKcZ95z9BbOb/yTPMTpBidpehfYtzOfmnmpHLgBj8qkAHoL9ud0Y4s83ODv +xntr9BWcrDsYuRPd4Kl5XiJ6ChJ1gvZVt9xAU0q5Dv2Eu/Z5NubtbqBeUPsN +vYTay8eoyWfcIDqfeh96CUkCJ2U9adyhQVhGC30EI+pKtU42d2jWUApFD0H0 +7MZBLTF3MJ5kK0T/oGktvbdFxR0EOXo60Tt4KJjkrmPqDrs+eW2gb0BNTvW0 +x9Udnot2i1Nt5+pRmUX/kO3rlKyO6BvQeius0511h7IA+XPoGVw9Jfeh5oo7 +2Lkcrke/QNbLOs6r1B0GhnaMoVdAT3q4wvXAHebGSXvRK7gpc0n76yt3cDgl +A+gT9AusOFUNukPf9cxA9Ah2b3BrJcy4g5B+Ry76A3TnxBfdN92BJma4beHf +vZN2uP4eD1BQa/+DvsBWWEaLPJ8HhKek86AvILKs+l5S1gNinCRM53DPFn2s ++rCeBzA2lkahJ/CEYGWvZO8B4wV/S9EPYJJgfGkc4AGPGfl70AuI2upa9znt +Aba0IpvoA9Tse7yclu4BfqnUYugDqJDTPHxQ6AH3Mlts0APwqe2B2RoPmOa3 +iMf9v4ebaar4Cw/oUn1UiXt/pfTi7LCPHsAxvPUR9/0zrJuerVMekELJRYH7 +/mCBC3/Y1z2gs2a/5L97fvEo7dO7iVA+OWGPe/7mxXmrCR4idBdnJOB+/2Ye +P6+DDBE2v+2vwr1+6lkVUq8uEaYqjvfjPn/Q23fJ3p4Ixn8ryHGff9D0w9p4 +ABEGXj06hHt8rozaplMxRDDlvmWL+/ufg1KK7JeJ4Lzqfwb39vP8p4OeFhOh +2YGmAvf1Y/efO4XeJ4KQWux73NPPiCmTi70igllJ5zru5wuCGNx/DBLhe/qy +IO7ndzUeDb8/S4Q762tmuJ9/m3NO/RyZ5//1WeqpK82e+zzh/wEEe+uI + "], {{{}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, \ +{}, {}, {}, {}, {}, {}, {}, {}, {}, + {RGBColor[1, 0, 0], Opacity[0.2], EdgeForm[None], + GraphicsGroupBox[PolygonBox[CompressedData[" +1:eJwN0UVOnQEAhdEfwoSwCtbAThgRmJHg8HD30uLu7i5tKYWHu7vuhzM4+aY3 +ubHJufGhyCAIIigjJToIUkkjnQwyySKbHELkkkc+BRRSRDEllFJGORVUUkU1 +NdRSRz0/aOAnv2ikiWZaaKWNdjropItueuilj34GGGSIYUYYZYxxJphkimlm +mGWOeRZYZIllVlhljXU2+M0f/rLJP7b4zzY7hNllj30OOOSIY0445YxzLrjk +imtuuOWOex545IlnXnjljXc++OSLpJggSCDOn4kajrKJbb4BUL1PAw== + "]]]}, {}, {}}, {{}, {}, {}, + TagBox[ + {GrayLevel[0], PointSize[0.004583333333333334], AbsoluteThickness[ + 2], Dashing[{Small, Small}], LineBox[{1, 2}]}, + Annotation[#, "Charting`Private`Tag#1"]& ], + TagBox[ + {RGBColor[1, 0, 0], PointSize[0.004583333333333334], + AbsoluteThickness[2], Dashing[{Small, Small}], + LineBox[{3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, + 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, + 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, + 2905}]}, + Annotation[#, "Charting`Private`Tag#2"]& ], + TagBox[ + {RGBColor[1, 0, 0], PointSize[0.004583333333333334], + AbsoluteThickness[2], LineBox[{1205, 1206, 1207, 2906}]}, + Annotation[#, "Charting`Private`Tag#3"]& ], + TagBox[ + {GrayLevel[0], PointSize[0.004583333333333334], AbsoluteThickness[ + 2], LineBox[CompressedData[" +1:eJwNw0VOAwEAAMDlSHgFX+LKjQSHFoq7u7u7u7u7O/yHmWRiE8JxoaggCCIm +RgdBksmmmGqa6WaYaciwWWYbMcdc88y3wEKLLLbEUssst8JKq6y2xlrrrLfB +RptstsVW22y3w0677LbHXvvsd8BBhxx2xFHHHHfCSaecdsZZ55x3wUWXXHbF +Vddcd8NNt9x2x1333PfAQ4889sRTzzz3wkuvvPbGW++898FHn3z2xVfffPfD +T7/89sdf/4yPCYJ/+a9L0g== + "]]}, + Annotation[#, "Charting`Private`Tag#4"]& ]}}], + {"WolframDynamicHighlight", <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>}], + DynamicBox[(Charting`HighlightActionBox["DynamicHighlight", {}, + Slot["HighlightElements"], + Slot["LayoutOptions"], + Slot["Meta"], + Charting`HighlightActionFunction["DynamicHighlight", + GraphicsComplex[CompressedData[" +1:eJzs3Pc71/3bB3ASIpIZCS3ZMkLmSfbMyE62SPbee+9NRklCRkJKkqSMimhJ +aSmyhUIK9/k+7uO+75/u/+DbL9fxuD4+n8/rfb7O83keXUdXh+w8DB13kJCQ +kNOQkBD//O9f650k/8+vwfkjOU8vrv7v62f5+lkeomPqfzKftH6p8D/Wm1hv +k0Ib3DSn7kTP6bVfURV7q6AqMbPZgW6hmtM9ZvNeQaY9eOk+eopWictr6pPC +caXdE+1ozYH+fdYTXxWO9Ba/u4fmso3hN4r5rsCqK/i8DZ26UpTxVfeHAu2r ++5130YkJDRdGVGYUSM11m++g74QayuxwnldY/fTxeiv6jVTObIHlT4UZB/dL +t9Eay2HS+9iWFT7PbKW1oF+9+6ftNLei8NozI6oZbZnxNefim98Kfatcfk3o +khH9evrXawodoY3Ot9DBPWbW8lN/FJp2KJ1tRIeqJBaP0P9TuJ44fPomOul1 +Qlif/pZC8R475Qb07kFz7lBDEsjMXZasR68YfbjLk0AKsftj+OvQ7i2MqpY9 +OyDoCiNnLZq0iYF/996d4HbsGv0N9LNd/z4IOJCDXd0J8ho0r8/I+K1HFGAq +9mS9Cn1eyfZ9lsAu0L5rPHcdbRH9wethGRUoKkx+rkTfuPfZVezAbpB47P/q +GvoTr2jZWAUN8GlR9lagd+RmLDVL7AHOoYJ7V9HkFcm29cN0wGDC21BO1OMj +/9an9r1AOXa3/ApaRUTPaz6HHv7ZauZdRnNm1lb882SAnz9GE8vQkkFDUpRG +jDDhdiG0FC2YpTZKKcME71c2PErQAe/C5f5wM8NgUIp9MbqDrYPzLQsLdG+z +m15C0+STqRXR7IM7cXVaRcTnz29FylOyQt1ueYVC9FvF5esdFGxQnjUgWoA+ +kFNRwLR7P+TtO8edj1ay0pCVZ2KH5NIF1jw0n413tNjhAxBxJIImF+1W989x +8QQH+NTQkeSgK7+uT7rrcILz8SsrWWieayV76py5wOq2yI9MtPi1fWtDKgdB +Z/5dQJfLaue/mqWXunYH4T/z8p95+c+8/P/zYiDb9T4DXTEa8qJy5CCodRkM +pqPPSDEfennxEMioj3eloZvWXSONdh6G4wPet1OJ+0+bj+MpOwxHjchqUoj5 +Wfg2oC1zBFhHc0qS0df2uK13vDsCtNZHM5PQu+y9a0KCjsKOiZaYRPS9iCuJ +0Qe4YfWCakACmn74nNJQFzfM/nxzIR494NIbbedyDD77O52LQ7+eKtuWZOSB +1/9WDWLRjX467tqdPNAfnaAag054NZxY6sYLHbtYpaOJ+0ruphHg5IOm9GrB +KLQRt1L70hAfVDFJH4xEP0ycN1uM44fiS/2MEeg4ix/1h+QFIPOgBWU4+lZU +V1z8qgDEXp/ZCEWvtoXcZG8ShCDBkIUQ4vyyIZufPITAvWn3eDDRT4ExqoPH +hcHuZMmbIPS5mQabH0vCYPpAsD8QrfvnvABv63HQUem4H0DM13rjKXUFEVB8 +qtvoj14m7+dNvSoCEvqfKvzQBhpM7V8pRYH/rXuBL5EnJKoLau6iwHl2O9kH +rSxy7e69N6LAOJ4R7o0OUtzDIKcgBpTOB7290H53F1f6q8Tg33yjoyeRN+tK +5vYM4rDko2TugZbZTFCgDBeHiT/DOu7E/Io8K2+ZEYf3EXaKbkS+yRdGXzA7 +AS/IV8QvolsX/n7l6T0B3SkxPK7oMqbIR7OSEnCXnon9ArrGn5f3dpUE1BVc +2+OCru/zYI1hk4RyDokdzkR/3lC5dCZVEvIrnvx2Qhc1ULTykkhBMp/JtCO6 +wCXGb8tXCiJuTo45EP2VuPLt9YwU+EoEDNmjl+bWaGttT4JzO+VjOzSM5JNG +jp4EK6XCO7bon3ukBw0NpcGgl7fWBu3IVhV7+Lk0qOm2lVmjKf0opBbUZED2 +lWb2OTTZ2Nv1lkcycNz8fZwV+kj64ic/kIWjny8EnUUXloduH++QBTbHvxct +0dUW/7zGZeWAdjbFxgItkix3OrVdDnZ4HThjjn63bHpLUE4e1lbr1M2I+rU0 +Nz/qkIfZUHlZU3Tvw3/euooK8GXHoLAJ+u5pbtrn3QrwOvHcYWNiP9jblctr +APTvWWQ+g/6qxadfPgDwIDeCygg9WJDP8ZdPEZr27900QAsZNTwqdVOEqitX +fuqjFyo8+O7eUoSSY6LfT6NDqpK+a64qQmZd14geWr9MNcFQVgnixAyf6aK3 +H8ZVDkcqQdDd8Qc66P2sj1/19CiBu4JPkzb6lwl9lSjtKbB/THZdi8jHNsbb +9GdOgalWbpEmOv0bea5T8SnQGTqapoE27bn9/vi3U6BkcjtSHc0QG3PQTUAZ +JMZUfdXQj8xtNjl8lYHf7u15VWKfJg9QnepQBs4pJ0sVol91Pnx6R6ECjO5r +espom3YelnF9Fdj1K+HUKXR//0dNq2IV+BfEKqlEzKdUC5PWpAosbVfzKRL9 +mDxHXy2qCpNx0hyAPr3L8KN/mCq83/10rwLRP80nGVv7VeFFlsVOeXSXbqi+ +I4sadO+bXZMlzmscIRZrrwZ3S0NmZYh9S8NvynBLDeqP0HyWRr+Mmj5Lt60G +5TUlL08S95PbMB6sqw75x4V6pIh+IJ9POFOiDim3O9ok0ZHhl+aKZ9UhQlav +XgL9u1rolr6sBvh2fbpyAq0gW+rvnaIBLuoeueLo2T3Wn0jGNMBqYDtBDL0m +eT75r6AmGBplhogS+5SVh8MuXBPURg96iBDvj0uVPjGkCbLWt+yOo1lHSWK8 +DmuByISSiTAxb6zOpWx+WnDU9aWmEFFf+oNCgn1awLZkJy9IvD9i+201uzbs +CVgREUA/EDZWzPDQhh2bMUf50V+4f+z53K0Na9FMrHzojOdLUwWsOjC7q3I3 +LzEP+3j82tx04Eu6xPYx9Ofb9RbK3TrwhqlnmRudrHBQSYJNF/ovmUweRWvb +pQ6le+jCg4M/Ro+g8zi8b53u0YXm6wEDh9F0849SAzj0oEpwV9ch4jxkX3dT ++OlBSVNhy0FiXmPK3m0814PMk3zVXGjbFrlSY+7TEPegrZiT6GcV150s4ach +WEUrgwM9H63eJjtyGtyfvo8+QNzvYL24Pas+2Ou7+rMT+bOo99FCVR/M3v51 +2Y8e2rpzM9ZbH3TOplqxof/ynI76clkflMYPGLASeZ92k9d9QB8knetV9hH9 +N1cdKvRXH/gX5E+yoLcAznDyGQCX76AAMzrW6Ua8oqkBMG6c42Ii+q/PeDYn +zgB2RS4yMKIvk3wxZ20xgE3ySAoGYp9H7L8xMG4ASyl7N/aiX0hStTTTG8Ik +ffk8HbpYefz0U0VD+FAg+nUPWimP1oTe0xBecDx6TYvuLDp6OfGyITyuMOyj +Ic47tUQm8sIQ7vJ9a99N5OuipxXltiHU3/S5SY0+VTYQu+e4EVyV2FlBRfw8 +k4ORqrUR5Lfn5u8iXjd4eLU2wwhSlLiTKYl5+lyqo/TQCCJ7b4dREHmZ8IOf +YskIfHXVvMiJ/b3Vum/j0BlwefXWYSc6sODM/AGjM2Blft6MDG2/KhnvHnsG +DD+vae8g6t25o2Ph9hlQd0wEUvRV3eSLpT/OgOwsqzgJWtpuwCOYzRhEvGqO +bbuudt4cWy+P0zYG7jXp/VvoBjenTw/CjIEt7CntJnpdp4TkWKMx7CGzJP2H +DlnU+X5v3BjIkmZ/baAZHpg4hjGbwNqe0Kk/6LfPu63dNExgLpdmbB3NmNN/ +MznEBL7sL32xhh6s6BN902ACb64Ida+ip510HumMm8DTYw9af6NfvaRWWGI2 +hQd1ejd+oT8c+x71SNMUmsU+l66gd1wfv/AwzBSq73pkLaN9DWXeztwyhRIF +krgldFiNRrnSpClkPc4M/Ilmt8mtebzfDOK0Dl1cRNMfHHjuc9oMgoduWS+g +s1WfTenFmoGHySmjebRracYH4zYzsB97qTaHvndEOSZxwQzM7OxlZtEi3ZIP +Jo+Yg+7UitAMcV6mbxc8zc1ByT320DRa9eDUee4Mc5D8xcQ8hS44QJtL/sQc ++IMrd/1AZ4kqDu79aw5cJJL/JtCJ0vm/1UQtgCm+Z/E7+nqM1nTVeQvYRWP6 +7Rv6Yf6DRPEyC9jM+vF2HF34K6h5+rUFLO8LfPoVfeMjn+bT3ZYwWbrrwRfi +/MzlfG9OWcKHI0W3PhP1qho5QR1sCUM1fJWf0BE3ZrRcblnC4+P3Cj+iI6kP +6CxPWULbba3UMbSp1kvWyoNnoV72Q8QHdJFOYVaU2Vm42uXq8x4ttJ+jICHz +LBSo/3MaRXfViFPf6TsLKQOpFu/QHVUvn9GRWkGkEYfeCLpEgakjS9oK/Ebr +ld6i2z9LdMp5W4GLtYLEG3QeTWHz3lorODcxyPsa7XTwQQjtdyswdLU+8Aqt +vl/vjxjHOVBfWqR7ia49v3dPlMk5kAuIJBtGq9xcLF7LOAcim3vXXqCtS9Zj +8/vPAXdM+cwgUa8b5rVWZNawn0rs0wCadNluSUfeGvZkPBp+jq5ZiZN2CLAG +MmajJ8/QyU7GThW3rGHt0re7T9FjO+MsqOesYe6gb10/erLON1SH0Qa+Xt95 +pQ/d1yubXSViA28E83J60Stlh21Y9GzgaRN3Qg864MDjkUJXG+g82Rr8BH1c +5NmMcJINND9Qc3+MzrmznPzuug1Uq4zYdhPz50h+I++xDZQ+PW/8CK1V+1Ha +adwGsvTXNbrQOpcdBbVIbCH+baLcQ/SJH/k+Spy2EHyWTaST6MfLwaQ6crbg +MV5z5AFxX2p8fS4WtuDgLLOvAx115WnbpUBbMFt4Sn0fHV+V1/cp3xZ0fS23 +7qEHPIYmJW7bwqmN2aU2tD7jEMWVV7YgGRk6cRctXLy+n2PZFgQoaEfvoDOn +7zPX77UDrtTS563o5oqy6dPH7YCJQfjhbTTfAeEkcj07oCp80NyClpCn/jFw +0Q42OU5XNaONfb78rk6xg+WKz5ea0BsFF6vzb9jBDz7P9Ftou7HApfx+O/hw +kyS6kZinlo/dNVN2MCSR5XcTffVRFMMLSnt43H7IpQE90mU+RMFjD21KTWfr +0Qbezj/11eyhofeUfh06LfaBY72jPVzVfaVci5aKCz5yIM4eCl7ZS91A0/X3 +HSi9Zg+p5r/4a9D/zL6piDy2h8jPsZzV6FOf6DPefLMHP0dmhir0+/SahVQy +B7gwW0l+Hf2bjdbU5IgDnPOS/HMNXZpb1y6q7ABGaz1zFehQJ/K9XPYOoB5m ++uUqukfyqjpnjAPIkU29KkdrjO43OV7hAKJJgb1X0PmyTMcNux2Am46q/TJR +D0PFnvhvDrA/r6ihDD1HcY5ugMwR6Nj5r5aiLx+V3jp61BHIyu/llaCfqN2I +T1dxhPVj2knFaIee/BIqR0eYq/sQegktW/1TPD/OEb6KXfQsQr9LblU8cd0R +3t79Z19I9H/uSuu3Hkd4qpBmWoA2su9MvvbDETofc2jno8XW5Rv9dzlBi1aD +Qh56rfoyuwWfE1QPKYjlEvNIe6hLT8sJSk1ecOcQ+bhAnXfG1QmyxqzZson6 +nGhKcU11gni7nzRZ6KR3AUU59U4QMhVJkknk81Bf0/NBJ/Bwp/+VTtwHCedz +lp9O4PCr/EcaWl7g36gX/XkwDxb7kIpO+Lgy9FHsPOiSdA+moIPsyistzpyH +U/FGj5KJejXW6Uz5nQcpmu+3k4h9Zdl/L77gPAhk+9Ykog2jW79LtJ2Hg6zk +pQnozk6Fzt/vzwNTWV5mPHqT/ST0/DsPVEePxcYR9/c3xryK0xm2aloDYtGH +6OnIihSdYfm4umsM+pf2sEixnTP8uD1yLprIr6cDI/WxzjAm62wYReTzM4b5 +oevOMNS1rhqJ/napzXFnvzM8UU+SjkDbJE1Kasw6Q9sAm1A4MZ8jfcYltC7Q +YHTjYBh66salOyQiLlAxKsMUSszbvysGfoYuUGD9jDIEfThFgG3D1wVSJyz/ +BqH/DOaSZRS4QJTr3EIg8f1TShQn7rmA31LoeADaJesqy/SYC1wIoH3rj95i +EOVv2HaBc5ul/X7oPeLekjGHL4BRjHCHL9GPvL9FnFUvgAZVZ6MPWjfViM7K ++QLIZZy+5o1+qSPaa5tyAUSZvxR4oX+Uyen5N1yAY8WeKZ7E8/wUunRp+ALs +P0Qa4YEOGeu9MvjrAtBVZXm7owX2j5gysLrCTqHDTm7oJW+x246yrrDe1GR+ +kdj/zfca+s65wvxJZV1X9O0yCxnZaFf4+uCV4gWi/l8o9DoqXeGtisMJF2K/ +7njwWa/fFZ49/cXjjI62TJxYnHOFTv049vPE6+SBxlf2XoSWt8x0TuihoWpu +mxMXoebs9R2O6DoJRZ3jZhehdFxy1Z6YH023TtrQi5Dt3Dtth9ZudPb7e/ki +xC+YfrRFk+f4Oqx3X4QQ36khGyLvM8eid05dBM+NwMfWRP81/e7honEDh0iq +u+fQknacPFoibmBOcanWCh1u/qAo5owb6KXyXz5LzGeRGvPzQDc4xdCebUnU +95xo5pFSN5Aq1I63IPY5ww7S5C43EOAcCzJHVyupntuecIOD1y66maF3Z2aW +xVC7AzP/po0p+n5d9F2W4+5A1Zh2xoTIR7Xuq3eN3GFLglPDmNiHJGRnXALd +YaW9QfYMcX6nv494S93hhxIcN0KvfnWfXO1yh7HeF4cN0SINvLdfTbrDsK4N +iwGxDwb+cXfu9oAnr35S6RPztNV7vE3EA+6ZR23qoc0aPfq7jD2g4TP9ki5a +ee3r9LtgD6hwvPpdB80Sw5y4ddkDCmfF3mmj7XOoisWeeECqV/czLaL/556w ++c14QNSaUacm2uN8/eY4pSf4h31v0kBz+3oWZ+/3hAtkftfV0Xeu37fVFPIE +6yTyS2rE/mg9pkOp6AlGdPlpqujYGHWT54aeoJF3LEqFyAu6+yGFjp4gz37H +VxktHsp91zXQE0TL1Z1PoU1I+SjVUjzhGM87SyX05KyHA0+ZJ7DXO59WRP/M +r3pGd8sT6MT/nAJivzlFndzu9oSdbUmSCuidT5qu/37rCesK+/nlifqz/aZf +mfaE+cc3OOTQ13rJ/df+ecK4liy9LDGvvNcHd+z1grdDz3bKoHlji/cxH/GC +ZyZn108S90V/U0dY0gsejs3NSqHb5upd9DS9oMUu7LOkK/Hfl1wu+J31gpop +2lcSxOuOt7UqPLygzL2s5wTaMc+V4l20F2T/Er4njuYRci5izPeChODOejE0 +WXzKtnGNF4SQ6JeLEvuvu+3E5fte4Bn/JVcE/XTo/YnFF17gSOOVeBxdYvb+ +r+o3LzDPJg0VRp98XBVXseoFeqzZHkJoVgnJQUpqb1AuO2wviB6ODB325vAG +qaPNJgLoPEG/lO8i3iB4Q1mLH/3ImXP9rIo3HBR5Lc+HDqYJZhwz9QbmVgdR +XrRcVtqoras3UMv9PspD1HOPndpCuDdsdcWxHkMXS+w0icr2hhV1Fhpu9LJO +7E72694wNXB9+whaQnBVt73NG8aMpFYOE/Mp5SVsN+ANw6O9k4eIfD679yrd +V294Ym32/iDxvDTL17p/ecO9iakBLrSw9Enx8F0+cNM1qIsT3XV6lyYc8IGK +JarbHGhOyZRxChEfKAy4VH0A3aI6//e1sg+kbfKXsBP7Qf1cVo2pD0TFtGfs +R4960l+OdfUBfyqdGDZiXq3kDzlF+IBrxpg/K9p7zwEmvRwfsGZ2u7CPqM/4 +jI9clQ+cKd60YiHuL39GTrTdBzQOpRswE58na+0q+MIH5Ks4VZmI/pbJ/Cv0 +zQfEhG6eZESf1+qblljzgWPNIMhA7AM3YzGV3b7ALj3ERY/WWUwcNuPyhb2d +Nox7ic+zvtPtI+4LO1WXKOjQgRknyfPUfeHP06gNWrRryvns+5a+MK/PsEBD +5M+lq+dnPHxh/O3Vr7vRtFLaUZyxvjByVvwNNZG3dD0fzAp94dl4dx8VkV/b +wT5Fdb7w0PnM/V3E/dC8Ufny0BduL3y/SUnU1/aUjtAbX6jx9augIO739In4 +yGlfKNsgLyBHR6lwLY5u+kJ2ZH7yTjT1s+AYaQY/SKDgCSdD74vvgsvH/CA0 +9Y7XDrS6kNfB3bJ+4Mmg4UhK7Jck6sNhp/3AsfCdGQn6hBe90m97P7DgdNHZ +vrDaafWEJtgn0A/0rv2BLXS6W3z/WqofKPMni2+ie87sFowu9wOpxv08/9CL +jgJlDK1+IChZu/8vOjIx6EDNUz84dF92zwZ6f2lKhepnP2A+9Zz0D5oveOP4 +1IofUPed/b2GzpqyfZC5yx+2deenVtHmV2y0gcMfVl6Fjf1G26oWvloR9Ycp +8z1Dv9DMDvVGDWr+8PFzWfcKWthdvc/d0h+GHY/fWUZ739krLOHpDz2znTeW +0AHerVGkcf5wz0u/7Cfap36q81WRP9xc+5K1iCY/bTFR2+AP18K84hbQ9Ixd +C0nd/lBItiNoHp2Z8Oad2zt/SEvKvjiH9qUyumI67w/RdEdsZtEJXWtK6jsC +wD+v2WgGbVgee09uXwC4squoT6MpbTooTgoGgHX5a5kptGeA8xFppQA4w+Mo +/AM9efA0raJJAGjW/z40iVYxlO/ScQ0AefF45gn01D1SOevIABBrY6H6jm56 +6BYckBcAPFD1bxxNFWYclHcjANifSP38SnxeW4ZEW2cA7NXu+/YF/Xnhd+34 +6wDYOWw28hkto+AwSj8TAH9Mpp9+QlN4t91T2w6AhbGgBx/Ron/e6kQxBcK4 +HXXTGLr2+5XULr5AGJm6VPkBHf19058SAuG5u0DRe6JeqiO7jM4EwsNf7amj +6KNzrHDNJRBuB+tEviPu2+QWy9/wQLhB8tFnBO2Uk5VqmhsIZfFu598Sr6vW +l7bVBEIOzZbFG+J5ijfUDnUGQkJ2ut5r9BF977j014EQysp16hXarJrOhHQm +ELzKbkq8RJe4Dd0O2g4Ex6OKfMNoqfmW8jWmILC4MXRgiOi/350sIfxBcFrE +du8L9PHhVfqdikGg3LpENoiu07DNzDYOgpNy0WvP0V7FVBnHXINA8BHD7DO0 +TcQ/6oeRQXBIo+LTU3Q3hyapdX4QsAyKv+wn3r+X1IOsLgiozzx+0oe2szlp +UN8VBNujZ9p6iXmJJK88OxIEv6wn6nrQz/SiztPPB8HUhN+VJ+ivtL15z3YE +w0dXitzHRH84/hJMYQ2G4aX8hG6in87x8esLB0NPAE/II/Rpm8Tk/SrB0L55 +x70Lffm4pPaMeTDcjNGwe4h2mzF36fQIhmtUo8adxHkoOMaL4oKhKMNF8wEx +L3q1TUHFwZDGvCHXgWb9LPbu3K1giC5OFrlPzPux76c1e4Mh4BD70XZ05+cl +FumPweBaVbvvHlE/+ix+4ZVgsBGS292GNqH+k8BLFQJnmp9v3UEr2NoL8nKF +gKa01XIrcb8/dzMLSYSAQuf8xG2iv16JgJR2CIipho+2oNcdGarVbEOA59me +gWbi+ZRWdCwDQuCAweWHTeiZk6wCfmkhsHfkeMstNK15H+RWhAC51cOqRrTW +d53Eu20h8Gdcv/gmWsxgiXT8RQgsOH9Nb0Dv0yC7sXcyBL4teEXXo/uuvAxT ++RcCI747/OvQxja3gsMYQuH5RrZLLfpV60ppO28odEUesbqBPra5+H1LIRRu +U7To16CfNm3pqBuHwo1UFZVq9PWQ4Fe5rqFQxvBGqgo9wvHQ70dUKOQUOgpc +RydFCItCYSgkcq5yVqIHrx0jK2kIhdBr8QzXiDyp3z29+TgUvPj3UVQQz/vy +1BeHD6Hg1Fj1p5w4v5P45NBSKFhInpy/glYaUFtX2hUGp+/3fbmMXo2aYrzL +GQYqp8xflxF5vCdeUlwiDE72TfeWov/RZlg1a4eBkF5wewnx89x+8SftwuDQ +a+qbxUT/7qpreBQYBiwWxVcvod951rwyyAiD3V8E8ovQLAcnlicqw2Db8X5S +IfrD2DuqiPth8GtWJ6wA7e9JwsLxKgymvT565hN5WjnK9HA6DD6uuTnkEftA ++TuZM0k4vAzbMs1F36G/+oVpXzj0kGVo56DPDuVX9wiFQ3sSF2QTeSmtYR6m +Eg6NdI1iWWiNf/I/T1qGw7U8xWOZ6JudpK5/vMKhiH2YLQP9nZ2r90FiOKSX +29KmE/l46ciOpMvhEM2zTJKG5r5dxmbWGg4B9dG/UtClw4d2Cw6Eg6s441Qy +elZJ8fXO7+Fg01bxIQntoZThPb4RDsZw4kUiWnKl8ttj+gjQfPL4UQL6oA0H +dx1vBChoG7fGo7d9M08UQgSID0/UxKF7SUrokkwigMfUvzQW3dD0qTncLQIO +fKTIiiHyhPUPZ1BsBNDbF8RGE/NRkqYXWBwB5NM8gVGEYxQVQ5siYMP9rmsk +Om72zlJsfwQs/NKwjiDy7mmxTfaXCPgWPGoYjlaezUu+thYB70guqIWhN39X +t1pRRsLz+A3pUHRhmULMLEMkdNGkCIWg72d8qwnhjITWbPZDwUS/6Xvy7eGP +hBusdUxBxDyefkBSIREJl8vkdgWiT36pEpZRioScowN//dFF0ruaXulEQuIN +q0U/9EBAe5KHWSSEiSyM+6Ldi8paaB0iwas1/K0P8TwRGaINHpHgJEf31Bv9 +bebitn5IJFg+utzhRTichWM1PhJOa4jc8iTOf/9iUml2JKgMPrzmgX5AbqCs +XhYJJ88YFLqjLxVUaq7URILQ+68pbkR/pusWl9+OhMM23hEX0X++CEoZdkUC +y+QOH1d0uD3vAfKBSNh9McfpAjqmm1vz3rtIIFk+YuFC9GPi7g6v75HwK6BF +15mYX8Yuf4GfkTC9qaJ0Hv22VNjvx99I+BTz5oQTmkNK/k4lZRS8pHLidUS3 +9byVdWKMgt6MVXYHdD7VJCkfVxS0MyfQ2aPvZpnsWuCPgsbifWR2RH89YdK7 +LRkFlYeqV22I+/lE+zL8VBQUVZ2csUa/nD6eo6UXBelC/R/PEfn7xCWT1SIK +YprNh63Qcodr+6YcoyBAeubxWfTViO8y7V5RcLEz+K4lMT/ClJMZYVFgo7q7 +zgIdrEPe75QUBcbPii+bo1X6hschLwq0DARzzNCCZ23E2MujQGHkfrwp+l5G +Zet6XRSIW+kGm6CDWvPd392NAt5vH92M0TKLfOb3HkfBARd32zNEPx8x8Ssb +igL6xa0zRmhOCsZHsWNRQO6XoWGIfsJoruA2FQUbG1xyBmjqrEPTpr+iYDGy +8bg+WifZqUuFJBq+USgdOY2eeHm4R5wmGt6lDrPoEfXaabh6lDUaBhjsqHXR +DOE/9VmPRkNX4fKmNnrY/u9rWpFoaOWMWdJCj5Z6RpHLRUPtNcYJTWLfjugZ +b6tHw2X+a+800HSReaf/GkVDbuOJ5+pEvveqePyxjoZEySedakQ+llk1/3GN +hrD7xs2qxLzf+7r/X0A0eJ+avK6C1k95dY0kNhqc+vwvKaNzVCT1KTOjwVKP +Mv0UuvYt7YG9JdGg/7ogSglt//McJXt1NKhY8Popoic3hel5W6JB+stdZyD2 +L2/sSamH0SDkpHlWAT1t5Biq8TwaDs+NnpZHNx96/8HyXTTs876gLIfOnJsx +9voeDbvXNyRl0bf7r0wn/owGkvAUfhliHhPI86/+i4bfZAc4pYn93HDE4sGu +GJhOqqM/ScxXKIXEGFMMfKKTJ5dC/51p4/53MAZe5g2sS6C9r2sJcArFQC/7 +ubkTRH0Ze1ROScfA/fKFz+JoIV0ZL2fVGGjkiXglhq461Hoz0yAGKuvpekWJ +/cikuX3PKgYuiV+5J4L+aUVm/cMlBtLbRBqOo8t//B5g9o+BGOgqFya+X09M +Wy06BgKfGOQJoZm+PX0TmB4DF7XHEwXRVtdeXqy/FAO2w96hAsT9qVjRfb8e +A8amZJ78xH49EnH/QHMMaH3Msecj8s1I18e0MwbA/qgpLzo396NY7rMYEJ9u +0eIhPu+M2sbLkRjg9VBVOIYWu1nWx/g9Bjh+vxHlRtu6b5WY/IwB+hAn7qPo +95Th/sX/YoCCdI31CJG/pZJnxnfFwkZ8As1hNPumkoQAcyws0rCSHEK3lN1j +9T8UC9+zq1e40GyV7ZuPhGLhHav0D07ifGM23+hlYmGgrP89BzGP7T19dmqx +8OioxeAB9No56vrbhrHQemOmix0tkq6ZQW0dC7UiIbf3E/3cetXd1jUWLrfu +rmEj9tn8Ca17AbGQK1dSwor+Ms91iDk2FpIeCWbuI/Y7a+yyV2YshGl0xLCg +XXyjO4ZKYsF7UDeAGa1YJxclWhML5898usBE5DvdG7nc27Fg+d79HCM6Ict9 +cb0rFvRttg0Y0Gp9goXWg7GgOpmhSk/s4zlxyb73sSB98aD0XsKj1X1iP2JB +eLlRkI6Y/9239C6vxMLhQKWDe9A+V7x7aUniYN/WMCMtkW/GTGLhNHFAE2tH +SUPMT1JH2k/WOCChXtmgJj5POn/UgTsOfmfELFChJXb0Mn0QjYMZZqbxXcT8 +cAYpGCnEwafia28o0VxOb40HtOLg1SGJfgoi//SpLDRN46C36sl9cvSpn3Ja +vfZxcF/IpHEn+vevEm51zzi41TxZQUb0Y43xbF9oHFRKBxTsIOZ/NeeSTlIc +XOqkTCEl7tMyTuxlXhxkqBaGk6BJf5s2mV+Ng5hnvN7bLqud40EybN8a4iDQ +oM1xCy0ifdbJvT0OLo5omm+iT7PPF/7tjQNbq/c6/9Bs5RyNya/jwOTbBcW/ +6HPfOGvZv8aBlstf8Q20e/bhpIb5OIDFFJ4/6KLfFprKG3Fwwu8A+zr67szG +zChFPPD+rduzhm6ZkHDzZowHjij5Hato2p/wguZgPDBQDv7+hbau1t1bIxgP +FGnnplfQ80q5x9Wl4+Evw+LYMlowVlPwh2o8LBZGDC2h1Z9WkCcZxsN3zr2P +f6Lrdry/J2gdD6PXrtxZRBc0HNF+6RoPA/yitQvosq93bwcFxsOjxq6yebSU +0ss/h+Pi4Y6kYfYcWm9nDctgVjzU3h+Pm0X3LPvvDimLhyunfIJm0AyO/iN8 +tfGQ20fmNo1uUx4Pen8nHpL0cm2m0LMUq0upj+Mh/PXRMz/Qe1rnFZSG48Hb +4rb6JFr7B7nN2sd4OP9FVXYCfbgz5MzNmXg46/RW+Dt6dTGV3WUtHvTnnA5/ +Q19rC2g5ujMBVL3XmMfRdNPBnON7E0B6PYHqK7p55I1ZOUcCCIezbn5Gy7v2 +2dnxJ8CRnTU/P6GZmHNkuaUSYF+y9PeP6D/D/uPTyglAs/fpyBg6g++2SaN+ +ApDmWzz7QLwulFkQaJUAv9lnH7xHXwjRunbqQgLMlIc0jaI7pHhC9wQkwGce +muvv0LsYLnCOxSTAq/qSohG09CnT5NrMBOgTF0p7S5w/UbwztDQB7rd1RL5B +Vwqp3D99IwFugZ7va7Qw6YvIo3cS4PqTT+dfoV0s9tP87U6AS9oeli/Rmvvc +rF4NJUDG8LbeMJq3ZI9v/ccEiDXNPDWEtn+ldjppJgECPx6UfEH0i+C5Bae1 +BHCzv8U3iP5VWqqvtjMRbKeVOAbQvlf0/HjoE8HE4+Xe5+gRsXZLas5E0P5t +t/MZOntEiGyRPxEgZGWtH/0wd+nCG6lEOEEaO9tHeMUgu0MlEfgSmD73ol+R +FAdWGSQCB23lyx70fS02jpxzicCQI9HzBG0xzhYR6ZoIFGw9bY/RuhYzpR6B +ifC3zKS+G53T8y7QJi4Rfh79ceURmue+OJ1RdiJ8vxGQS/z570p9LRv1y4kw +KrIr8SF6ku2iq3xdIgy2FoZ0oquEpo9LtCXCIzk+jwfE+w8x1Av3JMKdR212 +Hejnu06O871KhFoNLZP76CcBdYPHviTClcH3mu3o2oguL+75RMg74yp/j6hv +Y/9T7o1ESHr/V6QNXcLCOspDmQThNqlH76Lb5UlKBJiSwGfyAOsdtKzQWwbR +Q0lw/mL97la0t8uC3EnhJDi7LL/dgiZZKWVTkk0Cg8DB5WZ0gJpMtbZGEqhu +nZtsIvrNkm3K1DgJZGIXR2+h565nvXO0SwJh6siBRnQ+80agn0cSHMnc23UT +/Wzvjb740CRgZSlvaSDmO+RPT1FSEtCUiFbXo782Kfo05CcB6eFHxXVo1rR3 +zx9XJMFqlWFGLfqz+f5XY41JMCP0LfoGuvGrddxqRxJ8bvbxr0GnO5J9o3+W +BK+kd16oJupTZj0r/C4J+jpzrarQ+x68KNWdSIIOVW6D62jnizf/uC0nwa1n +t1Uqif7tt9jK2E6C6wZqJ68R9XYVrm2mSYbikbcCFejbNgnb79iSIcPqPNdV +Iq8Euje2jyVD7Lc1hnI0RQ+U8J5IhkCXRIor6Dtllt8MlZLBbZF1o4zIhyK/ +F+F6yWDnVzNfiv65d966zjIZTP5Kfy0h+nv4UO4H52TQjnr6uhh9ncPXlcY/ +GRQpLfsuEd9XqzKhEJMMJ9Jm24vQNpW/SHwyk4GPMfRmIXFf1RMd1aXJwFlE +U1GA/tYdxP7lRjIwcJXm56OPhDKysd1NBspKoeQ8tFkPc6vRk2T4y/8gLBd9 +ivr7UsbLZPjZqOeVg449NP5s4HMyTEh+dsgm8tXUV5l2PhlG73uYZaH3O2+b +6m0kw+ApEp1MtHnU1K4syhTo7suEDDSfUp7xG6YUuKN3SDyd2BetrvLsh1Og +7vWtY2lEf5572Wl3PAWuWJzan4qW4xMZq5VLgbwvL2lT0K995rJWNVMg2cme +NJk4z26PL6dMUyB8buVXItpS8GBvpkMK+HjHTiUQeR3lrPrFKwWc15nG4tE3 +D9+yEI1IgbPhlS/i0A6F9hSxqSlgsFOyOxZ9cGRa/V1RCqgm97TGEOdXauAU +rkoBmb2mN6KJ/XGdPTmuJQWO5/8ojULTq5QmfepKgSMHArMi0XmPyg5Iv0gB +1qu74iLQLCdTT+WNpQAtb1FgODrs2MuN5ekUIG3guxiGTgv5qGK4lgKr4ves +Q4n5z2Q/2LwzFWbbtIxC0EH8GynMDKnwGT6oBaN7A38kBHGlwusnrjJBRL1Z +DBk/C6ZCn/Y/oUB0HGWNkJpMKnQMpx4KIPb7fcu3Deqp0GTKweyP3qB9T8Nm +nArXP9bv8kO7Qe5QrF0qFNsr/PNBq25uHFz2SIXM6cFFbyKvrSI2bcJSIdbD ++psX+sSnbMfh5FQI+r341hMdcr7KTLkwFdxCIp96oHdqHn/bWpkKdqT0D9zR +UzKlHwWaU8E0ofyWG1rCysH96sNU0KYVq7yIrpFaT9g/mAqKOY8KXdH+bc/5 +cj+kggSbUeoFNKm0iRHddCrwXf4W4ULsHx+qnamrqcDJ7evjjHZNs5Sl3pkG +DLU7z59Hj+19tJ5EnwaUonkWTsQ+XWiS3c2VBv9aufUcie8Lu0aWLpgGP+Va +lRzQIsG0+gwyaTDxSE3Cnti3FI4HC9XT4L3GCK8dmrLmsC+XcRoMDp4/YIvu +13ugVW2XBt1n1uls0CkajRVinmlw930imTWRV9pe4Q/C0qDOhm3Nisgj7+D3 +2ilpUD5ZM3MWvSajeud9YRrkXZT5ZEnkU7w2k+v1NEhefjpsgV68RLn0rzkN +IgItn5ij/x78pZPZlQY+W7N3zYh9dTSVh/tFGjjHhtaZohWYtSPbx9LAipr2 +igmxfx/XmRjNpIFBZmmOMZGvjkI35tbSQI1FOOEM0Z+ZmsEJ5OkgU/Ig2AjN +IR/ec4QxHY4fPu1uSDzfE430roPpcLT6s60B+vLksWEb4XRgFfY01kfTdOSn +k8qlA20LieZpIk97WXoqNNNhh0yWnB6acZI7QN00HVY7D4nooovMlMvnHNJh +VrXpiA4xXw8XlHO80+Hzs1P7tNHjHNXnZCPT4bXBK2ottOSrxZ/f09Khf8R+ +SwNtXa62kVGcDh1Wv5bU0UY1QsFyNenQ9C12Qo2ov+sxz5nWdKhyYR5VJc7f +2/Sh6HE6FC9WPldBT/87c1/rZTpk+kk+VCbmqzqDfvNzOsT97Wk+hfbqnXjf +OJ8OQVGmVUpEP7S83+f0Nx3cKacuKRLzy7vce4AqA+zSAtMBfVGmceY1SwaY +MlJFKxDzZVMUkX40A3SKivzk0X0XxeI1xTJAkYvfRQ69K5Nki1wxAyQq752V +JV43TZrs1s0AfgFtfRn0iwZDiLHMAM5bH5SlCY+NU6q4ZACj1EWpk8S8xT8E +ioAMoOz4xy9F7Ita78n+2Az4dyqNUxJtXxv5Nz07A5b6OBgk0Ovy3uHGVzJg +Qq+B/ASaJ+iFO0dDBrx/rfBHDH188ODzyfYMeGHxYk4UPU+1mHerPwO6v1h/ +ESHqc6b7WdhIBtx1+vnqOPq7KI+b9kQG1M1F9gqjZSKvBe1fyYByb/p2IXTW +fbtAP5JMyF8vbxAk8tSM2uUcZSYkh4tdFUAvJ6fv0diTCRE7u/P40V3rLc5i +zJngm2yUxIf28FEO4DiQCc57v4fyEvn/Z1ua6kgmWOX7evIQ9WhtbPzNlwmG +B8gdjqGdyA58GBfJBLWreabcRJ467GobksoEWd5j2kfR71LktDoVMuF4Q6vC +EfSgaUR6g2omHD2hLnaYqOergrgynUxguzfCfYjINwnd4xlGmUCr6Mx2EF36 +1Skl0iITdvSs03AR+eNcV+Rtmwlr2kkknMT9x06YOzpnwuww268DRP043z8z +88iEL6Y3frCjM7UNF3X8M+H1R5kP+9H6WiyPlcIyod/+2SAbejN+QVMqNhMe +TFs+YkULFFVECqVkQpPH3O19xDyqbJ0/mp0JVb9Da1jQt/x7t9mLMqEkhLaU +Ga2j3afOdCUTMknLMpmIfqd8CrRVmRCXIBzLSMwLR/4PioZMCKLtDGBAF/Cu +KpDezgT3nNOu9ER/LzWr/mvPBHu2L+f2ohkuFP1de5QJppc9DemI+bvlafOr +PxN0uEnV9hDvp9vpuzSUCUq1WdK0xPz+4ZBcHMkECdHDQjTofJqk6/OfMoH/ +TtPB3eh4xr1P5iYygUtemYma+Lwd4Vlzc5nA2P2KkgqdsVa6e34lE3ZpOvyl +RNPJy4ovbGTCv8FfCxToJrVDu36SZsHSmbhxcvRkE3vy8q4smHzP/HYn2ldr +9e5vuix4b3O9nwztQxKW+4clC15MSnbsIO53OeTAFkcWPL7Y20iKFjvfqU/G +nQV3l02vkaC3hxhFqQSzoD5wqmDbGc/3TLWDTjwLyrcCU7bQzuyHf7PIZEF+ +LFXEJpr2lt87TqUsSKG+5P0P7V1Je55HIwsiMvmd/qJrZO9cETmdBb4s7eYb +6MAvECdjkgUuJdq6f9C6/H5MqlZZYHV4THEdzTN6VE/fIQsMqy+eWEOnvT0g +dtY1C9SEN3lWifdLCDx09s4C2ZY09t9oLTHOdb+gLBCR4aT7hW4TezwaE5kF +Rx827FhBP3oy65CdkAVsarC6hC6psC8qT8+CPc9fTP9EV9Rv+N/Ky4IdhjYf +F9H6CxGbXSVZsDbyc2gBzV30SPBVRRbMWUU9nkdfoc7eOXEjC758o787hz7e +1xG7disL3rhcrZ1Fc0Qy11O3ZUH/otjlGXQClUsY58MseODXnT2N1nNI/C3W +mwXNf43ip9CUbcrMGoNZUBX1PegHesHMdczqTRaUUPq5TaJHO15o+Y5lQVYa +ue0Emj9ZySblWxbEMeaf+U48X2LWgYqZLAguOqbxDb1clxnRvpQF7lx3ZMfR +Hgx7k16vZ4F9pfrxr2j1zS8nF7azwEzg3eEv6KHR1+m7KLNB55Yzy2d0mVxH +8pE92aAk9YfqE9p/3FUQmLNBsiNpcwyt2NjkY3kgG/iV9y99QG812dsEHskG +rv4b39+jO7gMlvP4s4HxtOy7UeL5jXT5WkSzYdebZ8/eoa1e8ZO/OpkNmxZn +O0fQx3Tuxy1DNix9mWt6i67Mfn2DQT0bJp3Crr8hzl+h5iuulw0f5mgvvUZ/ +vDrx9YxxNrzwLkt7hSb5GLvifzYbHq8LR71Elz5Zqy6yz4a2cPytAXrfOv2O +jgvZUL9T33kI7SZVtfXFKxuuJn+xfIEWdE8pIw/Khvy9XqcH0Y4N2V/5I7Mh +JZ9UeQB9WTSrVz8hGyIPZEs+R782s9QPSM8G36uH+Z+hreuehJTlZYMLbzPH +U/SfD9c0e0qy4VyDMn0/+saDgbaFimwwPPF6Zx/6oSHz83212aB+z2G9B93X +oR+p1JQNsoq/Z5+gY3SM37q2ZYNIT9znx+j33usD+Q+zgVuH5VU34Wd05x/1 +ZgPby+s9j4j5YvMqXxjMhj1mUve6iPlQXQ5lf5sNZJ966x8Sn2ft8kfjYzas +2ZuVd6J3XK5jCfieDXPTU7kP0Eftsl9XzmbDF4+gxA40qfCS2JvlbHjzmyr0 +Prq44bIo+UY2PA255NGOHmz1HZIgzYEHpAL299A6iup053flQHNCu0kbOtt1 +Yb6QLgeqaXW07qInIpQuPmPJgZKcMfk7RP/N7kve4siBLDY30Va01ylNdTHu +HIi/vHn0Nrpfsb7CSTAHgrnTWVvQtn3sl4vFc8CjlpOmGT379aL0sEwO2Ive +3L6FvnU/0JvyVA6Y3YGVRnR+wz4tBc0c0JUfmryJJj9x+KGffg4oddu8b0D/ +XvR8XW+aA5KaSwP16LHasfjJczkg8CKqq46op6nCCJdTDnAZM9yuRT+m8nhi +7pYDTB+uVt8g6rOtbpDrmwO7bMVLaoh5uXQ1+EVIDmxOdmdUE/PhZKa0OyYH +li+eialCT9ErV6on58Dk8nf/6+glH7nrsVk58CHQ70IlkZ9JnCqPCnNgaIv8 +3DW0nG93OMmVHHgcm29QQdQjnNwEqnKgjZpH9SpRP1b8Vw05UJ9552Q5OuXt +09EHt3PgKouG4BV0lR9Z/Pb9HCgoecd1mfj+EeVBxcc5kHLYhbGMmHfy803R +z3IgsvoPRSmaSVhD7MnLHPATTt4oRhdVPtLd9T4HXFr2L1xCX/xxa6fO1xw4 +J1P7tYioR+6SSeZUDhg+lH1TiP7na6XyZjEH1NWe9xUQ9+83/Hz/Wg7IPT97 +Px/NdYPvp81WDogYzt/MI+Zf51RDFXkucL8Lq8gl8vL+GsUiTS7sP7enIId4 +vohjG5JMubDne1lyNpF/SrUJEey5QHbheHgW0U9v7G72Hc6F9cVOr0x0sji/ +FwN/Lsz56TtmEOcJ/PT8rGgufP37xSwdHTxz9lHVyVx4E+Wlk0bk73K0wQrk +wlPKHYqpRD0OCgeDei50pmWLp6BtBOXkUvVyoZnxCE8y2nUpuWDUOBeqi5r3 +JxH96L2ewmOVC6VcKnsS0Z0jdmz+DrmQVfmaNIHIc89qeOKaC/ECjr/j0N03 +q7aYfHIh+NbvqVh0rCiYOAbngodU/FgM+vttXbXWqFxw6GAZiibm9UjTIGVS +LpgpV3VHoakTtBfNM3NBt1/qTiSR/4x/quoKcuHU6b4bEcQ+OnhpfbssFyTf +mJWFo8WE93w1up4LApbTWWHoxi8nbKvrc4Hra1BcKFGfHQuBmy25wHSeOiiE +yIN4Nl6j+7lANX/pYjC6K7LAvaY7Fza9BWyC0JoiGjokz3Jheb3dKJB43rXd +XaYvc+FHuI56AJF/Nt3Pb47mwoedH2X80eFspz12fc2FoWQ3YT/iftYzqm2n +cuHJ3q1DvmjGP06B7Yu50JafzuxD5J/c7U/Ma7nQcICLypt4/+6zHzy3cuHq +1Zv/PJ2JP58i5/6cPA8KeBV/eqCpeKULeGnzILVh6Js72vKxmEkcUx5EnrAd +cUM3Je6uHWfPA797S08vol+EVOYpHsmDC4rRD1zRN5+OM1/mz4NzPQxNF9Cp +fZUCW6J5YKRTUemCnl4YemslnQfqL8WLnNFZH9X2PVDMAzmzx6nniTy0mJnj +1MgD0U9nIp2I/WhYZhp5Og+4HSZ8HNE/RlRNx03yYP+M33kHNPPRx7Mq5/KA +zpPC0p6oR/4/pmrHPCBbzdezQ8vefvlyt1serIfwnLJFZwryc3v65sEc6V0J +G/T5F5O734TkwdcEDT5rNGfBXLxMTB68pR09cA5dWMGVfyU5D57muOy1QqvK +OkhTZudBJ9sG2Vk077MyT/eiPGi5nLxmgf4y2Sz79koeVHOzz5oT/aGReEmh +Og9Ka2s/maHjh0nSqm7mQbao3EtToj+SdjDS38mD+DvPn5gQ/VvqLRjyIA9C +5K3ajNECDpKjE0/ywKN7vu4MkTdm4mwGA3ngoBl+xQgdxXl67v7rPDB/sSfX +EF0S7mHIN5YHusaXEwzQvS7B2vnf8uDUh+Mh+sT3rxmPkM3mgZTtQ/fTaFOn +qd9ey3kg8EPfTo+YJ3bWqi9/8uCg21djXbTdtWczp0nzgWnFS1OHuL/m6Yed +u/KBKmiHvDZaitucT2RvPmxtZYtooS02SI6W78uH5dgjRzXRV8/2NTJw5cMP +6pZ9GujrJfkvYo/lw1imym51tJGYUeSaUD4MsbzZUkVv3J94dEEiH56UOC6r +oM3JJAs+yeVD2+HVCWUif1cENg1V8qGhOn70FPF5FTd/9WrnQ4XwvgEldK1+ +TZi8UT4UtFQ9VCT60Zq0sNkiH1JlTrYAcT6rK8r8dvkQ9bCvSsGZ+P8z3SPL +XfLBT828WB69i9NEj80rHy48n06XQ2t3KdRnBeaDtWFwtCyRZ4wMpdSR+WD0 +jtpfBp3g0sYRm5APGueKXaTRTgqcx7fS80Huu4DVSSIfJfmHA/PzQfTCfX0p +9DpHL9mv0nw49lNHRZL4/OlPPR6V+bDf/6OUBPrYbcv9c3X5QPfPTeAEOq+H +Z8u5JR92Rm9xiqNpUoS8J9vzYZ0yg0EMHVBo6u/QnQ/zaVwUomjD+kzqb0/z +4Stj45/j6ObGbmG7l/nwtkhxXpi4z6YP419H8+EZ1/AXIWKeZrqP2X3Nh85K +29eCRN61n98Yn8qHFoHlXgH0y4YmK4ef+VBzK7qdH30/IFN/ci0fSqUYb/Kh +G5bnXjpv50N2R8VVXjQrd8v3WYoCiFc+kc+DLpd/GeexpwBC+h8nHUPL54q3 +rjAXgOdp4zBuwjce+wVyFIDDmwnPo+gL/N6PN48WgLmlv8MRYr6nea/GCBaA +3lcKs8No8e9v9lCfKIBT5wu0DxH7U9mJOku2AKTmeeAgWtKsN5dVuQAEfe6K +caHnSyfrr2gVwME/Gsc4iedtu2XMZ1gAzBGjbBxEXoSwpDaZFwAV+QXaA8T3 +j+0wkLMtgK3kDRJ2Iv9IHK/1OBfAyt6UX2zoDAmBeAPPAviRzz7Fiq75pbw6 +FlAAYwfqPuxDj30p/eUcUQDDV+VesKC3enmifscXwBPegUfMRH9eHSqOTi+A +ew1WrUxok9wElb35BdBwYqGGkdiHs7IhZaUFUHEvvJQB7XDoIwhVFkChIl0W +PXrmvUX2/boCSO25HLsXvfjjmrdOSwFE6YgE0qF7lGu/jLUXgP/Lh657iH3P +fG7MrbsALpgZWNOiJxvqHbefFgB7et27t+f/7+8DMp/fRUHn9n9/34+eT9Ef +WrSKXm3jscndcOoP3zwNOuvSfGfxBDtYf/pqSIOf9yY9OTTrZQEYOXir7UZz +WE8cPPq+ADRmdshQE/vud4Phna8FIO+ZI0SFHj3zjl57ugBEV48c2oW+fcPw +3OefBXAstIWJEr1qQHfSdx3Pt0N1FwW6dXi7jIqkEOgS3/zdia74yJxzmbIQ +du5xWiRDc5koM0nSFcKfnNXxHUSeawQfHmAphHm2hLekRH8u1HU4cBbC+OV9 +T0nQKo0DE/+4C+Etd3XHNj5/66EXl/KECuFZ7clbW+jlndfGhCUK4aFo/7VN +tIsm1PbJFULLHfPCf2hJmlwKe5VCqJGfSfmLVijMW9jULoSy7uCIDbSFk5R9 +kVEhZGvu9vmDDuRwc5CwLISEF8VO6+jH2fzLw3aFEGIsaLGGft5vT+NxoRA8 +P9zXXUVX/6JppvEuBEdbXaXf6EO++ydvBBWC+Y+PJ36h349EVmpGFYKemzvv +Ctr/04mlqcRCUF7ZYl9Gd/sJ9CdmFoJUUAbdEvrbPXMhvsJCENzmIvtJ/PxG +C8fTy4VwMK5xdQH9qFHskmtVITDvVpqZR39P6rtKe7MQqLOGP86hf9lelGps +LYQtFrvhWbSUKpOZ0YNCWClZfjyD1vBs3bn2pBCmDsfcnUZr6WvKFw8Uwlg1 +Y90Uem9MN6nim0IYFr52+Qdab4TdcGKsEHpaTuRMovt1NIVTvhfCPZkn8RPo +BCblfNG5Qrj50Dj4O3ozkyT53UohVKhNun1DK5oEUUX+/S9K7isQyzdu4LiR +1UCyUim7QSUkqueXjIyypZRVKHtkRPbee+/x4HnMEClCZVUSESpKJJJky+z1 ++x+8Z+/Be/g9u+/7+l3XfXLfn2RI7nA2HtnqM0fzWQ9Tp0CEJp3Ot63u3uZe +3LU9BXwGkhSHt/ok89JbV5YUcDY4fO7rVo+QdrjzcaWA5ffaE1+2eo9BdfVb +nhQwtFDiG8LnMfvL7f6RFNCe+cg+uNXL10hv+ERTQNHZYvvnrV6pGy18dyYF +zq+vbnzcal/nJCb3CylwyjdsdmCrVzmeUR1RTAFB+v1j/VtdclvVp08t5X/3 +G6WGfJi/bsr/e79Jej0d3rHVYJOe2/GLH4RpVHq3b/XtZc21gO/H4FDY5zaG +rR4WJ/11txEFtt1WdfRbbfnyu3z8pDhsT1ovo9tqm4m/E8FmZ2Bzf0Qu7VaH +tXiM6Y2chfncA4k0W804tAO2GwCMHy4L2bbVO0Iy3N9YycBgGcGD2hq/J+oO +8z4qC93i7+yotnpv3pQq/4QctDw1NKHc6gf3n7bV5CvA0wszuhRbfT+Y5q/k +bUUob/VW+We1Ne+Syd8KDylD3uXdsIk+Qvq5QNovKpD8PufUBnouD+rHtdKu +QMS1U4Lr6GuofqOLvqYGPl9e7F1Dz4db2nEoVB2cTbR2rW71LeYseQtzDbCY +HKVY2WrfF9Su05c0wdDOcWF5q2m0LjNZCGqB9tK2iaWtbq69yTC0TRsU3RM+ +L2611kvOu5dGteE8leC7ha3euc9EmPxcB04F17yY3+o9Mry6VNlXQZDxUs3c +VptFnf6p6akL++L7ybPoe5zxH029eQ2YuO5mzGy1gtK0wifp67At+2/0n63W +zNdl3b1XD1YEQvynt/rJ9rzLsKwHv4v33v+91aeZq2ZMPtyAEdEiy6mtjhWw +oPStugn9j6UNf231w7gir8QYfXhz/o3m5FbHu1+1yrU1gKaXNxR+brXVZ7X2 +/CuG8EhpSmoCPYqL55S72IyA/M5dZHyrx1g+3n3lbwSZOrt4fqD/wWt7oWHe +CGI/Z7CObbUfr2132S1jCDI+Tv99q+3LlPandRuD+3jD2shWi6rb8vhduAV2 +1mp/vqHX4qH+zaz8FpjOfx0Z3mpyqv5NBe7boOdq1/cVfZZF2jieiNug+o/i +9Re8no76gL9rt0E2IObZ0Fb/VPgj/sbCBCR38FYMbvXrB4w5KR9NQDimkvh5 +q9utQ1/fVjQFHg7Z5E9bHb2zp+LIY1Ngy+gJ+7jVJeLXdH8JmMF2PhOvAbz+ +8pA6UrwZ/CMtOPSjjyPW/8WY+g7MHw8w60Nv4/bD5+wOd2DiEZveB7z/d/4m +bcN3YFC64ErvVvcuvmy7p3YXuptOy/TgesDQr30Nd6FVoU38Pfox1Be6G4XN +4WmH7uFu9GsCbtw3TDOHcs2JfV3oxyxnfltlsADiwH2md1vN4OK6K/a+BSQb +MFB3bvUNJr11/nELiPiestSx1am15WVVOpbgY3F08s1W77r2k5/QbAnOM0+H +0Ntja3IybD5lBZbOKt2v0M95+NJAIccKDNc/N7dv9bYPMnzNTNag7WtV27bV +N9sNSs97WoMS/UZxqxX+rxCyUTllDecjI7JacH34TrDy37CBU6zccc1bfdi4 +cTb6lQ0IpZYFvtxqeU5S4oqkLew7BG4vtnrAX5faoMAWmAveWT9Hv2X8wH7D +7XawTdjIGL0/huBvXBEydrBSMaON3p8ZUWSz9r4dTEv6KDbg/EpItY6U28HI +s93n0P+rPXr23vZxO+iXzT2B/l+5uQXNSW576Hh1ig/9v7XODXctHXtoUnvJ +jv7fexHhT47h9lD9QWs7+n8H1YUPxL+0B/KN7xvo/XktiStUrNpD5jfHWfT+ +VAbcdTpEHSDuDs0Yen+xedIqY3cdIOh3wgB6fzwbRKH1LAdwvyfYgd6fn/fc +JHO/A9iv1DSi97et6XoCH+M9MPW6VIXeX4XcbiFx+XugRzNQgN6ffqRa9kX3 +e6AadjcVvb+8PSqUalX3QHb3SgR6fz9kpJWvT96DM0khPuj9aXtoPTDmcQTh +A1xO6P39PPQ2/s41R+DJK7qL3p+D51CiZZQjsB85exO9v4gvT32sWx1he/kb +NfT+ir3TdKw3HOGf+E1ZEs5DWjWLpbgTLDydOo3+3y89eGJm6QQTFzyOov93 +9LuNklGuEwy17uJG/4+s4fZS96MTdF/O3I3+38HqJKErzM7Q+v44Dfp//Bwb +ThcuOUPdtca/6P2JuwyXiXo6Q/kXtSn0/h6+cXl/qNoZiCbDX9H7G6ZcGd41 +5Qwpk3Y96P0tb6R8+svrAhF2lG3o/e1Oi278dt0FfJdinqL3d7aYJbo92gWc +3XnL0PuzW9a5XNrmApZUVTno/WVD9ELUpgsYBcsmoPdXIr0cbCdxH7QZe4PR ++7NJbGJQs7oPSvEm7uj9PV7mcTmWdx8IXIu26P1pr6p303y6D6eyA26j98ck +6sf5hdkVhATZddH7KxOYvvzokivsKylQRu9v41qbTbCnKzCfkiTE4vlyUc5T +r9oVaGrbRNH/G9ZIfXB0yhVWzl8TQP/v0NSm2V9eN5h+OcGJ/p+lTeGF5utu +MKrkuhP9v3LhV/SR0W7Q/46BAv2/n/YpjTptbtChkzqP3p+A9xXTfZtu8Pzz +0XH0/nyjuVa/iD+AauO6T+j9neqR8My2fABF4yqd6P152fbOGuY+gEzrwefo +/bX7HdM58PEBxM1bVaP3FyJgRxpgcodg1w0Sen83jPt/xSi4g/u/iHT0/uak +Ew8qebiDfQB3NHp/QqXfZDer3MFsR7kfen9z5OlrFZPuoBcDLuj9OXDNGdzi +8QA1ji4L9P5+fTl0dfc1D5DNMDJA76+js5zQEOkBZ/hmNdD7K6vt4TRv8QAR +so+8x1br3nr+ffe6B/CcYJFC/68uuDS39pQnsFfnCqP/RzXcoalv7gk7zood +Qv/Pb4/24r8sT/jX9HIP+n/vWlxCs/s8YUFBmw79v+JoKxbY5QUTHd9X0ftj +p7kT8VnWC4Y0nabR+1MpTltzcvOC9wM0I+j9NVOBPmOFF7QaJH5A7++0b0Al +cdwL6r4LvkLvr/NNt8d+Jm94aPG4Hr0/rl6zdNOj3kCcufQQvT+g319dKu8N +Kc4Deej98cXuaF8w8obI9btJ6P2pJ6l9kHb3Bl/flVD0/pbOUA15JXmDC32o +J3p/v+LOf31Z6Q2WkVwO6P2VNe0bpO30BiPWYlP0/sx6anoUf3qDTurZ6+j9 +/fh8uDVkmw8oHeq4jN5f0VhY1auDPkAouHnhzlZ/XF5Joz/rA2LCv8XQ/1Ni +i/BSuOoDQpUeQuj/eZwzNPCz94H9Zxj3of9HuhN6pjHcB5gbMhnR/ysOP8y4 +WugDNHInqND/48rQ+ir20gdWXzUuovcnHShQYvXFB6bV1H+i90clWutIXPGB +0Q/Dg+j9HfQVkPrM6gsDN+y70PubvR60wnzSFzq+UTaj9xcVv1wtr+ILz+/E +Pkbvr50h2sbVzBeqf/MWo/d3KMKCr8THF4ruVWWi99f3O7t3KN0XslZkY9H7 ++7502Zex1hfivHoD0PtbtwkWJvT4QjCNqSt6f5Gc1j1W077gEbZohd5fSwWr +SyqDH9jvDjRC7y9yIZmjjd8PzJLYtdH7i0rcUz0HfnDjQOElza0WU8pUO3DD +D9TyJM+i/5f7RHNcwdkP5I60H0f/71W0srttjB+cKb/Gi/7f1XtZjEklfiAi +8ZMN/b+nlJaZz9r8gLfOlQH9P5GB1mOjI37ALrN9A72/4siOGrpNP9jRljqD +3t9ybQIc2+sPFFeOfUfvL+PH2dYr4v6w8L6uH72/mdwBZVs1f/h57fIb9P4Y +nQLeRln4w9CXwQb0/oa+3lAtD/CH9ybWlej97bnl9PZttj+0TW7ko/d33PmX +8q86f6izi0xB7889tr+Vrt8fHi5xR6D3t/eIlgzfnD/ku5d7o/c3W+jy9Pyu +AEihuuCI3l/jfYNTuocDIDK46w56f7speci2sgHgy2h8A70/hdwB7iCDAHCJ +n1VF7y/mSWZchmsAWHH5Xjy31atZ4XRV8QFglM1yGv2/m0V1bm3lAaAjmHcE +/T/LI/K/P70OAOUSsQNS/73PLxr+HgsAwqlmZvT/wqs6ujYpAkGsVnsb+n9n +VTZkmPYHghBhbBm9v26735XckoGwv9npF3p/YFTDJ6IZCLuVab+i96euYR8v +bR0INF2J79H7K3WRpLkUHAirOkKt6P0NUvE6a+YFwp/Pj5+g9yfLrTx+syEQ +Ro0VS9H7E5htv2b2MRAGxgey0fsrynz82mYhEN5am8ej99cvf+K8M1MQPJ9f +CULvL5lS6aH70SCocQ19gN5f7cQBfl/5ICj6x2WL3t+vXW3JgUZBkBVQfAu9 +v1YvfcbQB0EQv+PcVfT+2hRX/cMTgyA4pkNJaKs1bWvWIyqCwIND/zz6fzWL +RU6RHUHgkPH7JPp/Qp9m/kSMB4EZnyc/+n8t7DmW4VTBcIPMyIn+X3pO70TI +gWBQO5G1A/2/XCvincAzwSBXfeIfen/1pkcmfLSCQeps0xx6f0+d3S3cbYJB +5Ln6D/T+DAJqpp1CgoH30reP6P3p3ptxtCEGA8db+7fo/Slwy26YNgbDDi2q +5+j9PTNsC7r5KRgoPsY+Qu9PZlciq+ZiMCwY8JHQ+1P625anwBwCP79XpaH3 +d3HaSUL6WAh8sZCLQu8vorb2lbBCCLyf6fVF7893P9mI2zgE2pxNndH7s/1s +uMroHgL164vm6P2lVS4mbiaGwEPfQH30/hRu+J3+XREC+fQcGuj9kUN5Pn7q +CIHUyEK53bjfZic828ZDIJL1zBn0/24R5oSqqELBL7X9GPp/rHuv9mYcCAWX +Q9cPov93RkjEP+hMKFgV/GRB/2+RIVrSTisUjIXdaNH/czRImta1CQWdyu2r +6P0NPrtBJoSEgvKZtN/o/ek8W7zDTwwFaDj2Db2/HdMeRxkaQ0FMrr4Xvb9j +Qztnpz6GwuHXl9vR+xvfU1f3biEU9qsP1aH358SRE1rBFAa7+6zL0fvbbd6v +H3s0DGhvbuai97cZ4CzhIB8Gq98iE9H7CxhP3K1hFAZ/7hwMRe9vhUpj7viD +MPj+u9wDvb8apWf9OxLDYODeBXv0/niOLDwffxgGb1e6TND7K97OWPHiTRg8 +9zK+ht5ftxZffvqPMKihmVNB7++A2eVMJ8pwKA7zhTX0VLJy0q/sD4es3XvE +0P+LuXY2m18yHOKT8gTR/2ObECWvaoRDyAFxLvT/XLIyHr+zCgePvOZd6P9F +dSS+yQsKB4cjOpTo/116IjHmnBsOd8rHFtD7Sy2O3ab0LBxuSDhPoPeXMtZy +hGsgHNTraAfR+7PIH9eenAsHOZmkd+j9KQowBz7ZFQFSbUIv0fsLSNN9FnQ4 +Ao5fqa1B7y9Uum9VWzYCeHsUi9D7GzhJJPAYRADH9Y8Z6P3tJH8MnrofATu/ +mseg9/fpVeTHmrgIoDBd9Ufvj6Xm80nvsghYnAy9j95fTvhApOKrCPhpt88K +vb+ouxnzTN8j4MtSsSF6f9TGFw37NiOgx/2cFnp/siFfu9P2RkIb1VuFH+jh +zISrGIlHQn2wvjT6fwO5tzr41CKhgnFaBP2/a4X3tX+YR0J+vCcP+n/rlOOj +hf6RkMrFxIb+X1lli9vdrEiIzM6iR//Ps1p47+GnkeAneHIdvb8sKvHGH72R +cL+k6Q96fxaR81bEP5FgdUpjFL0/QyU/XuPtUWBc+60PvT/+Q2tf9wtEwVWC +w2v0/kLWHPL7IQqUm6ka0PsLaKK6F6MXBaAcV4Hen7T6C0VlpygQ7+LLR+9v +MeiVIFV0FBy++igZvb/jMid3PSmKggODcuHo/QVrMK7btETB7lsfvND76w99 +MM83HAW0E6b30PvbWxc1378aBWvWS2bo/cFVUc+W7dHwZz5QD72/KhbaIG7O +aPjuyqGK3t+JBQ1WF4Fo+PivUKYL5+3TIcF3p6LhbcAZCfT/hnI86wUvRMOL +Ha8Oo/+3X8il3+NKNNTEXN+P/p+XLLtLr140FHNMMqH/19l5J+3o3WjIznCj +Rv9vKtNFztspGuL5diyj99cfpOHwwTcaQshpk+j9kW9QChyNjgbPE8Jf0PtL +mYy96ZkRDQ7V9d3o/a1Qsex9XxQNd85eaUHvT8o+VE+gNhpuPB+qRe/vxz86 +nvst0aB+yaYEvb8Sl0Sr1++jQf7tZhZ6fzpZMuf3D0eDlFZUHHp/bWfZ4qx/ +R8PxjweD0PvbyXzApmE1GvgMH7qh96c0ZNLJSB8DHGMXbND7a7v1t8qALQZ2 +WnYbo/f3S3+Uu4w3BihnjXXQ+yOEijJtnIiBRec5xTqcj5xpf5XzMTC57nsO +/T+pG4LeKcox8MV3z0n0/1Ksv1OM68ZADz2RD/2/lHBxOnHTGGiPFOdA/8/K +gjfO2yEG6llbtqP/d+fxE2KHVwxUpOpsovdHw0t7jjMiBgoO/ZhF72/k6p7r +t1NjILXAeQy9vyaK32ulhTEQJUz3Eb2/kPZM/r+PYsCvMqkDvT8FHfEemRcx +cP/M4Sb0/oQvP6ELexcD1g21Vej9xalLvegZjAFjOaVC9P5WqZuo9k/GwNXX +H1PR+6Olvf7q9nIMqKhbRKL3F7TAxF68LRagb9UHvT97y5mJ2d2xIH4zzAm9 +v9FttBfPHIyFwyP7zNH7471+k8dTOBYO3C25id5f1uZm4EupWGCZPqeO3t98 +yIoD/aVYoHV8K5u/1V8jdMcua8fC2oq+JPp/Wmn8X6KNY2HGa/oo+n+mMlb6 +vTax8J3Gixv9v+u7pG9zuMfCxzAmFvT/MkNT/lwPiYXO3dk06P91XkykSk+M +hRdJJ1fQ+3v47Gz6UF4sPD7wfAq9P0mfhHruilgoztMYRu8vYHf5bcOGWMg+ +MtKD3t/ETFxM1ptYSCh3aEPvbzBLTeHrQCyESFDXofe3M+O3D/ePWPCsiytD +70/T1FVOfz4W7snw56L3V31vMyKNIg7utD1KQO/PRibY4OOuOLh5RT4Evb8f +8rw17PviQL3ngzt6f4+W+uO1DseB/HUzO/T+8toerUZJxIH016Xb6P2VHG0e +f3MxDo6bBumi97cWtfsmnXoc8P3iUEHvb9qUpHtRPw447UmEKPR59sZ/creI +g53LZ06h/yfJOvy9xiUOKD1eCaD/p9CU5DzjHweLVHp70f+z8m+NOhIbB5PB +kzvR/6NvdTl5KysOvjI+oED/r2Si5lpqSRz0xO9YQO+PWzWK/v2TOGjnSh9H +7+/m1Z0XGNri4Fm28Gf0/hIMpf5BbxxUCD7rRO9PrJVHwflbHBSUXHmB3l/3 +9y7Wkuk4SDv1pRq9PwVWTctva3EQVWtDRu8vo/ihEjtDPPgT/qWj98dJ8bdc +mT0e7jdHRaP3J24qlunJFw/Wyof80fvLFbZhrTwZD7e6Hrqg96deUMUydj4e +rl6VsUTv79YhphQOlXhQGew2QO9PcjysUOlaPFy4dUsTvb+78hKEB6bxID4x +J++21a1+7PolDvFwxMZPCv0/o5/S24a84uHAwh4R9P886krFdkXEA4sb8RD6 +f7nn3X6dS40HOgoJVvT/IiuKj1kVxsNaQAsd+n/ndK8spD6Kh5kdV9fQ+xuz +spB/9TwexmJ+TKP3t3mc8eByZzx85HAZQe9PakDWh38wHjoz6PrQ+wvOYbfS ++BkPL/iSX6H3x/ki5qvHUjw8Jh9+ht6frm3TZzJ1ApScePIQvb+ZwVKjD8wJ +kF2tRETvr/OcqQ0FdwIknP2UhN7fgcbZbceOJUDoc4sw9P4UYg34dc4kgOel +NU/0/pZGajo85RPg3tswB/T+OAdpNgs1E+Cu1n4z9P4+FVyt7TJMgJsfS66j +91dk8Wjtr1UCaBiev4Len/SVo+2H3BJAfuztBZOtfuDw8oBiUAJIWxqIo/+X +vx66bhOfACdmp4XQ/zuxM9wkIScB+Fy89qH/19/YrVNXlgCcG0xM6P+li9/p +Gq5LgF1+2VTo/zUH6PbSvEoASgbRJfT++jpKjY/2JcBS5POf6P3l8jjdVx1N +gElWzSH0/s6m1nI6zCTA19SRLvT+VpT9LiZsJEDvoXvN6P25KwwvPt6eCO0F +1LXo/RVlfDr1iSMRngnHF6P399DQY2WNPxEqK/mz0PujTO1ROnAqEQrOVMei +96d3bYKPAImQ1iAfiN5fPbE1yOByIkTJ9bmi9+cc5OrkeT0R/F+bWaP3x0W3 +83eGWSK4qi8bofcXdDhkuv5eIlj3BWmj9zc2veb62TsRbt3kVFTbauIN26iV +iETQHSGdRf/Py2b6OEdaIqjclTqB/p+HgP81cVIiXJh+xYv+3x/3szs1qhNB +wlGPHf0/O9uDytYvEuHI6iQD+n+Ti9IsIe8Sgdv7wQZ6f1F0KSbEwURgod05 +i97fSIqcTOPPRKALT/+O3l9ZtiLp41IirO8WGUDvj4nxYco8dRLMJD17g96f +9fsAtl27k2DsgGojen9eg737BLmT4FPel0r0/i7tJZUQjiVB5xHbAvT+9jlu +b796Jglelv9LQe8vrY/WxkY+CR5LREeg9/f0MCkzQDMJSuoO+aD3V6u+cj3d +MAlyZCoc0fsTEqPJrrRKgoQ2mbvo/U1W9tm1uyZB6JX3N9D7E6u43zEUmARe +PbfU0PsL3LVUOReXBPeuz1+U2uon+df56XKS4O5Xv9Po/83rlB3aV5YEN01Z +j6L/V/53s/B4XRJo/CIeQP/vidnVpzLtSaBgL7Eb/T9Rl0Zd7Q9JIL3csg39 +v5B/ct5mI0lwwuPqX/T+Qntmxe7/SQJ+6vFf6P2ptnQ5hKwnAWeIy1f0/uTy +p86lMiTDLib6HvT+RC+qxxaxJwNVQnIren8nzGnvPeVLhiWuI0/R+ysd2/v1 +1clk+JX9pBS9P1ufhL6B88nwVVA5B72/2G3+18eVk6G35FM8en/BEjN3FnWT +4dUpy2D0/vZ+/E5JbZoMz2rXHqD3Z1ZpJcTskAyVhHBb9P4mfGMH9nslQ2Hz +/tvo/dlsN2Y7Ep4MacqlV9H746UbHRJPSYborvPK/FvtL8F36kJBMvhf7TyP +/p+9ujCLSlUyuA4aiKL/t383g6dOUzLY3PrDj/4fy4lmJ8O3yXBrwosT/b/z +RndX7n5KBl0b5p3o/w1fo2BwGE+GywvZ/9D7S3qTSHRbSIYLbqLz6P3pm5/u +8KVMAQmKFz/Q+wv89tMrlDEFjgRqfkLv7+W3usaYfSnAvXP0LXp/POyPw5IP +p8Ce2HvP0ftjOPJjNFMiBeg4t1Wj9yf3UqudeDEF1jPiSej9LYRtlypSS4FZ +PoF09P7SD7OdLr+ZAmPk6ij0/vaf92ysMk+BTycU/ND7q7RU//DYOQU6q/uc +0fvb0E50qfNLgZdn71ig9/c3Sa+gIToFap8v66P396+70Ph5RgqUXArWQO/v +XWYw+WVRCuS85ZRn3Gq/ZDrPlscpkKhFPoP+X4ruia+tzSkQ+lFKGP2/3w7b +37Z1p4CX4euD6P81eOVdav+SAo5jenvQ/yvdTaPW/isF7lr+okX/r/6p1Gjb +3xTQn32wit6f2o7L29poUkHDZec0en/HYxUqW1hSQWEj/Rt6f36DYr9fHkyF +s34iH9D7++zEXf1cOBVOMDS0o/d3eIJ5e6NUKvBHqdaj99dYxzZVp5AKe9m+ +lqP3d81F8katVirsSrPNQ++PNdtb95FRKlDxUCSh93fvydpwuXUqLBVEh6L3 +ZyRftVzklgq/hHk80fs70lyRlh+UCsOVFfbo/fk8onybFZ8KvWcumqL3FxBX +GpaSkwqvGt5fQ++verCxP7YsFRrkbl9G72+FQbYirC4VKl/Pw9+tzouT4fRv +T4VCdX8x9P8sZlp2un9IhbQ+ViH0/2wSPkXeG0mF6Jv5XOj/nVkKybT4kwoB +IxKM6P899v9y1ng9FVzvtlKi/6dYMWKmy5AGNtNXF9H7K5vMPniFPQ1uO45P +oPfHnslndpEvDXRXXQbR++OUdJKWPJkGl73pu9D7qzmYnX7sfBrI0Ka8RO/P +oKks/KByGkiEH3mM3t+EV/H2PbppcJTlaRF6f0kvs9lpTdKAO1k5E70/rj/J +D//apcEe7s8x6P1FmWQOTHqkAT3RMgC9P3f/usjB0DRYP7J+H72/vtLV92+T +0mC2PNwKvT9Kgll+AzENfkgcMELv7000PW15RRp8qivVQu/vHsXUQmZDGryT +IVwaxec3xuUQ+SYNXrZ1SqP/55yU5eExkAa1VwyPo//nZOnPYTWWBqU9f3jQ +/0up7gG9uTTIue7Nhv6fYnvS0qV/aZD4lZkB/b8bC5/OSuxMhzDTnHX0/rhC +Cpl496aD1y/RGfT+zvbSOTAKpoOj/YtR9P5+8tDeXj2VDneXNfvR+3tWXzIy +Bumg7zH6Gr2/l79pZrsup4MmtWMDen+u6/si666ng0LItkr0/jqPrzzJN0uH +s0wJ+ej9CbwqdIy6lw4nEwRS0PvTYDzVcN87Hfj31YSj95cOuYnGEemwN0fB +G72/pnSqTaXUdGAU6r+H3t/Ubf0F0cJ0oCq9cwe9v5buete9j9Jh+dRfPfT+ +bvEci6R4ng6/aoNV0ftTC68UHX+bDsOEvRff4nop65u8/ZQOH5rJEuj/JcVK +CFaNp8MrZekj6P9dTZF3SV5Ih4au1/vR/xOJTdL1oMyAqqs3mNH/WyqTaDNm +zIDCwV/U6P9RHjraKr8vA9JvuS+j98fG6qV95HAGRE/s/IXen30twWGnRAYE +2GR8Qe/v9Zl7B//IZIDbgsh79P5UGwVvdqtmgI1bQwt6f0TH24erbmTAbQq1 +J+j9/fIS84+/mwHXAr+WoPdXT5tj6+SUAZd32mWj9ycoUjOp45sBMrEU8ej9 +1bB5LEpEZYAEZ0wQen+23/5FsaVnwNFMngfo/b0vV3y6QMqAg/yVNuj9DWQa +O/ZUZ8Ceoou30Ptbeq3dUPEiA+hP9uig97dPTTg56l0GbFTfVqrd6kq5OUrr +wQyYPbtwDv0/4suHa0o/M+DHc/+T6P9pj9gHCC5lwOdLbPzo/2VXQxYVdSa8 +e5vPgf7fuDq/0hemTGjWOr0D/T+q1iN+T/ZnQu3H1k30/pQE9K7EH8mEUkPd +OfT+ojwaC21OZ0Lu2PgYen9HBm/HKspmQqLl/Y/o/f28rLGLVz0Twmbp36L3 +x/0phnPtZiZ4u6Q0ofeXFH7iUY95JjhuHHmE3h/RUny42DkTzP2eFqL3x+JR +lO7nlwn6DCpp6P2JtqT91IvOBM2oz5Ho/XUqsLSJZmTCJTYrX/T+pKg5JeiL +MuFs2roTen8yqzViX2oy4SRPhDl6f1JHV15UvcwEgcID+uj9zUVNjAR3ZcJe +kTJ19P5eCEbF6Q9lAmMVQS53q70nlnpFJzOBSuqdJPp/AW+PFNIsZ8Jyg+Ex +9P9KO0S3f6TOgim5GW70/3Q/c24WM2fB8GtvFvT/7vye8PU8kAUf1HfTov8n +s1icrH40C1735ayg9/d+1BJ4JbOg4eap3+j9BZFOuczLZkHVyIth9P60Rbdf +aFbPAtJdrV70/iot1lPi9bMgfXq0Db2/TNgTYGKRBTGOjnXo/aWnXKMUd8mC +gNVt5ej9VRn1MVL7Z4Gbd0Iuen9ODxJLu6OzwJZWMBG9v+XO3KGsjCy4HV4T +gt5fL4Emy7ooC66xXPJA7+9Uadus9OMsuJLcb4feX93q/Ae65iyQ4b5rgt5f +Hm28Sm9XFpwm/tVF749YVqGZPZQFR4+GqKD3R/nu6i/LySw4+HAvhOP9XYxg +k1zOAtbTRafQ/5O3L5+goMoG+nppQfT/HF9aMJnRZ8OGzJu96P/R+G1svmbM +hrm2G7vQ/7NPtXp8gi0bflyZokD/z2m+/kz8vmz43OO+gN4fq8u871+ebHh3 +fdcEen/Ju9gSbx7OhuavGZ/R+4vIFHRoOp4NT0yPv0Pv7xWzCAe/RDaU/mp4 +gd6fibJIQNDZbMi1V6tB76/hpHD9pEw2JC1/JaP350E6Xn9FMRvCPOwy0PsL +T5AKeKiaDd7UlDHo/R38rMGxRycbnEJi/NH7K7/h7uB0IxvMmXjvo/e3+vdZ +Yr9xNhgkVFqi98cQv89f6m42aO6TNUTv7/CuVOk0m2y4lNOjid7fb1nC03XH +bDgnZKLgstXiHLuoDR5kw8nSBSn0/3hMd7A1+mSDgFiACPp/B3cQZg4GZwPX +EzYe9P9Gxsjx3pHZwAgFrOj/mXRrUX2LzwbqltP06P9Nki5IyaRlw7Jy2xp6 +f5sKTlI5Odkw1aX7B70/p4AlKkpSNny7OjGC3p/F+VcJRmXZ8GHwfh96f3KX +5mYbH2XD61sMr9H7e+jmzHGwLhsaJ1KeWf43D3q0ns+zocrmaAV6f7ee5DUM +tmUDaeEpEb2/e3ZXL5ztzIZ0N5Vk9P5IJJewlN5siKEYDEPv79DpndnLn7Ih +MNDKC70/mOL01PmWDW47NxzQ+6vJyBWoGs8G29gIM/T+PPeXJTJPZ4MJJ7ce +en9DUhe6rRey4Vpm2RX0/gxb9T+8Xs2GK/wgY7zVX2N25AlR5sDFonfi6P95 +WapK+9PlwOmTRofR/yvjOZY2vCsHjtXM7EP/T8C3oOUcaw4cPOfDhP7fxPWW +J8lcOcD6Yjc1+n8KTuEuC4dygEExdwm9v89ZFP/UhHJg4+2pSfT+womC6sUi +OTCn9XIIvb/QK9SWtOI5MP5Rqxu9P22TNDVj6Rz4bPi9Gb2/qor5jboLOdA1 +5liL3t+zDWZn9ks50GxJU4Le33umv4/truTAk9mELPT+cspKX77WyoEyF8E4 +9P4Esk+n8OvlQO5GTSB6f/nkpDOeRjmQ5HfJDb2/R1EfcvrNciCcYcAavT9x +9sXek9Y54B111xi9P02Kte6QezngxLaijd5fCPNU8ohrDpinhShe3upc+rdH +znrngAEP1zn0/6rIuf5xgTmgVVh0Av2/g4/syb/Cc+CSyFk+9P+SxgnJsnE5 +cK7qDTv6f/upmTTSUnJAVOrmdvT/Vpt/9Mxl5YBA49QGen8dk68PKRfkAJe8 +xyx6fx6iTZI5JTnA9GbXGHp/8jpvOP9W5gC1RubAf97f7tmXqk9y4G/f8Q70 +/gJ4TkvlN+bA1M3GRvT+lglZ99dacuDbiFoVen/OfKK+Gh050Hd3uAC9v5dB +MzqF73Pg9bRdKnp/c3wj8+sDOdDoSBmJ3t/t8u03Nb/mwKPVGB/0/l4P20QW +juUAyZvXCb0/QZs9Yeu/ciCDtuouen/tOzc0NOZyICZc9iZ6f1r2J0fz/+ZA +IEuvGnp/NWqVhNXNHHiQbCJ7Gp//jSATVZpcsOVePI3+n6HCI+3cHblgQgw4 +iv7f3pfnmZZ258L1o+zc6P8l+h6OV+LMhSsPC3aj/6cn4Tqazp0LF09L0qD/ +dzZMfOMPfy6crm/7i96fgZjht4vHcuHYxWtT6P2dG9yIShDNhUPtE1/R+8sU +Y2OYkMwFVlXXHvT+sn6QL0sTcoGhl6ENvb/inCa9cLlc2Lye+hS9Px9mA7Ev +yrkw9/VoGXp/ol8C+05o5MK4aV0Oen8HqkHORzcXBn+pJKD3t0aIcH+vnwtd +9oPB6P2953f04jPJhZZlK3f0/lYZVy47WuTCE48NW/T+ePMPjTXb5UIZdeRt +9P4UI/9cYnPJhbwQbl30/hrsLJxMPXIhialcGb2/o3MJ1tV+uRCeAIRDW+3/ +2PkkTWgu+OzrEkX/j9N0d4N2dC445RgJoP8XmGnBTkzMBQuhWU70/5pog6Tm +03PBoNRnJ/p/TBcshS7m5YKWGAsF+n87pvYNR5NzQfFJ7jx6fwXFGbe/lufC +ORAbR+/v0MHFCpGaXBBtefkJvb89PwTfPKjPBUEV7c7/vL8syfJXL3KBq/v7 +c/T+TH4eM+B4lQtMuk7V6P0lG9MPmLzLBeohGjJ6fzPE7v2VH3Lh763EdPT+ +itTChf99zoXfE4LR6P3d2DhHd3kkF77ZPPZD7++34kRJ8kQu9C1cckHvL7Mj +9sDYdC68cRuwQO+PmlfmuuhiLjRSmBug90c7smzosZYLjwJXNND7GyfWib6i +zAPyzlD5HTgfVDGdrPR5kBHLJYX+31y0+2kjxjyI5SwWRv9PedzXvJg1DwIz +zx5C/28wlXRniSsPHvB37EH/L+XC3EkZnjywK7pJh/5fq5VJS5hQHpic/L2K +3h9FFbVAn0geXK/xmEbvT6qgV+2QeB6onmMcQe+vfuCzvIV0Hlx8kfkBvT/h +wQN0jy7kgaTiiVfo/XnLZMVtKOTBsc7GevT+GFJMfilcyYND2uoP0fuzdXBg +jNbKA7ZPw3no/VXcfLs+cD0PGIzsk9D7O/zDtYbHKA82xyjD0PvzSXc/a2GW +B/OWsZ7o/bXu/BRWaZUH47O8Duj96bxMLFx1yINBlypT9P5+qDyOvOiaB10b +stfR+wsRvSAT6pUHLX69l9H7I29KNHYH5MFTBtMLi+jlnM+g3xueB2VRi2Lo +/z1zcjtgFJsHeWyBQuj/XRB9v1GQnAfJaez70P+7sUwi/87Mg3CeQkb0/06r +UfOI5+eBT6EkFfp/6o1jt92K88BZpH0RvT+/t9oOTRV5YFF17Sd6fxT/tC/T +1uaBodTPQfT+tAcmZ1Ua8kCr0bULvb87Q1y3Y5rzQFF+ezN6f/djfmb1vc6D +829SH6P3x+tyg7SvOw9ENY4Vo/d3g9nJ3ag/DwT76zLR+3P4Ir0/fygP9ulf +jkXvj/lWRdDP0TxgGh0MQO/v3L6hBpHJPNhmbu2K3p92yIvn9jN58Hd6wwq9 +vz3HzKKrl/Lgt2OkEXp/0Z4dR1fW82BklVsbvT8pytXoc9RE6PMuvzS81V9O +zL/wYiDCG9oLZ9H/IwbWN71gIkJTeNdx9P+YfPRCadiJ8IjFmBf9v38p3QcV +9xOBnDzLhv5fmYqgTygvETK4fRnQ/3vEpF/WcZgIsUSWDfT+qA3dChlPECHo +aN4Men9TFb5W6hJEePBQ7Dt6f3u93TZizhLB7nRzP3p/cRfM9HpkiGBar/0G +vb8mc2U/VkUiXL841oDen5H9sfs6qkRQbXeqRO8vrmOXVKI2ES6q0hag99fz +Z7GlT48Ikr2JKej9ralOcHMYE0FYTygCvT/Q/ymre4cIh4Yfe6P3JxaxIZ5k +TQQ2M0VH9P5eqR+Z67tHhO1TA3fQ+1Pda/+A3Y0Im/bmN9D7s9P92KXjTYT5 +5RVV9P7OPDCbiw8kwoRH6MXXuF4L+4d7wokwSL3vNPp/z05SprLEEaE7pPgI ++n8mWRyHNFKI0MJ07gD6f8GlJg5RWUR4mtDBjP7frvap6Lf5RCjfp78N/b+T +Nx492FFChLyc38v/eX/vG08pVRIhWcjzF3p/Yil7agJriRBRyvgVvT/rvY9o +mhuI4COW9R69v836fB7KFiI4PznRit4f1e8pBsIbIlhA0xP0/uZ3Rza4dRPB +sEW9FL2/4rgIeNxPBG2Vb9no/d35+Cd8fogIit328ej9NSg9KTjxnQjndamC +0fsrUZ4Ot5wkwqmh2Afo/YXJxEHhDBEEb/PZovfHGlfSMLJEhH0/q26h93cr +j7Cde4MITLZyV9H7m/lwhfc6dT5sW+xVqt7q1IgvtPEM+bDiZnoe/b804cXH +nUz58Jti6ST6fzGsiRIM7PkwEhjIj/6fa0GLl+z+fOjfycGJ/l/VxeBED958 +eBNbuAP9vw3FEdfHh/OhifPMP/T+3DgHjswez4fqzPY59P6KmWwKjkrkA5n/ ++g/0/pzDSX9un82HzKKfH9H7OzQVRpMhkw+xJ93eovenEbZ/4sOlfAiq2f4c +vT+WVoMkRtV8cD+X9gi9v87lq3suaeeD3YtjJPT+tB8w3PTSywdTxfo09P56 +itzsHxvlg17n5Sj0/r58LdP6Y5YPqtpDvuj9md8rohKyzgfZT9bO6P29eWbv +aXAvHySNNs3R+2tiZ2hLcM0H4R+R+uj9mXxwHOzwygceq4Ma6P2dVn/SQB2Y +D2xz5XJZW71v6JO1dHg+bL9/4Qz6f9e6h37axebDv42uY+j/Jfm0nipMzod5 +P+OD6P/9kElRHMrMhwmGORb0/x6Z6h3bk58Pg1G+tOj/DQDjZ8XifOhm27OK +3l8w7xNdz4p8aE3L+43e3y1Dw5yqx/nwlEf8G3p/Zpdoaiee5UN5YXMven/L +R8vTDjTnA1FEpx29v+Hrxpc1X+dDctVYHXp/qnyHXgd25UOElHM5en/dH/6w +1vXlg28jbR56f7cae4X/DOaDs3xSInp/N0R6dvON5oPlG6FQ9P7crf68vPoz +Hww1aj3Q+5tqF5EN/ZMP2v2K9uj9iUXGRj1bzAcl/Y8m6P3J0vGSZ9by4fyo ++TX0/uw8h6P4qArglPmqCnp/juffy16lLwChP6EQstX2EWvNwYwFsM9pnxj6 +f1M1RnvqWAuAea1YEP2/LkraE7+5CmCbzzku9P/sq/9wHOQpgBXat7vQ/wvk +5O1UFyqA6XB9SvT/hO1zNHxFCmCEZXoBvb/JbQ65VWIF0J/sOYHeH4kzuem7 +VAF0cDMNovf3dIajhO1CATQRs96h9zfQtWiioFAA1UdPvkTv79C6xC/nywVA +fthUg94fZ/UgoVCzADJPaxSh91d+ftqw/1oBxNV/y0DvT7LfRpXOsACCLjrE +oPdn9eLWdknTAnBvpwpA788BOuPMLAvAXjXuPnp/7yOqJxPsC8C0l88KvT/v +qf1MLS4FoKf3yBC9v94UesoFjwJQG5bTQu8vuse9ide/AGTNPig44rz3eVzR +CC2AM1Om0uj/qQ0zkbyiC0DYYUkE/b+vxyS7ShMLgOdvIA/6f9MLyy2f0wuA +3ZODDf0/ReerIQx5BbB9G4ke/b9Dk1e5JMkF8C/kzDp6fyyhG/dNygtggenV +H/T++KJU82OqC2Ai4fooen9NoqpZDXUFMLRvsg+9vyYyxZ1fzwugO8ftNXp/ +Jsct1jnaC6BVaEcDen9aS5GGcp0FUFeaVoHeX67kvWi73gIoFxPOR+/vjdC+ +qPRPBUB8Up+M3t+jtdAb7cMFkAJXwtH7Kx1vXZz/UQARLUNe6P3ZHOzRP/i7 +AHxVbO6h97evrypOeb4AnLs3zdD7kyFYJzmtFIClbpQeen8DIdTm2f8KwGjo +oCp6fxcm3ejf0BSC9u2HMgZbLR/d77S4oxCUfl6QQP/vYMmBioMshUCw7T6M +/p+NtcZTJc5COLVovB/9P6B2ibnHXQhCD+aY0P+jT489ncFfCPsp/ajR/ysy +JZFbjxYCc9CeZfT+7kXUT/45WQg0u4iT6P3tu9CzwilZCCux4l/Q+2smz/TJ +nC+Eac6WbvT+Nhc5fS1kC2E0U6cFvb+XauprsUqF0M//oxa9v/vfUi/WqRVC +R5FzCXp/M73ruqM6hfD8JF02en/mWm5nd9wshOqapDj0/kIDOH6fulUIRecO +B6H3Zxk3YKV3txAyX9S6ofcXQXz+1MemEOIUlWwu/3ee9vWRHAshuPOjMXp/ +Ty33P3/nVgju2hY66P39sE50XfIuBPtPq4pKeP7tUKI4EFQIZkZh59D/szE/ +oyMbUQh6P/adRP/vfvHd++ZxhaBmVcKH/t+JxSHzqJRCkJ07x4H+3wPz9CPV +WYVw5v7b7ej/VRwlPf6UXwgim/qb6P3F69GwUpQUAo//9Cx6f5pctQSBykJg +3+41ht5fSGizpHJtIeyIZvqI3p/sm+P/bBoK4R9bdgd6f6trq/FxzYWwkHay +Cb2/uLMiK49fF8JPnudV6P3dJr06NthVCEOFGoXo/YkY9QpT9BfCe5GRVPT+ +eL011vmGCqG1yiESvb9hfvnUS6OFUCdF7Yven5NFFa3lz0J42BjnhN6fvlW2 +TOSfQiDK85uj9+cvz6xSsVgIKW8e3UTv7yIzHV/vWiFEasiro/dn+CP41RIl +CXz7P8iK4fv+WwphLz0JXPTNJNH/o9tL8D3LSALL0aWj6P9dKXCN12clgZF5 +EDf6f1bZ6k5eXCTQ+cPBgv6fLncbf84hEig5kWjQ/7t3+lvuC0ESENbOrKD3 +F7+Z+2dUmARiPq+m0PtbD2PeQSNGAiE6vWH0/gznRRYFpEiwP2KyB72/YcV/ +pQpAAuY9D9rQ+9uTHSxxR54ENCk76tD7W93RGRakQoJV7vQy9P62Z/U8LNQg +wTRROBe9P0HLDGKbLglGjz5LQO+P6HPizrg+CQYeXglB709xPuIvrQkJOk5/ +cUfvT6mr/pqgBQme19vYofd35XBTkLwdCWou/ruN3p/V9vQAE2cSFLVH6aL3 +dyJAW9PPnQRZqodU0PuLLvj9O8eXBHG9DwkH8PoeWF5rCiZBsJ7MKfT/lFje +x3yJJIHHcLcA+n9vnQRS1+NJYG92ay/6f3KV5vZcaSQwm5rbif7f1/e5HGdy +SHDDwY8C/b+LI73hOoUkUPu7ZwG9P79J6m6HUhLIeRLH0fsTWhT7HlVFgjPb +JD6j93dkh3lryRMSiIS2dKL3tyBe6PKqkQS8zFdfoPdn4ja3PNZCAvbEH9Xo +/XWMqF6i6iDBjv0uZPT+fjs2mXK/JwFFLl0Gen/rp1W0pAdIsCCUHI3eX97x +eaarX0jws/SwP3p/a0b1afbfSTAk9sQFvb+490VL4ZMkeP9EyRK9P6ugF4dI +MyRog08G6P2t+dJxvVwiQV2LhSZ6f4LtHiND6yR4qLImT4/rc/2I218qMuR3 +h0mh/yd6hvEbCwMZUnT3i6D/x2N2kkOEiQyRQyWH0P/rGo3bf4mNDH63z7Oi +/2dacW7WaB8ZXH6+pUP/z6vzeIIbDxmsbA3W0Ps7KW1DHy9EBqPF6Wn0/tbn +NpVLRcig88BrBL2/oaXvN1vFyKBMydyH3p+sLD/hqxQZCEHZr9D7Y/zQNL0M +ZBDbJfoMvb8kUoMNswIZDsc9f/if9/eEp+HwZTLs36tJRO8vgHp68IImGXZn +jSSh9zfsLfTm2jUy0AjcC0Pvb+zY+2A7AzKsFlF7ofd3anOWLdiEDH9Oxjug +9zc0E+qQZUGG0Rp+M/T+bNezM2vsyDBwrvo6en/jnGdS3zqT4e0L+Svo/dWd +1zb97k6G54p9F+a2utd45d+qLxlqOs3E0f+Le3DMYncIGYq0l4XQ/3vrPZ0n +FEWGrE9B+9D/6zRTKD2fQIZ4I04m9P90eSUDtdLIEPyDRPWf/1fYfNw8hwwe +VlJL6P1dm5wr8Cwkg8Pcq5/o/eUOtUzHlZLB7L7eEHp/ex1laMlVZLixOdmF +3l9nlvnvZ0/IoO7/oBm9PyXNi8T3jWSQ276zFr2/YPd3R8dbyCAVnV6M3h8F +E5vP2hsyiLCLZKH3l7XCXsj0ngy86c9i0ftrPfYxnW+ADBy8qoHo/WnEG96S +/EKGHaQvruj9RfOULSt/JwPFcVtr9P6uPG+/aTBJhsWqf0bo/bUbVcXYz5Dh +p1S0Nnp/tVP2if5LZPjSeEhxaKtVNegtk9bJ8F6+4iz6fyfd3JiKqIqg7Y3M +CfT/PDQ7/Orpi6Be4z0v+n8dzZTtnYxF8LD/Fjv6f8J13IPDrEWQrz/PgP6f +wEGhpjmuIkgd9dtA76/6I7fjNp4iiDRnnUXvT6uH4S+bUBH4/SF+R+9vdv6X +ipBIEbg4SQyg97fM+8r2jFgRWK21vEHvT+FS/m0lqSIw9rnaiN6f5CV/IT0o +Ah268Ur0/jLpLeos5ItAOcKlAL2/Vju9gw9UigD20Kei9+dkcl0rTKMIxFKS +I9D7G2+z0E3TLYLDB4/4oPf3wTP2WLF+ERzIf+KI3p+NVe+7p7eLYPcx5bvo +/enfE5d7bV4EtBWfbqD31+JUE/zRtghWT1uqofd3UccgY8KpCP7Ur11s2+qp +uRO+yw+K4PvF8NPo/2mfOCFJ61sEA+37j/7n/00a1rMGF8Fb1dID6P/9o27d +zRdZBC96z+9G/69Vz1RSNL4IavQ6t6H/p/FWRgRSi6B42OAven9xUobzl7OL +IMvszy/0/tbcn4foFRRB/JTXV/T+bB2tZu6UFEGIA3MPen9a67eFnCqLwONv +dit6fwEzxcd9a4vAwVP0KXp/N4UVaaMaiuDOthel6P2Vhp4rS2sughuhmjno +/bWMhQuSXheBOvNoPHp/1hznbR91FYFc4r1g9P5+/VUPbuorAqn929zR+9u8 +1WHfMVgEx3PjbdH7+yZSdWxgpAh4DwvcRu/vsihDzehEEXCUVV9F789O7j3z +n+ki2CmuoFy51evnWc6tLhQBxdO+8+j/cS10SNKsFcEi3BFF/8/2HO02Zspi +mGxZ5kf/78DU82wuumL4ohLMif7fi35qRoFdxdDTzbkT/T/XnncqJ/YUQ5su ++R96f32VR/Sk9hZD/ZDUPHp/04psUrIHi6Hi9usf6P2p3U2cuCxQDPk/9T6h +90cz+vDu1WPFkGr76y16fymhd58YihZD1OKD5+j9MZ18/umuZDH4PdhZjd7f +z7yGDvvzxXCfMoOE3p9QjUmUm2wxWAWJpKP3J3/6Ma+fUjEY72qIQu/v7PyT +wDC1Yrgap+qH3p9PnV1dnE4xKO/96ozeH4P+16a0G8UAWbYW6P0p5DMk5RkX +g7gAhQF6fwLG8+eL7xTD4eJojf+8P/3s6krrYjggyiOfjvNhwrr55F4x7H5c +cQb9Pzk5LY7nrsVAe/6iMPp/vS1GlO1exbD24v1B9P+YHl2o7wwohj+Kt/eg +/9c3sHTpQ1gxfO+cp0X/L3QjkPg5phg+avuvovfH8Guh+1tSMbz9xDqN3t9D +c7mu8YxieGGU/w29Pz0Z16zfecVQ80PiA3p/t6SSYJ5cDMVWre3o/WVx5j78 +W14M2XNX69H7u5ufMbdRXQzx98fL0ftLyI+goa4vhpBNl7z/vL8vTj/pXhSD +pz99Enp/v1j1Mne2F4PD9pRQ9P7O7SLw7+4shjvRRzzR+4MwgQdsvcVwk/2p +PXp/CpqseXs/FYN6urIpen+8AozpB4aLQZ738zX0/vhb2c14fhSDFMnyMnp/ +B5ZFKQWmiuH48XUIxP3ietv68Fwx8D0KF0P/r3JXedGxv8XAIX1ACP0/G0fO +J8c3i2FnUykX+n/G1nnJottKgFKBwIj+36fHWkri20tg8U0nJfp/JBaRN6eZ +S2BSw3ARvT/uc2LcUuwl8KX/zwR6f9vnzC+e3V8CPfreg+j9Nc72Sp7nLYH2 +UeYu9P4Y/7psEg6XQL15zkv0/pSeX028cLwEKv6IPkbvT47B6Z+MeAkUOL0o +Qu9PM6xPSla6BFLXNDPR+1Ok8pKXu1ACUT6jMej9eRx24JVXKAE/OscA9P5a +H1d1yV8ugfsR21zR+3M3v6SuoFkC1nsSrND7u790MkfhWgkYpwgYoffHzuH4 +UsGgBK4erNFC70/Uj/WxgkkJqOQrXLLH/TDH5q5gUQJwrF8a/b8BLncWBbsS +EK+4cxz9v/wqZTd55xI4IvmXB/0/e4eAKjn3EjjwLJgN/b/snScbZH1LgEV2 +LwP6f1ySqqkXg0uA9hV5Hb0/pYLRSzKRJbCmKj2D3h8H40IrxJfATO/rUfT+ +Znn8OAipJfBd70Y/en+v4uOlzmWXwMfhX6/R+2PaKywiXVACnWbuDej9PTW6 +MidZUgIvpnZWovcnc2A9WKKyBB47ZOSj95f17+zsqdoSKP4rkoLen3ot87GT +DSWQ7dkQjt7f1WWP0yLNJZCwTc0bvb9TVkF7jr4uAU7NqTb0x/4v/6pGPM4V +PZ679WHvXlTJE67uXJ5Cf+dDjXdyb6UpwcRR3Aj9nSZBbSW+u/cJu6xVetDb +WZTPdf77MIDguXRWAb0dgU+ehODZaMIoM+0T9HVubb94lcI0mXC3nnwMfR2F +YHPqmynZhDMrgpno6dzt4LaVKc0neLd5MKOnM0F3UprLpphgKVTmi34Ow6un +XBO/HxL499YuoJdTpnktTfDBI8JAepoZejn0MfLhzwxqCeWV1wbQx/EhqQzl +JNcT+vX+KKGPU/BT64JJfBPBNcGwDn2cezkBEnF0LwmNt8jC6OP0eb9VGshv +IfS96MhAD+fC5PKKiG07Yaz6FSN6OLKMO5ojb78h7D2X7YX+jfWggtCibych +VUd9Br0bLjeW96U/ughxVJ+M0LuZVxY2MMh5TxCRPd+Nvs17pTuuIfa9hFgO +Nxn0bY7l9JkK6/YRJr2iK9CzuSVx1ExOc4Bg6O7Ng57N5YyyZ523PhH27VKO +Qb/mKdeVh+3BgwS5U78p0K9higvzPdH8hUA7ZW43utVjsq3nvEOHCUHSTV/R +q4kLCrJOf/aNMHNgRhW9Gl2zx0sWayME88SVZ+jTzC10P5iU/U7YRxwURp/G +Nigne2/SGEH4UkoaejTOpQSG5YUfhFavE9vRoyEfZ9bz0Z8gMKpm3Ud/Zo72 +jeTjrp8EkcqJH+jNfL/lcClJ9RdBqWSHDnoz7Om6xw/1TxFCz9G/RF/GW4Ir +Vt1imsB++8tJ9GWmf2mF79k2Q2A9FJmJngyNELe4xsAMofgex070ZJ40PYwb +rpglUBi4ub7F/1vrXDaboucI0l9rfqAfc4Oftn3WcZ7gtdyhhX7Mtz3scg4G +C4TFgvom9GJyyMGtMlcWCc9/B4igF9OywyzPUGaJwNBxOBV9GErToeNvpJcJ +szL5tOjDvBuRe+Yn9ZeQrbbmgB5MadXevODzKwTpxaNf0X/RoqGQ+KiwSvh+ +SlwF/Ze/VC/bHHXWCC/oOR6j9xLWo/1Qz3ydsOLcw4vey0pSp2yo7wahzMM8 +En0Xc3O7fqqcTcK2Ax9XavH/V92cppfN/wgHdXhN0Xe5WeVy9IczBfAJyneh +5yLdRre4i5ESxKJlzqLn0vh5enKgkBIc4zgK0G9h1z/+WEGOCuhOtjGj36J/ +/PM23VEqYDFTf4BeSzPpgBdDADVUS1SNoc/yOFW0W//INmDN+qOGPgvVJbMC +ra5toJlL8xQ9lpXb3EWTrjQQDYt86LHkcHUF8QrSwpxzQwT6K700NL9We2kh +RdF4Gb0VW1E9r3uBdFD4aMgIvZX7e71nwqTp4Xyd2OvcrVYhS0/KztKD583b +YuitvJQ25kwrYoDQZKt09FVMEr4IxphtB19bNRr0VSJ/P3wuILADQr7tsEFP +JXv0Z/y1HzugYTqnD/0UUb+yy0eKdsLFpN2Afor4RcmEJLtdIPFZrxC9FBGK +Lq48KUaoferBhF6KMc1AiAoNE0xJuLmgj0JJ9SIyuocJ/lxW/4oeSgXt9l9n +NJlh5N8/BfRQEt+LBS7XMcN31ZAy9E/k+8T/UAvtBlbpKTb0Tx5KWK/rx+2G +uOeHPcK3+kWrgj8NJQt4jhG+o3cyxyyhv2rLAov5x1XQO5m4XK1/bpgF2Kn/ +VqBvsstE9eYbjT1AuZHKib6J6Ez0icLmPfA+jsMLPZODZznK3p1hBXKb9Rj6 +JQRCQJlcGSsQkzNU0C85mhtMRyvABsO0hRXolWg3DETtyWADN5ZQDvRKMpNP +c1uzs0NMnYIH+iRr361CWGLYQYZqaAQ9EloadRL1Lg4o/HFZET2SEroGjQuh +HPDtbmKpC84XKfNmOz0n7Ah5woIeSbb6aFZaMCdcvFTjgv7Ihz1Bc08Y9kJu +XvggeiMVlZHH+ML3wqXMczLojcwk0/P2M3HBFcnWfPRF9IZ2ln6I54L/ae/O +n6n8//+By05KSQjZdxHZ14d93499d+xCSFkKyRaVIgopy4tCjrIkCpVKSRFJ +liRliUgK2X09XjPvz3z/iNeP97muX87zXHPNfebM/XbeBYvSoy9C1Gz+h4uD +A2Z0fILRE+mQ0h2uLuaAR42ne9APMXg6IHVJnBMc3wbKox/CVdN4ov4+J3yL +k89DL6T9qESCsOZBCOse2EAfpGArWerr24PA02rrgT5IiDCfzYQTF9BakZ6j +ByKt6/VK5gcX6MUPC3ts5zxik8frU9zw23wyDT0Q/xzL9V5qHhBueT2L/gd1 +oukRfwseSE0bOY4e7swLqVahd5UQuyfEFz3cXbUxWvx9lXD8KpkTerjFo6FZ +3J8qwZczwww9XJWtPQ0cXyvBuZhXywn7YYMrifV7JViI1Mihj2v8yDV8389K +0K3SEkUfN7yGeRfjQiUoy77nRB+Xxigxgn61EiQfeu5BH1dAorqWiowE/BoL +FOjjhlEXPCWjJgFbW+Jf9HAPnrEsWttJAgaT/T/Qw+3WfmO1tJcEO3pKP6OH ++2yVqe8XKwmW7OR70MMtNxUV/3GQBNPDbS/Qw20ZY7Ia5yfBiKddI3q4rb7v +jUZESfB+arISPdzVmOD9A4dJ8OpYZCF6uJKdk9U9ciRoXqTNQg+XtKnF80aF +BNWnclPQwzW4n+D+QpMEt3aInUIP1+4aKaxFnwTXUx4Go4f7wPC57QNTElza +ZUxED7c9pIPhHoEEiVeGbNDDDb/XllXmQILIA4GGevj5Kxt/FrqRIKhgXRV9 +3HbqMvZcbxIQBS9KoY87RMxmyzhKAts7BwXQx7UlJn0/F0oCY+kqVvRxm26d +SjsTQQKNB+o70cdl7j61GRFDAlm1rk30cGfiU3WOJZBA9Jnbb/Rw7RzKnH1S +SXDQ8Nc4erizS0N6LpdIwNR1ZgA93K4VYQrrbBLQ2Ox9ix5uMdnlDKPrJFgb +LHqCHu7lctbfGkUk+OV+pA49XNPMVgGF2yQYn2i9jR7uHvssUQkSCQYCCdfR +w825dpmMv5YEnb+/paOHKzb7sJytkQTPIsPPood7ZYFFcPdjEjzYpDyJHq6g +YVkYxQsSVCZm+6OH+zApLHP5NQkK6YVc0MOt1YlKnH1HguzL9Rbo4S5xPzH4 +2keCNBZ9Hant/Oee0UjfJxLE5n9UQB+38DKnQcdXEhzn8xNHHzfSTinh8XcS ++JUtc6GPe/ZGyeXanyRwlkxlQh/XYNUj5PYCCSzrDlCjjztCeYLv+ioJdJUr +VtDDHSWM3konqwLlJ8qz6OGGxlRsxFNXwWG9ji/o4Sqx9gqdYKgC/jdOvejh +BtZ78PkxVf1fH30+Z/vLka3qvz76Xx/9r4/+10f/66P/9dH/+uh2H93sSLFA +jy7vz7WWojweyIziqkV/rr1x7ULsOA+staXvR39O+bRB6pYULxDq+iPQm/Ot +tSoQiOGFWs31AfTlTlT8eTjbzguyx1ZU0JejiaN75cjKB7Oq726gJ8fIG1Fz +1JsPVirObKEfV24l4MNTxwfHGuk90I+bvL3RGUnBDz5+wa3oxVUlL/SHE/hh ++cEdfpPtfE5o6xhzCT+olrUmohcXeZntjN0iPxgq1Y6jD9d9U2NRTV8A5I/G +6KEPx7Bx6tHLXAHgUOe9jR5cgXBvy8KMADDW3KRBD677qN2P5xqCIPJy0Rf9 +tyuTbBKK2YIQGyP0Cr0361SpELNpQRD6ICWC3tsg46NCGg0hkO9kPoe+2wH6 +wQrPq0Lwwq9nEj03ho+10cRZIZgq8ddHzy219Pg6ha4w1J8dvIV+G0e4IafB +DWHQJhekRr9teE9Am+SSMJTyG3qj32ZygHHygbkI/JrQe45em9DqyYBv5SKg +YsLFj16b5tyYajWlKOQQuuLRZ/OgKDLidReFgxsOX9BjY9mzHCfTJAqfDVrU +0WPLalZpn2QTgzn59Xz01y6cbWVSPykGXi/3raG3RlsyaSDfKwaWq5QO6K1p +yu20f3dEHNq7uurRV0tgzTzEkCkOI/rhzOirra+M10zNi8Nt4u9Q9NSKHU58 +9LM6BLI8Rl28+H3H1yan1h6Ca2dOHUJPTekcG8l4vwSMJSSnop+Wb82rcDdC +AiTEjk6gl9aWY763blACEo+LaqOX5torKuKoLglrbk8L0EdbIqj4FRVLQsnc +kXX00KakmFtSaQ7DZb5Ye/TQFC0NWfYFHYbu5eI69M+O+oa7qL0/DJFhRXvQ +P5NdOaBBeVAKsi9FBaJ3dudmttxDCylQshB/hb7Z48PxSU8SpeBkXT0/+mZ7 +uWSEWBulwLGJIw49M2lNyt0ts1Lw19dxED2zC33mMvf5pMH5QZgcembO8ccv +rNtJQ16F12X0y/KMuhizLkrDY02pH+iV/Sh8UXvqmTQMnv6gi15Zpv2j4NoV +aVh3si5En8ztDquCitQRkBmpXEWPrEhRh2Kf7xG4SD1ijR4ZCxWpXfnmEWDv +ma5Cf2wo+smZmg9HYFLzHe36v3v5Oa7oXTJAYXuJiN6YMRUpL0NXBk7tFmlC +Xyzle/D0cowMuBzN24++GAsTifJevQw8CJwIXsT99sToSP2cDFxjYniFntg/ +Emlhu0RlgdZlJy96YsMsgrW1RFlgMxmLQj/spaxsTnm+LPSOXO1BL0wnToVt +rk8WDNn4xdELoyI8FU3cKwfxi+cS0Ac7HWr2zM9EDs5HvBpCD6yLLHgoP0UO +Qm6OyqAH1nGe5MfzTA7UA3rPo//Vt+OGx59NOdgaLPyG3teX+s6m/Sry8PiX +ngp6Xy6eH0NSIuTh3J22TPS9DguYhRvUyYPPTp5p9L0Ko98028/Lg+cegib6 +XtYmP7UbJBUg7aFbDnpeqt8sN3wCFWCcTm8O/a7U2WtfvCoUIGaTSg/9ruIY +z7Hq7wrgklOQj14XO73jlqmwIqR/ZPqDPteNIH4RJR9FONDkZog+1+yEv83x +UkWg1UsuQI+rm20wZn1METxPJC+iv8VIz5/TK6AEMkbuxuhvOQgu5a15KcHZ +5/uK0NsyVaKJCS1VAtuxwiX0tVwjGeTkJ5SgoZzG5DWeR2RLo6GwMtxjNihC +X6tVtn9HpZ8y6AkSl9DTmqFjpXSpUIb4IRtj9LS6G5UfOM8og78CfyH6WVf9 +qZjuSKoAhdLrBfSyyIpVdhqEqoD9FyND9LL8zEtyZepUIEii9Ab6WPVPaeuC +/qqAIc/APHpYFpWylkvKqvCnYUIXPSwOoSXft7GqcOzv21z0r46WH5z73aoK +L4bSZ9G7WtsXO+xDowbL3qKa6F1N35oRFTVRgz1Xb2Shd1VBrdmhlLGdA39M +om9FrmLTkNOnBpuTTCroW61VUX3X5VSHYTrmdPSsvklJW2oS1aGu++cX9KtU +fzdMp5WpQxL8I4N+VXPKmTt8c+pgQ5BORq9KZyoojVYeQHhXbj/6VO9D/WNV +YwDIggbF0KdKHnGJbXkOMBq2eBo9qlei+Rr61BrQxTXdif7UAdEFdVsxDXgb +0sCD/pTj6F25C2Ya8MnPPQy9qZoIQ56pMA0go/n6DL2pZ1qqq4HXNEDFWG0/ +elOVrykfszZpQLpcmA/6UlTtPAFTXzRg7cWZB+hJDYow/h2l0oTEdT9a9KQq +qS08dohrgtiAmAP6UQH3vEr0LTRhzqmtHL2o46Vdj+pOaML7RJVV9KEyrzL/ +Y3BdE/oIaUboQ614N9hQPNWE1Vc1eehB6Qlrdo1NaIL6WN00+k8R3PI7Zxm0 +oLj0sjL6T/PPuak4ZbS2z0cvDb2n6McpDaEOWtDB/mEAvSeF78s8P+O0IL1T +TRS9p0rDLaWMW1pwTORMJPpODdwiW45vtSBQJP8lek45H3cEGi9oQVJnBgt6 +Tl8YOU8TObShgd3DG/2mmBwa8UItbSDfRV+HXtP9MNtwygBt8Cy9QI5ek0D3 +dcKlDG3o+/bdAn2mRtbA5xqN2uD0iqsAPabGIedWtlFt+GklOYv+Uss3SkNW +Oh04n8Cqgv5SrfA6QVVaB6QdB8+Fb+eP74Y+pzjowFDfyT70lh4qqY+vxOtA +8soMP3pL6j9biJkVOiDRqhqKvtK1O6tWlu91oFPKpwU9JUP3S9Xy6zpA1PXf +iZ6S2CHOaC1BXZjY0rVHP4norlkWbqYL1u7rJeglBcQVKryN0IUynwvz6CPt +UvvEb1KkC5+YltTQR/KJzfGbf60LP7yU0tBDmnCI3tG8oAtdzrZ96B+Zs4pM +V3HpwdlVEz70jxoPG3K9NNCDDbWDwa7b+aJpci7VcT1QFn7ZiN5RukmqXcAN +PThSb0SF3lGP0gZh8aUefJ6+bYG+0WtCetqt33qg+OLzdfSM1Oinl2IO6oOC +7twE+kXmmy1Zpwz0oTdgQBr9ojjyZreC4/pALX/jNHpFZezZhKmb+vDylvJL +9IloTq8cdXitDwzN1XvRJ1K4eKNkblEfuiLJndEjUpyQW7nDawCUg4duoT8U +ZBHpnW5qAKVfpH4Z4vu0jWP8apQB3LqwWxn9oZ0n+o+/KDWAreEXCegN6Tf6 +7mLv2b6/1/Yt+kLefImkrE0DuBTylAV9obGQKXM5cUOoqaZyR0+o7qr1rzU7 +Q6DM4S9HPwgeJKR9TzSEKH6O3+gFeQvLs69VGwK19YwyekGJQYeuy4wYQpHo +tQT0gaI0RegyGYxAvYTjDXpAJRdm3FiUjeDdsyhm9ICm/dWuP/E1ApNz1c7o +/zwU/FJ/OdsISudbS2S28x7161XJz4yga4s0g97PKaJ8bPG8ETTXhMmi98MZ +Hs07xm0MHvR7T6PvU5UknWVsZgz3qFOfoedzfkiop/+0MeTdHqRHz6fih9DH +83eMgWmWygr9nkqXrZteg8aw8yN9Lno9g/Rxgp50JnDCe2oEfR7xzRM+KYom +oJVTJIQ+j0n4fZduXxPwOiYThB5P4RAjjeY1E+iYvlmL/o5hr71rX5sJ+NGP +rXDh8yzl7ZaxZALineQa6O/M/aanDhMyBSr5tST0dvZY8ZpF2prCuObbDvR1 +BurOyJQkm8Kj+ci96OsE1pHXLNabQoTupi16Om84zr4InDQFWjWXfPRzhicH +/enYzMC5P3sUvZwg/em8DgMzILKWCaGXo3Y93bo2ygzoVq8cRR+H7OTtzCcV +ZgBnHO6hhxOQv9/yz5AZzJcvL6B/I0H16LzxLnPYFRGqhP4N/UC0eru6OcRP +Pouh3c5dYUregSHmoLQ29xS9G0+3T2uyxebAX7NIhd5Nh4vRJl+vOYgzfDBE +3ybvrpwsB4UFqNGlX0TPJkqb+boCpwXolfN0o19jvL++2kfOAqRmLjCjX/Pn ++56TZWYWMNXdY4deTSQHx8S6rwU4Ov7OQ5+G6p+OLc94CwhJ+jGMHs38bvan +w3kWwExo4UGP5mnKpnBAnQUceRbgif6MSFfoEbpOC6j58KsUvZn9GkGfH05a +QHyaxfd/vZnOadG4HZZgMtsfgfui//1+fntFvxr9/P/lb4o+l/D/a/6XMz6I +prn8f9eTR1PF0Kuxpx1nsuWwhMrB/ED0aZr22V0DOUsYOpFahR7Nm3uq91XM +LWGjxvwX+jPPFTMDjPwtYTVjThr9mSM3re8fTbCER0z+x9GbWQ2Mv1JwwxKE +5Zrq0Jdxes1CMfFgO698X0RPZj58Hzn0WEKx65w8ejKPn59Mr5ixhDjvrgj0 +Y6TuaNwSobGC0l2pDejFcNaG6jfyWsG6LecKejFdZDtDnFWtwE8zVQm9mD1W +u7mY7Kyg501XFPowvJsx5oOhVsC1MteIHkz7ojP5/QtWcKRtagX9F5tnVbLF +t61gUaZZCf2Xf9IixoparcBQKyAKvRcBuibGumErYJn/1YC+y9fs+Lr+ZSsA +Dcvlzn/31p3vGJkJUHb4vAJ6Li7yZUSHwwSQe3zzJPotxGUWv/tGBOj4ef4+ +ei2qgnwj/D4EMG2xWni5nc2Ye16UxBPghsSfI+i11NUfZle8QYAC1aBQ9FnM +rukMDjcQQP7Hk7vosbQNslNe7SWAttLPWfRXsqE52/0XAcqFFsXRX9nJpXBR +jcEajGo/+KO3QvDL+n5IxBpoRi7dRl8lpmK0VFLHGp6W84+jp3KW/tAzLXdr +cNyXwYeeipFBvLr/aWuo5uh3Qz+loXluf3GONZS1Luejl1Lumqw/W2cN3LR/ +BmpwX9to3W3UbQ0LP9pY0EupXwiofjhrDXTB4QT0UdreD4yr0tuAavraJfRQ +LGtqAruFbOCoifMb9E9yk2l0IrVtIKLsKi36JzSj0/7S7tv3F1fooHciP3Ps +0/ppG8hTyjlz69/nqSJ3MNcGgoPdmtAz8WqtKnhdbwNXNciW0S/pHs+YefPe +Bn7ejZZBv2QqwD929JcNWDd1BqNXci/OmEC72xZKvdfL0SdJmjHz1xS3haY7 +FOPok0gzn2+5YGALEelj3OiTzPxhtZz2toV7uwoc0SPx4d/N7ZhgCzqiMtno +j7ztTRb6VGgLu0YLu9AbGeq97h3SYgsTst/p0Bv5mOY7yPLJFu4I0OmgL1K6 +TJnQtWILcvcoYtETaX94ySWP1Q5cP358gH5IGK2AX4ScHezIS5pHLySx9nOh +D8EOlv4yiKMXUiAyTOUXages80Fe6IMIH1PLPnXJDtSSym4k4/mKcpkWkewA +6pr60AMRy7opPtBhB8sxFYzogdxM+SzJP20H6l9DDdD/SDq5aR1Haw/fvzDF +o/dRGcydPytkD++iLjai7zHE5kkerGsPHZWj8+h5fGv4kUTmZQ/3ohjF0PO4 +JfFJoPSsPdh+YSGi38EzbzfsVGQPV778zUWvI4wtgSTwxB7Uomu60eeYIZy9 +svXZHkRJenToc7DMRV+c2bAHiag6jVB837zLzP3B6QBcn9ci0ONYiv51f13F +AbqGOO+ivyG789EXbicHYA1jnUBvw1CZnc0m2gFeFf7gRG/jhLO8Y36uA9T7 +5hLQ1wj0UCxdbHCA+208aehp+Pca/nXvd4Cc5vgn6GdIf8oy+/zXAY6YNC2h +l8GjolMexOoINmHvDqGXoWieQMWo4AjvD7cQ0ccQZor1aLV1hLjEpBz0MGwP +eDxKOekIoiFCnU64X8922Ot21RFKfhdSoIfxxzrbw6DeER7vXFRE/0LxsVaF +dp8jmDziC0bvIm7g8pTFkiNw7BD7B32LaJ27B0NYnGDjE30/ehbDqp06RfJO +8NjsBQN6Fp7XuVzGbJ1AxtZBE/2Kr73dXkoRTiD8p+0EehXmqwccC685QYDg +7gr0Kd43iKocaHCC1zOSn9Gj6HSQpS7pdwIWQ1Em9ChmWoMaNVacgF15Q1dv +O4ufpCfMHXCGW48rotCfmMmA7rvKzpA0IE1Cb2LjndaRs07OkJiS+QV9iYo0 +o3Cf084Q2v5mH/oSrTUXs51vOANrwRc99CSqBrSzvFqcQYu2Mwr9iBOHSoNj +R5yhhTK7Er2InvBpvgoyF7DNkhtBH0L5gOndSV4X+FB/dy/6ELOcNEwK2i5A +FUihgx4EBQ/BMMfLBe7VSp1E/+GUWyBhZ7ILFF1UKJPazvr30yUv33aB4hXW +QfQeHHOW+oXaXSD6b/dO9B4aOEctuqZdYCHZWw19h/g/57NSGVyh/3ZPMHoO +lEflim0kXeGnw4FC9BteBnNGyli4wma+Ujd6DfkpZ5j5w1zhXYgMOXoNd4aK +TghkuYL4B2oZ9BnOSj7NVah3hb72Wk/0GIRHueOc+13hmplyFvoLvL+3+K+s +uoKcZ95z9BbOb/yTPMTpBidpehfYtzOfmnmpHLgBj8qkAHoL9ud0Y4s83ODv +xntr9BWcrDsYuRPd4Kl5XiJ6ChJ1gvZVt9xAU0q5Dv2Eu/Z5NubtbqBeUPsN +vYTay8eoyWfcIDqfeh96CUkCJ2U9adyhQVhGC30EI+pKtU42d2jWUApFD0H0 +7MZBLTF3MJ5kK0T/oGktvbdFxR0EOXo60Tt4KJjkrmPqDrs+eW2gb0BNTvW0 +x9Udnot2i1Nt5+pRmUX/kO3rlKyO6BvQeius0511h7IA+XPoGVw9Jfeh5oo7 +2Lkcrke/QNbLOs6r1B0GhnaMoVdAT3q4wvXAHebGSXvRK7gpc0n76yt3cDgl +A+gT9AusOFUNukPf9cxA9Ah2b3BrJcy4g5B+Ry76A3TnxBfdN92BJma4beHf +vZN2uP4eD1BQa/+DvsBWWEaLPJ8HhKek86AvILKs+l5S1gNinCRM53DPFn2s ++rCeBzA2lkahJ/CEYGWvZO8B4wV/S9EPYJJgfGkc4AGPGfl70AuI2upa9znt +Aba0IpvoA9Tse7yclu4BfqnUYugDqJDTPHxQ6AH3Mlts0APwqe2B2RoPmOa3 +iMf9v4ebaar4Cw/oUn1UiXt/pfTi7LCPHsAxvPUR9/0zrJuerVMekELJRYH7 +/mCBC3/Y1z2gs2a/5L97fvEo7dO7iVA+OWGPe/7mxXmrCR4idBdnJOB+/2Ye +P6+DDBE2v+2vwr1+6lkVUq8uEaYqjvfjPn/Q23fJ3p4Ixn8ryHGff9D0w9p4 +ABEGXj06hHt8rozaplMxRDDlvmWL+/ufg1KK7JeJ4Lzqfwb39vP8p4OeFhOh +2YGmAvf1Y/efO4XeJ4KQWux73NPPiCmTi70igllJ5zru5wuCGNx/DBLhe/qy +IO7ndzUeDb8/S4Q762tmuJ9/m3NO/RyZ5//1WeqpK82e+zzh/wEEe+uI + "], {{{}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, \ +{}, {}, {}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{ + Polygon[CompressedData[" +1:eJwN0UVOnQEAhdEfwoSwCtbAThgRmJHg8HD30uLu7i5tKYWHu7vuhzM4+aY3 +ubHJufGhyCAIIigjJToIUkkjnQwyySKbHELkkkc+BRRSRDEllFJGORVUUkU1 +NdRSRz0/aOAnv2ikiWZaaKWNdjropItueuilj34GGGSIYUYYZYxxJphkimlm +mGWOeRZYZIllVlhljXU2+M0f/rLJP7b4zzY7hNllj30OOOSIY0445YxzLrjk +imtuuOWOex545IlnXnjljXc++OSLpJggSCDOn4kajrKJbb4BUL1PAw== + "]]}]}, {}, {}}, {{}, {}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[0.004583333333333334], + AbsoluteThickness[2], + GrayLevel[0], + Dashing[{Small, Small}]], + Line[{1, 2}]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[0.004583333333333334], + AbsoluteThickness[2], + RGBColor[1, 0, 0], + Dashing[{Small, Small}]], + + Line[{3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, + 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, + 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, + 2905}]}, "Charting`Private`Tag#2"], + Annotation[{ + Hue[0.1421359549995791, 0.6, 0.6], + Directive[ + PointSize[0.004583333333333334], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[{1205, 1206, 1207, 2906}]}, "Charting`Private`Tag#3"], + Annotation[{ + Hue[0.37820393249936934`, 0.6, 0.6], + Directive[ + PointSize[0.004583333333333334], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJwNw0VOAwEAAMDlSHgFX+LKjQSHFoq7u7u7u7u7O/yHmWRiE8JxoaggCCIm +RgdBksmmmGqa6WaYaciwWWYbMcdc88y3wEKLLLbEUssst8JKq6y2xlrrrLfB +RptstsVW22y3w0677LbHXvvsd8BBhxx2xFHHHHfCSaecdsZZ55x3wUWXXHbF +Vddcd8NNt9x2x1333PfAQ4889sRTzzz3wkuvvPbGW++898FHn3z2xVfffPfD +T7/89sdf/4yPCYJ/+a9L0g== + "]]}, "Charting`Private`Tag#4"]}}], <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{-1.401635, -1.401545}, {-0.0000125, 0.000125}}, + "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {-1.4015450000000031`, 0}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {False, False}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), + "DefaultStyle" -> { + Directive[ + PointSize[0.004583333333333334], + AbsoluteThickness[2], + GrayLevel[0]], + Directive[ + PointSize[0.004583333333333334], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + PointSize[0.004583333333333334], + AbsoluteThickness[2], + RGBColor[1, 0, 0], + Dashing[{Small, Small}]], + Directive[ + PointSize[0.004583333333333334], + AbsoluteThickness[2], + GrayLevel[0], + Dashing[{Small, Small}]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, + "Primitives" -> {{{}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, \ +{}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJx11Xk01ekfB/Drcq9rFyLXkNHEKKRQ5qbn6VozlkTSz6AI020sjQxRlpQs ++YluZQ2XJBWDQvY1lBbZui3Kekd2snRLYz7fc37n/M75nfN7znnOc15/POf7 +fd7P53me7z387b3IJBLpNHRi/Nc0jSrlu9xA+k+r0GeHSICP11560XzfDB0S +X5kSA/dVRKb2lnkhz0D9o6LgRvWDlpuOn0YSvlY9NPCSWW7Q55JoFL6821wY +vPlNOIqdT0Ij0tQqCthD1PgQySsVHa8t3CoENo9lCbqk5SBDvnoWmfjeUxV/ +ZlE+imwPkxYAjwvrMuh+d9FvGsVRaz7LDSKPq+nj0yXoB8WHi9/AxfaHM9TP +PEDczAzvVTAt2Syhzu0h+rPsMPcL+NxtqwFOai165TxryQff+uiw1/NqIwq5 +dqRmBXyKE23AFm5BDR6FWsvg/shnltz8R6i/+emNRfDeiRW+tn8HGit/LPkJ +bCIp1pp4rBMpGuVEzIN935lrLEU9R+mOdnOzYHqoTHcRrwuxyW+OzoA//azl +5sbpRtome15Ogbstfw2J+70XXVEIZU6Ct3L6vbSc+tFERFLpR7CHwRZvU3su +OnI28vtxsPWN4rrnHm+QksTPyTxwNd2mpCP2HTLdMU0aA0uxL0Vta32PqFOs +kyPgMZM2o8j4QRTDaPwwBGbHxPhm1g2hOeU520Gwk3fl8omvw4h1nV/3Hryw ++PLMhMkoUrr5TmsA7B/DyVFMGUNaFmkZb8FBRUhkZZGH2iK2ib4BF+pIO59z +HUeSttmnucR8aueuyq6PSLtsnNcPHvUIsEixnUSW98Qc+8DymU46qq+mULwR +raUHHGlAv2J3YgbJH3uv2w2emXRIkBWaQ3KqiVldYIqGiv4B7hy6e0pB/AW4 +qrGEPVg6j0huoSHPwIY1wX83Ji0gxocKXif4lx+oHfOBn1DEylOHJ+AhWXnT +ALdFtHSrtrEDzCmMbWPaLKGm6WjtdvAjMe+8I8xlJPL0x/RHYAGvAZ1Oxgqa +Z+ZTW8Evhk3rzv/0GeXs/xrQDC66r5gXu4ePGEtbPjSCHSgkg9fmX9DoDn2r +BvBnckt7oONX1ExTqKwDX+o5WOLMWkX8oB61WjA/5blJfNQ3VBzGSqwGs1gn +X5E5fyMh5df8h+BoJ05jS+sa2uio5lUJdrkfvIUXRMKb1M26ysGMduElCUkB +rJfE3P0A3PB2ZoJbIIAD2Qq3yoh8XXUqzU3JWFi3XboU7KrzVshphIxlvO3O +/Aluva0cIRItiMsN7o8VgSvTt7901RTCctmz+++ByRbetxy6hLB9LqX6DvG/ +x1TuTIRQcBJe2lRI5EfvilFTp+KFoPp/F4B7KZTJL71UnLbPfSWfqJftzhGn +LgrjggcDR2+CTytGzl1i0PCeGr0nuWCrQsaEyTwNh7sc0+OAWxjuGzLuiOD4 +VJ/MbLDntffqyd6iOMp/PyULnDhd0rR5sxiOGxLzywTnjHy8epgnhutnOP3p +4O3ni60174hj45R1OA2sb7zrWspJCWzw1rkgBaxN6qLn/SSJH1aHSV0Hu1O4 +cVYUKTxlEBp8ldhvcnNiUo8UnrW2+3AFXEoVnTS0l8bDa2vmyeDr3XoXV2qk +8ahtXPFlsFm//qygxjosx5hanwguMfBddWWvw+ymH8MSwM1t5hcoAjI4fAyN +xhPnQdrA9Yu/DF7K17GKA49bl7saDcpgecHPpTFgCU9bl84DsljgW/qGi8R6 +5pK2FbTK4m62QsQF8MbdCsUvDOVwYbvvWBQYoehi02I5fDP1htU58JbcWGHq +5vV4kFpQGgE+WM+9LHtjPQ6ViVcIB2el7lTxlZfHyTXmYWfBX0d94mSS5TGT +PDAcCqZS7G4LSijgAp71vhDwPeH6A3vjFfDQ8etFwUR93c5y6aBtwGJxVTJB +RP52I9kZsRuwsUVFcCC4TzZmoUpEEefmJbwLIPIrS9y6KUERW2QZMX8Hz6XS +1F5J0bHNrrZ8f7DzgHhR31U67vLTFPUj7jdmXZ6KkhKeMvX28wF36poNlOYq +4Zqqs90nwPuaXute3voddn7ms5MFVimr+qOi/Ds8ErEz/Vfw49+0z2swlXHA +y9ffvMDZaxd1h58pY9XmQ+6e4JMaao68X1Qwzb6o1YPI18yzQ29SBZufG9Bw +B6d71Lo/ObMRL+z/K/4IcR5TD6z2UlWxRv2TaVcinws2O1h2qji5TzOe8Grh +fLeNhyq2nuYGN7H+v0cMvS+L+/7XxnzNacLJ6dMNGWNK2PZUGp94T01t75ao +Qz3/73v7D13a3/g= + "]]}}]}, {}, {}}, {{}, {}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[0.004583333333333334], + AbsoluteThickness[2], + GrayLevel[0], + Dashing[{Small, Small}]], + Line[{{-1.5, 0.}, {0., 0.}}]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[0.004583333333333334], + AbsoluteThickness[2], + RGBColor[1, 0, 0], + Dashing[{Small, Small}]], + Line[CompressedData[" +1:eJw10FdME2AUBeBSxYJlr7JsUcImYchQlP+nEZBhESGKCMgQlCBTogZSRKkG +RA2QMmQKlhGgbJDZQBwMFcLeIzUygqBAI8NU9JLoTU5OvpfzcI8HRLgFEQkE +ggnkoAfWNdkfQ7c7Cf/OW69PqQvMqtpQPOU7jP7bZXG31RJ8qcbzaCd4zaW9 +0M50HNmZr/7mgRvF1xjaftPIqj12swO8IkmnRa3MIyM6ebEd7NjfR/Fd5CPN +ntzJNjDNn6XvzvqKlBmGn1vBzwXZKXzGMpIc6ehsASclVodM2K4iEU9GQzO4 +melmRQxeR9vzc6VvwGOW7G9ZXhtoNTA8pwnssBV3mqKyhRZW9180gkcmhc43 +1wRoNDLlUQPYK4XPDh37iXq3aXfrwXkTrlWyozuIx6wNrgPHdl/1tV7ZQ/VE +unctmGmblDshK0SlSUMXa8BPRxPjel33Ua5UwLlqMHnAU4vpRsCp6VsWVWCB ++0yLTqIIfqzK0ueCwxvl7by6iTimUJ5aCRapl9MnyxzGYdrFshXgT2LCGYNA +URzANRMtB+tGT3ype3sEe5h+2C0D36L7T6cZiGHnlstrpeBrCTNRXQXi2AYt +LZSAK9oWbpuqk7H5+3sjxeB5XZOCWY4E1nMi9XDAxPSUzQZzKUwdzGp7DRbl +JPtXDUljuSu61UUH/5jT359vl8Gk2ZaiQrCtsUvUOlsWC/0dM16BqamVHGGk +HN5YnkoqAFvEDFqS3OXxYlgIMx9smGY/RbJSwNOCXxF54PuTD87uaSnigZhn +N3LBPBUedVxJCb/7o+aRA5bIPGSfLUHBzU+4TtkH++v7D61JyphLtkYvweM2 +W6W8Iyq4KK3fJAuszuZkKZBVcQblulYmmO7jcMZaQQ0n539XzgDr+d1JMD2h +juM14yXSwWFcYdAPs2M4ulyawAaX8HeXwi9QcbBRoSANrFOcJ8UNpmGfJuPl +VPDJYsrOoK0GLts7X3dgYfnmMCNAA/8FCr6XOg== + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Hue[0.1421359549995791, 0.6, 0.6], + Directive[ + PointSize[0.004583333333333334], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + + Line[{{-1.4016256557213949`, 0.}, {-1.401624655721395, + 0.0000532131726537458}, {-1.4016236557213948`, + 0.00010641825791211426`}, {-1.4016233064208385`, + 0.000125}}]}, "Charting`Private`Tag#3"], + Annotation[{ + Hue[0.37820393249936934`, 0.6, 0.6], + Directive[ + PointSize[0.004583333333333334], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJwt1Hs4FFgfB/AxzBjkEjIZS1YbWyHFVDvpnCaMrEsi6bUoE7ZpXVp5iWKk +hMkrmooQhiRtZlHIXQili9yaLsp1XrmTS0rr/c3zvOd5znOezx/nOed8z++c +H9mBTj5EAoEQBF0y/muCQlb2X6gj/L+VmfHDFMHHqy+9bLhvhQ6tWhxXAHeX +RaV2lfgg72Czo/Lgev2DNuuPn0aK/radFPC8VU7Il6IYFLmwiyUL3vA2EsXN +JKFBFXIFCcyW33uI4JOKjlcXbJYBs+I40u43stHOJf1MomS9ZzqBzMI8FNUS +oSIFHpE1YdAC/kJ/GAijV/wW6uSeVNJGJorQT5oP576DhU6H0/XPPECijHTf +ZTAl2SqhxvMh+rvksOgr+Nwd215BajV67TZlswS+/cl5j/fVehR27UjVIviU +IIbOl21EdewCwwVwT9RzG1HeY9TT8OzmHHjP6OKSUWArGi59ovQZbKGk0JR4 +rA1pmmdzZ8D+71kG89EvUJqL4/QUmBau2lEobkd84tujk+DPvxp6ego6kJHF +7lfj4A6b38Pi/+xCV6jhzDHwZkGPj6FrDxrlJhV/ArPpm3wtnUToyNmoH0fA +djeFNS/Yb5GW4q/JYnAlzb6oNe49stw2QRgGK/MvRW9p+oDI45yTg+Bhi2bz +KF4fimXUf+wH82Nj/TNq+tG09rRDH9jVt3zhxLcBxLm+VPMBPDv36syoxRDS +uvXesBccGCvI1kwZRobWN9LfgUMKkdzinBg1c7fIvwUXGKu4nfMYQUoOWadF +kvnkth3l7Z+QUcmIuAc8xA6yTnEYQzb3FFy6wRoZrsa6r8cRz5zS2AmOotOu +OJ6YRBrHPph0gCfHnBPUZKaRum5iZjuYZKBjdkA0jf46RV31ElxRX8TvK55B +BM/wsOfgnVWh/9QnzSLGxzJxG/i3n8itM8GfEXfxmfNTcL+ahmWQ5xyav11d +3woWFMQ1M+3n0aOJGKMW8GMF39wjzAUk9+zntMdgKZ9e4zbGIpph5pGbwC8H +LGvO//IFZe//FtQALryvmRu3ewkx5jd9rAc7kwj0N6yvaGibmW0d+AuxsSXY +5RtqoFDLa8CXOg8WuXGW0VJIp141eCnlhQUv+jsSRnASK8EczsnXRME/SEb7 +zdJDcIyroL6xaQWtc9HzKQe73w/dJA4h4PX6Vu2lYEaL7LyikhQ2TWLuegCu +ezc5KsqXwsF86u0SSb4exuUsSyKWNWlRKQZ7GL+TcR0kYlVfxzN/g5vuaHPl +YqRxKf3+cCG4PG3rK4+NMlg9a2r/PTDR2ve2c7sMdsohVd6V7PeYzt3RMBJO +wvPrCyT50dpj9fTJeDak9j/54C4SaexrFxnf2Oe1mCepl61u3FMXZXH+g96j +t8CnNaOmLzEoeHeV6dMcsG0BY9RihoIj3Y+ZCsCNDK+16XflMC/VLyML7H3t +g36yrzyODtxPygQnThQ92rBBAcf3KwRkgLMHP109LFbAtZOCnjTw1vNCu413 +V+G9KavxDbDZ3h3XUk4qYvo7t/wUsBGhnZb7ixJ+WBmhfB3sRRLF25KU8Tg9 +PPSq5L6JDYlJncp4ys7x4xVwMVl+bKeTCh5YWWElg693mF5crFLBQw7xwstg +qx6zKWmD1VidMb4mEVxE91/24K/G/Ec/RySAG5pZF0hSqjhyGA3xJO9Bhe7x +NVAVz+cZ28aDR+xKPcz7VLGG9JfiWLCit4N72wE1LPU9be1FyXmmk7bkN6nh +Dj6VewG8bhdV+HKnOi5o8R+OBiMUI7QUquNbqTdtz4E35cTJkjeswX3k/GIu ++GCt6LLazTU4XJVHjQRnpm7X8dfQwMlVrIiz4G9DfvGqyRqYSewdCAeTSY53 +pBWpOF9sty8MfE+29sAeHhX3H79eGCqprzuZ7q2UtVghvkI1RJK/42BWetxa +vNe6LDQY3K0WO1shp4lzchPeB0nyK0ncvD5BE1tnmjP/BE+nUvReK9Ow/Y7m +vECwW++qwu6rNNwesFE+QPK/MWtydbS08Lilb4AfuM3Eqrc4RwtXVZztOAHe +9+iNyeXNP2C3537bOWCdkop/l5X+gAe529N+Bz/5w+i8AVMbB716890HnLVy +0WTguTbWbTjk5Q0+aaDnIv5NB1OcCpvYknytvFtNx3Qw61yvgRc4jV3t9fTM +Ojy7/7+8I5L3mHpguYusiw1qn054SPK5YL+N46iLk7s38iReLpjpsGfr4v8B +9n+vCg== + "]]}, "Charting`Private`Tag#4"]}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + ListPlot, "GroupHighlight" -> False|>|>]]& )[<| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{-1.401635, -1.401545}, {-0.0000125, 0.000125}}, + "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {-1.4015450000000031`, 0}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {False, False}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), + "DefaultStyle" -> { + Directive[ + PointSize[0.004583333333333334], + AbsoluteThickness[2], + GrayLevel[0]], + Directive[ + PointSize[0.004583333333333334], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + PointSize[0.004583333333333334], + AbsoluteThickness[2], + RGBColor[1, 0, 0], + Dashing[{Small, Small}]], + Directive[ + PointSize[0.004583333333333334], + AbsoluteThickness[2], + GrayLevel[0], + Dashing[{Small, Small}]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, + "Primitives" -> {{{}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, \ +{}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJx11Xk01ekfB/Drcq9rFyLXkNHEKKRQ5qbn6VozlkTSz6AI020sjQxRlpQs ++YluZQ2XJBWDQvY1lBbZui3Kekd2snRLYz7fc37n/M75nfN7znnOc15/POf7 +fd7P53me7z387b3IJBLpNHRi/Nc0jSrlu9xA+k+r0GeHSICP11560XzfDB0S +X5kSA/dVRKb2lnkhz0D9o6LgRvWDlpuOn0YSvlY9NPCSWW7Q55JoFL6821wY +vPlNOIqdT0Ij0tQqCthD1PgQySsVHa8t3CoENo9lCbqk5SBDvnoWmfjeUxV/ +ZlE+imwPkxYAjwvrMuh+d9FvGsVRaz7LDSKPq+nj0yXoB8WHi9/AxfaHM9TP +PEDczAzvVTAt2Syhzu0h+rPsMPcL+NxtqwFOai165TxryQff+uiw1/NqIwq5 +dqRmBXyKE23AFm5BDR6FWsvg/shnltz8R6i/+emNRfDeiRW+tn8HGit/LPkJ +bCIp1pp4rBMpGuVEzIN935lrLEU9R+mOdnOzYHqoTHcRrwuxyW+OzoA//azl +5sbpRtome15Ogbstfw2J+70XXVEIZU6Ct3L6vbSc+tFERFLpR7CHwRZvU3su +OnI28vtxsPWN4rrnHm+QksTPyTxwNd2mpCP2HTLdMU0aA0uxL0Vta32PqFOs +kyPgMZM2o8j4QRTDaPwwBGbHxPhm1g2hOeU520Gwk3fl8omvw4h1nV/3Hryw ++PLMhMkoUrr5TmsA7B/DyVFMGUNaFmkZb8FBRUhkZZGH2iK2ib4BF+pIO59z +HUeSttmnucR8aueuyq6PSLtsnNcPHvUIsEixnUSW98Qc+8DymU46qq+mULwR +raUHHGlAv2J3YgbJH3uv2w2emXRIkBWaQ3KqiVldYIqGiv4B7hy6e0pB/AW4 +qrGEPVg6j0huoSHPwIY1wX83Ji0gxocKXif4lx+oHfOBn1DEylOHJ+AhWXnT +ALdFtHSrtrEDzCmMbWPaLKGm6WjtdvAjMe+8I8xlJPL0x/RHYAGvAZ1Oxgqa +Z+ZTW8Evhk3rzv/0GeXs/xrQDC66r5gXu4ePGEtbPjSCHSgkg9fmX9DoDn2r +BvBnckt7oONX1ExTqKwDX+o5WOLMWkX8oB61WjA/5blJfNQ3VBzGSqwGs1gn +X5E5fyMh5df8h+BoJ05jS+sa2uio5lUJdrkfvIUXRMKb1M26ysGMduElCUkB +rJfE3P0A3PB2ZoJbIIAD2Qq3yoh8XXUqzU3JWFi3XboU7KrzVshphIxlvO3O +/Aluva0cIRItiMsN7o8VgSvTt7901RTCctmz+++ByRbetxy6hLB9LqX6DvG/ +x1TuTIRQcBJe2lRI5EfvilFTp+KFoPp/F4B7KZTJL71UnLbPfSWfqJftzhGn +LgrjggcDR2+CTytGzl1i0PCeGr0nuWCrQsaEyTwNh7sc0+OAWxjuGzLuiOD4 +VJ/MbLDntffqyd6iOMp/PyULnDhd0rR5sxiOGxLzywTnjHy8epgnhutnOP3p +4O3ni60174hj45R1OA2sb7zrWspJCWzw1rkgBaxN6qLn/SSJH1aHSV0Hu1O4 +cVYUKTxlEBp8ldhvcnNiUo8UnrW2+3AFXEoVnTS0l8bDa2vmyeDr3XoXV2qk +8ahtXPFlsFm//qygxjosx5hanwguMfBddWWvw+ymH8MSwM1t5hcoAjI4fAyN +xhPnQdrA9Yu/DF7K17GKA49bl7saDcpgecHPpTFgCU9bl84DsljgW/qGi8R6 +5pK2FbTK4m62QsQF8MbdCsUvDOVwYbvvWBQYoehi02I5fDP1htU58JbcWGHq +5vV4kFpQGgE+WM+9LHtjPQ6ViVcIB2el7lTxlZfHyTXmYWfBX0d94mSS5TGT +PDAcCqZS7G4LSijgAp71vhDwPeH6A3vjFfDQ8etFwUR93c5y6aBtwGJxVTJB +RP52I9kZsRuwsUVFcCC4TzZmoUpEEefmJbwLIPIrS9y6KUERW2QZMX8Hz6XS +1F5J0bHNrrZ8f7DzgHhR31U67vLTFPUj7jdmXZ6KkhKeMvX28wF36poNlOYq +4Zqqs90nwPuaXute3voddn7ms5MFVimr+qOi/Ds8ErEz/Vfw49+0z2swlXHA +y9ffvMDZaxd1h58pY9XmQ+6e4JMaao68X1Qwzb6o1YPI18yzQ29SBZufG9Bw +B6d71Lo/ObMRL+z/K/4IcR5TD6z2UlWxRv2TaVcinws2O1h2qji5TzOe8Grh +fLeNhyq2nuYGN7H+v0cMvS+L+/7XxnzNacLJ6dMNGWNK2PZUGp94T01t75ao +Qz3/73v7D13a3/g= + "]]}}]}, {}, {}}, {{}, {}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[0.004583333333333334], + AbsoluteThickness[2], + GrayLevel[0], + Dashing[{Small, Small}]], + Line[{{-1.5, 0.}, {0., 0.}}]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[0.004583333333333334], + AbsoluteThickness[2], + RGBColor[1, 0, 0], + Dashing[{Small, Small}]], + Line[CompressedData[" +1:eJw10FdME2AUBeBSxYJlr7JsUcImYchQlP+nEZBhESGKCMgQlCBTogZSRKkG +RA2QMmQKlhGgbJDZQBwMFcLeIzUygqBAI8NU9JLoTU5OvpfzcI8HRLgFEQkE +ggnkoAfWNdkfQ7c7Cf/OW69PqQvMqtpQPOU7jP7bZXG31RJ8qcbzaCd4zaW9 +0M50HNmZr/7mgRvF1xjaftPIqj12swO8IkmnRa3MIyM6ebEd7NjfR/Fd5CPN +ntzJNjDNn6XvzvqKlBmGn1vBzwXZKXzGMpIc6ehsASclVodM2K4iEU9GQzO4 +melmRQxeR9vzc6VvwGOW7G9ZXhtoNTA8pwnssBV3mqKyhRZW9180gkcmhc43 +1wRoNDLlUQPYK4XPDh37iXq3aXfrwXkTrlWyozuIx6wNrgPHdl/1tV7ZQ/VE +unctmGmblDshK0SlSUMXa8BPRxPjel33Ua5UwLlqMHnAU4vpRsCp6VsWVWCB ++0yLTqIIfqzK0ueCwxvl7by6iTimUJ5aCRapl9MnyxzGYdrFshXgT2LCGYNA +URzANRMtB+tGT3ype3sEe5h+2C0D36L7T6cZiGHnlstrpeBrCTNRXQXi2AYt +LZSAK9oWbpuqk7H5+3sjxeB5XZOCWY4E1nMi9XDAxPSUzQZzKUwdzGp7DRbl +JPtXDUljuSu61UUH/5jT359vl8Gk2ZaiQrCtsUvUOlsWC/0dM16BqamVHGGk +HN5YnkoqAFvEDFqS3OXxYlgIMx9smGY/RbJSwNOCXxF54PuTD87uaSnigZhn +N3LBPBUedVxJCb/7o+aRA5bIPGSfLUHBzU+4TtkH++v7D61JyphLtkYvweM2 +W6W8Iyq4KK3fJAuszuZkKZBVcQblulYmmO7jcMZaQQ0n539XzgDr+d1JMD2h +juM14yXSwWFcYdAPs2M4ulyawAaX8HeXwi9QcbBRoSANrFOcJ8UNpmGfJuPl +VPDJYsrOoK0GLts7X3dgYfnmMCNAA/8FCr6XOg== + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Hue[0.1421359549995791, 0.6, 0.6], + Directive[ + PointSize[0.004583333333333334], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + + Line[{{-1.4016256557213949`, 0.}, {-1.401624655721395, + 0.0000532131726537458}, {-1.4016236557213948`, + 0.00010641825791211426`}, {-1.4016233064208385`, 0.000125}}]}, + "Charting`Private`Tag#3"], + Annotation[{ + Hue[0.37820393249936934`, 0.6, 0.6], + Directive[ + PointSize[0.004583333333333334], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJwt1Hs4FFgfB/AxzBjkEjIZS1YbWyHFVDvpnCaMrEsi6bUoE7ZpXVp5iWKk +hMkrmooQhiRtZlHIXQili9yaLsp1XrmTS0rr/c3zvOd5znOezx/nOed8z++c +H9mBTj5EAoEQBF0y/muCQlb2X6gj/L+VmfHDFMHHqy+9bLhvhQ6tWhxXAHeX +RaV2lfgg72Czo/Lgev2DNuuPn0aK/radFPC8VU7Il6IYFLmwiyUL3vA2EsXN +JKFBFXIFCcyW33uI4JOKjlcXbJYBs+I40u43stHOJf1MomS9ZzqBzMI8FNUS +oSIFHpE1YdAC/kJ/GAijV/wW6uSeVNJGJorQT5oP576DhU6H0/XPPECijHTf +ZTAl2SqhxvMh+rvksOgr+Nwd215BajV67TZlswS+/cl5j/fVehR27UjVIviU +IIbOl21EdewCwwVwT9RzG1HeY9TT8OzmHHjP6OKSUWArGi59ovQZbKGk0JR4 +rA1pmmdzZ8D+71kG89EvUJqL4/QUmBau2lEobkd84tujk+DPvxp6ego6kJHF +7lfj4A6b38Pi/+xCV6jhzDHwZkGPj6FrDxrlJhV/ArPpm3wtnUToyNmoH0fA +djeFNS/Yb5GW4q/JYnAlzb6oNe49stw2QRgGK/MvRW9p+oDI45yTg+Bhi2bz +KF4fimXUf+wH82Nj/TNq+tG09rRDH9jVt3zhxLcBxLm+VPMBPDv36syoxRDS +uvXesBccGCvI1kwZRobWN9LfgUMKkdzinBg1c7fIvwUXGKu4nfMYQUoOWadF +kvnkth3l7Z+QUcmIuAc8xA6yTnEYQzb3FFy6wRoZrsa6r8cRz5zS2AmOotOu +OJ6YRBrHPph0gCfHnBPUZKaRum5iZjuYZKBjdkA0jf46RV31ElxRX8TvK55B +BM/wsOfgnVWh/9QnzSLGxzJxG/i3n8itM8GfEXfxmfNTcL+ahmWQ5xyav11d +3woWFMQ1M+3n0aOJGKMW8GMF39wjzAUk9+zntMdgKZ9e4zbGIpph5pGbwC8H +LGvO//IFZe//FtQALryvmRu3ewkx5jd9rAc7kwj0N6yvaGibmW0d+AuxsSXY +5RtqoFDLa8CXOg8WuXGW0VJIp141eCnlhQUv+jsSRnASK8EczsnXRME/SEb7 +zdJDcIyroL6xaQWtc9HzKQe73w/dJA4h4PX6Vu2lYEaL7LyikhQ2TWLuegCu +ezc5KsqXwsF86u0SSb4exuUsSyKWNWlRKQZ7GL+TcR0kYlVfxzN/g5vuaHPl +YqRxKf3+cCG4PG3rK4+NMlg9a2r/PTDR2ve2c7sMdsohVd6V7PeYzt3RMBJO +wvPrCyT50dpj9fTJeDak9j/54C4SaexrFxnf2Oe1mCepl61u3FMXZXH+g96j +t8CnNaOmLzEoeHeV6dMcsG0BY9RihoIj3Y+ZCsCNDK+16XflMC/VLyML7H3t +g36yrzyODtxPygQnThQ92rBBAcf3KwRkgLMHP109LFbAtZOCnjTw1vNCu413 +V+G9KavxDbDZ3h3XUk4qYvo7t/wUsBGhnZb7ixJ+WBmhfB3sRRLF25KU8Tg9 +PPSq5L6JDYlJncp4ys7x4xVwMVl+bKeTCh5YWWElg693mF5crFLBQw7xwstg +qx6zKWmD1VidMb4mEVxE91/24K/G/Ec/RySAG5pZF0hSqjhyGA3xJO9Bhe7x +NVAVz+cZ28aDR+xKPcz7VLGG9JfiWLCit4N72wE1LPU9be1FyXmmk7bkN6nh +Dj6VewG8bhdV+HKnOi5o8R+OBiMUI7QUquNbqTdtz4E35cTJkjeswX3k/GIu ++GCt6LLazTU4XJVHjQRnpm7X8dfQwMlVrIiz4G9DfvGqyRqYSewdCAeTSY53 +pBWpOF9sty8MfE+29sAeHhX3H79eGCqprzuZ7q2UtVghvkI1RJK/42BWetxa +vNe6LDQY3K0WO1shp4lzchPeB0nyK0ncvD5BE1tnmjP/BE+nUvReK9Ow/Y7m +vECwW++qwu6rNNwesFE+QPK/MWtydbS08Lilb4AfuM3Eqrc4RwtXVZztOAHe +9+iNyeXNP2C3537bOWCdkop/l5X+gAe529N+Bz/5w+i8AVMbB716890HnLVy +0WTguTbWbTjk5Q0+aaDnIv5NB1OcCpvYknytvFtNx3Qw61yvgRc4jV3t9fTM +Ojy7/7+8I5L3mHpguYusiw1qn054SPK5YL+N46iLk7s38iReLpjpsGfr4v8B +9n+vCg== + "]]}, "Charting`Private`Tag#4"]}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + ListPlot, "GroupHighlight" -> False|>|>], + ImageSizeCache->{{4.503599627370496*^15, -4.503599627370496*^15}, { + 4.503599627370496*^15, -4.503599627370496*^15}}]}, + Annotation[ + GraphicsComplex[CompressedData[" +1:eJzs3Pc71/3bB3ASIpIZCS3ZMkLmSfbMyE62SPbee+9NRklCRkJKkqSMimhJ +aSmyhUIK9/k+7uO+75/u/+DbL9fxuD4+n8/rfb7O83keXUdXh+w8DB13kJCQ +kNOQkBD//O9f650k/8+vwfkjOU8vrv7v62f5+lkeomPqfzKftH6p8D/Wm1hv +k0Ib3DSn7kTP6bVfURV7q6AqMbPZgW6hmtM9ZvNeQaY9eOk+eopWictr6pPC +caXdE+1ozYH+fdYTXxWO9Ba/u4fmso3hN4r5rsCqK/i8DZ26UpTxVfeHAu2r ++5130YkJDRdGVGYUSM11m++g74QayuxwnldY/fTxeiv6jVTObIHlT4UZB/dL +t9Eay2HS+9iWFT7PbKW1oF+9+6ftNLei8NozI6oZbZnxNefim98Kfatcfk3o +khH9evrXawodoY3Ot9DBPWbW8lN/FJp2KJ1tRIeqJBaP0P9TuJ44fPomOul1 +Qlif/pZC8R475Qb07kFz7lBDEsjMXZasR68YfbjLk0AKsftj+OvQ7i2MqpY9 +OyDoCiNnLZq0iYF/996d4HbsGv0N9LNd/z4IOJCDXd0J8ho0r8/I+K1HFGAq +9mS9Cn1eyfZ9lsAu0L5rPHcdbRH9wethGRUoKkx+rkTfuPfZVezAbpB47P/q +GvoTr2jZWAUN8GlR9lagd+RmLDVL7AHOoYJ7V9HkFcm29cN0wGDC21BO1OMj +/9an9r1AOXa3/ApaRUTPaz6HHv7ZauZdRnNm1lb882SAnz9GE8vQkkFDUpRG +jDDhdiG0FC2YpTZKKcME71c2PErQAe/C5f5wM8NgUIp9MbqDrYPzLQsLdG+z +m15C0+STqRXR7IM7cXVaRcTnz29FylOyQt1ueYVC9FvF5esdFGxQnjUgWoA+ +kFNRwLR7P+TtO8edj1ay0pCVZ2KH5NIF1jw0n413tNjhAxBxJIImF+1W989x +8QQH+NTQkeSgK7+uT7rrcILz8SsrWWieayV76py5wOq2yI9MtPi1fWtDKgdB +Z/5dQJfLaue/mqWXunYH4T/z8p95+c+8/P/zYiDb9T4DXTEa8qJy5CCodRkM +pqPPSDEfennxEMioj3eloZvWXSONdh6G4wPet1OJ+0+bj+MpOwxHjchqUoj5 +Wfg2oC1zBFhHc0qS0df2uK13vDsCtNZHM5PQu+y9a0KCjsKOiZaYRPS9iCuJ +0Qe4YfWCakACmn74nNJQFzfM/nxzIR494NIbbedyDD77O52LQ7+eKtuWZOSB +1/9WDWLRjX467tqdPNAfnaAag054NZxY6sYLHbtYpaOJ+0ruphHg5IOm9GrB +KLQRt1L70hAfVDFJH4xEP0ycN1uM44fiS/2MEeg4ix/1h+QFIPOgBWU4+lZU +V1z8qgDEXp/ZCEWvtoXcZG8ShCDBkIUQ4vyyIZufPITAvWn3eDDRT4ExqoPH +hcHuZMmbIPS5mQabH0vCYPpAsD8QrfvnvABv63HQUem4H0DM13rjKXUFEVB8 +qtvoj14m7+dNvSoCEvqfKvzQBhpM7V8pRYH/rXuBL5EnJKoLau6iwHl2O9kH +rSxy7e69N6LAOJ4R7o0OUtzDIKcgBpTOB7290H53F1f6q8Tg33yjoyeRN+tK +5vYM4rDko2TugZbZTFCgDBeHiT/DOu7E/Io8K2+ZEYf3EXaKbkS+yRdGXzA7 +AS/IV8QvolsX/n7l6T0B3SkxPK7oMqbIR7OSEnCXnon9ArrGn5f3dpUE1BVc +2+OCru/zYI1hk4RyDokdzkR/3lC5dCZVEvIrnvx2Qhc1ULTykkhBMp/JtCO6 +wCXGb8tXCiJuTo45EP2VuPLt9YwU+EoEDNmjl+bWaGttT4JzO+VjOzSM5JNG +jp4EK6XCO7bon3ukBw0NpcGgl7fWBu3IVhV7+Lk0qOm2lVmjKf0opBbUZED2 +lWb2OTTZ2Nv1lkcycNz8fZwV+kj64ic/kIWjny8EnUUXloduH++QBTbHvxct +0dUW/7zGZeWAdjbFxgItkix3OrVdDnZ4HThjjn63bHpLUE4e1lbr1M2I+rU0 +Nz/qkIfZUHlZU3Tvw3/euooK8GXHoLAJ+u5pbtrn3QrwOvHcYWNiP9jblctr +APTvWWQ+g/6qxadfPgDwIDeCygg9WJDP8ZdPEZr27900QAsZNTwqdVOEqitX +fuqjFyo8+O7eUoSSY6LfT6NDqpK+a64qQmZd14geWr9MNcFQVgnixAyf6aK3 +H8ZVDkcqQdDd8Qc66P2sj1/19CiBu4JPkzb6lwl9lSjtKbB/THZdi8jHNsbb +9GdOgalWbpEmOv0bea5T8SnQGTqapoE27bn9/vi3U6BkcjtSHc0QG3PQTUAZ +JMZUfdXQj8xtNjl8lYHf7u15VWKfJg9QnepQBs4pJ0sVol91Pnx6R6ECjO5r +espom3YelnF9Fdj1K+HUKXR//0dNq2IV+BfEKqlEzKdUC5PWpAosbVfzKRL9 +mDxHXy2qCpNx0hyAPr3L8KN/mCq83/10rwLRP80nGVv7VeFFlsVOeXSXbqi+ +I4sadO+bXZMlzmscIRZrrwZ3S0NmZYh9S8NvynBLDeqP0HyWRr+Mmj5Lt60G +5TUlL08S95PbMB6sqw75x4V6pIh+IJ9POFOiDim3O9ok0ZHhl+aKZ9UhQlav +XgL9u1rolr6sBvh2fbpyAq0gW+rvnaIBLuoeueLo2T3Wn0jGNMBqYDtBDL0m +eT75r6AmGBplhogS+5SVh8MuXBPURg96iBDvj0uVPjGkCbLWt+yOo1lHSWK8 +DmuByISSiTAxb6zOpWx+WnDU9aWmEFFf+oNCgn1awLZkJy9IvD9i+201uzbs +CVgREUA/EDZWzPDQhh2bMUf50V+4f+z53K0Na9FMrHzojOdLUwWsOjC7q3I3 +LzEP+3j82tx04Eu6xPYx9Ofb9RbK3TrwhqlnmRudrHBQSYJNF/ovmUweRWvb +pQ6le+jCg4M/Ro+g8zi8b53u0YXm6wEDh9F0849SAzj0oEpwV9ch4jxkX3dT ++OlBSVNhy0FiXmPK3m0814PMk3zVXGjbFrlSY+7TEPegrZiT6GcV150s4ach +WEUrgwM9H63eJjtyGtyfvo8+QNzvYL24Pas+2Ou7+rMT+bOo99FCVR/M3v51 +2Y8e2rpzM9ZbH3TOplqxof/ynI76clkflMYPGLASeZ92k9d9QB8knetV9hH9 +N1cdKvRXH/gX5E+yoLcAznDyGQCX76AAMzrW6Ua8oqkBMG6c42Ii+q/PeDYn +zgB2RS4yMKIvk3wxZ20xgE3ySAoGYp9H7L8xMG4ASyl7N/aiX0hStTTTG8Ik +ffk8HbpYefz0U0VD+FAg+nUPWimP1oTe0xBecDx6TYvuLDp6OfGyITyuMOyj +Ic47tUQm8sIQ7vJ9a99N5OuipxXltiHU3/S5SY0+VTYQu+e4EVyV2FlBRfw8 +k4ORqrUR5Lfn5u8iXjd4eLU2wwhSlLiTKYl5+lyqo/TQCCJ7b4dREHmZ8IOf +YskIfHXVvMiJ/b3Vum/j0BlwefXWYSc6sODM/AGjM2Blft6MDG2/KhnvHnsG +DD+vae8g6t25o2Ph9hlQd0wEUvRV3eSLpT/OgOwsqzgJWtpuwCOYzRhEvGqO +bbuudt4cWy+P0zYG7jXp/VvoBjenTw/CjIEt7CntJnpdp4TkWKMx7CGzJP2H +DlnU+X5v3BjIkmZ/baAZHpg4hjGbwNqe0Kk/6LfPu63dNExgLpdmbB3NmNN/ +MznEBL7sL32xhh6s6BN902ACb64Ida+ip510HumMm8DTYw9af6NfvaRWWGI2 +hQd1ejd+oT8c+x71SNMUmsU+l66gd1wfv/AwzBSq73pkLaN9DWXeztwyhRIF +krgldFiNRrnSpClkPc4M/Ilmt8mtebzfDOK0Dl1cRNMfHHjuc9oMgoduWS+g +s1WfTenFmoGHySmjebRracYH4zYzsB97qTaHvndEOSZxwQzM7OxlZtEi3ZIP +Jo+Yg+7UitAMcV6mbxc8zc1ByT320DRa9eDUee4Mc5D8xcQ8hS44QJtL/sQc ++IMrd/1AZ4kqDu79aw5cJJL/JtCJ0vm/1UQtgCm+Z/E7+nqM1nTVeQvYRWP6 +7Rv6Yf6DRPEyC9jM+vF2HF34K6h5+rUFLO8LfPoVfeMjn+bT3ZYwWbrrwRfi +/MzlfG9OWcKHI0W3PhP1qho5QR1sCUM1fJWf0BE3ZrRcblnC4+P3Cj+iI6kP +6CxPWULbba3UMbSp1kvWyoNnoV72Q8QHdJFOYVaU2Vm42uXq8x4ttJ+jICHz +LBSo/3MaRXfViFPf6TsLKQOpFu/QHVUvn9GRWkGkEYfeCLpEgakjS9oK/Ebr +ld6i2z9LdMp5W4GLtYLEG3QeTWHz3lorODcxyPsa7XTwQQjtdyswdLU+8Aqt +vl/vjxjHOVBfWqR7ia49v3dPlMk5kAuIJBtGq9xcLF7LOAcim3vXXqCtS9Zj +8/vPAXdM+cwgUa8b5rVWZNawn0rs0wCadNluSUfeGvZkPBp+jq5ZiZN2CLAG +MmajJ8/QyU7GThW3rGHt0re7T9FjO+MsqOesYe6gb10/erLON1SH0Qa+Xt95 +pQ/d1yubXSViA28E83J60Stlh21Y9GzgaRN3Qg864MDjkUJXG+g82Rr8BH1c +5NmMcJINND9Qc3+MzrmznPzuug1Uq4zYdhPz50h+I++xDZQ+PW/8CK1V+1Ha +adwGsvTXNbrQOpcdBbVIbCH+baLcQ/SJH/k+Spy2EHyWTaST6MfLwaQ6crbg +MV5z5AFxX2p8fS4WtuDgLLOvAx115WnbpUBbMFt4Sn0fHV+V1/cp3xZ0fS23 +7qEHPIYmJW7bwqmN2aU2tD7jEMWVV7YgGRk6cRctXLy+n2PZFgQoaEfvoDOn +7zPX77UDrtTS563o5oqy6dPH7YCJQfjhbTTfAeEkcj07oCp80NyClpCn/jFw +0Q42OU5XNaONfb78rk6xg+WKz5ea0BsFF6vzb9jBDz7P9Ftou7HApfx+O/hw +kyS6kZinlo/dNVN2MCSR5XcTffVRFMMLSnt43H7IpQE90mU+RMFjD21KTWfr +0Qbezj/11eyhofeUfh06LfaBY72jPVzVfaVci5aKCz5yIM4eCl7ZS91A0/X3 +HSi9Zg+p5r/4a9D/zL6piDy2h8jPsZzV6FOf6DPefLMHP0dmhir0+/SahVQy +B7gwW0l+Hf2bjdbU5IgDnPOS/HMNXZpb1y6q7ABGaz1zFehQJ/K9XPYOoB5m ++uUqukfyqjpnjAPIkU29KkdrjO43OV7hAKJJgb1X0PmyTMcNux2Am46q/TJR +D0PFnvhvDrA/r6ihDD1HcY5ugMwR6Nj5r5aiLx+V3jp61BHIyu/llaCfqN2I +T1dxhPVj2knFaIee/BIqR0eYq/sQegktW/1TPD/OEb6KXfQsQr9LblU8cd0R +3t79Z19I9H/uSuu3Hkd4qpBmWoA2su9MvvbDETofc2jno8XW5Rv9dzlBi1aD +Qh56rfoyuwWfE1QPKYjlEvNIe6hLT8sJSk1ecOcQ+bhAnXfG1QmyxqzZson6 +nGhKcU11gni7nzRZ6KR3AUU59U4QMhVJkknk81Bf0/NBJ/Bwp/+VTtwHCedz +lp9O4PCr/EcaWl7g36gX/XkwDxb7kIpO+Lgy9FHsPOiSdA+moIPsyistzpyH +U/FGj5KJejXW6Uz5nQcpmu+3k4h9Zdl/L77gPAhk+9Ykog2jW79LtJ2Hg6zk +pQnozk6Fzt/vzwNTWV5mPHqT/ST0/DsPVEePxcYR9/c3xryK0xm2aloDYtGH +6OnIihSdYfm4umsM+pf2sEixnTP8uD1yLprIr6cDI/WxzjAm62wYReTzM4b5 +oevOMNS1rhqJ/napzXFnvzM8UU+SjkDbJE1Kasw6Q9sAm1A4MZ8jfcYltC7Q +YHTjYBh66salOyQiLlAxKsMUSszbvysGfoYuUGD9jDIEfThFgG3D1wVSJyz/ +BqH/DOaSZRS4QJTr3EIg8f1TShQn7rmA31LoeADaJesqy/SYC1wIoH3rj95i +EOVv2HaBc5ul/X7oPeLekjGHL4BRjHCHL9GPvL9FnFUvgAZVZ6MPWjfViM7K ++QLIZZy+5o1+qSPaa5tyAUSZvxR4oX+Uyen5N1yAY8WeKZ7E8/wUunRp+ALs +P0Qa4YEOGeu9MvjrAtBVZXm7owX2j5gysLrCTqHDTm7oJW+x246yrrDe1GR+ +kdj/zfca+s65wvxJZV1X9O0yCxnZaFf4+uCV4gWi/l8o9DoqXeGtisMJF2K/ +7njwWa/fFZ49/cXjjI62TJxYnHOFTv049vPE6+SBxlf2XoSWt8x0TuihoWpu +mxMXoebs9R2O6DoJRZ3jZhehdFxy1Z6YH023TtrQi5Dt3Dtth9ZudPb7e/ki +xC+YfrRFk+f4Oqx3X4QQ36khGyLvM8eid05dBM+NwMfWRP81/e7honEDh0iq +u+fQknacPFoibmBOcanWCh1u/qAo5owb6KXyXz5LzGeRGvPzQDc4xdCebUnU +95xo5pFSN5Aq1I63IPY5ww7S5C43EOAcCzJHVyupntuecIOD1y66maF3Z2aW +xVC7AzP/po0p+n5d9F2W4+5A1Zh2xoTIR7Xuq3eN3GFLglPDmNiHJGRnXALd +YaW9QfYMcX6nv494S93hhxIcN0KvfnWfXO1yh7HeF4cN0SINvLdfTbrDsK4N +iwGxDwb+cXfu9oAnr35S6RPztNV7vE3EA+6ZR23qoc0aPfq7jD2g4TP9ki5a +ee3r9LtgD6hwvPpdB80Sw5y4ddkDCmfF3mmj7XOoisWeeECqV/czLaL/556w ++c14QNSaUacm2uN8/eY4pSf4h31v0kBz+3oWZ+/3hAtkftfV0Xeu37fVFPIE +6yTyS2rE/mg9pkOp6AlGdPlpqujYGHWT54aeoJF3LEqFyAu6+yGFjp4gz37H +VxktHsp91zXQE0TL1Z1PoU1I+SjVUjzhGM87SyX05KyHA0+ZJ7DXO59WRP/M +r3pGd8sT6MT/nAJivzlFndzu9oSdbUmSCuidT5qu/37rCesK+/nlifqz/aZf +mfaE+cc3OOTQ13rJ/df+ecK4liy9LDGvvNcHd+z1grdDz3bKoHlji/cxH/GC +ZyZn108S90V/U0dY0gsejs3NSqHb5upd9DS9oMUu7LOkK/Hfl1wu+J31gpop +2lcSxOuOt7UqPLygzL2s5wTaMc+V4l20F2T/Er4njuYRci5izPeChODOejE0 +WXzKtnGNF4SQ6JeLEvuvu+3E5fte4Bn/JVcE/XTo/YnFF17gSOOVeBxdYvb+ +r+o3LzDPJg0VRp98XBVXseoFeqzZHkJoVgnJQUpqb1AuO2wviB6ODB325vAG +qaPNJgLoPEG/lO8i3iB4Q1mLH/3ImXP9rIo3HBR5Lc+HDqYJZhwz9QbmVgdR +XrRcVtqoras3UMv9PspD1HOPndpCuDdsdcWxHkMXS+w0icr2hhV1Fhpu9LJO +7E72694wNXB9+whaQnBVt73NG8aMpFYOE/Mp5SVsN+ANw6O9k4eIfD679yrd +V294Ym32/iDxvDTL17p/ecO9iakBLrSw9Enx8F0+cNM1qIsT3XV6lyYc8IGK +JarbHGhOyZRxChEfKAy4VH0A3aI6//e1sg+kbfKXsBP7Qf1cVo2pD0TFtGfs +R4960l+OdfUBfyqdGDZiXq3kDzlF+IBrxpg/K9p7zwEmvRwfsGZ2u7CPqM/4 +jI9clQ+cKd60YiHuL39GTrTdBzQOpRswE58na+0q+MIH5Ks4VZmI/pbJ/Cv0 +zQfEhG6eZESf1+qblljzgWPNIMhA7AM3YzGV3b7ALj3ERY/WWUwcNuPyhb2d +Nox7ic+zvtPtI+4LO1WXKOjQgRknyfPUfeHP06gNWrRryvns+5a+MK/PsEBD +5M+lq+dnPHxh/O3Vr7vRtFLaUZyxvjByVvwNNZG3dD0fzAp94dl4dx8VkV/b +wT5Fdb7w0PnM/V3E/dC8Ufny0BduL3y/SUnU1/aUjtAbX6jx9augIO739In4 +yGlfKNsgLyBHR6lwLY5u+kJ2ZH7yTjT1s+AYaQY/SKDgCSdD74vvgsvH/CA0 +9Y7XDrS6kNfB3bJ+4Mmg4UhK7Jck6sNhp/3AsfCdGQn6hBe90m97P7DgdNHZ +vrDaafWEJtgn0A/0rv2BLXS6W3z/WqofKPMni2+ie87sFowu9wOpxv08/9CL +jgJlDK1+IChZu/8vOjIx6EDNUz84dF92zwZ6f2lKhepnP2A+9Zz0D5oveOP4 +1IofUPed/b2GzpqyfZC5yx+2deenVtHmV2y0gcMfVl6Fjf1G26oWvloR9Ycp +8z1Dv9DMDvVGDWr+8PFzWfcKWthdvc/d0h+GHY/fWUZ739krLOHpDz2znTeW +0AHerVGkcf5wz0u/7Cfap36q81WRP9xc+5K1iCY/bTFR2+AP18K84hbQ9Ixd +C0nd/lBItiNoHp2Z8Oad2zt/SEvKvjiH9qUyumI67w/RdEdsZtEJXWtK6jsC +wD+v2WgGbVgee09uXwC4squoT6MpbTooTgoGgHX5a5kptGeA8xFppQA4w+Mo +/AM9efA0raJJAGjW/z40iVYxlO/ScQ0AefF45gn01D1SOevIABBrY6H6jm56 +6BYckBcAPFD1bxxNFWYclHcjANifSP38SnxeW4ZEW2cA7NXu+/YF/Xnhd+34 +6wDYOWw28hkto+AwSj8TAH9Mpp9+QlN4t91T2w6AhbGgBx/Ron/e6kQxBcK4 +HXXTGLr2+5XULr5AGJm6VPkBHf19058SAuG5u0DRe6JeqiO7jM4EwsNf7amj +6KNzrHDNJRBuB+tEviPu2+QWy9/wQLhB8tFnBO2Uk5VqmhsIZfFu598Sr6vW +l7bVBEIOzZbFG+J5ijfUDnUGQkJ2ut5r9BF977j014EQysp16hXarJrOhHQm +ELzKbkq8RJe4Dd0O2g4Ex6OKfMNoqfmW8jWmILC4MXRgiOi/350sIfxBcFrE +du8L9PHhVfqdikGg3LpENoiu07DNzDYOgpNy0WvP0V7FVBnHXINA8BHD7DO0 +TcQ/6oeRQXBIo+LTU3Q3hyapdX4QsAyKv+wn3r+X1IOsLgiozzx+0oe2szlp +UN8VBNujZ9p6iXmJJK88OxIEv6wn6nrQz/SiztPPB8HUhN+VJ+ivtL15z3YE +w0dXitzHRH84/hJMYQ2G4aX8hG6in87x8esLB0NPAE/II/Rpm8Tk/SrB0L55 +x70Lffm4pPaMeTDcjNGwe4h2mzF36fQIhmtUo8adxHkoOMaL4oKhKMNF8wEx +L3q1TUHFwZDGvCHXgWb9LPbu3K1giC5OFrlPzPux76c1e4Mh4BD70XZ05+cl +FumPweBaVbvvHlE/+ix+4ZVgsBGS292GNqH+k8BLFQJnmp9v3UEr2NoL8nKF +gKa01XIrcb8/dzMLSYSAQuf8xG2iv16JgJR2CIipho+2oNcdGarVbEOA59me +gWbi+ZRWdCwDQuCAweWHTeiZk6wCfmkhsHfkeMstNK15H+RWhAC51cOqRrTW +d53Eu20h8Gdcv/gmWsxgiXT8RQgsOH9Nb0Dv0yC7sXcyBL4teEXXo/uuvAxT ++RcCI747/OvQxja3gsMYQuH5RrZLLfpV60ppO28odEUesbqBPra5+H1LIRRu +U7To16CfNm3pqBuHwo1UFZVq9PWQ4Fe5rqFQxvBGqgo9wvHQ70dUKOQUOgpc +RydFCItCYSgkcq5yVqIHrx0jK2kIhdBr8QzXiDyp3z29+TgUvPj3UVQQz/vy +1BeHD6Hg1Fj1p5w4v5P45NBSKFhInpy/glYaUFtX2hUGp+/3fbmMXo2aYrzL +GQYqp8xflxF5vCdeUlwiDE72TfeWov/RZlg1a4eBkF5wewnx89x+8SftwuDQ +a+qbxUT/7qpreBQYBiwWxVcvod951rwyyAiD3V8E8ovQLAcnlicqw2Db8X5S +IfrD2DuqiPth8GtWJ6wA7e9JwsLxKgymvT565hN5WjnK9HA6DD6uuTnkEftA ++TuZM0k4vAzbMs1F36G/+oVpXzj0kGVo56DPDuVX9wiFQ3sSF2QTeSmtYR6m +Eg6NdI1iWWiNf/I/T1qGw7U8xWOZ6JudpK5/vMKhiH2YLQP9nZ2r90FiOKSX +29KmE/l46ciOpMvhEM2zTJKG5r5dxmbWGg4B9dG/UtClw4d2Cw6Eg6s441Qy +elZJ8fXO7+Fg01bxIQntoZThPb4RDsZw4kUiWnKl8ttj+gjQfPL4UQL6oA0H +dx1vBChoG7fGo7d9M08UQgSID0/UxKF7SUrokkwigMfUvzQW3dD0qTncLQIO +fKTIiiHyhPUPZ1BsBNDbF8RGE/NRkqYXWBwB5NM8gVGEYxQVQ5siYMP9rmsk +Om72zlJsfwQs/NKwjiDy7mmxTfaXCPgWPGoYjlaezUu+thYB70guqIWhN39X +t1pRRsLz+A3pUHRhmULMLEMkdNGkCIWg72d8qwnhjITWbPZDwUS/6Xvy7eGP +hBusdUxBxDyefkBSIREJl8vkdgWiT36pEpZRioScowN//dFF0ruaXulEQuIN +q0U/9EBAe5KHWSSEiSyM+6Ldi8paaB0iwas1/K0P8TwRGaINHpHgJEf31Bv9 +bebitn5IJFg+utzhRTichWM1PhJOa4jc8iTOf/9iUml2JKgMPrzmgX5AbqCs +XhYJJ88YFLqjLxVUaq7URILQ+68pbkR/pusWl9+OhMM23hEX0X++CEoZdkUC +y+QOH1d0uD3vAfKBSNh9McfpAjqmm1vz3rtIIFk+YuFC9GPi7g6v75HwK6BF +15mYX8Yuf4GfkTC9qaJ0Hv22VNjvx99I+BTz5oQTmkNK/k4lZRS8pHLidUS3 +9byVdWKMgt6MVXYHdD7VJCkfVxS0MyfQ2aPvZpnsWuCPgsbifWR2RH89YdK7 +LRkFlYeqV22I+/lE+zL8VBQUVZ2csUa/nD6eo6UXBelC/R/PEfn7xCWT1SIK +YprNh63Qcodr+6YcoyBAeubxWfTViO8y7V5RcLEz+K4lMT/ClJMZYVFgo7q7 +zgIdrEPe75QUBcbPii+bo1X6hschLwq0DARzzNCCZ23E2MujQGHkfrwp+l5G +Zet6XRSIW+kGm6CDWvPd392NAt5vH92M0TKLfOb3HkfBARd32zNEPx8x8Ssb +igL6xa0zRmhOCsZHsWNRQO6XoWGIfsJoruA2FQUbG1xyBmjqrEPTpr+iYDGy +8bg+WifZqUuFJBq+USgdOY2eeHm4R5wmGt6lDrPoEfXaabh6lDUaBhjsqHXR +DOE/9VmPRkNX4fKmNnrY/u9rWpFoaOWMWdJCj5Z6RpHLRUPtNcYJTWLfjugZ +b6tHw2X+a+800HSReaf/GkVDbuOJ5+pEvveqePyxjoZEySedakQ+llk1/3GN +hrD7xs2qxLzf+7r/X0A0eJ+avK6C1k95dY0kNhqc+vwvKaNzVCT1KTOjwVKP +Mv0UuvYt7YG9JdGg/7ogSglt//McJXt1NKhY8Popoic3hel5W6JB+stdZyD2 +L2/sSamH0SDkpHlWAT1t5Biq8TwaDs+NnpZHNx96/8HyXTTs876gLIfOnJsx +9voeDbvXNyRl0bf7r0wn/owGkvAUfhliHhPI86/+i4bfZAc4pYn93HDE4sGu +GJhOqqM/ScxXKIXEGFMMfKKTJ5dC/51p4/53MAZe5g2sS6C9r2sJcArFQC/7 +ubkTRH0Ze1ROScfA/fKFz+JoIV0ZL2fVGGjkiXglhq461Hoz0yAGKuvpekWJ +/cikuX3PKgYuiV+5J4L+aUVm/cMlBtLbRBqOo8t//B5g9o+BGOgqFya+X09M +Wy06BgKfGOQJoZm+PX0TmB4DF7XHEwXRVtdeXqy/FAO2w96hAsT9qVjRfb8e +A8amZJ78xH49EnH/QHMMaH3Msecj8s1I18e0MwbA/qgpLzo396NY7rMYEJ9u +0eIhPu+M2sbLkRjg9VBVOIYWu1nWx/g9Bjh+vxHlRtu6b5WY/IwB+hAn7qPo +95Th/sX/YoCCdI31CJG/pZJnxnfFwkZ8As1hNPumkoQAcyws0rCSHEK3lN1j +9T8UC9+zq1e40GyV7ZuPhGLhHav0D07ifGM23+hlYmGgrP89BzGP7T19dmqx +8OioxeAB9No56vrbhrHQemOmix0tkq6ZQW0dC7UiIbf3E/3cetXd1jUWLrfu +rmEj9tn8Ca17AbGQK1dSwor+Ms91iDk2FpIeCWbuI/Y7a+yyV2YshGl0xLCg +XXyjO4ZKYsF7UDeAGa1YJxclWhML5898usBE5DvdG7nc27Fg+d79HCM6Ict9 +cb0rFvRttg0Y0Gp9goXWg7GgOpmhSk/s4zlxyb73sSB98aD0XsKj1X1iP2JB +eLlRkI6Y/9239C6vxMLhQKWDe9A+V7x7aUniYN/WMCMtkW/GTGLhNHFAE2tH +SUPMT1JH2k/WOCChXtmgJj5POn/UgTsOfmfELFChJXb0Mn0QjYMZZqbxXcT8 +cAYpGCnEwafia28o0VxOb40HtOLg1SGJfgoi//SpLDRN46C36sl9cvSpn3Ja +vfZxcF/IpHEn+vevEm51zzi41TxZQUb0Y43xbF9oHFRKBxTsIOZ/NeeSTlIc +XOqkTCEl7tMyTuxlXhxkqBaGk6BJf5s2mV+Ng5hnvN7bLqud40EybN8a4iDQ +oM1xCy0ifdbJvT0OLo5omm+iT7PPF/7tjQNbq/c6/9Bs5RyNya/jwOTbBcW/ +6HPfOGvZv8aBlstf8Q20e/bhpIb5OIDFFJ4/6KLfFprKG3Fwwu8A+zr67szG +zChFPPD+rduzhm6ZkHDzZowHjij5Hato2p/wguZgPDBQDv7+hbau1t1bIxgP +FGnnplfQ80q5x9Wl4+Evw+LYMlowVlPwh2o8LBZGDC2h1Z9WkCcZxsN3zr2P +f6Lrdry/J2gdD6PXrtxZRBc0HNF+6RoPA/yitQvosq93bwcFxsOjxq6yebSU +0ss/h+Pi4Y6kYfYcWm9nDctgVjzU3h+Pm0X3LPvvDimLhyunfIJm0AyO/iN8 +tfGQ20fmNo1uUx4Pen8nHpL0cm2m0LMUq0upj+Mh/PXRMz/Qe1rnFZSG48Hb +4rb6JFr7B7nN2sd4OP9FVXYCfbgz5MzNmXg46/RW+Dt6dTGV3WUtHvTnnA5/ +Q19rC2g5ujMBVL3XmMfRdNPBnON7E0B6PYHqK7p55I1ZOUcCCIezbn5Gy7v2 +2dnxJ8CRnTU/P6GZmHNkuaUSYF+y9PeP6D/D/uPTyglAs/fpyBg6g++2SaN+ +ApDmWzz7QLwulFkQaJUAv9lnH7xHXwjRunbqQgLMlIc0jaI7pHhC9wQkwGce +muvv0LsYLnCOxSTAq/qSohG09CnT5NrMBOgTF0p7S5w/UbwztDQB7rd1RL5B +Vwqp3D99IwFugZ7va7Qw6YvIo3cS4PqTT+dfoV0s9tP87U6AS9oeli/Rmvvc +rF4NJUDG8LbeMJq3ZI9v/ccEiDXNPDWEtn+ldjppJgECPx6UfEH0i+C5Bae1 +BHCzv8U3iP5VWqqvtjMRbKeVOAbQvlf0/HjoE8HE4+Xe5+gRsXZLas5E0P5t +t/MZOntEiGyRPxEgZGWtH/0wd+nCG6lEOEEaO9tHeMUgu0MlEfgSmD73ol+R +FAdWGSQCB23lyx70fS02jpxzicCQI9HzBG0xzhYR6ZoIFGw9bY/RuhYzpR6B +ifC3zKS+G53T8y7QJi4Rfh79ceURmue+OJ1RdiJ8vxGQS/z570p9LRv1y4kw +KrIr8SF6ku2iq3xdIgy2FoZ0oquEpo9LtCXCIzk+jwfE+w8x1Av3JMKdR212 +Hejnu06O871KhFoNLZP76CcBdYPHviTClcH3mu3o2oguL+75RMg74yp/j6hv +Y/9T7o1ESHr/V6QNXcLCOspDmQThNqlH76Lb5UlKBJiSwGfyAOsdtKzQWwbR +Q0lw/mL97la0t8uC3EnhJDi7LL/dgiZZKWVTkk0Cg8DB5WZ0gJpMtbZGEqhu +nZtsIvrNkm3K1DgJZGIXR2+h565nvXO0SwJh6siBRnQ+80agn0cSHMnc23UT +/Wzvjb740CRgZSlvaSDmO+RPT1FSEtCUiFbXo782Kfo05CcB6eFHxXVo1rR3 +zx9XJMFqlWFGLfqz+f5XY41JMCP0LfoGuvGrddxqRxJ8bvbxr0GnO5J9o3+W +BK+kd16oJupTZj0r/C4J+jpzrarQ+x68KNWdSIIOVW6D62jnizf/uC0nwa1n +t1Uqif7tt9jK2E6C6wZqJ68R9XYVrm2mSYbikbcCFejbNgnb79iSIcPqPNdV +Iq8Euje2jyVD7Lc1hnI0RQ+U8J5IhkCXRIor6Dtllt8MlZLBbZF1o4zIhyK/ +F+F6yWDnVzNfiv65d966zjIZTP5Kfy0h+nv4UO4H52TQjnr6uhh9ncPXlcY/ +GRQpLfsuEd9XqzKhEJMMJ9Jm24vQNpW/SHwyk4GPMfRmIXFf1RMd1aXJwFlE +U1GA/tYdxP7lRjIwcJXm56OPhDKysd1NBspKoeQ8tFkPc6vRk2T4y/8gLBd9 +ivr7UsbLZPjZqOeVg449NP5s4HMyTEh+dsgm8tXUV5l2PhlG73uYZaH3O2+b +6m0kw+ApEp1MtHnU1K4syhTo7suEDDSfUp7xG6YUuKN3SDyd2BetrvLsh1Og +7vWtY2lEf5572Wl3PAWuWJzan4qW4xMZq5VLgbwvL2lT0K995rJWNVMg2cme +NJk4z26PL6dMUyB8buVXItpS8GBvpkMK+HjHTiUQeR3lrPrFKwWc15nG4tE3 +D9+yEI1IgbPhlS/i0A6F9hSxqSlgsFOyOxZ9cGRa/V1RCqgm97TGEOdXauAU +rkoBmb2mN6KJ/XGdPTmuJQWO5/8ojULTq5QmfepKgSMHArMi0XmPyg5Iv0gB +1qu74iLQLCdTT+WNpQAtb1FgODrs2MuN5ekUIG3guxiGTgv5qGK4lgKr4ves +Q4n5z2Q/2LwzFWbbtIxC0EH8GynMDKnwGT6oBaN7A38kBHGlwusnrjJBRL1Z +DBk/C6ZCn/Y/oUB0HGWNkJpMKnQMpx4KIPb7fcu3Deqp0GTKweyP3qB9T8Nm +nArXP9bv8kO7Qe5QrF0qFNsr/PNBq25uHFz2SIXM6cFFbyKvrSI2bcJSIdbD ++psX+sSnbMfh5FQI+r341hMdcr7KTLkwFdxCIp96oHdqHn/bWpkKdqT0D9zR +UzKlHwWaU8E0ofyWG1rCysH96sNU0KYVq7yIrpFaT9g/mAqKOY8KXdH+bc/5 +cj+kggSbUeoFNKm0iRHddCrwXf4W4ULsHx+qnamrqcDJ7evjjHZNs5Sl3pkG +DLU7z59Hj+19tJ5EnwaUonkWTsQ+XWiS3c2VBv9aufUcie8Lu0aWLpgGP+Va +lRzQIsG0+gwyaTDxSE3Cnti3FI4HC9XT4L3GCK8dmrLmsC+XcRoMDp4/YIvu +13ugVW2XBt1n1uls0CkajRVinmlw930imTWRV9pe4Q/C0qDOhm3Nisgj7+D3 +2ilpUD5ZM3MWvSajeud9YRrkXZT5ZEnkU7w2k+v1NEhefjpsgV68RLn0rzkN +IgItn5ij/x78pZPZlQY+W7N3zYh9dTSVh/tFGjjHhtaZohWYtSPbx9LAipr2 +igmxfx/XmRjNpIFBZmmOMZGvjkI35tbSQI1FOOEM0Z+ZmsEJ5OkgU/Ig2AjN +IR/ec4QxHY4fPu1uSDzfE430roPpcLT6s60B+vLksWEb4XRgFfY01kfTdOSn +k8qlA20LieZpIk97WXoqNNNhh0yWnB6acZI7QN00HVY7D4nooovMlMvnHNJh +VrXpiA4xXw8XlHO80+Hzs1P7tNHjHNXnZCPT4bXBK2ottOSrxZ/f09Khf8R+ +SwNtXa62kVGcDh1Wv5bU0UY1QsFyNenQ9C12Qo2ov+sxz5nWdKhyYR5VJc7f +2/Sh6HE6FC9WPldBT/87c1/rZTpk+kk+VCbmqzqDfvNzOsT97Wk+hfbqnXjf +OJ8OQVGmVUpEP7S83+f0Nx3cKacuKRLzy7vce4AqA+zSAtMBfVGmceY1SwaY +MlJFKxDzZVMUkX40A3SKivzk0X0XxeI1xTJAkYvfRQ69K5Nki1wxAyQq752V +JV43TZrs1s0AfgFtfRn0iwZDiLHMAM5bH5SlCY+NU6q4ZACj1EWpk8S8xT8E +ioAMoOz4xy9F7Ita78n+2Az4dyqNUxJtXxv5Nz07A5b6OBgk0Ovy3uHGVzJg +Qq+B/ASaJ+iFO0dDBrx/rfBHDH188ODzyfYMeGHxYk4UPU+1mHerPwO6v1h/ +ESHqc6b7WdhIBtx1+vnqOPq7KI+b9kQG1M1F9gqjZSKvBe1fyYByb/p2IXTW +fbtAP5JMyF8vbxAk8tSM2uUcZSYkh4tdFUAvJ6fv0diTCRE7u/P40V3rLc5i +zJngm2yUxIf28FEO4DiQCc57v4fyEvn/Z1ua6kgmWOX7evIQ9WhtbPzNlwmG +B8gdjqGdyA58GBfJBLWreabcRJ467GobksoEWd5j2kfR71LktDoVMuF4Q6vC +EfSgaUR6g2omHD2hLnaYqOergrgynUxguzfCfYjINwnd4xlGmUCr6Mx2EF36 +1Skl0iITdvSs03AR+eNcV+Rtmwlr2kkknMT9x06YOzpnwuww268DRP043z8z +88iEL6Y3frCjM7UNF3X8M+H1R5kP+9H6WiyPlcIyod/+2SAbejN+QVMqNhMe +TFs+YkULFFVECqVkQpPH3O19xDyqbJ0/mp0JVb9Da1jQt/x7t9mLMqEkhLaU +Ga2j3afOdCUTMknLMpmIfqd8CrRVmRCXIBzLSMwLR/4PioZMCKLtDGBAF/Cu +KpDezgT3nNOu9ER/LzWr/mvPBHu2L+f2ohkuFP1de5QJppc9DemI+bvlafOr +PxN0uEnV9hDvp9vpuzSUCUq1WdK0xPz+4ZBcHMkECdHDQjTofJqk6/OfMoH/ +TtPB3eh4xr1P5iYygUtemYma+Lwd4Vlzc5nA2P2KkgqdsVa6e34lE3ZpOvyl +RNPJy4ovbGTCv8FfCxToJrVDu36SZsHSmbhxcvRkE3vy8q4smHzP/HYn2ldr +9e5vuix4b3O9nwztQxKW+4clC15MSnbsIO53OeTAFkcWPL7Y20iKFjvfqU/G +nQV3l02vkaC3hxhFqQSzoD5wqmDbGc/3TLWDTjwLyrcCU7bQzuyHf7PIZEF+ +LFXEJpr2lt87TqUsSKG+5P0P7V1Je55HIwsiMvmd/qJrZO9cETmdBb4s7eYb +6MAvECdjkgUuJdq6f9C6/H5MqlZZYHV4THEdzTN6VE/fIQsMqy+eWEOnvT0g +dtY1C9SEN3lWifdLCDx09s4C2ZY09t9oLTHOdb+gLBCR4aT7hW4TezwaE5kF +Rx827FhBP3oy65CdkAVsarC6hC6psC8qT8+CPc9fTP9EV9Rv+N/Ky4IdhjYf +F9H6CxGbXSVZsDbyc2gBzV30SPBVRRbMWUU9nkdfoc7eOXEjC758o787hz7e +1xG7disL3rhcrZ1Fc0Qy11O3ZUH/otjlGXQClUsY58MseODXnT2N1nNI/C3W +mwXNf43ip9CUbcrMGoNZUBX1PegHesHMdczqTRaUUPq5TaJHO15o+Y5lQVYa +ue0Emj9ZySblWxbEMeaf+U48X2LWgYqZLAguOqbxDb1clxnRvpQF7lx3ZMfR +Hgx7k16vZ4F9pfrxr2j1zS8nF7azwEzg3eEv6KHR1+m7KLNB55Yzy2d0mVxH +8pE92aAk9YfqE9p/3FUQmLNBsiNpcwyt2NjkY3kgG/iV9y99QG812dsEHskG +rv4b39+jO7gMlvP4s4HxtOy7UeL5jXT5WkSzYdebZ8/eoa1e8ZO/OpkNmxZn +O0fQx3Tuxy1DNix9mWt6i67Mfn2DQT0bJp3Crr8hzl+h5iuulw0f5mgvvUZ/ +vDrx9YxxNrzwLkt7hSb5GLvifzYbHq8LR71Elz5Zqy6yz4a2cPytAXrfOv2O +jgvZUL9T33kI7SZVtfXFKxuuJn+xfIEWdE8pIw/Khvy9XqcH0Y4N2V/5I7Mh +JZ9UeQB9WTSrVz8hGyIPZEs+R782s9QPSM8G36uH+Z+hreuehJTlZYMLbzPH +U/SfD9c0e0qy4VyDMn0/+saDgbaFimwwPPF6Zx/6oSHz83212aB+z2G9B93X +oR+p1JQNsoq/Z5+gY3SM37q2ZYNIT9znx+j33usD+Q+zgVuH5VU34Wd05x/1 +ZgPby+s9j4j5YvMqXxjMhj1mUve6iPlQXQ5lf5sNZJ966x8Sn2ft8kfjYzas +2ZuVd6J3XK5jCfieDXPTU7kP0Eftsl9XzmbDF4+gxA40qfCS2JvlbHjzmyr0 +Prq44bIo+UY2PA255NGOHmz1HZIgzYEHpAL299A6iup053flQHNCu0kbOtt1 +Yb6QLgeqaXW07qInIpQuPmPJgZKcMfk7RP/N7kve4siBLDY30Va01ylNdTHu +HIi/vHn0Nrpfsb7CSTAHgrnTWVvQtn3sl4vFc8CjlpOmGT379aL0sEwO2Ive +3L6FvnU/0JvyVA6Y3YGVRnR+wz4tBc0c0JUfmryJJj9x+KGffg4oddu8b0D/ +XvR8XW+aA5KaSwP16LHasfjJczkg8CKqq46op6nCCJdTDnAZM9yuRT+m8nhi +7pYDTB+uVt8g6rOtbpDrmwO7bMVLaoh5uXQ1+EVIDmxOdmdUE/PhZKa0OyYH +li+eialCT9ErV6on58Dk8nf/6+glH7nrsVk58CHQ70IlkZ9JnCqPCnNgaIv8 +3DW0nG93OMmVHHgcm29QQdQjnNwEqnKgjZpH9SpRP1b8Vw05UJ9552Q5OuXt +09EHt3PgKouG4BV0lR9Z/Pb9HCgoecd1mfj+EeVBxcc5kHLYhbGMmHfy803R +z3IgsvoPRSmaSVhD7MnLHPATTt4oRhdVPtLd9T4HXFr2L1xCX/xxa6fO1xw4 +J1P7tYioR+6SSeZUDhg+lH1TiP7na6XyZjEH1NWe9xUQ9+83/Hz/Wg7IPT97 +Px/NdYPvp81WDogYzt/MI+Zf51RDFXkucL8Lq8gl8vL+GsUiTS7sP7enIId4 +vohjG5JMubDne1lyNpF/SrUJEey5QHbheHgW0U9v7G72Hc6F9cVOr0x0sji/ +FwN/Lsz56TtmEOcJ/PT8rGgufP37xSwdHTxz9lHVyVx4E+Wlk0bk73K0wQrk +wlPKHYqpRD0OCgeDei50pmWLp6BtBOXkUvVyoZnxCE8y2nUpuWDUOBeqi5r3 +JxH96L2ewmOVC6VcKnsS0Z0jdmz+DrmQVfmaNIHIc89qeOKaC/ECjr/j0N03 +q7aYfHIh+NbvqVh0rCiYOAbngodU/FgM+vttXbXWqFxw6GAZiibm9UjTIGVS +LpgpV3VHoakTtBfNM3NBt1/qTiSR/4x/quoKcuHU6b4bEcQ+OnhpfbssFyTf +mJWFo8WE93w1up4LApbTWWHoxi8nbKvrc4Hra1BcKFGfHQuBmy25wHSeOiiE +yIN4Nl6j+7lANX/pYjC6K7LAvaY7Fza9BWyC0JoiGjokz3Jheb3dKJB43rXd +XaYvc+FHuI56AJF/Nt3Pb47mwoedH2X80eFspz12fc2FoWQ3YT/iftYzqm2n +cuHJ3q1DvmjGP06B7Yu50JafzuxD5J/c7U/Ma7nQcICLypt4/+6zHzy3cuHq +1Zv/PJ2JP58i5/6cPA8KeBV/eqCpeKULeGnzILVh6Js72vKxmEkcUx5EnrAd +cUM3Je6uHWfPA797S08vol+EVOYpHsmDC4rRD1zRN5+OM1/mz4NzPQxNF9Cp +fZUCW6J5YKRTUemCnl4YemslnQfqL8WLnNFZH9X2PVDMAzmzx6nniTy0mJnj +1MgD0U9nIp2I/WhYZhp5Og+4HSZ8HNE/RlRNx03yYP+M33kHNPPRx7Mq5/KA +zpPC0p6oR/4/pmrHPCBbzdezQ8vefvlyt1serIfwnLJFZwryc3v65sEc6V0J +G/T5F5O734TkwdcEDT5rNGfBXLxMTB68pR09cA5dWMGVfyU5D57muOy1QqvK +OkhTZudBJ9sG2Vk077MyT/eiPGi5nLxmgf4y2Sz79koeVHOzz5oT/aGReEmh +Og9Ka2s/maHjh0nSqm7mQbao3EtToj+SdjDS38mD+DvPn5gQ/VvqLRjyIA9C +5K3ajNECDpKjE0/ywKN7vu4MkTdm4mwGA3ngoBl+xQgdxXl67v7rPDB/sSfX +EF0S7mHIN5YHusaXEwzQvS7B2vnf8uDUh+Mh+sT3rxmPkM3mgZTtQ/fTaFOn +qd9ey3kg8EPfTo+YJ3bWqi9/8uCg21djXbTdtWczp0nzgWnFS1OHuL/m6Yed +u/KBKmiHvDZaitucT2RvPmxtZYtooS02SI6W78uH5dgjRzXRV8/2NTJw5cMP +6pZ9GujrJfkvYo/lw1imym51tJGYUeSaUD4MsbzZUkVv3J94dEEiH56UOC6r +oM3JJAs+yeVD2+HVCWUif1cENg1V8qGhOn70FPF5FTd/9WrnQ4XwvgEldK1+ +TZi8UT4UtFQ9VCT60Zq0sNkiH1JlTrYAcT6rK8r8dvkQ9bCvSsGZ+P8z3SPL +XfLBT828WB69i9NEj80rHy48n06XQ2t3KdRnBeaDtWFwtCyRZ4wMpdSR+WD0 +jtpfBp3g0sYRm5APGueKXaTRTgqcx7fS80Huu4DVSSIfJfmHA/PzQfTCfX0p +9DpHL9mv0nw49lNHRZL4/OlPPR6V+bDf/6OUBPrYbcv9c3X5QPfPTeAEOq+H +Z8u5JR92Rm9xiqNpUoS8J9vzYZ0yg0EMHVBo6u/QnQ/zaVwUomjD+kzqb0/z +4Stj45/j6ObGbmG7l/nwtkhxXpi4z6YP419H8+EZ1/AXIWKeZrqP2X3Nh85K +29eCRN61n98Yn8qHFoHlXgH0y4YmK4ef+VBzK7qdH30/IFN/ci0fSqUYb/Kh +G5bnXjpv50N2R8VVXjQrd8v3WYoCiFc+kc+DLpd/GeexpwBC+h8nHUPL54q3 +rjAXgOdp4zBuwjce+wVyFIDDmwnPo+gL/N6PN48WgLmlv8MRYr6nea/GCBaA +3lcKs8No8e9v9lCfKIBT5wu0DxH7U9mJOku2AKTmeeAgWtKsN5dVuQAEfe6K +caHnSyfrr2gVwME/Gsc4iedtu2XMZ1gAzBGjbBxEXoSwpDaZFwAV+QXaA8T3 +j+0wkLMtgK3kDRJ2Iv9IHK/1OBfAyt6UX2zoDAmBeAPPAviRzz7Fiq75pbw6 +FlAAYwfqPuxDj30p/eUcUQDDV+VesKC3enmifscXwBPegUfMRH9eHSqOTi+A +ew1WrUxok9wElb35BdBwYqGGkdiHs7IhZaUFUHEvvJQB7XDoIwhVFkChIl0W +PXrmvUX2/boCSO25HLsXvfjjmrdOSwFE6YgE0qF7lGu/jLUXgP/Lh657iH3P +fG7MrbsALpgZWNOiJxvqHbefFgB7et27t+f/7+8DMp/fRUHn9n9/34+eT9Ef +WrSKXm3jscndcOoP3zwNOuvSfGfxBDtYf/pqSIOf9yY9OTTrZQEYOXir7UZz +WE8cPPq+ADRmdshQE/vud4Phna8FIO+ZI0SFHj3zjl57ugBEV48c2oW+fcPw +3OefBXAstIWJEr1qQHfSdx3Pt0N1FwW6dXi7jIqkEOgS3/zdia74yJxzmbIQ +du5xWiRDc5koM0nSFcKfnNXxHUSeawQfHmAphHm2hLekRH8u1HU4cBbC+OV9 +T0nQKo0DE/+4C+Etd3XHNj5/66EXl/KECuFZ7clbW+jlndfGhCUK4aFo/7VN +tIsm1PbJFULLHfPCf2hJmlwKe5VCqJGfSfmLVijMW9jULoSy7uCIDbSFk5R9 +kVEhZGvu9vmDDuRwc5CwLISEF8VO6+jH2fzLw3aFEGIsaLGGft5vT+NxoRA8 +P9zXXUVX/6JppvEuBEdbXaXf6EO++ydvBBWC+Y+PJ36h349EVmpGFYKemzvv +Ctr/04mlqcRCUF7ZYl9Gd/sJ9CdmFoJUUAbdEvrbPXMhvsJCENzmIvtJ/PxG +C8fTy4VwMK5xdQH9qFHskmtVITDvVpqZR39P6rtKe7MQqLOGP86hf9lelGps +LYQtFrvhWbSUKpOZ0YNCWClZfjyD1vBs3bn2pBCmDsfcnUZr6WvKFw8Uwlg1 +Y90Uem9MN6nim0IYFr52+Qdab4TdcGKsEHpaTuRMovt1NIVTvhfCPZkn8RPo +BCblfNG5Qrj50Dj4O3ozkyT53UohVKhNun1DK5oEUUX+/S9K7isQyzdu4LiR +1UCyUim7QSUkqueXjIyypZRVKHtkRPbee+/x4HnMEClCZVUSESpKJJJky+z1 ++x+8Z+/Be/g9u+/7+l3XfXLfn2RI7nA2HtnqM0fzWQ9Tp0CEJp3Ot63u3uZe +3LU9BXwGkhSHt/ok89JbV5YUcDY4fO7rVo+QdrjzcaWA5ffaE1+2eo9BdfVb +nhQwtFDiG8LnMfvL7f6RFNCe+cg+uNXL10hv+ERTQNHZYvvnrV6pGy18dyYF +zq+vbnzcal/nJCb3CylwyjdsdmCrVzmeUR1RTAFB+v1j/VtdclvVp08t5X/3 +G6WGfJi/bsr/e79Jej0d3rHVYJOe2/GLH4RpVHq3b/XtZc21gO/H4FDY5zaG +rR4WJ/11txEFtt1WdfRbbfnyu3z8pDhsT1ovo9tqm4m/E8FmZ2Bzf0Qu7VaH +tXiM6Y2chfncA4k0W804tAO2GwCMHy4L2bbVO0Iy3N9YycBgGcGD2hq/J+oO +8z4qC93i7+yotnpv3pQq/4QctDw1NKHc6gf3n7bV5CvA0wszuhRbfT+Y5q/k +bUUob/VW+We1Ne+Syd8KDylD3uXdsIk+Qvq5QNovKpD8PufUBnouD+rHtdKu +QMS1U4Lr6GuofqOLvqYGPl9e7F1Dz4db2nEoVB2cTbR2rW71LeYseQtzDbCY +HKVY2WrfF9Su05c0wdDOcWF5q2m0LjNZCGqB9tK2iaWtbq69yTC0TRsU3RM+ +L2611kvOu5dGteE8leC7ha3euc9EmPxcB04F17yY3+o9Mry6VNlXQZDxUs3c +VptFnf6p6akL++L7ybPoe5zxH029eQ2YuO5mzGy1gtK0wifp67At+2/0n63W +zNdl3b1XD1YEQvynt/rJ9rzLsKwHv4v33v+91aeZq2ZMPtyAEdEiy6mtjhWw +oPStugn9j6UNf231w7gir8QYfXhz/o3m5FbHu1+1yrU1gKaXNxR+brXVZ7X2 +/CuG8EhpSmoCPYqL55S72IyA/M5dZHyrx1g+3n3lbwSZOrt4fqD/wWt7oWHe +CGI/Z7CObbUfr2132S1jCDI+Tv99q+3LlPandRuD+3jD2shWi6rb8vhduAV2 +1mp/vqHX4qH+zaz8FpjOfx0Z3mpyqv5NBe7boOdq1/cVfZZF2jieiNug+o/i +9Re8no76gL9rt0E2IObZ0Fb/VPgj/sbCBCR38FYMbvXrB4w5KR9NQDimkvh5 +q9utQ1/fVjQFHg7Z5E9bHb2zp+LIY1Ngy+gJ+7jVJeLXdH8JmMF2PhOvAbz+ +8pA6UrwZ/CMtOPSjjyPW/8WY+g7MHw8w60Nv4/bD5+wOd2DiEZveB7z/d/4m +bcN3YFC64ErvVvcuvmy7p3YXuptOy/TgesDQr30Nd6FVoU38Pfox1Be6G4XN +4WmH7uFu9GsCbtw3TDOHcs2JfV3oxyxnfltlsADiwH2md1vN4OK6K/a+BSQb +MFB3bvUNJr11/nELiPiestSx1am15WVVOpbgY3F08s1W77r2k5/QbAnOM0+H +0Ntja3IybD5lBZbOKt2v0M95+NJAIccKDNc/N7dv9bYPMnzNTNag7WtV27bV +N9sNSs97WoMS/UZxqxX+rxCyUTllDecjI7JacH34TrDy37CBU6zccc1bfdi4 +cTb6lQ0IpZYFvtxqeU5S4oqkLew7BG4vtnrAX5faoMAWmAveWT9Hv2X8wH7D +7XawTdjIGL0/huBvXBEydrBSMaON3p8ZUWSz9r4dTEv6KDbg/EpItY6U28HI +s93n0P+rPXr23vZxO+iXzT2B/l+5uQXNSW576Hh1ig/9v7XODXctHXtoUnvJ +jv7fexHhT47h9lD9QWs7+n8H1YUPxL+0B/KN7xvo/XktiStUrNpD5jfHWfT+ +VAbcdTpEHSDuDs0Yen+xedIqY3cdIOh3wgB6fzwbRKH1LAdwvyfYgd6fn/fc +JHO/A9iv1DSi97et6XoCH+M9MPW6VIXeX4XcbiFx+XugRzNQgN6ffqRa9kX3 +e6AadjcVvb+8PSqUalX3QHb3SgR6fz9kpJWvT96DM0khPuj9aXtoPTDmcQTh +A1xO6P39PPQ2/s41R+DJK7qL3p+D51CiZZQjsB85exO9v4gvT32sWx1he/kb +NfT+ir3TdKw3HOGf+E1ZEs5DWjWLpbgTLDydOo3+3y89eGJm6QQTFzyOov93 +9LuNklGuEwy17uJG/4+s4fZS96MTdF/O3I3+38HqJKErzM7Q+v44Dfp//Bwb +ThcuOUPdtca/6P2JuwyXiXo6Q/kXtSn0/h6+cXl/qNoZiCbDX9H7G6ZcGd41 +5Qwpk3Y96P0tb6R8+svrAhF2lG3o/e1Oi278dt0FfJdinqL3d7aYJbo92gWc +3XnL0PuzW9a5XNrmApZUVTno/WVD9ELUpgsYBcsmoPdXIr0cbCdxH7QZe4PR ++7NJbGJQs7oPSvEm7uj9PV7mcTmWdx8IXIu26P1pr6p303y6D6eyA26j98ck +6sf5hdkVhATZddH7KxOYvvzokivsKylQRu9v41qbTbCnKzCfkiTE4vlyUc5T +r9oVaGrbRNH/G9ZIfXB0yhVWzl8TQP/v0NSm2V9eN5h+OcGJ/p+lTeGF5utu +MKrkuhP9v3LhV/SR0W7Q/46BAv2/n/YpjTptbtChkzqP3p+A9xXTfZtu8Pzz +0XH0/nyjuVa/iD+AauO6T+j9neqR8My2fABF4yqd6P152fbOGuY+gEzrwefo +/bX7HdM58PEBxM1bVaP3FyJgRxpgcodg1w0Sen83jPt/xSi4g/u/iHT0/uak +Ew8qebiDfQB3NHp/QqXfZDer3MFsR7kfen9z5OlrFZPuoBcDLuj9OXDNGdzi +8QA1ji4L9P5+fTl0dfc1D5DNMDJA76+js5zQEOkBZ/hmNdD7K6vt4TRv8QAR +so+8x1br3nr+ffe6B/CcYJFC/68uuDS39pQnsFfnCqP/RzXcoalv7gk7zood +Qv/Pb4/24r8sT/jX9HIP+n/vWlxCs/s8YUFBmw79v+JoKxbY5QUTHd9X0ftj +p7kT8VnWC4Y0nabR+1MpTltzcvOC9wM0I+j9NVOBPmOFF7QaJH5A7++0b0Al +cdwL6r4LvkLvr/NNt8d+Jm94aPG4Hr0/rl6zdNOj3kCcufQQvT+g319dKu8N +Kc4Deej98cXuaF8w8obI9btJ6P2pJ6l9kHb3Bl/flVD0/pbOUA15JXmDC32o +J3p/v+LOf31Z6Q2WkVwO6P2VNe0bpO30BiPWYlP0/sx6anoUf3qDTurZ6+j9 +/fh8uDVkmw8oHeq4jN5f0VhY1auDPkAouHnhzlZ/XF5Joz/rA2LCv8XQ/1Ni +i/BSuOoDQpUeQuj/eZwzNPCz94H9Zxj3of9HuhN6pjHcB5gbMhnR/ysOP8y4 +WugDNHInqND/48rQ+ir20gdWXzUuovcnHShQYvXFB6bV1H+i90clWutIXPGB +0Q/Dg+j9HfQVkPrM6gsDN+y70PubvR60wnzSFzq+UTaj9xcVv1wtr+ILz+/E +Pkbvr50h2sbVzBeqf/MWo/d3KMKCr8THF4ruVWWi99f3O7t3KN0XslZkY9H7 ++7502Zex1hfivHoD0PtbtwkWJvT4QjCNqSt6f5Gc1j1W077gEbZohd5fSwWr +SyqDH9jvDjRC7y9yIZmjjd8PzJLYtdH7i0rcUz0HfnDjQOElza0WU8pUO3DD +D9TyJM+i/5f7RHNcwdkP5I60H0f/71W0srttjB+cKb/Gi/7f1XtZjEklfiAi +8ZMN/b+nlJaZz9r8gLfOlQH9P5GB1mOjI37ALrN9A72/4siOGrpNP9jRljqD +3t9ybQIc2+sPFFeOfUfvL+PH2dYr4v6w8L6uH72/mdwBZVs1f/h57fIb9P4Y +nQLeRln4w9CXwQb0/oa+3lAtD/CH9ybWlej97bnl9PZttj+0TW7ko/d33PmX +8q86f6izi0xB7889tr+Vrt8fHi5xR6D3t/eIlgzfnD/ku5d7o/c3W+jy9Pyu +AEihuuCI3l/jfYNTuocDIDK46w56f7speci2sgHgy2h8A70/hdwB7iCDAHCJ +n1VF7y/mSWZchmsAWHH5Xjy31atZ4XRV8QFglM1yGv2/m0V1bm3lAaAjmHcE +/T/LI/K/P70OAOUSsQNS/73PLxr+HgsAwqlmZvT/wqs6ujYpAkGsVnsb+n9n +VTZkmPYHghBhbBm9v26735XckoGwv9npF3p/YFTDJ6IZCLuVab+i96euYR8v +bR0INF2J79H7K3WRpLkUHAirOkKt6P0NUvE6a+YFwp/Pj5+g9yfLrTx+syEQ +Ro0VS9H7E5htv2b2MRAGxgey0fsrynz82mYhEN5am8ej99cvf+K8M1MQPJ9f +CULvL5lS6aH70SCocQ19gN5f7cQBfl/5ICj6x2WL3t+vXW3JgUZBkBVQfAu9 +v1YvfcbQB0EQv+PcVfT+2hRX/cMTgyA4pkNJaKs1bWvWIyqCwIND/zz6fzWL +RU6RHUHgkPH7JPp/Qp9m/kSMB4EZnyc/+n8t7DmW4VTBcIPMyIn+X3pO70TI +gWBQO5G1A/2/XCvincAzwSBXfeIfen/1pkcmfLSCQeps0xx6f0+d3S3cbYJB +5Ln6D/T+DAJqpp1CgoH30reP6P3p3ptxtCEGA8db+7fo/Slwy26YNgbDDi2q +5+j9PTNsC7r5KRgoPsY+Qu9PZlciq+ZiMCwY8JHQ+1P625anwBwCP79XpaH3 +d3HaSUL6WAh8sZCLQu8vorb2lbBCCLyf6fVF7893P9mI2zgE2pxNndH7s/1s +uMroHgL164vm6P2lVS4mbiaGwEPfQH30/hRu+J3+XREC+fQcGuj9kUN5Pn7q +CIHUyEK53bjfZic828ZDIJL1zBn0/24R5oSqqELBL7X9GPp/rHuv9mYcCAWX +Q9cPov93RkjEP+hMKFgV/GRB/2+RIVrSTisUjIXdaNH/czRImta1CQWdyu2r +6P0NPrtBJoSEgvKZtN/o/ek8W7zDTwwFaDj2Db2/HdMeRxkaQ0FMrr4Xvb9j +Qztnpz6GwuHXl9vR+xvfU1f3biEU9qsP1aH358SRE1rBFAa7+6zL0fvbbd6v +H3s0DGhvbuai97cZ4CzhIB8Gq98iE9H7CxhP3K1hFAZ/7hwMRe9vhUpj7viD +MPj+u9wDvb8apWf9OxLDYODeBXv0/niOLDwffxgGb1e6TND7K97OWPHiTRg8 +9zK+ht5ftxZffvqPMKihmVNB7++A2eVMJ8pwKA7zhTX0VLJy0q/sD4es3XvE +0P+LuXY2m18yHOKT8gTR/2ObECWvaoRDyAFxLvT/XLIyHr+zCgePvOZd6P9F +dSS+yQsKB4cjOpTo/116IjHmnBsOd8rHFtD7Sy2O3ab0LBxuSDhPoPeXMtZy +hGsgHNTraAfR+7PIH9eenAsHOZmkd+j9KQowBz7ZFQFSbUIv0fsLSNN9FnQ4 +Ao5fqa1B7y9Uum9VWzYCeHsUi9D7GzhJJPAYRADH9Y8Z6P3tJH8MnrofATu/ +mseg9/fpVeTHmrgIoDBd9Ufvj6Xm80nvsghYnAy9j95fTvhApOKrCPhpt88K +vb+ouxnzTN8j4MtSsSF6f9TGFw37NiOgx/2cFnp/siFfu9P2RkIb1VuFH+jh +zISrGIlHQn2wvjT6fwO5tzr41CKhgnFaBP2/a4X3tX+YR0J+vCcP+n/rlOOj +hf6RkMrFxIb+X1lli9vdrEiIzM6iR//Ps1p47+GnkeAneHIdvb8sKvHGH72R +cL+k6Q96fxaR81bEP5FgdUpjFL0/QyU/XuPtUWBc+60PvT/+Q2tf9wtEwVWC +w2v0/kLWHPL7IQqUm6ka0PsLaKK6F6MXBaAcV4Hen7T6C0VlpygQ7+LLR+9v +MeiVIFV0FBy++igZvb/jMid3PSmKggODcuHo/QVrMK7btETB7lsfvND76w99 +MM83HAW0E6b30PvbWxc1378aBWvWS2bo/cFVUc+W7dHwZz5QD72/KhbaIG7O +aPjuyqGK3t+JBQ1WF4Fo+PivUKYL5+3TIcF3p6LhbcAZCfT/hnI86wUvRMOL +Ha8Oo/+3X8il3+NKNNTEXN+P/p+XLLtLr140FHNMMqH/19l5J+3o3WjIznCj +Rv9vKtNFztspGuL5diyj99cfpOHwwTcaQshpk+j9kW9QChyNjgbPE8Jf0PtL +mYy96ZkRDQ7V9d3o/a1Qsex9XxQNd85eaUHvT8o+VE+gNhpuPB+qRe/vxz86 +nvst0aB+yaYEvb8Sl0Sr1++jQf7tZhZ6fzpZMuf3D0eDlFZUHHp/bWfZ4qx/ +R8PxjweD0PvbyXzApmE1GvgMH7qh96c0ZNLJSB8DHGMXbND7a7v1t8qALQZ2 +WnYbo/f3S3+Uu4w3BihnjXXQ+yOEijJtnIiBRec5xTqcj5xpf5XzMTC57nsO +/T+pG4LeKcox8MV3z0n0/1Ksv1OM68ZADz2RD/2/lHBxOnHTGGiPFOdA/8/K +gjfO2yEG6llbtqP/d+fxE2KHVwxUpOpsovdHw0t7jjMiBgoO/ZhF72/k6p7r +t1NjILXAeQy9vyaK32ulhTEQJUz3Eb2/kPZM/r+PYsCvMqkDvT8FHfEemRcx +cP/M4Sb0/oQvP6ELexcD1g21Vej9xalLvegZjAFjOaVC9P5WqZuo9k/GwNXX +H1PR+6Olvf7q9nIMqKhbRKL3F7TAxF68LRagb9UHvT97y5mJ2d2xIH4zzAm9 +v9FttBfPHIyFwyP7zNH7471+k8dTOBYO3C25id5f1uZm4EupWGCZPqeO3t98 +yIoD/aVYoHV8K5u/1V8jdMcua8fC2oq+JPp/Wmn8X6KNY2HGa/oo+n+mMlb6 +vTax8J3Gixv9v+u7pG9zuMfCxzAmFvT/MkNT/lwPiYXO3dk06P91XkykSk+M +hRdJJ1fQ+3v47Gz6UF4sPD7wfAq9P0mfhHruilgoztMYRu8vYHf5bcOGWMg+ +MtKD3t/ETFxM1ptYSCh3aEPvbzBLTeHrQCyESFDXofe3M+O3D/ePWPCsiytD +70/T1FVOfz4W7snw56L3V31vMyKNIg7utD1KQO/PRibY4OOuOLh5RT4Evb8f +8rw17PviQL3ngzt6f4+W+uO1DseB/HUzO/T+8toerUZJxIH016Xb6P2VHG0e +f3MxDo6bBumi97cWtfsmnXoc8P3iUEHvb9qUpHtRPw447UmEKPR59sZ/creI +g53LZ06h/yfJOvy9xiUOKD1eCaD/p9CU5DzjHweLVHp70f+z8m+NOhIbB5PB +kzvR/6NvdTl5KysOvjI+oED/r2Si5lpqSRz0xO9YQO+PWzWK/v2TOGjnSh9H +7+/m1Z0XGNri4Fm28Gf0/hIMpf5BbxxUCD7rRO9PrJVHwflbHBSUXHmB3l/3 +9y7Wkuk4SDv1pRq9PwVWTctva3EQVWtDRu8vo/ihEjtDPPgT/qWj98dJ8bdc +mT0e7jdHRaP3J24qlunJFw/Wyof80fvLFbZhrTwZD7e6Hrqg96deUMUydj4e +rl6VsUTv79YhphQOlXhQGew2QO9PcjysUOlaPFy4dUsTvb+78hKEB6bxID4x +J++21a1+7PolDvFwxMZPCv0/o5/S24a84uHAwh4R9P886krFdkXEA4sb8RD6 +f7nn3X6dS40HOgoJVvT/IiuKj1kVxsNaQAsd+n/ndK8spD6Kh5kdV9fQ+xuz +spB/9TwexmJ+TKP3t3mc8eByZzx85HAZQe9PakDWh38wHjoz6PrQ+wvOYbfS ++BkPL/iSX6H3x/ki5qvHUjw8Jh9+ht6frm3TZzJ1ApScePIQvb+ZwVKjD8wJ +kF2tRETvr/OcqQ0FdwIknP2UhN7fgcbZbceOJUDoc4sw9P4UYg34dc4kgOel +NU/0/pZGajo85RPg3tswB/T+OAdpNgs1E+Cu1n4z9P4+FVyt7TJMgJsfS66j +91dk8Wjtr1UCaBiev4Len/SVo+2H3BJAfuztBZOtfuDw8oBiUAJIWxqIo/+X +vx66bhOfACdmp4XQ/zuxM9wkIScB+Fy89qH/19/YrVNXlgCcG0xM6P+li9/p +Gq5LgF1+2VTo/zUH6PbSvEoASgbRJfT++jpKjY/2JcBS5POf6P3l8jjdVx1N +gElWzSH0/s6m1nI6zCTA19SRLvT+VpT9LiZsJEDvoXvN6P25KwwvPt6eCO0F +1LXo/RVlfDr1iSMRngnHF6P399DQY2WNPxEqK/mz0PujTO1ROnAqEQrOVMei +96d3bYKPAImQ1iAfiN5fPbE1yOByIkTJ9bmi9+cc5OrkeT0R/F+bWaP3x0W3 +83eGWSK4qi8bofcXdDhkuv5eIlj3BWmj9zc2veb62TsRbt3kVFTbauIN26iV +iETQHSGdRf/Py2b6OEdaIqjclTqB/p+HgP81cVIiXJh+xYv+3x/3szs1qhNB +wlGPHf0/O9uDytYvEuHI6iQD+n+Ti9IsIe8Sgdv7wQZ6f1F0KSbEwURgod05 +i97fSIqcTOPPRKALT/+O3l9ZtiLp41IirO8WGUDvj4nxYco8dRLMJD17g96f +9fsAtl27k2DsgGojen9eg737BLmT4FPel0r0/i7tJZUQjiVB5xHbAvT+9jlu +b796Jglelv9LQe8vrY/WxkY+CR5LREeg9/f0MCkzQDMJSuoO+aD3V6u+cj3d +MAlyZCoc0fsTEqPJrrRKgoQ2mbvo/U1W9tm1uyZB6JX3N9D7E6u43zEUmARe +PbfU0PsL3LVUOReXBPeuz1+U2uon+df56XKS4O5Xv9Po/83rlB3aV5YEN01Z +j6L/V/53s/B4XRJo/CIeQP/vidnVpzLtSaBgL7Eb/T9Rl0Zd7Q9JIL3csg39 +v5B/ct5mI0lwwuPqX/T+Qntmxe7/SQJ+6vFf6P2ptnQ5hKwnAWeIy1f0/uTy +p86lMiTDLib6HvT+RC+qxxaxJwNVQnIren8nzGnvPeVLhiWuI0/R+ysd2/v1 +1clk+JX9pBS9P1ufhL6B88nwVVA5B72/2G3+18eVk6G35FM8en/BEjN3FnWT +4dUpy2D0/vZ+/E5JbZoMz2rXHqD3Z1ZpJcTskAyVhHBb9P4mfGMH9nslQ2Hz +/tvo/dlsN2Y7Ep4MacqlV9H746UbHRJPSYborvPK/FvtL8F36kJBMvhf7TyP +/p+9ujCLSlUyuA4aiKL/t383g6dOUzLY3PrDj/4fy4lmJ8O3yXBrwosT/b/z +RndX7n5KBl0b5p3o/w1fo2BwGE+GywvZ/9D7S3qTSHRbSIYLbqLz6P3pm5/u +8KVMAQmKFz/Q+wv89tMrlDEFjgRqfkLv7+W3usaYfSnAvXP0LXp/POyPw5IP +p8Ce2HvP0ftjOPJjNFMiBeg4t1Wj9yf3UqudeDEF1jPiSej9LYRtlypSS4FZ +PoF09P7SD7OdLr+ZAmPk6ij0/vaf92ysMk+BTycU/ND7q7RU//DYOQU6q/uc +0fvb0E50qfNLgZdn71ig9/c3Sa+gIToFap8v66P396+70Ph5RgqUXArWQO/v +XWYw+WVRCuS85ZRn3Gq/ZDrPlscpkKhFPoP+X4ruia+tzSkQ+lFKGP2/3w7b +37Z1p4CX4euD6P81eOVdav+SAo5jenvQ/yvdTaPW/isF7lr+okX/r/6p1Gjb +3xTQn32wit6f2o7L29poUkHDZec0en/HYxUqW1hSQWEj/Rt6f36DYr9fHkyF +s34iH9D7++zEXf1cOBVOMDS0o/d3eIJ5e6NUKvBHqdaj99dYxzZVp5AKe9m+ +lqP3d81F8katVirsSrPNQ++PNdtb95FRKlDxUCSh93fvydpwuXUqLBVEh6L3 +ZyRftVzklgq/hHk80fs70lyRlh+UCsOVFfbo/fk8onybFZ8KvWcumqL3FxBX +GpaSkwqvGt5fQ++verCxP7YsFRrkbl9G72+FQbYirC4VKl/Pw9+tzouT4fRv +T4VCdX8x9P8sZlp2un9IhbQ+ViH0/2wSPkXeG0mF6Jv5XOj/nVkKybT4kwoB +IxKM6P899v9y1ng9FVzvtlKi/6dYMWKmy5AGNtNXF9H7K5vMPniFPQ1uO45P +oPfHnslndpEvDXRXXQbR++OUdJKWPJkGl73pu9D7qzmYnX7sfBrI0Ka8RO/P +oKks/KByGkiEH3mM3t+EV/H2PbppcJTlaRF6f0kvs9lpTdKAO1k5E70/rj/J +D//apcEe7s8x6P1FmWQOTHqkAT3RMgC9P3f/usjB0DRYP7J+H72/vtLV92+T +0mC2PNwKvT9Kgll+AzENfkgcMELv7000PW15RRp8qivVQu/vHsXUQmZDGryT +IVwaxec3xuUQ+SYNXrZ1SqP/55yU5eExkAa1VwyPo//nZOnPYTWWBqU9f3jQ +/0up7gG9uTTIue7Nhv6fYnvS0qV/aZD4lZkB/b8bC5/OSuxMhzDTnHX0/rhC +Cpl496aD1y/RGfT+zvbSOTAKpoOj/YtR9P5+8tDeXj2VDneXNfvR+3tWXzIy +Bumg7zH6Gr2/l79pZrsup4MmtWMDen+u6/si666ng0LItkr0/jqPrzzJN0uH +s0wJ+ej9CbwqdIy6lw4nEwRS0PvTYDzVcN87Hfj31YSj95cOuYnGEemwN0fB +G72/pnSqTaXUdGAU6r+H3t/Ubf0F0cJ0oCq9cwe9v5buete9j9Jh+dRfPfT+ +bvEci6R4ng6/aoNV0ftTC68UHX+bDsOEvRff4nop65u8/ZQOH5rJEuj/JcVK +CFaNp8MrZekj6P9dTZF3SV5Ih4au1/vR/xOJTdL1oMyAqqs3mNH/WyqTaDNm +zIDCwV/U6P9RHjraKr8vA9JvuS+j98fG6qV95HAGRE/s/IXen30twWGnRAYE +2GR8Qe/v9Zl7B//IZIDbgsh79P5UGwVvdqtmgI1bQwt6f0TH24erbmTAbQq1 +J+j9/fIS84+/mwHXAr+WoPdXT5tj6+SUAZd32mWj9ycoUjOp45sBMrEU8ej9 +1bB5LEpEZYAEZ0wQen+23/5FsaVnwNFMngfo/b0vV3y6QMqAg/yVNuj9DWQa +O/ZUZ8Ceoou30Ptbeq3dUPEiA+hP9uig97dPTTg56l0GbFTfVqrd6kq5OUrr +wQyYPbtwDv0/4suHa0o/M+DHc/+T6P9pj9gHCC5lwOdLbPzo/2VXQxYVdSa8 +e5vPgf7fuDq/0hemTGjWOr0D/T+q1iN+T/ZnQu3H1k30/pQE9K7EH8mEUkPd +OfT+ojwaC21OZ0Lu2PgYen9HBm/HKspmQqLl/Y/o/f28rLGLVz0Twmbp36L3 +x/0phnPtZiZ4u6Q0ofeXFH7iUY95JjhuHHmE3h/RUny42DkTzP2eFqL3x+JR +lO7nlwn6DCpp6P2JtqT91IvOBM2oz5Ho/XUqsLSJZmTCJTYrX/T+pKg5JeiL +MuFs2roTen8yqzViX2oy4SRPhDl6f1JHV15UvcwEgcID+uj9zUVNjAR3ZcJe +kTJ19P5eCEbF6Q9lAmMVQS53q70nlnpFJzOBSuqdJPp/AW+PFNIsZ8Jyg+Ex +9P9KO0S3f6TOgim5GW70/3Q/c24WM2fB8GtvFvT/7vye8PU8kAUf1HfTov8n +s1icrH40C1735ayg9/d+1BJ4JbOg4eap3+j9BZFOuczLZkHVyIth9P60Rbdf +aFbPAtJdrV70/iot1lPi9bMgfXq0Db2/TNgTYGKRBTGOjnXo/aWnXKMUd8mC +gNVt5ej9VRn1MVL7Z4Gbd0Iuen9ODxJLu6OzwJZWMBG9v+XO3KGsjCy4HV4T +gt5fL4Emy7ooC66xXPJA7+9Uadus9OMsuJLcb4feX93q/Ae65iyQ4b5rgt5f +Hm28Sm9XFpwm/tVF749YVqGZPZQFR4+GqKD3R/nu6i/LySw4+HAvhOP9XYxg +k1zOAtbTRafQ/5O3L5+goMoG+nppQfT/HF9aMJnRZ8OGzJu96P/R+G1svmbM +hrm2G7vQ/7NPtXp8gi0bflyZokD/z2m+/kz8vmz43OO+gN4fq8u871+ebHh3 +fdcEen/Ju9gSbx7OhuavGZ/R+4vIFHRoOp4NT0yPv0Pv7xWzCAe/RDaU/mp4 +gd6fibJIQNDZbMi1V6tB76/hpHD9pEw2JC1/JaP350E6Xn9FMRvCPOwy0PsL +T5AKeKiaDd7UlDHo/R38rMGxRycbnEJi/NH7K7/h7uB0IxvMmXjvo/e3+vdZ +Yr9xNhgkVFqi98cQv89f6m42aO6TNUTv7/CuVOk0m2y4lNOjid7fb1nC03XH +bDgnZKLgstXiHLuoDR5kw8nSBSn0/3hMd7A1+mSDgFiACPp/B3cQZg4GZwPX +EzYe9P9Gxsjx3pHZwAgFrOj/mXRrUX2LzwbqltP06P9Nki5IyaRlw7Jy2xp6 +f5sKTlI5Odkw1aX7B70/p4AlKkpSNny7OjGC3p/F+VcJRmXZ8GHwfh96f3KX +5mYbH2XD61sMr9H7e+jmzHGwLhsaJ1KeWf43D3q0ns+zocrmaAV6f7ee5DUM +tmUDaeEpEb2/e3ZXL5ztzIZ0N5Vk9P5IJJewlN5siKEYDEPv79DpndnLn7Ih +MNDKC70/mOL01PmWDW47NxzQ+6vJyBWoGs8G29gIM/T+PPeXJTJPZ4MJJ7ce +en9DUhe6rRey4Vpm2RX0/gxb9T+8Xs2GK/wgY7zVX2N25AlR5sDFonfi6P95 +WapK+9PlwOmTRofR/yvjOZY2vCsHjtXM7EP/T8C3oOUcaw4cPOfDhP7fxPWW +J8lcOcD6Yjc1+n8KTuEuC4dygEExdwm9v89ZFP/UhHJg4+2pSfT+womC6sUi +OTCn9XIIvb/QK9SWtOI5MP5Rqxu9P22TNDVj6Rz4bPi9Gb2/qor5jboLOdA1 +5liL3t+zDWZn9ks50GxJU4Le33umv4/truTAk9mELPT+cspKX77WyoEyF8E4 +9P4Esk+n8OvlQO5GTSB6f/nkpDOeRjmQ5HfJDb2/R1EfcvrNciCcYcAavT9x +9sXek9Y54B111xi9P02Kte6QezngxLaijd5fCPNU8ohrDpinhShe3upc+rdH +znrngAEP1zn0/6rIuf5xgTmgVVh0Av2/g4/syb/Cc+CSyFk+9P+SxgnJsnE5 +cK7qDTv6f/upmTTSUnJAVOrmdvT/Vpt/9Mxl5YBA49QGen8dk68PKRfkAJe8 +xyx6fx6iTZI5JTnA9GbXGHp/8jpvOP9W5gC1RubAf97f7tmXqk9y4G/f8Q70 +/gJ4TkvlN+bA1M3GRvT+lglZ99dacuDbiFoVen/OfKK+Gh050Hd3uAC9v5dB +MzqF73Pg9bRdKnp/c3wj8+sDOdDoSBmJ3t/t8u03Nb/mwKPVGB/0/l4P20QW +juUAyZvXCb0/QZs9Yeu/ciCDtuouen/tOzc0NOZyICZc9iZ6f1r2J0fz/+ZA +IEuvGnp/NWqVhNXNHHiQbCJ7Gp//jSATVZpcsOVePI3+n6HCI+3cHblgQgw4 +iv7f3pfnmZZ258L1o+zc6P8l+h6OV+LMhSsPC3aj/6cn4Tqazp0LF09L0qD/ +dzZMfOMPfy6crm/7i96fgZjht4vHcuHYxWtT6P2dG9yIShDNhUPtE1/R+8sU +Y2OYkMwFVlXXHvT+sn6QL0sTcoGhl6ENvb/inCa9cLlc2Lye+hS9Px9mA7Ev +yrkw9/VoGXp/ol8C+05o5MK4aV0Oen8HqkHORzcXBn+pJKD3t0aIcH+vnwtd +9oPB6P2953f04jPJhZZlK3f0/lYZVy47WuTCE48NW/T+ePMPjTXb5UIZdeRt +9P4UI/9cYnPJhbwQbl30/hrsLJxMPXIhialcGb2/o3MJ1tV+uRCeAIRDW+3/ +2PkkTWgu+OzrEkX/j9N0d4N2dC445RgJoP8XmGnBTkzMBQuhWU70/5pog6Tm +03PBoNRnJ/p/TBcshS7m5YKWGAsF+n87pvYNR5NzQfFJ7jx6fwXFGbe/lufC +ORAbR+/v0MHFCpGaXBBtefkJvb89PwTfPKjPBUEV7c7/vL8syfJXL3KBq/v7 +c/T+TH4eM+B4lQtMuk7V6P0lG9MPmLzLBeohGjJ6fzPE7v2VH3Lh763EdPT+ +itTChf99zoXfE4LR6P3d2DhHd3kkF77ZPPZD7++34kRJ8kQu9C1cckHvL7Mj +9sDYdC68cRuwQO+PmlfmuuhiLjRSmBug90c7smzosZYLjwJXNND7GyfWib6i +zAPyzlD5HTgfVDGdrPR5kBHLJYX+31y0+2kjxjyI5SwWRv9PedzXvJg1DwIz +zx5C/28wlXRniSsPHvB37EH/L+XC3EkZnjywK7pJh/5fq5VJS5hQHpic/L2K +3h9FFbVAn0geXK/xmEbvT6qgV+2QeB6onmMcQe+vfuCzvIV0Hlx8kfkBvT/h +wQN0jy7kgaTiiVfo/XnLZMVtKOTBsc7GevT+GFJMfilcyYND2uoP0fuzdXBg +jNbKA7ZPw3no/VXcfLs+cD0PGIzsk9D7O/zDtYbHKA82xyjD0PvzSXc/a2GW +B/OWsZ7o/bXu/BRWaZUH47O8Duj96bxMLFx1yINBlypT9P5+qDyOvOiaB10b +stfR+wsRvSAT6pUHLX69l9H7I29KNHYH5MFTBtMLi+jlnM+g3xueB2VRi2Lo +/z1zcjtgFJsHeWyBQuj/XRB9v1GQnAfJaez70P+7sUwi/87Mg3CeQkb0/06r +UfOI5+eBT6EkFfp/6o1jt92K88BZpH0RvT+/t9oOTRV5YFF17Sd6fxT/tC/T +1uaBodTPQfT+tAcmZ1Ua8kCr0bULvb87Q1y3Y5rzQFF+ezN6f/djfmb1vc6D +829SH6P3x+tyg7SvOw9ENY4Vo/d3g9nJ3ag/DwT76zLR+3P4Ir0/fygP9ulf +jkXvj/lWRdDP0TxgGh0MQO/v3L6hBpHJPNhmbu2K3p92yIvn9jN58Hd6wwq9 +vz3HzKKrl/Lgt2OkEXp/0Z4dR1fW82BklVsbvT8pytXoc9RE6PMuvzS81V9O +zL/wYiDCG9oLZ9H/IwbWN71gIkJTeNdx9P+YfPRCadiJ8IjFmBf9v38p3QcV +9xOBnDzLhv5fmYqgTygvETK4fRnQ/3vEpF/WcZgIsUSWDfT+qA3dChlPECHo +aN4Men9TFb5W6hJEePBQ7Dt6f3u93TZizhLB7nRzP3p/cRfM9HpkiGBar/0G +vb8mc2U/VkUiXL841oDen5H9sfs6qkRQbXeqRO8vrmOXVKI2ES6q0hag99fz +Z7GlT48Ikr2JKej9ralOcHMYE0FYTygCvT/Q/ymre4cIh4Yfe6P3JxaxIZ5k +TQQ2M0VH9P5eqR+Z67tHhO1TA3fQ+1Pda/+A3Y0Im/bmN9D7s9P92KXjTYT5 +5RVV9P7OPDCbiw8kwoRH6MXXuF4L+4d7wokwSL3vNPp/z05SprLEEaE7pPgI ++n8mWRyHNFKI0MJ07gD6f8GlJg5RWUR4mtDBjP7frvap6Lf5RCjfp78N/b+T +Nx492FFChLyc38v/eX/vG08pVRIhWcjzF3p/Yil7agJriRBRyvgVvT/rvY9o +mhuI4COW9R69v836fB7KFiI4PznRit4f1e8pBsIbIlhA0xP0/uZ3Rza4dRPB +sEW9FL2/4rgIeNxPBG2Vb9no/d35+Cd8fogIit328ej9NSg9KTjxnQjndamC +0fsrUZ4Ot5wkwqmh2Afo/YXJxEHhDBEEb/PZovfHGlfSMLJEhH0/q26h93cr +j7Cde4MITLZyV9H7m/lwhfc6dT5sW+xVqt7q1IgvtPEM+bDiZnoe/b804cXH +nUz58Jti6ST6fzGsiRIM7PkwEhjIj/6fa0GLl+z+fOjfycGJ/l/VxeBED958 +eBNbuAP9vw3FEdfHh/OhifPMP/T+3DgHjswez4fqzPY59P6KmWwKjkrkA5n/ ++g/0/pzDSX9un82HzKKfH9H7OzQVRpMhkw+xJ93eovenEbZ/4sOlfAiq2f4c +vT+WVoMkRtV8cD+X9gi9v87lq3suaeeD3YtjJPT+tB8w3PTSywdTxfo09P56 +itzsHxvlg17n5Sj0/r58LdP6Y5YPqtpDvuj9md8rohKyzgfZT9bO6P29eWbv +aXAvHySNNs3R+2tiZ2hLcM0H4R+R+uj9mXxwHOzwygceq4Ma6P2dVn/SQB2Y +D2xz5XJZW71v6JO1dHg+bL9/4Qz6f9e6h37axebDv42uY+j/Jfm0nipMzod5 +P+OD6P/9kElRHMrMhwmGORb0/x6Z6h3bk58Pg1G+tOj/DQDjZ8XifOhm27OK +3l8w7xNdz4p8aE3L+43e3y1Dw5yqx/nwlEf8G3p/Zpdoaiee5UN5YXMven/L +R8vTDjTnA1FEpx29v+Hrxpc1X+dDctVYHXp/qnyHXgd25UOElHM5en/dH/6w +1vXlg28jbR56f7cae4X/DOaDs3xSInp/N0R6dvON5oPlG6FQ9P7crf68vPoz +Hww1aj3Q+5tqF5EN/ZMP2v2K9uj9iUXGRj1bzAcl/Y8m6P3J0vGSZ9by4fyo ++TX0/uw8h6P4qArglPmqCnp/juffy16lLwChP6EQstX2EWvNwYwFsM9pnxj6 +f1M1RnvqWAuAea1YEP2/LkraE7+5CmCbzzku9P/sq/9wHOQpgBXat7vQ/wvk +5O1UFyqA6XB9SvT/hO1zNHxFCmCEZXoBvb/JbQ65VWIF0J/sOYHeH4kzuem7 +VAF0cDMNovf3dIajhO1CATQRs96h9zfQtWiioFAA1UdPvkTv79C6xC/nywVA +fthUg94fZ/UgoVCzADJPaxSh91d+ftqw/1oBxNV/y0DvT7LfRpXOsACCLjrE +oPdn9eLWdknTAnBvpwpA788BOuPMLAvAXjXuPnp/7yOqJxPsC8C0l88KvT/v +qf1MLS4FoKf3yBC9v94UesoFjwJQG5bTQu8vuse9ide/AGTNPig44rz3eVzR +CC2AM1Om0uj/qQ0zkbyiC0DYYUkE/b+vxyS7ShMLgOdvIA/6f9MLyy2f0wuA +3ZODDf0/ReerIQx5BbB9G4ke/b9Dk1e5JMkF8C/kzDp6fyyhG/dNygtggenV +H/T++KJU82OqC2Ai4fooen9NoqpZDXUFMLRvsg+9vyYyxZ1fzwugO8ftNXp/ +Jsct1jnaC6BVaEcDen9aS5GGcp0FUFeaVoHeX67kvWi73gIoFxPOR+/vjdC+ +qPRPBUB8Up+M3t+jtdAb7cMFkAJXwtH7Kx1vXZz/UQARLUNe6P3ZHOzRP/i7 +AHxVbO6h97evrypOeb4AnLs3zdD7kyFYJzmtFIClbpQeen8DIdTm2f8KwGjo +oCp6fxcm3ejf0BSC9u2HMgZbLR/d77S4oxCUfl6QQP/vYMmBioMshUCw7T6M +/p+NtcZTJc5COLVovB/9P6B2ibnHXQhCD+aY0P+jT489ncFfCPsp/ajR/ysy +JZFbjxYCc9CeZfT+7kXUT/45WQg0u4iT6P3tu9CzwilZCCux4l/Q+2smz/TJ +nC+Eac6WbvT+Nhc5fS1kC2E0U6cFvb+XauprsUqF0M//oxa9v/vfUi/WqRVC +R5FzCXp/M73ruqM6hfD8JF02en/mWm5nd9wshOqapDj0/kIDOH6fulUIRecO +B6H3Zxk3YKV3txAyX9S6ofcXQXz+1MemEOIUlWwu/3ee9vWRHAshuPOjMXp/ +Ty33P3/nVgju2hY66P39sE50XfIuBPtPq4pKeP7tUKI4EFQIZkZh59D/szE/ +oyMbUQh6P/adRP/vfvHd++ZxhaBmVcKH/t+JxSHzqJRCkJ07x4H+3wPz9CPV +WYVw5v7b7ej/VRwlPf6UXwgim/qb6P3F69GwUpQUAo//9Cx6f5pctQSBykJg +3+41ht5fSGizpHJtIeyIZvqI3p/sm+P/bBoK4R9bdgd6f6trq/FxzYWwkHay +Cb2/uLMiK49fF8JPnudV6P3dJr06NthVCEOFGoXo/YkY9QpT9BfCe5GRVPT+ +eL011vmGCqG1yiESvb9hfvnUS6OFUCdF7Yven5NFFa3lz0J42BjnhN6fvlW2 +TOSfQiDK85uj9+cvz6xSsVgIKW8e3UTv7yIzHV/vWiFEasiro/dn+CP41RIl +CXz7P8iK4fv+WwphLz0JXPTNJNH/o9tL8D3LSALL0aWj6P9dKXCN12clgZF5 +EDf6f1bZ6k5eXCTQ+cPBgv6fLncbf84hEig5kWjQ/7t3+lvuC0ESENbOrKD3 +F7+Z+2dUmARiPq+m0PtbD2PeQSNGAiE6vWH0/gznRRYFpEiwP2KyB72/YcV/ +pQpAAuY9D9rQ+9uTHSxxR54ENCk76tD7W93RGRakQoJV7vQy9P62Z/U8LNQg +wTRROBe9P0HLDGKbLglGjz5LQO+P6HPizrg+CQYeXglB709xPuIvrQkJOk5/ +cUfvT6mr/pqgBQme19vYofd35XBTkLwdCWou/ruN3p/V9vQAE2cSFLVH6aL3 +dyJAW9PPnQRZqodU0PuLLvj9O8eXBHG9DwkH8PoeWF5rCiZBsJ7MKfT/lFje +x3yJJIHHcLcA+n9vnQRS1+NJYG92ay/6f3KV5vZcaSQwm5rbif7f1/e5HGdy +SHDDwY8C/b+LI73hOoUkUPu7ZwG9P79J6m6HUhLIeRLH0fsTWhT7HlVFgjPb +JD6j93dkh3lryRMSiIS2dKL3tyBe6PKqkQS8zFdfoPdn4ja3PNZCAvbEH9Xo +/XWMqF6i6iDBjv0uZPT+fjs2mXK/JwFFLl0Gen/rp1W0pAdIsCCUHI3eX97x +eaarX0jws/SwP3p/a0b1afbfSTAk9sQFvb+490VL4ZMkeP9EyRK9P6ugF4dI +MyRog08G6P2t+dJxvVwiQV2LhSZ6f4LtHiND6yR4qLImT4/rc/2I218qMuR3 +h0mh/yd6hvEbCwMZUnT3i6D/x2N2kkOEiQyRQyWH0P/rGo3bf4mNDH63z7Oi +/2dacW7WaB8ZXH6+pUP/z6vzeIIbDxmsbA3W0Ps7KW1DHy9EBqPF6Wn0/tbn +NpVLRcig88BrBL2/oaXvN1vFyKBMydyH3p+sLD/hqxQZCEHZr9D7Y/zQNL0M +ZBDbJfoMvb8kUoMNswIZDsc9f/if9/eEp+HwZTLs36tJRO8vgHp68IImGXZn +jSSh9zfsLfTm2jUy0AjcC0Pvb+zY+2A7AzKsFlF7ofd3anOWLdiEDH9Oxjug +9zc0E+qQZUGG0Rp+M/T+bNezM2vsyDBwrvo6en/jnGdS3zqT4e0L+Svo/dWd +1zb97k6G54p9F+a2utd45d+qLxlqOs3E0f+Le3DMYncIGYq0l4XQ/3vrPZ0n +FEWGrE9B+9D/6zRTKD2fQIZ4I04m9P90eSUDtdLIEPyDRPWf/1fYfNw8hwwe +VlJL6P1dm5wr8Cwkg8Pcq5/o/eUOtUzHlZLB7L7eEHp/ex1laMlVZLixOdmF +3l9nlvnvZ0/IoO7/oBm9PyXNi8T3jWSQ276zFr2/YPd3R8dbyCAVnV6M3h8F +E5vP2hsyiLCLZKH3l7XCXsj0ngy86c9i0ftrPfYxnW+ADBy8qoHo/WnEG96S +/EKGHaQvruj9RfOULSt/JwPFcVtr9P6uPG+/aTBJhsWqf0bo/bUbVcXYz5Dh +p1S0Nnp/tVP2if5LZPjSeEhxaKtVNegtk9bJ8F6+4iz6fyfd3JiKqIqg7Y3M +CfT/PDQ7/Orpi6Be4z0v+n8dzZTtnYxF8LD/Fjv6f8J13IPDrEWQrz/PgP6f +wEGhpjmuIkgd9dtA76/6I7fjNp4iiDRnnUXvT6uH4S+bUBH4/SF+R+9vdv6X +ipBIEbg4SQyg97fM+8r2jFgRWK21vEHvT+FS/m0lqSIw9rnaiN6f5CV/IT0o +Ah268Ur0/jLpLeos5ItAOcKlAL2/Vju9gw9UigD20Kei9+dkcl0rTKMIxFKS +I9D7G2+z0E3TLYLDB4/4oPf3wTP2WLF+ERzIf+KI3p+NVe+7p7eLYPcx5bvo +/enfE5d7bV4EtBWfbqD31+JUE/zRtghWT1uqofd3UccgY8KpCP7Ur11s2+qp +uRO+yw+K4PvF8NPo/2mfOCFJ61sEA+37j/7n/00a1rMGF8Fb1dID6P/9o27d +zRdZBC96z+9G/69Vz1RSNL4IavQ6t6H/p/FWRgRSi6B42OAven9xUobzl7OL +IMvszy/0/tbcn4foFRRB/JTXV/T+bB2tZu6UFEGIA3MPen9a67eFnCqLwONv +dit6fwEzxcd9a4vAwVP0KXp/N4UVaaMaiuDOthel6P2Vhp4rS2sughuhmjno +/bWMhQuSXheBOvNoPHp/1hznbR91FYFc4r1g9P5+/VUPbuorAqn929zR+9u8 +1WHfMVgEx3PjbdH7+yZSdWxgpAh4DwvcRu/vsihDzehEEXCUVV9F789O7j3z +n+ki2CmuoFy51evnWc6tLhQBxdO+8+j/cS10SNKsFcEi3BFF/8/2HO02Zspi +mGxZ5kf/78DU82wuumL4ohLMif7fi35qRoFdxdDTzbkT/T/XnncqJ/YUQ5su ++R96f32VR/Sk9hZD/ZDUPHp/04psUrIHi6Hi9usf6P2p3U2cuCxQDPk/9T6h +90cz+vDu1WPFkGr76y16fymhd58YihZD1OKD5+j9MZ18/umuZDH4PdhZjd7f +z7yGDvvzxXCfMoOE3p9QjUmUm2wxWAWJpKP3J3/6Ma+fUjEY72qIQu/v7PyT +wDC1Yrgap+qH3p9PnV1dnE4xKO/96ozeH4P+16a0G8UAWbYW6P0p5DMk5RkX +g7gAhQF6fwLG8+eL7xTD4eJojf+8P/3s6krrYjggyiOfjvNhwrr55F4x7H5c +cQb9Pzk5LY7nrsVAe/6iMPp/vS1GlO1exbD24v1B9P+YHl2o7wwohj+Kt/eg +/9c3sHTpQ1gxfO+cp0X/L3QjkPg5phg+avuvovfH8Guh+1tSMbz9xDqN3t9D +c7mu8YxieGGU/w29Pz0Z16zfecVQ80PiA3p/t6SSYJ5cDMVWre3o/WVx5j78 +W14M2XNX69H7u5ufMbdRXQzx98fL0ftLyI+goa4vhpBNl7z/vL8vTj/pXhSD +pz99Enp/v1j1Mne2F4PD9pRQ9P7O7SLw7+4shjvRRzzR+4MwgQdsvcVwk/2p +PXp/CpqseXs/FYN6urIpen+8AozpB4aLQZ738zX0/vhb2c14fhSDFMnyMnp/ +B5ZFKQWmiuH48XUIxP3ietv68Fwx8D0KF0P/r3JXedGxv8XAIX1ACP0/G0fO +J8c3i2FnUykX+n/G1nnJottKgFKBwIj+36fHWkri20tg8U0nJfp/JBaRN6eZ +S2BSw3ARvT/uc2LcUuwl8KX/zwR6f9vnzC+e3V8CPfreg+j9Nc72Sp7nLYH2 +UeYu9P4Y/7psEg6XQL15zkv0/pSeX028cLwEKv6IPkbvT47B6Z+MeAkUOL0o +Qu9PM6xPSla6BFLXNDPR+1Ok8pKXu1ACUT6jMej9eRx24JVXKAE/OscA9P5a +H1d1yV8ugfsR21zR+3M3v6SuoFkC1nsSrND7u790MkfhWgkYpwgYoffHzuH4 +UsGgBK4erNFC70/Uj/WxgkkJqOQrXLLH/TDH5q5gUQJwrF8a/b8BLncWBbsS +EK+4cxz9v/wqZTd55xI4IvmXB/0/e4eAKjn3EjjwLJgN/b/snScbZH1LgEV2 +LwP6f1ySqqkXg0uA9hV5Hb0/pYLRSzKRJbCmKj2D3h8H40IrxJfATO/rUfT+ +Znn8OAipJfBd70Y/en+v4uOlzmWXwMfhX6/R+2PaKywiXVACnWbuDej9PTW6 +MidZUgIvpnZWovcnc2A9WKKyBB47ZOSj95f17+zsqdoSKP4rkoLen3ot87GT +DSWQ7dkQjt7f1WWP0yLNJZCwTc0bvb9TVkF7jr4uAU7NqTb0x/4v/6pGPM4V +PZ679WHvXlTJE67uXJ5Cf+dDjXdyb6UpwcRR3Aj9nSZBbSW+u/cJu6xVetDb +WZTPdf77MIDguXRWAb0dgU+ehODZaMIoM+0T9HVubb94lcI0mXC3nnwMfR2F +YHPqmynZhDMrgpno6dzt4LaVKc0neLd5MKOnM0F3UprLpphgKVTmi34Ow6un +XBO/HxL499YuoJdTpnktTfDBI8JAepoZejn0MfLhzwxqCeWV1wbQx/EhqQzl +JNcT+vX+KKGPU/BT64JJfBPBNcGwDn2cezkBEnF0LwmNt8jC6OP0eb9VGshv +IfS96MhAD+fC5PKKiG07Yaz6FSN6OLKMO5ojb78h7D2X7YX+jfWggtCibych +VUd9Br0bLjeW96U/ughxVJ+M0LuZVxY2MMh5TxCRPd+Nvs17pTuuIfa9hFgO +Nxn0bY7l9JkK6/YRJr2iK9CzuSVx1ExOc4Bg6O7Ng57N5YyyZ523PhH27VKO +Qb/mKdeVh+3BgwS5U78p0K9higvzPdH8hUA7ZW43utVjsq3nvEOHCUHSTV/R +q4kLCrJOf/aNMHNgRhW9Gl2zx0sWayME88SVZ+jTzC10P5iU/U7YRxwURp/G +Nigne2/SGEH4UkoaejTOpQSG5YUfhFavE9vRoyEfZ9bz0Z8gMKpm3Ud/Zo72 +jeTjrp8EkcqJH+jNfL/lcClJ9RdBqWSHDnoz7Om6xw/1TxFCz9G/RF/GW4Ir +Vt1imsB++8tJ9GWmf2mF79k2Q2A9FJmJngyNELe4xsAMofgex070ZJ40PYwb +rpglUBi4ub7F/1vrXDaboucI0l9rfqAfc4Oftn3WcZ7gtdyhhX7Mtz3scg4G +C4TFgvom9GJyyMGtMlcWCc9/B4igF9OywyzPUGaJwNBxOBV9GErToeNvpJcJ +szL5tOjDvBuRe+Yn9ZeQrbbmgB5MadXevODzKwTpxaNf0X/RoqGQ+KiwSvh+ +SlwF/Ze/VC/bHHXWCC/oOR6j9xLWo/1Qz3ydsOLcw4vey0pSp2yo7wahzMM8 +En0Xc3O7fqqcTcK2Ax9XavH/V92cppfN/wgHdXhN0Xe5WeVy9IczBfAJyneh +5yLdRre4i5ESxKJlzqLn0vh5enKgkBIc4zgK0G9h1z/+WEGOCuhOtjGj36J/ +/PM23VEqYDFTf4BeSzPpgBdDADVUS1SNoc/yOFW0W//INmDN+qOGPgvVJbMC +ra5toJlL8xQ9lpXb3EWTrjQQDYt86LHkcHUF8QrSwpxzQwT6K700NL9We2kh +RdF4Gb0VW1E9r3uBdFD4aMgIvZX7e71nwqTp4Xyd2OvcrVYhS0/KztKD583b +YuitvJQ25kwrYoDQZKt09FVMEr4IxphtB19bNRr0VSJ/P3wuILADQr7tsEFP +JXv0Z/y1HzugYTqnD/0UUb+yy0eKdsLFpN2Afor4RcmEJLtdIPFZrxC9FBGK +Lq48KUaoferBhF6KMc1AiAoNE0xJuLmgj0JJ9SIyuocJ/lxW/4oeSgXt9l9n +NJlh5N8/BfRQEt+LBS7XMcN31ZAy9E/k+8T/UAvtBlbpKTb0Tx5KWK/rx+2G +uOeHPcK3+kWrgj8NJQt4jhG+o3cyxyyhv2rLAov5x1XQO5m4XK1/bpgF2Kn/ +VqBvsstE9eYbjT1AuZHKib6J6Ez0icLmPfA+jsMLPZODZznK3p1hBXKb9Rj6 +JQRCQJlcGSsQkzNU0C85mhtMRyvABsO0hRXolWg3DETtyWADN5ZQDvRKMpNP +c1uzs0NMnYIH+iRr361CWGLYQYZqaAQ9EloadRL1Lg4o/HFZET2SEroGjQuh +HPDtbmKpC84XKfNmOz0n7Ah5woIeSbb6aFZaMCdcvFTjgv7Ihz1Bc08Y9kJu +XvggeiMVlZHH+ML3wqXMczLojcwk0/P2M3HBFcnWfPRF9IZ2ln6I54L/ae/O +n6n8//+By05KSQjZdxHZ14d93499d+xCSFkKyRaVIgopy4tCjrIkCpVKSRFJ +liRliUgK2X09XjPvz3z/iNeP97muX87zXHPNfebM/XbeBYvSoy9C1Gz+h4uD +A2Z0fILRE+mQ0h2uLuaAR42ne9APMXg6IHVJnBMc3wbKox/CVdN4ov4+J3yL +k89DL6T9qESCsOZBCOse2EAfpGArWerr24PA02rrgT5IiDCfzYQTF9BakZ6j +ByKt6/VK5gcX6MUPC3ts5zxik8frU9zw23wyDT0Q/xzL9V5qHhBueT2L/gd1 +oukRfwseSE0bOY4e7swLqVahd5UQuyfEFz3cXbUxWvx9lXD8KpkTerjFo6FZ +3J8qwZczwww9XJWtPQ0cXyvBuZhXywn7YYMrifV7JViI1Mihj2v8yDV8389K +0K3SEkUfN7yGeRfjQiUoy77nRB+Xxigxgn61EiQfeu5BH1dAorqWiowE/BoL +FOjjhlEXPCWjJgFbW+Jf9HAPnrEsWttJAgaT/T/Qw+3WfmO1tJcEO3pKP6OH ++2yVqe8XKwmW7OR70MMtNxUV/3GQBNPDbS/Qw20ZY7Ia5yfBiKddI3q4rb7v +jUZESfB+arISPdzVmOD9A4dJ8OpYZCF6uJKdk9U9ciRoXqTNQg+XtKnF80aF +BNWnclPQwzW4n+D+QpMEt3aInUIP1+4aKaxFnwTXUx4Go4f7wPC57QNTElza +ZUxED7c9pIPhHoEEiVeGbNDDDb/XllXmQILIA4GGevj5Kxt/FrqRIKhgXRV9 +3HbqMvZcbxIQBS9KoY87RMxmyzhKAts7BwXQx7UlJn0/F0oCY+kqVvRxm26d +SjsTQQKNB+o70cdl7j61GRFDAlm1rk30cGfiU3WOJZBA9Jnbb/Rw7RzKnH1S +SXDQ8Nc4erizS0N6LpdIwNR1ZgA93K4VYQrrbBLQ2Ox9ix5uMdnlDKPrJFgb +LHqCHu7lctbfGkUk+OV+pA49XNPMVgGF2yQYn2i9jR7uHvssUQkSCQYCCdfR +w825dpmMv5YEnb+/paOHKzb7sJytkQTPIsPPood7ZYFFcPdjEjzYpDyJHq6g +YVkYxQsSVCZm+6OH+zApLHP5NQkK6YVc0MOt1YlKnH1HguzL9Rbo4S5xPzH4 +2keCNBZ9Hant/Oee0UjfJxLE5n9UQB+38DKnQcdXEhzn8xNHHzfSTinh8XcS ++JUtc6GPe/ZGyeXanyRwlkxlQh/XYNUj5PYCCSzrDlCjjztCeYLv+ioJdJUr +VtDDHSWM3konqwLlJ8qz6OGGxlRsxFNXwWG9ji/o4Sqx9gqdYKgC/jdOvejh +BtZ78PkxVf1fH30+Z/vLka3qvz76Xx/9r4/+10f/66P/9dH/+uh2H93sSLFA +jy7vz7WWojweyIziqkV/rr1x7ULsOA+staXvR39O+bRB6pYULxDq+iPQm/Ot +tSoQiOGFWs31AfTlTlT8eTjbzguyx1ZU0JejiaN75cjKB7Oq726gJ8fIG1Fz +1JsPVirObKEfV24l4MNTxwfHGuk90I+bvL3RGUnBDz5+wa3oxVUlL/SHE/hh ++cEdfpPtfE5o6xhzCT+olrUmohcXeZntjN0iPxgq1Y6jD9d9U2NRTV8A5I/G +6KEPx7Bx6tHLXAHgUOe9jR5cgXBvy8KMADDW3KRBD677qN2P5xqCIPJy0Rf9 +tyuTbBKK2YIQGyP0Cr0361SpELNpQRD6ICWC3tsg46NCGg0hkO9kPoe+2wH6 +wQrPq0Lwwq9nEj03ho+10cRZIZgq8ddHzy219Pg6ha4w1J8dvIV+G0e4IafB +DWHQJhekRr9teE9Am+SSMJTyG3qj32ZygHHygbkI/JrQe45em9DqyYBv5SKg +YsLFj16b5tyYajWlKOQQuuLRZ/OgKDLidReFgxsOX9BjY9mzHCfTJAqfDVrU +0WPLalZpn2QTgzn59Xz01y6cbWVSPykGXi/3raG3RlsyaSDfKwaWq5QO6K1p +yu20f3dEHNq7uurRV0tgzTzEkCkOI/rhzOirra+M10zNi8Nt4u9Q9NSKHU58 +9LM6BLI8Rl28+H3H1yan1h6Ca2dOHUJPTekcG8l4vwSMJSSnop+Wb82rcDdC +AiTEjk6gl9aWY763blACEo+LaqOX5torKuKoLglrbk8L0EdbIqj4FRVLQsnc +kXX00KakmFtSaQ7DZb5Ye/TQFC0NWfYFHYbu5eI69M+O+oa7qL0/DJFhRXvQ +P5NdOaBBeVAKsi9FBaJ3dudmttxDCylQshB/hb7Z48PxSU8SpeBkXT0/+mZ7 +uWSEWBulwLGJIw49M2lNyt0ts1Lw19dxED2zC33mMvf5pMH5QZgcembO8ccv +rNtJQ16F12X0y/KMuhizLkrDY02pH+iV/Sh8UXvqmTQMnv6gi15Zpv2j4NoV +aVh3si5En8ztDquCitQRkBmpXEWPrEhRh2Kf7xG4SD1ijR4ZCxWpXfnmEWDv +ma5Cf2wo+smZmg9HYFLzHe36v3v5Oa7oXTJAYXuJiN6YMRUpL0NXBk7tFmlC +Xyzle/D0cowMuBzN24++GAsTifJevQw8CJwIXsT99sToSP2cDFxjYniFntg/ +Emlhu0RlgdZlJy96YsMsgrW1RFlgMxmLQj/spaxsTnm+LPSOXO1BL0wnToVt +rk8WDNn4xdELoyI8FU3cKwfxi+cS0Ac7HWr2zM9EDs5HvBpCD6yLLHgoP0UO +Qm6OyqAH1nGe5MfzTA7UA3rPo//Vt+OGx59NOdgaLPyG3teX+s6m/Sry8PiX +ngp6Xy6eH0NSIuTh3J22TPS9DguYhRvUyYPPTp5p9L0Ko98028/Lg+cegib6 +XtYmP7UbJBUg7aFbDnpeqt8sN3wCFWCcTm8O/a7U2WtfvCoUIGaTSg/9ruIY +z7Hq7wrgklOQj14XO73jlqmwIqR/ZPqDPteNIH4RJR9FONDkZog+1+yEv83x +UkWg1UsuQI+rm20wZn1METxPJC+iv8VIz5/TK6AEMkbuxuhvOQgu5a15KcHZ +5/uK0NsyVaKJCS1VAtuxwiX0tVwjGeTkJ5SgoZzG5DWeR2RLo6GwMtxjNihC +X6tVtn9HpZ8y6AkSl9DTmqFjpXSpUIb4IRtj9LS6G5UfOM8og78CfyH6WVf9 +qZjuSKoAhdLrBfSyyIpVdhqEqoD9FyND9LL8zEtyZepUIEii9Ab6WPVPaeuC +/qqAIc/APHpYFpWylkvKqvCnYUIXPSwOoSXft7GqcOzv21z0r46WH5z73aoK +L4bSZ9G7WtsXO+xDowbL3qKa6F1N35oRFTVRgz1Xb2Shd1VBrdmhlLGdA39M +om9FrmLTkNOnBpuTTCroW61VUX3X5VSHYTrmdPSsvklJW2oS1aGu++cX9KtU +fzdMp5WpQxL8I4N+VXPKmTt8c+pgQ5BORq9KZyoojVYeQHhXbj/6VO9D/WNV +YwDIggbF0KdKHnGJbXkOMBq2eBo9qlei+Rr61BrQxTXdif7UAdEFdVsxDXgb +0sCD/pTj6F25C2Ya8MnPPQy9qZoIQ56pMA0go/n6DL2pZ1qqq4HXNEDFWG0/ +elOVrykfszZpQLpcmA/6UlTtPAFTXzRg7cWZB+hJDYow/h2l0oTEdT9a9KQq +qS08dohrgtiAmAP6UQH3vEr0LTRhzqmtHL2o46Vdj+pOaML7RJVV9KEyrzL/ +Y3BdE/oIaUboQ614N9hQPNWE1Vc1eehB6Qlrdo1NaIL6WN00+k8R3PI7Zxm0 +oLj0sjL6T/PPuak4ZbS2z0cvDb2n6McpDaEOWtDB/mEAvSeF78s8P+O0IL1T +TRS9p0rDLaWMW1pwTORMJPpODdwiW45vtSBQJP8lek45H3cEGi9oQVJnBgt6 +Tl8YOU8TObShgd3DG/2mmBwa8UItbSDfRV+HXtP9MNtwygBt8Cy9QI5ek0D3 +dcKlDG3o+/bdAn2mRtbA5xqN2uD0iqsAPabGIedWtlFt+GklOYv+Uss3SkNW +Oh04n8Cqgv5SrfA6QVVaB6QdB8+Fb+eP74Y+pzjowFDfyT70lh4qqY+vxOtA +8soMP3pL6j9biJkVOiDRqhqKvtK1O6tWlu91oFPKpwU9JUP3S9Xy6zpA1PXf +iZ6S2CHOaC1BXZjY0rVHP4norlkWbqYL1u7rJeglBcQVKryN0IUynwvz6CPt +UvvEb1KkC5+YltTQR/KJzfGbf60LP7yU0tBDmnCI3tG8oAtdzrZ96B+Zs4pM +V3HpwdlVEz70jxoPG3K9NNCDDbWDwa7b+aJpci7VcT1QFn7ZiN5RukmqXcAN +PThSb0SF3lGP0gZh8aUefJ6+bYG+0WtCetqt33qg+OLzdfSM1Oinl2IO6oOC +7twE+kXmmy1Zpwz0oTdgQBr9ojjyZreC4/pALX/jNHpFZezZhKmb+vDylvJL +9IloTq8cdXitDwzN1XvRJ1K4eKNkblEfuiLJndEjUpyQW7nDawCUg4duoT8U +ZBHpnW5qAKVfpH4Z4vu0jWP8apQB3LqwWxn9oZ0n+o+/KDWAreEXCegN6Tf6 +7mLv2b6/1/Yt+kLefImkrE0DuBTylAV9obGQKXM5cUOoqaZyR0+o7qr1rzU7 +Q6DM4S9HPwgeJKR9TzSEKH6O3+gFeQvLs69VGwK19YwyekGJQYeuy4wYQpHo +tQT0gaI0RegyGYxAvYTjDXpAJRdm3FiUjeDdsyhm9ICm/dWuP/E1ApNz1c7o +/zwU/FJ/OdsISudbS2S28x7161XJz4yga4s0g97PKaJ8bPG8ETTXhMmi98MZ +Hs07xm0MHvR7T6PvU5UknWVsZgz3qFOfoedzfkiop/+0MeTdHqRHz6fih9DH +83eMgWmWygr9nkqXrZteg8aw8yN9Lno9g/Rxgp50JnDCe2oEfR7xzRM+KYom +oJVTJIQ+j0n4fZduXxPwOiYThB5P4RAjjeY1E+iYvlmL/o5hr71rX5sJ+NGP +rXDh8yzl7ZaxZALineQa6O/M/aanDhMyBSr5tST0dvZY8ZpF2prCuObbDvR1 +BurOyJQkm8Kj+ci96OsE1pHXLNabQoTupi16Om84zr4InDQFWjWXfPRzhicH +/enYzMC5P3sUvZwg/em8DgMzILKWCaGXo3Y93bo2ygzoVq8cRR+H7OTtzCcV +ZgBnHO6hhxOQv9/yz5AZzJcvL6B/I0H16LzxLnPYFRGqhP4N/UC0eru6OcRP +Pouh3c5dYUregSHmoLQ29xS9G0+3T2uyxebAX7NIhd5Nh4vRJl+vOYgzfDBE +3ybvrpwsB4UFqNGlX0TPJkqb+boCpwXolfN0o19jvL++2kfOAqRmLjCjX/Pn ++56TZWYWMNXdY4deTSQHx8S6rwU4Ov7OQ5+G6p+OLc94CwhJ+jGMHs38bvan +w3kWwExo4UGP5mnKpnBAnQUceRbgif6MSFfoEbpOC6j58KsUvZn9GkGfH05a +QHyaxfd/vZnOadG4HZZgMtsfgfui//1+fntFvxr9/P/lb4o+l/D/a/6XMz6I +prn8f9eTR1PF0Kuxpx1nsuWwhMrB/ED0aZr22V0DOUsYOpFahR7Nm3uq91XM +LWGjxvwX+jPPFTMDjPwtYTVjThr9mSM3re8fTbCER0z+x9GbWQ2Mv1JwwxKE +5Zrq0Jdxes1CMfFgO698X0RPZj58Hzn0WEKx65w8ejKPn59Mr5ixhDjvrgj0 +Y6TuaNwSobGC0l2pDejFcNaG6jfyWsG6LecKejFdZDtDnFWtwE8zVQm9mD1W +u7mY7Kyg501XFPowvJsx5oOhVsC1MteIHkz7ojP5/QtWcKRtagX9F5tnVbLF +t61gUaZZCf2Xf9IixoparcBQKyAKvRcBuibGumErYJn/1YC+y9fs+Lr+ZSsA +Dcvlzn/31p3vGJkJUHb4vAJ6Li7yZUSHwwSQe3zzJPotxGUWv/tGBOj4ef4+ +ei2qgnwj/D4EMG2xWni5nc2Ye16UxBPghsSfI+i11NUfZle8QYAC1aBQ9FnM +rukMDjcQQP7Hk7vosbQNslNe7SWAttLPWfRXsqE52/0XAcqFFsXRX9nJpXBR +jcEajGo/+KO3QvDL+n5IxBpoRi7dRl8lpmK0VFLHGp6W84+jp3KW/tAzLXdr +cNyXwYeeipFBvLr/aWuo5uh3Qz+loXluf3GONZS1Luejl1Lumqw/W2cN3LR/ +BmpwX9to3W3UbQ0LP9pY0EupXwiofjhrDXTB4QT0UdreD4yr0tuAavraJfRQ +LGtqAruFbOCoifMb9E9yk2l0IrVtIKLsKi36JzSj0/7S7tv3F1fooHciP3Ps +0/ppG8hTyjlz69/nqSJ3MNcGgoPdmtAz8WqtKnhdbwNXNciW0S/pHs+YefPe +Bn7ejZZBv2QqwD929JcNWDd1BqNXci/OmEC72xZKvdfL0SdJmjHz1xS3haY7 +FOPok0gzn2+5YGALEelj3OiTzPxhtZz2toV7uwoc0SPx4d/N7ZhgCzqiMtno +j7ztTRb6VGgLu0YLu9AbGeq97h3SYgsTst/p0Bv5mOY7yPLJFu4I0OmgL1K6 +TJnQtWILcvcoYtETaX94ySWP1Q5cP358gH5IGK2AX4ScHezIS5pHLySx9nOh +D8EOlv4yiKMXUiAyTOUXages80Fe6IMIH1PLPnXJDtSSym4k4/mKcpkWkewA +6pr60AMRy7opPtBhB8sxFYzogdxM+SzJP20H6l9DDdD/SDq5aR1Haw/fvzDF +o/dRGcydPytkD++iLjai7zHE5kkerGsPHZWj8+h5fGv4kUTmZQ/3ohjF0PO4 +JfFJoPSsPdh+YSGi38EzbzfsVGQPV778zUWvI4wtgSTwxB7Uomu60eeYIZy9 +svXZHkRJenToc7DMRV+c2bAHiag6jVB837zLzP3B6QBcn9ci0ONYiv51f13F +AbqGOO+ivyG789EXbicHYA1jnUBvw1CZnc0m2gFeFf7gRG/jhLO8Y36uA9T7 +5hLQ1wj0UCxdbHCA+208aehp+Pca/nXvd4Cc5vgn6GdIf8oy+/zXAY6YNC2h +l8GjolMexOoINmHvDqGXoWieQMWo4AjvD7cQ0ccQZor1aLV1hLjEpBz0MGwP +eDxKOekIoiFCnU64X8922Ot21RFKfhdSoIfxxzrbw6DeER7vXFRE/0LxsVaF +dp8jmDziC0bvIm7g8pTFkiNw7BD7B32LaJ27B0NYnGDjE30/ehbDqp06RfJO +8NjsBQN6Fp7XuVzGbJ1AxtZBE/2Kr73dXkoRTiD8p+0EehXmqwccC685QYDg +7gr0Kd43iKocaHCC1zOSn9Gj6HSQpS7pdwIWQ1Em9ChmWoMaNVacgF15Q1dv +O4ufpCfMHXCGW48rotCfmMmA7rvKzpA0IE1Cb2LjndaRs07OkJiS+QV9iYo0 +o3Cf084Q2v5mH/oSrTUXs51vOANrwRc99CSqBrSzvFqcQYu2Mwr9iBOHSoNj +R5yhhTK7Er2InvBpvgoyF7DNkhtBH0L5gOndSV4X+FB/dy/6ELOcNEwK2i5A +FUihgx4EBQ/BMMfLBe7VSp1E/+GUWyBhZ7ILFF1UKJPazvr30yUv33aB4hXW +QfQeHHOW+oXaXSD6b/dO9B4aOEctuqZdYCHZWw19h/g/57NSGVyh/3ZPMHoO +lEflim0kXeGnw4FC9BteBnNGyli4wma+Ujd6DfkpZ5j5w1zhXYgMOXoNd4aK +TghkuYL4B2oZ9BnOSj7NVah3hb72Wk/0GIRHueOc+13hmplyFvoLvL+3+K+s +uoKcZ95z9BbOb/yTPMTpBidpehfYtzOfmnmpHLgBj8qkAHoL9ud0Y4s83ODv +xntr9BWcrDsYuRPd4Kl5XiJ6ChJ1gvZVt9xAU0q5Dv2Eu/Z5NubtbqBeUPsN +vYTay8eoyWfcIDqfeh96CUkCJ2U9adyhQVhGC30EI+pKtU42d2jWUApFD0H0 +7MZBLTF3MJ5kK0T/oGktvbdFxR0EOXo60Tt4KJjkrmPqDrs+eW2gb0BNTvW0 +x9Udnot2i1Nt5+pRmUX/kO3rlKyO6BvQeius0511h7IA+XPoGVw9Jfeh5oo7 +2Lkcrke/QNbLOs6r1B0GhnaMoVdAT3q4wvXAHebGSXvRK7gpc0n76yt3cDgl +A+gT9AusOFUNukPf9cxA9Ah2b3BrJcy4g5B+Ry76A3TnxBfdN92BJma4beHf +vZN2uP4eD1BQa/+DvsBWWEaLPJ8HhKek86AvILKs+l5S1gNinCRM53DPFn2s ++rCeBzA2lkahJ/CEYGWvZO8B4wV/S9EPYJJgfGkc4AGPGfl70AuI2upa9znt +Aba0IpvoA9Tse7yclu4BfqnUYugDqJDTPHxQ6AH3Mlts0APwqe2B2RoPmOa3 +iMf9v4ebaar4Cw/oUn1UiXt/pfTi7LCPHsAxvPUR9/0zrJuerVMekELJRYH7 +/mCBC3/Y1z2gs2a/5L97fvEo7dO7iVA+OWGPe/7mxXmrCR4idBdnJOB+/2Ye +P6+DDBE2v+2vwr1+6lkVUq8uEaYqjvfjPn/Q23fJ3p4Ixn8ryHGff9D0w9p4 +ABEGXj06hHt8rozaplMxRDDlvmWL+/ufg1KK7JeJ4Lzqfwb39vP8p4OeFhOh +2YGmAvf1Y/efO4XeJ4KQWux73NPPiCmTi70igllJ5zru5wuCGNx/DBLhe/qy +IO7ndzUeDb8/S4Q762tmuJ9/m3NO/RyZ5//1WeqpK82e+zzh/wEEe+uI + "], {{{}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, \ +{}, {}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{ + Polygon[CompressedData[" 1:eJwN0UVOnQEAhdEfwoSwCtbAThgRmJHg8HD30uLu7i5tKYWHu7vuhzM4+aY3 ubHJufGhyCAIIigjJToIUkkjnQwyySKbHELkkkc+BRRSRDEllFJGORVUUkU1 NdRSRz0/aOAnv2ikiWZaaKWNdjropItueuilj34GGGSIYUYYZYxxJphkimlm mGWOeRZYZIllVlhljXU2+M0f/rLJP7b4zzY7hNllj30OOOSIY0445YxzLrjk imtuuOWOex545IlnXnjljXc++OSLpJggSCDOn4kajrKJbb4BUL1PAw== - "]]]}, {}, {}}, {{}, {}, {}, - {GrayLevel[0], PointSize[0.004583333333333334], AbsoluteThickness[1.6], - Dashing[{Small, Small}], LineBox[{1, 2}]}, - {RGBColor[1, 0, 0], PointSize[0.004583333333333334], AbsoluteThickness[ - 1.6], Dashing[{Small, Small}], - LineBox[{3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, - 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, - 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 2905}]}, - {RGBColor[1, 0, 0], PointSize[0.004583333333333334], AbsoluteThickness[ - 1.6], LineBox[{1205, 1206, 1207, 2906}]}, - {GrayLevel[0], PointSize[0.004583333333333334], AbsoluteThickness[1.6], - LineBox[CompressedData[" + "]]}]}, {}, {}}, {{}, {}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[0.004583333333333334], + AbsoluteThickness[2], + GrayLevel[0], + Dashing[{Small, Small}]], + Line[{1, 2}]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[0.004583333333333334], + AbsoluteThickness[2], + RGBColor[1, 0, 0], + Dashing[{Small, Small}]], + + Line[{3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, + 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, + 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 2905}]}, + "Charting`Private`Tag#2"], + Annotation[{ + Hue[0.1421359549995791, 0.6, 0.6], + Directive[ + PointSize[0.004583333333333334], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Line[{1205, 1206, 1207, 2906}]}, "Charting`Private`Tag#3"], + Annotation[{ + Hue[0.37820393249936934`, 0.6, 0.6], + Directive[ + PointSize[0.004583333333333334], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" 1:eJwNw0VOAwEAAMDlSHgFX+LKjQSHFoq7u7u7u7u7O/yHmWRiE8JxoaggCCIm RgdBksmmmGqa6WaYaciwWWYbMcdc88y3wEKLLLbEUssst8JKq6y2xlrrrLfB RptstsVW22y3w0677LbHXvvsd8BBhxx2xFHHHHfCSaecdsZZ55x3wUWXXHbF Vddcd8NNt9x2x1333PfAQ4889sRTzzz3wkuvvPbGW++898FHn3z2xVfffPfD T7/89sdf/4yPCYJ/+a9L0g== - "]]}}}], {{}, {}}}, + "]]}, "Charting`Private`Tag#4"]}}], <| + "HighlightElements" -> <| + "Label" -> {"XYLabel"}, "Ball" -> {"IndicatedBall"}|>, + "LayoutOptions" -> <| + "PlotRange" -> {{-1.401635, -1.401545}, {-0.0000125, 0.000125}}, + "Frame" -> {{False, False}, {False, False}}, + "AxesOrigin" -> {-1.4015450000000031`, 0}, + "ImageSize" -> {360, 360/GoldenRatio}, "Axes" -> {False, False}, + "LabelStyle" -> {}, "AspectRatio" -> GoldenRatio^(-1), "DefaultStyle" -> { + Directive[ + PointSize[0.004583333333333334], + AbsoluteThickness[2], + GrayLevel[0]], + Directive[ + PointSize[0.004583333333333334], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + Directive[ + PointSize[0.004583333333333334], + AbsoluteThickness[2], + RGBColor[1, 0, 0], + Dashing[{Small, Small}]], + Directive[ + PointSize[0.004583333333333334], + AbsoluteThickness[2], + GrayLevel[0], + Dashing[{Small, Small}]]}, + "HighlightLabelingFunctions" -> <|"CoordinatesToolOptions" -> ({ + Identity[ + Part[#, 1]], + Identity[ + Part[#, 2]]}& ), + "ScalingFunctions" -> {{Identity, Identity}, { + Identity, Identity}}|>, + "Primitives" -> {{{}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, \ +{}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, {}, { + EdgeForm[], + Directive[ + RGBColor[1, 0, 0], + Opacity[0.2]], + GraphicsGroup[{{ + Polygon[CompressedData[" +1:eJx11Xk01ekfB/Drcq9rFyLXkNHEKKRQ5qbn6VozlkTSz6AI020sjQxRlpQs ++YluZQ2XJBWDQvY1lBbZui3Kekd2snRLYz7fc37n/M75nfN7znnOc15/POf7 +fd7P53me7z387b3IJBLpNHRi/Nc0jSrlu9xA+k+r0GeHSICP11560XzfDB0S +X5kSA/dVRKb2lnkhz0D9o6LgRvWDlpuOn0YSvlY9NPCSWW7Q55JoFL6821wY +vPlNOIqdT0Ij0tQqCthD1PgQySsVHa8t3CoENo9lCbqk5SBDvnoWmfjeUxV/ +ZlE+imwPkxYAjwvrMuh+d9FvGsVRaz7LDSKPq+nj0yXoB8WHi9/AxfaHM9TP +PEDczAzvVTAt2Syhzu0h+rPsMPcL+NxtqwFOai165TxryQff+uiw1/NqIwq5 +dqRmBXyKE23AFm5BDR6FWsvg/shnltz8R6i/+emNRfDeiRW+tn8HGit/LPkJ +bCIp1pp4rBMpGuVEzIN935lrLEU9R+mOdnOzYHqoTHcRrwuxyW+OzoA//azl +5sbpRtome15Ogbstfw2J+70XXVEIZU6Ct3L6vbSc+tFERFLpR7CHwRZvU3su +OnI28vtxsPWN4rrnHm+QksTPyTxwNd2mpCP2HTLdMU0aA0uxL0Vta32PqFOs +kyPgMZM2o8j4QRTDaPwwBGbHxPhm1g2hOeU520Gwk3fl8omvw4h1nV/3Hryw ++PLMhMkoUrr5TmsA7B/DyVFMGUNaFmkZb8FBRUhkZZGH2iK2ib4BF+pIO59z +HUeSttmnucR8aueuyq6PSLtsnNcPHvUIsEixnUSW98Qc+8DymU46qq+mULwR +raUHHGlAv2J3YgbJH3uv2w2emXRIkBWaQ3KqiVldYIqGiv4B7hy6e0pB/AW4 +qrGEPVg6j0huoSHPwIY1wX83Ji0gxocKXif4lx+oHfOBn1DEylOHJ+AhWXnT +ALdFtHSrtrEDzCmMbWPaLKGm6WjtdvAjMe+8I8xlJPL0x/RHYAGvAZ1Oxgqa +Z+ZTW8Evhk3rzv/0GeXs/xrQDC66r5gXu4ePGEtbPjSCHSgkg9fmX9DoDn2r +BvBnckt7oONX1ExTqKwDX+o5WOLMWkX8oB61WjA/5blJfNQ3VBzGSqwGs1gn +X5E5fyMh5df8h+BoJ05jS+sa2uio5lUJdrkfvIUXRMKb1M26ysGMduElCUkB +rJfE3P0A3PB2ZoJbIIAD2Qq3yoh8XXUqzU3JWFi3XboU7KrzVshphIxlvO3O +/Aluva0cIRItiMsN7o8VgSvTt7901RTCctmz+++ByRbetxy6hLB9LqX6DvG/ +x1TuTIRQcBJe2lRI5EfvilFTp+KFoPp/F4B7KZTJL71UnLbPfSWfqJftzhGn +LgrjggcDR2+CTytGzl1i0PCeGr0nuWCrQsaEyTwNh7sc0+OAWxjuGzLuiOD4 +VJ/MbLDntffqyd6iOMp/PyULnDhd0rR5sxiOGxLzywTnjHy8epgnhutnOP3p +4O3ni60174hj45R1OA2sb7zrWspJCWzw1rkgBaxN6qLn/SSJH1aHSV0Hu1O4 +cVYUKTxlEBp8ldhvcnNiUo8UnrW2+3AFXEoVnTS0l8bDa2vmyeDr3XoXV2qk +8ahtXPFlsFm//qygxjosx5hanwguMfBddWWvw+ymH8MSwM1t5hcoAjI4fAyN +xhPnQdrA9Yu/DF7K17GKA49bl7saDcpgecHPpTFgCU9bl84DsljgW/qGi8R6 +5pK2FbTK4m62QsQF8MbdCsUvDOVwYbvvWBQYoehi02I5fDP1htU58JbcWGHq +5vV4kFpQGgE+WM+9LHtjPQ6ViVcIB2el7lTxlZfHyTXmYWfBX0d94mSS5TGT +PDAcCqZS7G4LSijgAp71vhDwPeH6A3vjFfDQ8etFwUR93c5y6aBtwGJxVTJB +RP52I9kZsRuwsUVFcCC4TzZmoUpEEefmJbwLIPIrS9y6KUERW2QZMX8Hz6XS +1F5J0bHNrrZ8f7DzgHhR31U67vLTFPUj7jdmXZ6KkhKeMvX28wF36poNlOYq +4Zqqs90nwPuaXute3voddn7ms5MFVimr+qOi/Ds8ErEz/Vfw49+0z2swlXHA +y9ffvMDZaxd1h58pY9XmQ+6e4JMaao68X1Qwzb6o1YPI18yzQ29SBZufG9Bw +B6d71Lo/ObMRL+z/K/4IcR5TD6z2UlWxRv2TaVcinws2O1h2qji5TzOe8Grh +fLeNhyq2nuYGN7H+v0cMvS+L+/7XxnzNacLJ6dMNGWNK2PZUGp94T01t75ao +Qz3/73v7D13a3/g= + "]]}}]}, {}, {}}, {{}, {}, {}, + Annotation[{ + Hue[0.67, 0.6, 0.6], + Directive[ + PointSize[0.004583333333333334], + AbsoluteThickness[2], + GrayLevel[0], + Dashing[{Small, Small}]], + Line[{{-1.5, 0.}, {0., 0.}}]}, "Charting`Private`Tag#1"], + Annotation[{ + Hue[0.9060679774997897, 0.6, 0.6], + Directive[ + PointSize[0.004583333333333334], + AbsoluteThickness[2], + RGBColor[1, 0, 0], + Dashing[{Small, Small}]], + Line[CompressedData[" +1:eJw10FdME2AUBeBSxYJlr7JsUcImYchQlP+nEZBhESGKCMgQlCBTogZSRKkG +RA2QMmQKlhGgbJDZQBwMFcLeIzUygqBAI8NU9JLoTU5OvpfzcI8HRLgFEQkE +ggnkoAfWNdkfQ7c7Cf/OW69PqQvMqtpQPOU7jP7bZXG31RJ8qcbzaCd4zaW9 +0M50HNmZr/7mgRvF1xjaftPIqj12swO8IkmnRa3MIyM6ebEd7NjfR/Fd5CPN +ntzJNjDNn6XvzvqKlBmGn1vBzwXZKXzGMpIc6ehsASclVodM2K4iEU9GQzO4 +melmRQxeR9vzc6VvwGOW7G9ZXhtoNTA8pwnssBV3mqKyhRZW9180gkcmhc43 +1wRoNDLlUQPYK4XPDh37iXq3aXfrwXkTrlWyozuIx6wNrgPHdl/1tV7ZQ/VE +unctmGmblDshK0SlSUMXa8BPRxPjel33Ua5UwLlqMHnAU4vpRsCp6VsWVWCB ++0yLTqIIfqzK0ueCwxvl7by6iTimUJ5aCRapl9MnyxzGYdrFshXgT2LCGYNA +URzANRMtB+tGT3ype3sEe5h+2C0D36L7T6cZiGHnlstrpeBrCTNRXQXi2AYt +LZSAK9oWbpuqk7H5+3sjxeB5XZOCWY4E1nMi9XDAxPSUzQZzKUwdzGp7DRbl +JPtXDUljuSu61UUH/5jT359vl8Gk2ZaiQrCtsUvUOlsWC/0dM16BqamVHGGk +HN5YnkoqAFvEDFqS3OXxYlgIMx9smGY/RbJSwNOCXxF54PuTD87uaSnigZhn +N3LBPBUedVxJCb/7o+aRA5bIPGSfLUHBzU+4TtkH++v7D61JyphLtkYvweM2 +W6W8Iyq4KK3fJAuszuZkKZBVcQblulYmmO7jcMZaQQ0n539XzgDr+d1JMD2h +juM14yXSwWFcYdAPs2M4ulyawAaX8HeXwi9QcbBRoSANrFOcJ8UNpmGfJuPl +VPDJYsrOoK0GLts7X3dgYfnmMCNAA/8FCr6XOg== + "]]}, "Charting`Private`Tag#2"], + Annotation[{ + Hue[0.1421359549995791, 0.6, 0.6], + Directive[ + PointSize[0.004583333333333334], + AbsoluteThickness[2], + RGBColor[1, 0, 0]], + + Line[{{-1.4016256557213949`, 0.}, {-1.401624655721395, + 0.0000532131726537458}, {-1.4016236557213948`, + 0.00010641825791211426`}, {-1.4016233064208385`, 0.000125}}]}, + "Charting`Private`Tag#3"], + Annotation[{ + Hue[0.37820393249936934`, 0.6, 0.6], + Directive[ + PointSize[0.004583333333333334], + AbsoluteThickness[2], + GrayLevel[0]], + Line[CompressedData[" +1:eJwt1Hs4FFgfB/AxzBjkEjIZS1YbWyHFVDvpnCaMrEsi6bUoE7ZpXVp5iWKk +hMkrmooQhiRtZlHIXQili9yaLsp1XrmTS0rr/c3zvOd5znOezx/nOed8z++c +H9mBTj5EAoEQBF0y/muCQlb2X6gj/L+VmfHDFMHHqy+9bLhvhQ6tWhxXAHeX +RaV2lfgg72Czo/Lgev2DNuuPn0aK/radFPC8VU7Il6IYFLmwiyUL3vA2EsXN +JKFBFXIFCcyW33uI4JOKjlcXbJYBs+I40u43stHOJf1MomS9ZzqBzMI8FNUS +oSIFHpE1YdAC/kJ/GAijV/wW6uSeVNJGJorQT5oP576DhU6H0/XPPECijHTf +ZTAl2SqhxvMh+rvksOgr+Nwd215BajV67TZlswS+/cl5j/fVehR27UjVIviU +IIbOl21EdewCwwVwT9RzG1HeY9TT8OzmHHjP6OKSUWArGi59ovQZbKGk0JR4 +rA1pmmdzZ8D+71kG89EvUJqL4/QUmBau2lEobkd84tujk+DPvxp6ego6kJHF +7lfj4A6b38Pi/+xCV6jhzDHwZkGPj6FrDxrlJhV/ArPpm3wtnUToyNmoH0fA +djeFNS/Yb5GW4q/JYnAlzb6oNe49stw2QRgGK/MvRW9p+oDI45yTg+Bhi2bz +KF4fimXUf+wH82Nj/TNq+tG09rRDH9jVt3zhxLcBxLm+VPMBPDv36syoxRDS +uvXesBccGCvI1kwZRobWN9LfgUMKkdzinBg1c7fIvwUXGKu4nfMYQUoOWadF +kvnkth3l7Z+QUcmIuAc8xA6yTnEYQzb3FFy6wRoZrsa6r8cRz5zS2AmOotOu +OJ6YRBrHPph0gCfHnBPUZKaRum5iZjuYZKBjdkA0jf46RV31ElxRX8TvK55B +BM/wsOfgnVWh/9QnzSLGxzJxG/i3n8itM8GfEXfxmfNTcL+ahmWQ5xyav11d +3woWFMQ1M+3n0aOJGKMW8GMF39wjzAUk9+zntMdgKZ9e4zbGIpph5pGbwC8H +LGvO//IFZe//FtQALryvmRu3ewkx5jd9rAc7kwj0N6yvaGibmW0d+AuxsSXY +5RtqoFDLa8CXOg8WuXGW0VJIp141eCnlhQUv+jsSRnASK8EczsnXRME/SEb7 +zdJDcIyroL6xaQWtc9HzKQe73w/dJA4h4PX6Vu2lYEaL7LyikhQ2TWLuegCu +ezc5KsqXwsF86u0SSb4exuUsSyKWNWlRKQZ7GL+TcR0kYlVfxzN/g5vuaHPl +YqRxKf3+cCG4PG3rK4+NMlg9a2r/PTDR2ve2c7sMdsohVd6V7PeYzt3RMBJO +wvPrCyT50dpj9fTJeDak9j/54C4SaexrFxnf2Oe1mCepl61u3FMXZXH+g96j +t8CnNaOmLzEoeHeV6dMcsG0BY9RihoIj3Y+ZCsCNDK+16XflMC/VLyML7H3t +g36yrzyODtxPygQnThQ92rBBAcf3KwRkgLMHP109LFbAtZOCnjTw1vNCu413 +V+G9KavxDbDZ3h3XUk4qYvo7t/wUsBGhnZb7ixJ+WBmhfB3sRRLF25KU8Tg9 +PPSq5L6JDYlJncp4ys7x4xVwMVl+bKeTCh5YWWElg693mF5crFLBQw7xwstg +qx6zKWmD1VidMb4mEVxE91/24K/G/Ec/RySAG5pZF0hSqjhyGA3xJO9Bhe7x +NVAVz+cZ28aDR+xKPcz7VLGG9JfiWLCit4N72wE1LPU9be1FyXmmk7bkN6nh +Dj6VewG8bhdV+HKnOi5o8R+OBiMUI7QUquNbqTdtz4E35cTJkjeswX3k/GIu ++GCt6LLazTU4XJVHjQRnpm7X8dfQwMlVrIiz4G9DfvGqyRqYSewdCAeTSY53 +pBWpOF9sty8MfE+29sAeHhX3H79eGCqprzuZ7q2UtVghvkI1RJK/42BWetxa +vNe6LDQY3K0WO1shp4lzchPeB0nyK0ncvD5BE1tnmjP/BE+nUvReK9Ow/Y7m +vECwW++qwu6rNNwesFE+QPK/MWtydbS08Lilb4AfuM3Eqrc4RwtXVZztOAHe +9+iNyeXNP2C3537bOWCdkop/l5X+gAe529N+Bz/5w+i8AVMbB716890HnLVy +0WTguTbWbTjk5Q0+aaDnIv5NB1OcCpvYknytvFtNx3Qw61yvgRc4jV3t9fTM +Ojy7/7+8I5L3mHpguYusiw1qn054SPK5YL+N46iLk7s38iReLpjpsGfr4v8B +9n+vCg== + "]]}, "Charting`Private`Tag#4"]}}, "GCFlag" -> True|>, + "Meta" -> <| + "DefaultHighlight" -> {"Dynamic", None}, "Index" -> {}, "Function" -> + ListPlot, "GroupHighlight" -> False|>|>, + "DynamicHighlight"]], {{}, {}}}, AspectRatio->NCache[ Rational[1, 2], 0.5], Axes->{False, False}, @@ -251616,8 +327952,10 @@ T7/89sdf/4yPCYJ/+a9L0g== AxesOrigin->{-1.4015450000000031`, 0}, DisplayFunction->Identity, Epilog->{{ + EdgeForm[ + GrayLevel[0]], RGBColor[1, 0, 0], - PointBox[ + DiskBox[ NCache[{(Rational[-2731252950, 720952417] Log[ Rational[6056, 641]] - ( Rational[7459742676883702500, 519772387578141889] Log[ @@ -251643,14 +327981,105 @@ T7/89sdf/4yPCYJ/+a9L0g== Rational[6056, 641]]) (Rational[-59158938897, 77637920] + Rational[35014662819, 95238100] Log[ Rational[6056, 641]]))^Rational[1, 2])^Rational[-1, 2], - 0}, {-1.4015603778524226`, 0}]]}, + 0}, {-1.4015603778524226`, 0}], + Offset[2]]}, InsetBox[ FormBox[ StyleBox["\"\[Lambda] = 0.69\"", Directive[ GrayLevel[0], FontFamily -> "Times", FontSize -> 10], StripOnInput -> False], TraditionalForm], - Scaled[{0.85, 0.85}]]}, + Scaled[{0.85, 0.85}]], + InsetBox[ + FormBox[ + StyleBox[ + "\"\\!\\(\\*SubscriptBox[SuperscriptBox[StyleBox[\\\"E\\\",FontSlant->\\\ +\"Italic\\\"], \\\"-\\\"], RowBox[{\\\"\[NegativeThickSpace]\\\", \\\"\ +\[NegativeThinSpace] \[NegativeThickSpace] \[NegativeThickSpace]\ +\[NegativeThinSpace]\\\", RowBox[{\\\"1\\\", \ +\\\"\[NegativeVeryThinSpace]\\\", \\\"RSB\\\"}]}]]\\)\"", + Directive[ + GrayLevel[0], FontFamily -> "Times", FontSize -> 10, ScriptMinSize -> + 7], StripOnInput -> False], TraditionalForm], + NCache[{(Rational[-2731252950, 720952417] Log[ + Rational[6056, 641]] - ( + Rational[7459742676883702500, 519772387578141889] Log[ + Rational[6056, 641]]^2 + ( + Rational[9104176500000, 31690178737657] + + Rational[-6790593480250000, 47084436998983637] Log[ + Rational[6056, 641]]) (Rational[-59158938897, 77637920] + + Rational[35014662819, 95238100] Log[ + Rational[6056, 641]]))^ + Rational[1, 2]) ( + Rational[16157802638130099615000000, 495021346254058350387709] Log[ + Rational[6056, 641]] + + Rational[-285919471381950000000, 44842323193529035189697] ( + Rational[-59158938897, 77637920] + + Rational[35014662819, 95238100] Log[ + Rational[6056, 641]]) + + Rational[ + 5915893889700000, 686621383854877] ( + Rational[7459742676883702500, 519772387578141889] Log[ + Rational[6056, 641]]^2 + ( + Rational[9104176500000, 31690178737657] + + Rational[-6790593480250000, 47084436998983637] Log[ + Rational[6056, 641]]) (Rational[-59158938897, 77637920] + + Rational[35014662819, 95238100] Log[ + Rational[6056, 641]]))^Rational[1, 2])^Rational[-1, 2], + 0.000045}, {-1.4015603778524226`, 0.000045}]], + LineBox[ + NCache[{{(Rational[-2731252950, 720952417] Log[ + Rational[6056, 641]] - ( + Rational[7459742676883702500, 519772387578141889] Log[ + Rational[6056, 641]]^2 + ( + Rational[9104176500000, 31690178737657] + + Rational[-6790593480250000, 47084436998983637] Log[ + Rational[6056, 641]]) (Rational[-59158938897, 77637920] + + Rational[35014662819, 95238100] Log[ + Rational[6056, 641]]))^ + Rational[1, 2]) ( + Rational[16157802638130099615000000, 495021346254058350387709] Log[ + Rational[6056, 641]] + + Rational[-285919471381950000000, 44842323193529035189697] ( + Rational[-59158938897, 77637920] + + Rational[35014662819, 95238100] Log[ + Rational[6056, 641]]) + + Rational[ + 5915893889700000, 686621383854877] ( + Rational[7459742676883702500, 519772387578141889] Log[ + Rational[6056, 641]]^2 + ( + Rational[9104176500000, 31690178737657] + + Rational[-6790593480250000, 47084436998983637] Log[ + Rational[6056, 641]]) (Rational[-59158938897, 77637920] + + Rational[35014662819, 95238100] Log[ + Rational[6056, 641]]))^Rational[1, 2])^Rational[-1, 2], + 4.5*^-6}, {(Rational[-2731252950, 720952417] Log[ + Rational[6056, 641]] - ( + Rational[7459742676883702500, 519772387578141889] Log[ + Rational[6056, 641]]^2 + ( + Rational[9104176500000, 31690178737657] + + Rational[-6790593480250000, 47084436998983637] Log[ + Rational[6056, 641]]) (Rational[-59158938897, 77637920] + + Rational[35014662819, 95238100] Log[ + Rational[6056, 641]]))^ + Rational[1, 2]) ( + Rational[16157802638130099615000000, 495021346254058350387709] Log[ + Rational[6056, 641]] + + Rational[-285919471381950000000, 44842323193529035189697] ( + Rational[-59158938897, 77637920] + + Rational[35014662819, 95238100] Log[ + Rational[6056, 641]]) + + Rational[ + 5915893889700000, 686621383854877] ( + Rational[7459742676883702500, 519772387578141889] Log[ + Rational[6056, 641]]^2 + ( + Rational[9104176500000, 31690178737657] + + Rational[-6790593480250000, 47084436998983637] Log[ + Rational[6056, 641]]) (Rational[-59158938897, 77637920] + + Rational[35014662819, 95238100] Log[ + Rational[6056, 641]]))^Rational[1, 2])^Rational[-1, 2], + 0.000035}}, {{-1.4015603778524226`, 4.5*^-6}, {-1.4015603778524226`, + 0.000035}}]]}, Frame->True, FrameLabel->{ FormBox[ @@ -251679,51 +328108,52 @@ T7/89sdf/4yPCYJ/+a9L0g== AbsolutePointSize[6], "DefaultPlotStyle" -> { Directive[ RGBColor[0.368417, 0.506779, 0.709798], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.880722, 0.611041, 0.142051], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.560181, 0.691569, 0.194885], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.922526, 0.385626, 0.209179], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.528488, 0.470624, 0.701351], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.772079, 0.431554, 0.102387], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.363898, 0.618501, 0.782349], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[1, 0.75, 0], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.647624, 0.37816, 0.614037], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.571589, 0.586483, 0.], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.915, 0.3325, 0.2125], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.40082222609352647`, 0.5220066643438841, 0.85], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.9728288904374106, 0.621644452187053, 0.07336199581899142], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.736782672705901, 0.358, 0.5030266573755369], - AbsoluteThickness[1.6]], + AbsoluteThickness[2]], Directive[ RGBColor[0.28026441037696703`, 0.715, 0.4292089322474965], - AbsoluteThickness[1.6]]}, "DomainPadding" -> Scaled[0.02], + AbsoluteThickness[2]]}, "DomainPadding" -> Scaled[0.02], "PointSizeFunction" -> "SmallPointSize", "RangePadding" -> Scaled[0.05], - "OptimizePlotMarkers" -> True, "OptimizePlotMarkers" -> True, + "OptimizePlotMarkers" -> True, "IncludeHighlighting" -> "CurrentSet", + "HighlightStyle" -> Automatic, "OptimizePlotMarkers" -> True, "CoordinatesToolOptions" -> {"DisplayFunction" -> ({ Identity[ Part[#, 1]], @@ -251752,9 +328182,10 @@ T7/89sdf/4yPCYJ/+a9L0g== 3.895893364236532*^9, 3.8958934946266003`*^9}, 3.895893527779192*^9, 3.895893570881071*^9, {3.895893610864279*^9, 3.895893649032958*^9}, { 3.895893679705171*^9, 3.895893794357483*^9}, {3.895893825022285*^9, - 3.895893854112781*^9}}, + 3.895893854112781*^9}, 3.9017875902229843`*^9, 3.901799580318119*^9, { + 3.901804847406847*^9, 3.901804864086233*^9}}, CellLabel-> - "Out[1094]=",ExpressionUUID->"d4121fc3-1609-4210-aa9f-4d28c73f18e1"] + "Out[254]=",ExpressionUUID->"da4e4bfd-74d6-42b9-859a-4dd0435b2931"] }, Open ]], Cell[BoxData[ @@ -251764,7 +328195,7 @@ Cell[BoxData[ ";"}]], "Input", CellChangeTimes->{{3.895851014673624*^9, 3.895851033123664*^9}}, CellLabel-> - "In[1121]:=",ExpressionUUID->"337cfe81-d01e-4a57-830f-b98c62cf2ce3"], + "In[251]:=",ExpressionUUID->"337cfe81-d01e-4a57-830f-b98c62cf2ce3"], Cell[BoxData[ RowBox[{ @@ -251773,13 +328204,13 @@ Cell[BoxData[ ";"}]], "Input", CellChangeTimes->{{3.895851034848476*^9, 3.895851043320608*^9}}, CellLabel-> - "In[1096]:=",ExpressionUUID->"3366828d-9373-49c7-ae95-26e94c4a3432"] + "In[255]:=",ExpressionUUID->"3366828d-9373-49c7-ae95-26e94c4a3432"] }, Closed]] }, Open ]] }, -WindowSize->{630., 1010.25}, +WindowSize->{1426.5, 740.25}, WindowMargins->{{0, Automatic}, {Automatic, 0}}, -FrontEndVersion->"13.2 for Linux x86 (64-bit) (January 31, 2023)", +FrontEndVersion->"13.3 for Linux x86 (64-bit) (July 24, 2023)", StyleDefinitions->"Default.nb", ExpressionUUID->"e12b9d11-15e4-4292-b093-fe1d0644088d" ] @@ -251796,357 +328227,356 @@ CellTagsIndex->{} Notebook[{ Cell[CellGroupData[{ Cell[580, 22, 156, 3, 50, "Section",ExpressionUUID->"1258fc22-2c65-40df-b035-18e6e365a550"], -Cell[739, 27, 269, 5, 24, "Input",ExpressionUUID->"24814123-7852-4c4b-8b2a-23868ae5d135"], -Cell[1011, 34, 568, 13, 41, "Input",ExpressionUUID->"8f580c95-c538-4b0b-8452-d7c220bdce8e"], -Cell[1582, 49, 312, 8, 29, "Input",ExpressionUUID->"b59cf249-ca44-475a-ae9d-24b3a6f39e3f"], -Cell[1897, 59, 1060, 30, 45, "Input",ExpressionUUID->"6775fb63-c6cd-44be-914f-2c7f688d5937"], +Cell[739, 27, 269, 5, 22, "Input",ExpressionUUID->"24814123-7852-4c4b-8b2a-23868ae5d135"], +Cell[1011, 34, 568, 13, 38, "Input",ExpressionUUID->"8f580c95-c538-4b0b-8452-d7c220bdce8e"], +Cell[1582, 49, 312, 8, 27, "Input",ExpressionUUID->"b59cf249-ca44-475a-ae9d-24b3a6f39e3f"], +Cell[1897, 59, 1060, 30, 44, "Input",ExpressionUUID->"6775fb63-c6cd-44be-914f-2c7f688d5937"], Cell[2960, 91, 315, 7, 22, "Input",ExpressionUUID->"0aae9fe1-7c66-4450-961c-9126ebdfc904"], -Cell[3278, 100, 658, 18, 41, "Input",ExpressionUUID->"dd943898-4a30-4cb1-99da-01029ce8535e"], -Cell[3939, 120, 3453, 90, 171, "Input",ExpressionUUID->"d3514f64-51ca-4ce3-ad70-65c6f68bceff"], +Cell[3278, 100, 658, 18, 40, "Input",ExpressionUUID->"dd943898-4a30-4cb1-99da-01029ce8535e"], +Cell[3939, 120, 3453, 90, 86, "Input",ExpressionUUID->"d3514f64-51ca-4ce3-ad70-65c6f68bceff"], Cell[7395, 212, 1624, 49, 45, "Input",ExpressionUUID->"8379b36d-ccdb-480d-8abd-facda99dc891"], Cell[9022, 263, 1482, 43, 41, "Input",ExpressionUUID->"4f3af47f-40ec-4857-a4fb-b5627f840c19"], -Cell[10507, 308, 3064, 83, 160, "Input",ExpressionUUID->"b2b8c2c0-634e-4392-9fb2-b72141b50c7f"], -Cell[13574, 393, 2773, 76, 98, "Input",ExpressionUUID->"17ea178d-068c-4189-8467-4e3f593a7793"], -Cell[16350, 471, 1640, 43, 57, "Input",ExpressionUUID->"fb9c00c2-a63a-4aab-9721-dbe0129cf331"], -Cell[17993, 516, 2318, 79, 89, "Input",ExpressionUUID->"598a9125-521e-4227-a628-df2d8be72b02"], -Cell[20314, 597, 1393, 42, 60, "Input",ExpressionUUID->"4ee5a422-d462-42c0-ba1d-fcffc84d59ec"], +Cell[10507, 308, 3064, 83, 82, "Input",ExpressionUUID->"b2b8c2c0-634e-4392-9fb2-b72141b50c7f"], +Cell[13574, 393, 2773, 76, 65, "Input",ExpressionUUID->"17ea178d-068c-4189-8467-4e3f593a7793"], +Cell[16350, 471, 1640, 43, 22, "Input",ExpressionUUID->"fb9c00c2-a63a-4aab-9721-dbe0129cf331"], +Cell[17993, 516, 2318, 79, 84, "Input",ExpressionUUID->"598a9125-521e-4227-a628-df2d8be72b02"], +Cell[20314, 597, 1393, 42, 43, "Input",ExpressionUUID->"4ee5a422-d462-42c0-ba1d-fcffc84d59ec"], Cell[CellGroupData[{ Cell[21732, 643, 547, 14, 22, "Input",ExpressionUUID->"75a3b5ec-65cb-4107-99d0-7c2b1bfd227e"], -Cell[22282, 659, 473, 10, 22, "Message",ExpressionUUID->"2afb6b48-654f-4524-b4a1-3466d5eb362b"], -Cell[22758, 671, 2923, 82, 83, "Output",ExpressionUUID->"b182c659-6df0-4e49-bcbd-6dc74e3bac8e"] +Cell[22282, 659, 499, 11, 22, "Message",ExpressionUUID->"dce0b840-2042-4c29-9fe3-1df16452fe0d"], +Cell[22784, 672, 2833, 81, 55, "Output",ExpressionUUID->"e4efd316-9f7b-4d19-b709-d1587f830d1a"] +}, Open ]], +Cell[25632, 756, 2570, 63, 35, "Input",ExpressionUUID->"604d9c14-f809-4af6-899c-342148f11dbd"], +Cell[28205, 821, 1028, 26, 22, "Input",ExpressionUUID->"ce791b3f-bcfe-4fd3-a305-b446005c490a"], +Cell[CellGroupData[{ +Cell[29258, 851, 1236, 28, 37, "Input",ExpressionUUID->"2f65494b-daf1-4dfd-8620-33e00ea1aa9f"], +Cell[30497, 881, 8064, 227, 91, "Output",ExpressionUUID->"ae1cdb90-dbc8-4e68-9787-a0584d775405"] }, Open ]], -Cell[25696, 756, 2570, 63, 82, "Input",ExpressionUUID->"604d9c14-f809-4af6-899c-342148f11dbd"], -Cell[28269, 821, 1028, 26, 41, "Input",ExpressionUUID->"ce791b3f-bcfe-4fd3-a305-b446005c490a"], Cell[CellGroupData[{ -Cell[29322, 851, 1236, 28, 37, "Input",ExpressionUUID->"2f65494b-daf1-4dfd-8620-33e00ea1aa9f"], -Cell[30561, 881, 8222, 231, 172, "Output",ExpressionUUID->"250fe027-778b-495a-ac34-edf8afd0708e"] +Cell[38598, 1113, 1704, 44, 37, "Input",ExpressionUUID->"2b82d9bf-7955-47c5-8631-0812950b19d6"], +Cell[40305, 1159, 2664, 75, 44, "Output",ExpressionUUID->"ebec24e6-767f-4790-bc68-82b66a226bd3"] }, Open ]], +Cell[42984, 1237, 1833, 47, 24, "Input",ExpressionUUID->"1fec97ca-6012-42c8-955b-4b584853f0aa"], +Cell[44820, 1286, 1478, 34, 24, "Input",ExpressionUUID->"55a2fdba-bd3f-44b5-ae96-476b32e66f0f"], Cell[CellGroupData[{ -Cell[38820, 1117, 1704, 44, 95, "Input",ExpressionUUID->"2b82d9bf-7955-47c5-8631-0812950b19d6"], -Cell[40527, 1163, 2642, 75, 67, "Output",ExpressionUUID->"0e48ae36-fa55-48ee-a013-898ac11da013"] +Cell[46323, 1324, 215, 3, 22, "Input",ExpressionUUID->"ebdf2819-fc8c-4e61-984c-ed17a754bc63"], +Cell[46541, 1329, 19068, 517, 270, "Output",ExpressionUUID->"bd395c7d-ab76-4f67-819c-c43e51105eed"] }, Open ]], -Cell[43184, 1241, 1833, 47, 43, "Input",ExpressionUUID->"1fec97ca-6012-42c8-955b-4b584853f0aa"], -Cell[45020, 1290, 1478, 34, 25, "Input",ExpressionUUID->"55a2fdba-bd3f-44b5-ae96-476b32e66f0f"], Cell[CellGroupData[{ -Cell[46523, 1328, 215, 3, 22, "Input",ExpressionUUID->"ebdf2819-fc8c-4e61-984c-ed17a754bc63"], -Cell[46741, 1333, 19159, 521, 618, "Output",ExpressionUUID->"1b3f94f7-aeda-4206-8860-60b42630b3d2"] +Cell[65646, 1851, 182, 2, 22, "Input",ExpressionUUID->"ac488b70-18e2-46e6-970a-3902b05fd01b"], +Cell[65831, 1855, 9637, 284, 86, "Output",ExpressionUUID->"76546958-91ef-4b5c-b49c-aba0133edd6e"] }, Open ]], +Cell[75483, 2142, 10607, 269, 312, "Input",ExpressionUUID->"cb20f0f8-2287-42d2-99df-d27ce05d5b05"], +Cell[86093, 2413, 2222, 65, 37, "Input",ExpressionUUID->"cd91e275-03f3-4461-8501-2205a73bd05e"], +Cell[88318, 2480, 4518, 149, 120, "Input",ExpressionUUID->"4ba3dd87-b2cb-4c76-a98a-6054f8debc1a"], +Cell[92839, 2631, 8782, 225, 348, "Input",ExpressionUUID->"7f37acc9-12f4-425d-87db-69c6ce34abba"], +Cell[101624, 2858, 3713, 106, 70, "Input",ExpressionUUID->"f5313ca1-edd2-4de6-8800-8480570b74e7"], +Cell[105340, 2966, 3679, 84, 119, "Input",ExpressionUUID->"98c957ba-9f95-416f-bf36-aa5b29619f6d"], +Cell[109022, 3052, 733, 20, 35, "Input",ExpressionUUID->"ea86d196-e568-47ff-968a-1e18c9f27540"], +Cell[109758, 3074, 528, 14, 24, "Input",ExpressionUUID->"33506047-b800-446c-a4d0-a723d393c02c"], Cell[CellGroupData[{ -Cell[65937, 1859, 182, 2, 22, "Input",ExpressionUUID->"ac488b70-18e2-46e6-970a-3902b05fd01b"], -Cell[66122, 1863, 9689, 283, 185, "Output",ExpressionUUID->"e930b368-bd52-448d-86e0-7aac5579d02b"] +Cell[110311, 3092, 966, 25, 24, "Input",ExpressionUUID->"8444be8d-fb3d-4d96-bea3-fcd3c8c1c35e"], +Cell[111280, 3119, 2696, 84, 66, "Output",ExpressionUUID->"ae8152ae-e161-492b-b4ba-f8d43e8a9ae4"] }, Open ]], -Cell[75826, 2149, 10607, 269, 394, "Input",ExpressionUUID->"cb20f0f8-2287-42d2-99df-d27ce05d5b05"], -Cell[86436, 2420, 2222, 65, 70, "Input",ExpressionUUID->"cd91e275-03f3-4461-8501-2205a73bd05e"], -Cell[88661, 2487, 4518, 149, 160, "Input",ExpressionUUID->"4ba3dd87-b2cb-4c76-a98a-6054f8debc1a"], -Cell[93182, 2638, 8782, 225, 617, "Input",ExpressionUUID->"7f37acc9-12f4-425d-87db-69c6ce34abba"], -Cell[101967, 2865, 3713, 106, 216, "Input",ExpressionUUID->"f5313ca1-edd2-4de6-8800-8480570b74e7"], -Cell[105683, 2973, 3679, 84, 215, "Input",ExpressionUUID->"98c957ba-9f95-416f-bf36-aa5b29619f6d"], -Cell[109365, 3059, 733, 20, 36, "Input",ExpressionUUID->"ea86d196-e568-47ff-968a-1e18c9f27540"], -Cell[110101, 3081, 528, 14, 24, "Input",ExpressionUUID->"33506047-b800-446c-a4d0-a723d393c02c"], Cell[CellGroupData[{ -Cell[110654, 3099, 966, 25, 24, "Input",ExpressionUUID->"8444be8d-fb3d-4d96-bea3-fcd3c8c1c35e"], -Cell[111623, 3126, 1070, 32, 56, "Output",ExpressionUUID->"fc8b5119-4854-4212-9289-903b29fcf963"] +Cell[114013, 3208, 1903, 54, 24, "Input",ExpressionUUID->"fc2c504f-7389-43b0-97f2-e34c4e79950a"], +Cell[115919, 3264, 655, 16, 35, "Output",ExpressionUUID->"746f976b-ddd1-4458-8ef0-400a402e15ee"] }, Open ]] }, Open ]], Cell[CellGroupData[{ -Cell[112742, 3164, 154, 3, 50, "Section",ExpressionUUID->"e3a8ada0-f4bf-4d73-b250-6b2d63cc1e05"], +Cell[116623, 3286, 154, 3, 50, "Section",ExpressionUUID->"e3a8ada0-f4bf-4d73-b250-6b2d63cc1e05"], Cell[CellGroupData[{ -Cell[112921, 3171, 157, 2, 41, "Subsection",ExpressionUUID->"b512ea0b-ec7d-4e99-b601-c5ca7663ed88"], +Cell[116802, 3293, 157, 2, 41, "Subsection",ExpressionUUID->"b512ea0b-ec7d-4e99-b601-c5ca7663ed88"], Cell[CellGroupData[{ -Cell[113103, 3177, 954, 24, 41, "Input",ExpressionUUID->"c11486ac-d1a4-496c-8e21-069bf0e12242"], -Cell[114060, 3203, 535, 11, 25, "Output",ExpressionUUID->"24105e6a-dc40-4574-8703-e65dc26daa54"] +Cell[116984, 3299, 1006, 25, 22, "Input",ExpressionUUID->"c11486ac-d1a4-496c-8e21-069bf0e12242"], +Cell[117993, 3326, 705, 16, 35, "Output",ExpressionUUID->"9a481ee7-ebf4-412e-a369-1f28ff15cd6e"] }, Open ]], -Cell[114610, 3217, 3410, 77, 160, "Input",ExpressionUUID->"4839e59d-cdcc-49eb-a682-11a0b3eea261"], -Cell[118023, 3296, 1814, 45, 126, "Input",ExpressionUUID->"9ca16708-b69c-4475-b70e-81dc91ed2764"], +Cell[118713, 3345, 3410, 77, 56, "Input",ExpressionUUID->"4839e59d-cdcc-49eb-a682-11a0b3eea261"], +Cell[122126, 3424, 1814, 45, 53, "Input",ExpressionUUID->"9ca16708-b69c-4475-b70e-81dc91ed2764"], Cell[CellGroupData[{ -Cell[119862, 3345, 751, 19, 24, "Input",ExpressionUUID->"78686c83-7306-4ba3-97bb-55cf72c3c687"], -Cell[120616, 3366, 485, 8, 25, "Output",ExpressionUUID->"aa890872-b96e-44b3-a490-5e3d2facc23c"] +Cell[123965, 3473, 751, 19, 22, "Input",ExpressionUUID->"78686c83-7306-4ba3-97bb-55cf72c3c687"], +Cell[124719, 3494, 513, 9, 25, "Output",ExpressionUUID->"7a06d093-46e2-4c97-be00-1388366620ae"] }, Open ]], -Cell[121116, 3377, 2166, 53, 177, "Input",ExpressionUUID->"51b13c51-892c-41c0-a649-06bf690ed7fa"], +Cell[125247, 3506, 2166, 53, 72, "Input",ExpressionUUID->"51b13c51-892c-41c0-a649-06bf690ed7fa"], Cell[CellGroupData[{ -Cell[123307, 3434, 5755, 148, 314, "Input",ExpressionUUID->"eed16e71-b1cf-401d-88ba-69416f991e28"], -Cell[129065, 3584, 105482, 1786, 154, "Output",ExpressionUUID->"770c90e2-f859-4186-837c-b5ff7da78be2"] -}, Open ]], -Cell[234562, 5373, 285, 7, 24, "Input",ExpressionUUID->"82314c9e-cbb2-4525-b8ed-399ce71b653e"] +Cell[127438, 3563, 5755, 148, 153, "Input",ExpressionUUID->"eed16e71-b1cf-401d-88ba-69416f991e28"], +Cell[133196, 3713, 583831, 9775, 154, "Output",ExpressionUUID->"714c7211-45c3-41dc-a3c4-30d5f919f6b3"] }, Open ]], +Cell[717042, 13491, 281, 6, 22, "Input",ExpressionUUID->"82314c9e-cbb2-4525-b8ed-399ce71b653e"] +}, Closed]], Cell[CellGroupData[{ -Cell[234884, 5385, 165, 3, 41, "Subsection",ExpressionUUID->"43cfe949-fa48-4a47-bf76-0ef4c9cf1e6a"], -Cell[235052, 5390, 665, 20, 49, "Input",ExpressionUUID->"1978b734-521c-4a20-afa3-c8f35f90f937"], -Cell[235720, 5412, 534, 13, 33, "Input",ExpressionUUID->"7f71768c-5c8d-4d76-ac10-93b67f425d9a"], -Cell[236257, 5427, 814, 22, 33, "Input",ExpressionUUID->"dbb20d14-8a14-4eda-896e-11c71393c1d2"], -Cell[CellGroupData[{ -Cell[237096, 5453, 272, 7, 35, "Input",ExpressionUUID->"e61a45c5-9d12-40d0-b148-d5de65cf95e3"], -Cell[237371, 5462, 295, 5, 36, "Output",ExpressionUUID->"9b0b0d3a-dad7-4599-af6c-864f11552c2c"] -}, Open ]], +Cell[717360, 13502, 165, 3, 30, "Subsection",ExpressionUUID->"43cfe949-fa48-4a47-bf76-0ef4c9cf1e6a"], +Cell[717528, 13507, 664, 20, 35, "Input",ExpressionUUID->"1978b734-521c-4a20-afa3-c8f35f90f937"], +Cell[718195, 13529, 533, 13, 22, "Input",ExpressionUUID->"7f71768c-5c8d-4d76-ac10-93b67f425d9a"], +Cell[718731, 13544, 813, 22, 22, "Input",ExpressionUUID->"dbb20d14-8a14-4eda-896e-11c71393c1d2"], Cell[CellGroupData[{ -Cell[237703, 5472, 2636, 59, 54, "Input",ExpressionUUID->"244182a6-0bc2-440d-9bad-8c02ec6afd5c"], -Cell[240342, 5533, 781, 15, 32, "Message",ExpressionUUID->"e763fd8b-6ccd-458c-a825-41b18be474c0"], -Cell[241126, 5550, 812, 16, 33, "Message",ExpressionUUID->"4359e67a-7bb9-4733-ac57-918be069f258"], -Cell[241941, 5568, 816, 16, 22, "Message",ExpressionUUID->"158021ae-ea54-4f24-a08e-de51e3ff4e15"], -Cell[242760, 5586, 783, 15, 32, "Message",ExpressionUUID->"1e66a2e7-a31b-4d06-9b50-6be7ea7046b3"], -Cell[243546, 5603, 859, 16, 22, "Message",ExpressionUUID->"6ae8cdfb-a7a5-419e-b813-3d4e18a37b63"], -Cell[244408, 5621, 818, 16, 22, "Message",ExpressionUUID->"a944780f-4411-43c1-9392-ae87675594e5"], -Cell[245229, 5639, 1242, 22, 22, "Message",ExpressionUUID->"92733b10-79d1-4a74-a713-8b1ef052850b"], -Cell[246474, 5663, 816, 16, 22, "Message",ExpressionUUID->"0d65828c-ee59-4bb4-8d20-7e0082e68645"], -Cell[247293, 5681, 865, 16, 22, "Message",ExpressionUUID->"b6072731-f230-4d2b-940b-dc383d4a01b0"], -Cell[248161, 5699, 1243, 22, 22, "Message",ExpressionUUID->"6433ac15-e54e-4729-b9f3-1d97f6789843"], -Cell[249407, 5723, 1458, 25, 22, "Message",ExpressionUUID->"75ce24e3-1653-4cd4-be7d-51e2170f8e4b"], -Cell[250868, 5750, 1241, 22, 22, "Message",ExpressionUUID->"58e18bcd-1162-4af8-b5e6-ddf85aa71599"], -Cell[252112, 5774, 865, 16, 22, "Message",ExpressionUUID->"abb13cf9-d87f-42ae-8974-01462dc94544"], -Cell[252980, 5792, 1458, 25, 22, "Message",ExpressionUUID->"0df2d60c-65a4-4af9-896b-8c20da5bdcb8"], -Cell[254441, 5819, 1455, 25, 22, "Message",ExpressionUUID->"c76b1b18-0443-4284-bbd3-b0f83ce297e5"], -Cell[255899, 5846, 865, 16, 22, "Message",ExpressionUUID->"1d2f95f2-cc97-4996-8b8f-dc1602f29c19"], -Cell[256767, 5864, 1014, 19, 22, "Message",ExpressionUUID->"3389c0a8-0c61-444f-8344-569acdad5b8f"], -Cell[257784, 5885, 2322, 36, 32, "Message",ExpressionUUID->"147baf17-4634-4bc6-8bc5-8f227d5ccd7e"], -Cell[260109, 5923, 2341, 37, 32, "Message",ExpressionUUID->"95897349-5f38-4f6d-9e28-f3225feace23"], -Cell[262453, 5962, 2345, 37, 32, "Message",ExpressionUUID->"fb19785e-8a79-42a2-82bf-1dddaba74383"], -Cell[264801, 6001, 861, 16, 22, "Message",ExpressionUUID->"677afbc6-e076-449e-84ae-dc5d3c35db5c"] +Cell[719569, 13570, 271, 7, 22, "Input",ExpressionUUID->"e61a45c5-9d12-40d0-b148-d5de65cf95e3"], +Cell[719843, 13579, 340, 5, 35, "Output",ExpressionUUID->"46be23f6-2d3d-4889-90a4-535a488cab69"] }, Open ]], Cell[CellGroupData[{ -Cell[265699, 6022, 225, 4, 24, "Input",ExpressionUUID->"1721e026-968c-44ef-9b61-08adc7106fde"], -Cell[265927, 6028, 319, 5, 25, "Output",ExpressionUUID->"1f8aff12-466d-4370-9937-dc5ca40426fd"] +Cell[720220, 13589, 2635, 59, 41, "Input",ExpressionUUID->"244182a6-0bc2-440d-9bad-8c02ec6afd5c"], +Cell[722858, 13650, 1547, 26, 22, "Message",ExpressionUUID->"53e2435e-8599-4475-b987-d198482292ae"], +Cell[724408, 13678, 1547, 26, 22, "Message",ExpressionUUID->"a758577b-eead-453d-9b47-04787335dcbb"], +Cell[725958, 13706, 875, 16, 31, "Message",ExpressionUUID->"b3b253b7-360f-4d9e-a7d3-874ee41256f9"], +Cell[726836, 13724, 904, 17, 32, "Message",ExpressionUUID->"c02d3468-5920-4dec-88b1-3578e72d0755"], +Cell[727743, 13743, 908, 17, 22, "Message",ExpressionUUID->"055c6579-a28c-4ab4-b53c-cf533fed54b0"], +Cell[728654, 13762, 875, 16, 31, "Message",ExpressionUUID->"84e3e459-b475-45ff-9a95-918089409971"], +Cell[729532, 13780, 950, 17, 22, "Message",ExpressionUUID->"f9cf639c-57a3-49ba-a6f3-422addbcbae0"], +Cell[730485, 13799, 908, 17, 22, "Message",ExpressionUUID->"4be15876-babd-43df-a4df-a5b2d053aea4"], +Cell[731396, 13818, 1335, 23, 22, "Message",ExpressionUUID->"b541a004-f5f9-4fa0-9511-c7d7354c2095"], +Cell[732734, 13843, 908, 17, 22, "Message",ExpressionUUID->"33c105c8-28a4-4235-a3ec-775b821c69c5"], +Cell[733645, 13862, 954, 17, 22, "Message",ExpressionUUID->"eca87a4c-7971-416c-8317-7921752fc13e"], +Cell[734602, 13881, 1334, 23, 22, "Message",ExpressionUUID->"0e5952a1-e33a-4f39-b275-84c625138feb"], +Cell[735939, 13906, 1123, 20, 22, "Message",ExpressionUUID->"49dbc868-a8de-4cc5-bc93-4aa9baa47461"], +Cell[737065, 13928, 1333, 23, 22, "Message",ExpressionUUID->"23d68740-bc2f-4d55-944d-72c049e258d5"], +Cell[738401, 13953, 955, 17, 22, "Message",ExpressionUUID->"c0f5168e-1b6c-4dc0-882e-41597f278b79"], +Cell[739359, 13972, 1547, 26, 22, "Message",ExpressionUUID->"eda73344-c85b-4690-b58d-43ee7669c4d9"], +Cell[740909, 14000, 955, 17, 22, "Message",ExpressionUUID->"d707c410-8f16-4909-85f2-0e55088fcb2b"], +Cell[741867, 14019, 1123, 20, 22, "Message",ExpressionUUID->"fbbb9054-9d23-44ef-8a72-0a7faf59e1a9"], +Cell[742993, 14041, 2416, 38, 31, "Message",ExpressionUUID->"c46bc473-f929-41f3-bffe-7a0df462269f"], +Cell[745412, 14081, 2417, 38, 31, "Message",ExpressionUUID->"03dcb1c2-5cb1-47ce-84d3-a32430925d72"], +Cell[747832, 14121, 2414, 37, 31, "Message",ExpressionUUID->"e958c894-a6a6-4cce-9b7e-092a49155aa5"], +Cell[750249, 14160, 951, 17, 22, "Message",ExpressionUUID->"e44933c1-e65e-448e-9658-990df7c4e795"] }, Open ]], Cell[CellGroupData[{ -Cell[266283, 6038, 1068, 23, 35, "Input",ExpressionUUID->"aac3cfcd-e231-49b2-81ec-d2983cedb5ef"], -Cell[267354, 6063, 1126, 24, 36, "Output",ExpressionUUID->"ea2f2874-931d-4481-b56b-99d38820a0a7"] +Cell[751237, 14182, 225, 4, 22, "Input",ExpressionUUID->"1721e026-968c-44ef-9b61-08adc7106fde"], +Cell[751465, 14188, 395, 6, 35, "Output",ExpressionUUID->"aaab1934-4405-4abf-bf5c-f44415e86bcd"] }, Open ]], Cell[CellGroupData[{ -Cell[268517, 6092, 2830, 65, 53, "Input",ExpressionUUID->"7279ba58-9a6b-46fd-ad27-102138f08732"], -Cell[271350, 6159, 692, 14, 22, "Message",ExpressionUUID->"4cf657a6-d9e1-4763-b902-4b6c99cd615b"] +Cell[751897, 14199, 1067, 23, 22, "Input",ExpressionUUID->"aac3cfcd-e231-49b2-81ec-d2983cedb5ef"], +Cell[752967, 14224, 1222, 25, 35, "Output",ExpressionUUID->"db649891-85ae-42cf-91e9-af2f964ebb96"] }, Open ]], +Cell[754204, 14252, 2829, 65, 41, "Input",ExpressionUUID->"7279ba58-9a6b-46fd-ad27-102138f08732"], Cell[CellGroupData[{ -Cell[272079, 6178, 228, 4, 35, "Input",ExpressionUUID->"3e2e1f17-6b13-44e5-aa3d-08e6299ad40b"], -Cell[272310, 6184, 279, 5, 36, "Output",ExpressionUUID->"3afceb76-a434-46f6-adc2-14673f6fa68b"] +Cell[757058, 14321, 227, 4, 22, "Input",ExpressionUUID->"3e2e1f17-6b13-44e5-aa3d-08e6299ad40b"], +Cell[757288, 14327, 322, 5, 35, "Output",ExpressionUUID->"cea5b325-3501-433e-859b-20badf703d10"] }, Open ]], -Cell[272604, 6192, 1454, 35, 35, "Input",ExpressionUUID->"234a5c34-3f80-4406-8d64-8ac67ea0957a"], +Cell[757625, 14335, 1453, 35, 22, "Input",ExpressionUUID->"234a5c34-3f80-4406-8d64-8ac67ea0957a"], Cell[CellGroupData[{ -Cell[274083, 6231, 1812, 36, 37, "Input",ExpressionUUID->"d6e01bbf-aacc-46df-9f2f-e51f2de0ad4c"], -Cell[275898, 6269, 1184, 22, 36, "Output",ExpressionUUID->"5639f41a-1e1c-4803-9549-7bcdec160801"] +Cell[759103, 14374, 1811, 36, 24, "Input",ExpressionUUID->"d6e01bbf-aacc-46df-9f2f-e51f2de0ad4c"], +Cell[760917, 14412, 1231, 23, 35, "Output",ExpressionUUID->"20e52367-8109-4575-bed4-e16d396ffba0"] }, Open ]], -Cell[277097, 6294, 2611, 62, 53, "Input",ExpressionUUID->"30d70f9b-b21b-475d-a235-5f64ba705fbf"], +Cell[762163, 14438, 2610, 62, 41, "Input",ExpressionUUID->"30d70f9b-b21b-475d-a235-5f64ba705fbf"], Cell[CellGroupData[{ -Cell[279733, 6360, 1293, 31, 35, "Input",ExpressionUUID->"e79f5e7c-7696-4be7-b0b5-b6d2234fe1fc"], -Cell[281029, 6393, 998, 22, 36, "Output",ExpressionUUID->"01aa5861-b899-4299-9ede-a48040c9c6d3"] +Cell[764798, 14504, 1292, 31, 22, "Input",ExpressionUUID->"e79f5e7c-7696-4be7-b0b5-b6d2234fe1fc"], +Cell[766093, 14537, 1049, 23, 35, "Output",ExpressionUUID->"e9a4089d-21c5-46bc-bcb8-41ed57612ad6"] }, Open ]], Cell[CellGroupData[{ -Cell[282064, 6420, 457, 11, 35, "Input",ExpressionUUID->"d9205a6e-2216-438e-af72-ef12dc8a189b"], -Cell[282524, 6433, 405, 6, 36, "Output",ExpressionUUID->"68816c80-5c37-43de-990a-1ae2c01eb3b7"] +Cell[767179, 14565, 456, 11, 22, "Input",ExpressionUUID->"d9205a6e-2216-438e-af72-ef12dc8a189b"], +Cell[767638, 14578, 452, 7, 35, "Output",ExpressionUUID->"5db74390-f0b5-45f7-9f8c-1292343d8411"] }, Open ]], Cell[CellGroupData[{ -Cell[282966, 6444, 6053, 147, 123, "Input",ExpressionUUID->"b5376376-977c-4387-9c6d-1239d5069333"], -Cell[289022, 6593, 5874897, 113633, 84, "Output",ExpressionUUID->"c877f2e1-eea0-4dc2-932d-f5128c9c9d05"] +Cell[768127, 14590, 6283, 152, 107, "Input",ExpressionUUID->"b5376376-977c-4387-9c6d-1239d5069333"], +Cell[774413, 14744, 6546706, 125902, 85, "Output",ExpressionUUID->"243284e9-dce0-4523-9a08-fe7983fe27dd"] }, Open ]], Cell[CellGroupData[{ -Cell[6163956, 120231, 1302, 35, 35, "Input",ExpressionUUID->"1fd67170-95be-43a9-9fbf-19de46a99b77"], -Cell[6165261, 120268, 104711, 1774, 194, "Output",ExpressionUUID->"946a0c73-0870-4b73-988e-9428a0b04480"] +Cell[7321156, 140651, 1301, 35, 22, "Input",ExpressionUUID->"1fd67170-95be-43a9-9fbf-19de46a99b77"], +Cell[7322460, 140688, 300676, 5027, 192, "Output",ExpressionUUID->"591a64b3-35e7-4057-a9b2-fb8a922880e1"] }, Open ]], Cell[CellGroupData[{ -Cell[6270009, 122047, 1134, 28, 35, "Input",ExpressionUUID->"5fa7afc4-10a0-4755-adba-bfa22cf5262b"], -Cell[6271146, 122077, 26067, 443, 289, "Output",ExpressionUUID->"ad8cde4a-4b07-48d9-b316-684e7d7d39e8"] +Cell[7623173, 145720, 1133, 28, 22, "Input",ExpressionUUID->"5fa7afc4-10a0-4755-adba-bfa22cf5262b"], +Cell[7624309, 145750, 26115, 444, 287, "Output",ExpressionUUID->"c7e601ac-ea9d-4fd2-87b3-0a0d7c5ccb05"] }, Open ]], Cell[CellGroupData[{ -Cell[6297250, 122525, 5161, 127, 104, "Input",ExpressionUUID->"3db8af64-4e72-4497-b50f-926281527094"], -Cell[6302414, 122654, 6422271, 116010, 227, "Output",ExpressionUUID->"3985ce51-7e7c-46a3-bc14-cab977c99fd2"] +Cell[7650461, 146199, 8446, 212, 122, "Input",ExpressionUUID->"3db8af64-4e72-4497-b50f-926281527094"], +Cell[7658910, 146413, 7307778, 132153, 227, "Output",ExpressionUUID->"7f2c3cb2-c823-46bb-a035-67d6dc074f24"] }, Open ]], -Cell[12724700, 238667, 287, 7, 35, "Input",ExpressionUUID->"f42ddac5-b6f0-4ef6-8e22-f4a88feed1b8"] +Cell[14966703, 278569, 286, 7, 22, "Input",ExpressionUUID->"f42ddac5-b6f0-4ef6-8e22-f4a88feed1b8"] }, Closed]], Cell[CellGroupData[{ -Cell[12725024, 238679, 209, 4, 30, "Subsection",ExpressionUUID->"07c0bcf6-8f4d-47d0-98a1-ae438aedf653"], -Cell[12725236, 238685, 1304, 29, 36, "Input",ExpressionUUID->"37943cce-8511-4624-acdd-6793c51582ac"], -Cell[12726543, 238716, 563, 13, 22, "Input",ExpressionUUID->"65991956-73af-4b5c-befd-3c8cd033018d"], -Cell[12727109, 238731, 1503, 35, 24, "Input",ExpressionUUID->"e3070f2a-4217-4b5c-911a-c2f8441183a7"], +Cell[14967026, 278581, 209, 4, 30, "Subsection",ExpressionUUID->"07c0bcf6-8f4d-47d0-98a1-ae438aedf653"], +Cell[14967238, 278587, 1304, 29, 35, "Input",ExpressionUUID->"37943cce-8511-4624-acdd-6793c51582ac"], +Cell[14968545, 278618, 563, 13, 22, "Input",ExpressionUUID->"65991956-73af-4b5c-befd-3c8cd033018d"], +Cell[14969111, 278633, 1503, 35, 22, "Input",ExpressionUUID->"e3070f2a-4217-4b5c-911a-c2f8441183a7"], Cell[CellGroupData[{ -Cell[12728637, 238770, 1861, 36, 25, "Input",ExpressionUUID->"61441c88-dfe4-4d01-ac60-4198903dc780"], -Cell[12730501, 238808, 1651, 27, 25, "Output",ExpressionUUID->"cc018f7b-12d9-4a6c-8a65-d70c1fe26e9b"] +Cell[14970639, 278672, 1861, 36, 24, "Input",ExpressionUUID->"61441c88-dfe4-4d01-ac60-4198903dc780"], +Cell[14972503, 278710, 1727, 28, 35, "Output",ExpressionUUID->"8578dc75-65f3-42e0-9739-16e29604c4c2"] }, Open ]], -Cell[12732167, 238838, 2662, 62, 41, "Input",ExpressionUUID->"a911d059-0604-455d-a053-a74ec1e0eefd"], +Cell[14974245, 278741, 2662, 62, 41, "Input",ExpressionUUID->"a911d059-0604-455d-a053-a74ec1e0eefd"], Cell[CellGroupData[{ -Cell[12734854, 238904, 1340, 31, 24, "Input",ExpressionUUID->"e48ed740-fd4b-414f-9299-bdb1e54be5a0"], -Cell[12736197, 238937, 1349, 27, 25, "Output",ExpressionUUID->"f09ae478-d27f-49fc-83a6-14be65c54758"] +Cell[14976932, 278807, 1340, 31, 22, "Input",ExpressionUUID->"e48ed740-fd4b-414f-9299-bdb1e54be5a0"], +Cell[14978275, 278840, 1399, 28, 35, "Output",ExpressionUUID->"102a0446-fef8-40a7-abca-8096c51088ff"] }, Open ]], Cell[CellGroupData[{ -Cell[12737583, 238969, 339, 9, 22, "Input",ExpressionUUID->"7cabf9bb-9c8c-4726-96ca-06130015c388"], -Cell[12737925, 238980, 295, 6, 25, "Output",ExpressionUUID->"32f402da-aea2-4b3f-b2c0-757c2ce46b1b"] +Cell[14979711, 278873, 339, 9, 22, "Input",ExpressionUUID->"7cabf9bb-9c8c-4726-96ca-06130015c388"], +Cell[14980053, 278884, 342, 7, 35, "Output",ExpressionUUID->"dfd7a31d-b808-486f-9508-8acbe3879af2"] }, Open ]], -Cell[12738235, 238989, 633, 18, 36, "Input",ExpressionUUID->"9f30b30b-c6bc-4415-92fa-90678ce48732"], -Cell[12738871, 239009, 1083, 29, 24, "Input",ExpressionUUID->"eaa0531d-3594-4859-80c7-11864c618563"], -Cell[12739957, 239040, 2296, 52, 41, "Input",ExpressionUUID->"52f27624-0af6-4619-8afe-cae8a594e965"], -Cell[12742256, 239094, 1646, 43, 41, "Input",ExpressionUUID->"d7d0a4aa-9e47-44e4-b0b0-5ca98e1634d0"], -Cell[12743905, 239139, 1714, 43, 41, "Input",ExpressionUUID->"76f112c5-a1e0-44dd-813c-82ae4dc5a97a"], -Cell[12745622, 239184, 1779, 46, 41, "Input",ExpressionUUID->"24019924-131a-44ba-9fb0-bfeeb2bb9ff3"], -Cell[12747404, 239232, 1736, 44, 41, "Input",ExpressionUUID->"d8e127cb-dd1e-4649-8cc7-bcadfe0ad3f2"], -Cell[12749143, 239278, 478, 11, 22, "Input",ExpressionUUID->"f276ccd8-82fb-4fac-83d5-a964859b2735"], +Cell[14980410, 278894, 633, 18, 35, "Input",ExpressionUUID->"9f30b30b-c6bc-4415-92fa-90678ce48732"], +Cell[14981046, 278914, 1083, 29, 22, "Input",ExpressionUUID->"eaa0531d-3594-4859-80c7-11864c618563"], +Cell[14982132, 278945, 2347, 53, 41, "Input",ExpressionUUID->"52f27624-0af6-4619-8afe-cae8a594e965"], +Cell[14984482, 279000, 1646, 43, 41, "Input",ExpressionUUID->"d7d0a4aa-9e47-44e4-b0b0-5ca98e1634d0"], +Cell[14986131, 279045, 1714, 43, 41, "Input",ExpressionUUID->"76f112c5-a1e0-44dd-813c-82ae4dc5a97a"], +Cell[14987848, 279090, 1829, 47, 41, "Input",ExpressionUUID->"24019924-131a-44ba-9fb0-bfeeb2bb9ff3"], +Cell[14989680, 279139, 1736, 44, 41, "Input",ExpressionUUID->"d8e127cb-dd1e-4649-8cc7-bcadfe0ad3f2"], +Cell[14991419, 279185, 478, 11, 22, "Input",ExpressionUUID->"f276ccd8-82fb-4fac-83d5-a964859b2735"], Cell[CellGroupData[{ -Cell[12749646, 239293, 929, 19, 24, "Input",ExpressionUUID->"e0bc7855-7532-4e22-8bc1-76884fd6984c"], -Cell[12750578, 239314, 42067, 727, 218, "Output",ExpressionUUID->"eada1ebd-d2c9-4443-bde1-a65ef2d81619"] +Cell[14991922, 279200, 1020, 21, 22, "Input",ExpressionUUID->"e0bc7855-7532-4e22-8bc1-76884fd6984c"], +Cell[14992945, 279223, 137439, 2327, 230, "Output",ExpressionUUID->"86546cd2-9bf8-421a-9e3b-615897a01f36"] }, Open ]], Cell[CellGroupData[{ -Cell[12792682, 240046, 1098, 29, 36, "Input",ExpressionUUID->"6081b974-8b5c-434c-9d60-784b38cf0f72"], -Cell[12793783, 240077, 17237, 307, 170, "Output",ExpressionUUID->"cfe49abd-f068-4ff8-8741-c608c0bf8916"] +Cell[15130421, 281555, 1102, 30, 35, "Input",ExpressionUUID->"6081b974-8b5c-434c-9d60-784b38cf0f72"], +Cell[15131526, 281587, 53060, 939, 183, "Output",ExpressionUUID->"2652dafa-51b0-43ed-82da-d4051eef5bbc"] }, Open ]], Cell[CellGroupData[{ -Cell[12811057, 240389, 6091, 133, 154, "Input",ExpressionUUID->"722bcadf-ab31-4089-a6d8-a100d00b9871"], -Cell[12817151, 240524, 90125, 1583, 97, "Output",ExpressionUUID->"fd622092-5ee2-4b58-be07-9bcb181f774a"] +Cell[15184623, 282531, 6091, 133, 142, "Input",ExpressionUUID->"722bcadf-ab31-4089-a6d8-a100d00b9871"], +Cell[15190717, 282666, 621071, 10804, 97, "Output",ExpressionUUID->"389084af-88b8-4fee-aeb5-d09858c7a8c7"] }, Open ]], Cell[CellGroupData[{ -Cell[12907313, 242112, 5044, 119, 140, "Input",ExpressionUUID->"4f5fff9c-1edd-4b57-8e4b-5fb3cc0f6d0a"], -Cell[12912360, 242233, 63561, 1224, 97, "Output",ExpressionUUID->"abe8fbf1-6177-4a6e-a8c2-9ec1f32742b8"] +Cell[15811825, 293475, 5044, 119, 127, "Input",ExpressionUUID->"4f5fff9c-1edd-4b57-8e4b-5fb3cc0f6d0a"], +Cell[15816872, 293596, 373386, 6609, 97, "Output",ExpressionUUID->"24f457a5-5dbe-4d14-b10e-a38c5f4a6c29"] }, Open ]], -Cell[12975936, 243460, 1019, 27, 41, "Input",ExpressionUUID->"d75d6cfd-63cc-4dbc-80f6-b21bb988704b"], +Cell[16190273, 300208, 1015, 26, 38, "Input",ExpressionUUID->"d75d6cfd-63cc-4dbc-80f6-b21bb988704b"], Cell[CellGroupData[{ -Cell[12976980, 243491, 3550, 86, 122, "Input",ExpressionUUID->"9a7009a4-61f1-43f0-9cb2-fdeae58bd0f4"], -Cell[12980533, 243579, 57776, 1061, 97, "Output",ExpressionUUID->"1506d170-0da5-4bd5-a285-1593c4065317"] +Cell[16191313, 300238, 3546, 85, 110, "Input",ExpressionUUID->"9a7009a4-61f1-43f0-9cb2-fdeae58bd0f4"], +Cell[16194862, 300325, 416609, 7233, 96, "Output",ExpressionUUID->"5b519422-babb-4aec-8e87-a6359559d9dd"] }, Open ]], Cell[CellGroupData[{ -Cell[13038346, 244645, 1945, 55, 36, "Input",ExpressionUUID->"613bfe69-1fce-43d9-a284-da099258f4f8"], -Cell[13040294, 244702, 398, 9, 32, "Message",ExpressionUUID->"2095a662-8f4d-4081-9335-67be4437e6e3"], -Cell[13040695, 244713, 432, 10, 22, "Message",ExpressionUUID->"4cbb7443-1af8-4983-9f90-bf220fe05845"], -Cell[13041130, 244725, 561, 12, 22, "Message",ExpressionUUID->"bfba6974-34e0-4235-99fd-6eb8904d6b09"], -Cell[13041694, 244739, 481, 14, 25, "Output",ExpressionUUID->"3584147e-e9d6-48fc-aefa-1e69f2998769"] +Cell[16611508, 307563, 1941, 54, 35, "Input",ExpressionUUID->"613bfe69-1fce-43d9-a284-da099258f4f8"], +Cell[16613452, 307619, 503, 14, 25, "Output",ExpressionUUID->"e6f54548-28b9-4a5a-bc84-c9dd91918326"] }, Open ]], Cell[CellGroupData[{ -Cell[13042212, 244758, 1678, 47, 36, "Input",ExpressionUUID->"1abcb7b6-f5c1-469e-91dc-f6442ddebd47"], -Cell[13043893, 244807, 501, 11, 33, "Message",ExpressionUUID->"6c1c3ecf-9812-41b4-aacf-4fb883530fa9"], -Cell[13044397, 244820, 505, 11, 22, "Message",ExpressionUUID->"a9c8e4f0-16ea-40d3-9344-38e756876a61"], -Cell[13044905, 244833, 503, 11, 33, "Message",ExpressionUUID->"33859d59-2ed6-4cb3-9846-1d0cc56b99b9"], -Cell[13045411, 244846, 470, 10, 32, "Message",ExpressionUUID->"02187926-1da9-467c-a69e-a367c1514365"], -Cell[13045884, 244858, 552, 11, 22, "Message",ExpressionUUID->"dbe055c0-e10a-4003-b908-f32976a18840"], -Cell[13046439, 244871, 541, 11, 22, "Message",ExpressionUUID->"aa1cfa94-b0a9-4b23-9e8f-ce94d61443a0"], -Cell[13046983, 244884, 813, 15, 22, "Message",ExpressionUUID->"100649bb-a942-4c59-9243-6e1f044c5a95"], -Cell[13047799, 244901, 554, 11, 22, "Message",ExpressionUUID->"ae36eaea-74c7-4b74-b855-6dc7671d9fdb"] +Cell[16613992, 307638, 1678, 47, 35, "Input",ExpressionUUID->"1abcb7b6-f5c1-469e-91dc-f6442ddebd47"], +Cell[16615673, 307687, 518, 11, 31, "Message",ExpressionUUID->"be3f81e3-0ca2-444c-8fbb-aadab9e95120"], +Cell[16616194, 307700, 551, 12, 32, "Message",ExpressionUUID->"b6ed90d2-431c-422d-9ac7-c1b27f195e23"], +Cell[16616748, 307714, 623, 13, 22, "Message",ExpressionUUID->"99e3e4f5-cc26-4c91-9900-952718ca0fb0"], +Cell[16617374, 307729, 518, 11, 31, "Message",ExpressionUUID->"8b080f72-baa0-432b-8904-674d9d43d7e0"], +Cell[16617895, 307742, 598, 12, 22, "Message",ExpressionUUID->"2f98ebfe-8a1f-4f2f-b5bc-12f6bce7dbd1"], +Cell[16618496, 307756, 553, 12, 22, "Message",ExpressionUUID->"20334ce8-efe8-4829-9ca4-ce759350ee6b"], +Cell[16619052, 307770, 625, 13, 22, "Message",ExpressionUUID->"32f6ca92-039d-4431-930b-ad351bf1c65d"], +Cell[16619680, 307785, 602, 12, 22, "Message",ExpressionUUID->"044ee47f-254c-4a6c-b28c-4d44db551e1f"] }, Open ]], Cell[CellGroupData[{ -Cell[13048390, 244917, 1261, 34, 36, "Input",ExpressionUUID->"8d550551-6998-48dd-ab80-bd7826862f42"], -Cell[13049654, 244953, 473, 10, 32, "Message",ExpressionUUID->"579fd571-de97-4a60-ac11-cd32354f0f34"], -Cell[13050130, 244965, 506, 11, 33, "Message",ExpressionUUID->"b181f7ac-7b60-46a5-a440-f74b9c0ec5c2"], -Cell[13050639, 244978, 993, 17, 22, "Message",ExpressionUUID->"79c13aeb-360d-43c8-938c-372003786299"], -Cell[13051635, 244997, 504, 11, 33, "Message",ExpressionUUID->"ed039b6c-2906-4b20-8cca-8c1cdd2b44e6"], -Cell[13052142, 245010, 555, 11, 22, "Message",ExpressionUUID->"7637dd1b-6947-408a-b87d-d4a475eee2b6"], -Cell[13052700, 245023, 510, 11, 22, "Message",ExpressionUUID->"53c197b3-a71d-4a69-9dc9-34a7e3901a24"], -Cell[13053213, 245036, 542, 11, 22, "Message",ExpressionUUID->"f15ea30e-54f1-4ce9-a892-aa97e755653b"], -Cell[13053758, 245049, 557, 11, 22, "Message",ExpressionUUID->"54be0dab-9a11-4e02-8141-05abeab2289e"] +Cell[16620319, 307802, 1261, 34, 35, "Input",ExpressionUUID->"8d550551-6998-48dd-ab80-bd7826862f42"], +Cell[16621583, 307838, 520, 11, 31, "Message",ExpressionUUID->"918c7ff1-f962-4a87-b678-511bf543a8e6"], +Cell[16622106, 307851, 551, 12, 32, "Message",ExpressionUUID->"6a0cec39-00c0-48db-af7c-e5040b941cca"], +Cell[16622660, 307865, 625, 13, 22, "Message",ExpressionUUID->"cc81a874-e2e7-4e62-bb78-4fe9610bf39a"], +Cell[16623288, 307880, 522, 11, 31, "Message",ExpressionUUID->"0867e989-3a59-4a44-a90f-e96b5ffaf17f"], +Cell[16623813, 307893, 600, 12, 22, "Message",ExpressionUUID->"c7dee14f-105f-4ae0-b72a-25bf55f7ce8a"], +Cell[16624416, 307907, 555, 12, 22, "Message",ExpressionUUID->"50ca2252-46e4-4622-ad65-5d8d9a18c996"], +Cell[16624974, 307921, 625, 13, 22, "Message",ExpressionUUID->"17b4a262-e736-4a5a-9369-2cf9fab1f444"], +Cell[16625602, 307936, 604, 12, 22, "Message",ExpressionUUID->"2ba71cb2-696c-4925-b318-5ece7e9768aa"] }, Open ]], -Cell[13054330, 245063, 981, 27, 36, "Input",ExpressionUUID->"a4f3fc62-c8a2-4646-8555-5dbbf75ad2a3"], -Cell[13055314, 245092, 2236, 60, 60, "Input",ExpressionUUID->"3619e623-9386-4831-b407-2667e8e89fde"], +Cell[16626221, 307951, 981, 27, 35, "Input",ExpressionUUID->"a4f3fc62-c8a2-4646-8555-5dbbf75ad2a3"], +Cell[16627205, 307980, 2289, 61, 56, "Input",ExpressionUUID->"3619e623-9386-4831-b407-2667e8e89fde"], Cell[CellGroupData[{ -Cell[13057575, 245156, 1617, 44, 36, "Input",ExpressionUUID->"b4681ca3-2a7d-4b42-9d70-69d624c400ca"], -Cell[13059195, 245202, 527, 12, 33, "Message",ExpressionUUID->"e726d7e1-fa50-4ecc-8dd7-a38e5aa711e9"], -Cell[13059725, 245216, 531, 12, 22, "Message",ExpressionUUID->"c7bbdc8b-2fa1-4f1d-b331-568c1e38c019"], -Cell[13060259, 245230, 527, 12, 33, "Message",ExpressionUUID->"2c0e08b0-4261-4147-a821-2fb8d211b405"], -Cell[13060789, 245244, 498, 11, 32, "Message",ExpressionUUID->"3d1a75cd-b465-407a-affd-c16f1c6d5e03"], -Cell[13061290, 245257, 576, 12, 22, "Message",ExpressionUUID->"11da16c0-4f0c-466e-9bcc-bc45e475cd55"], -Cell[13061869, 245271, 565, 12, 22, "Message",ExpressionUUID->"6c75a42f-6872-4128-a881-cc0c967ddf5c"], -Cell[13062437, 245285, 839, 16, 22, "Message",ExpressionUUID->"43d89026-5f96-4585-92d7-3b79df8c799d"], -Cell[13063279, 245303, 580, 12, 22, "Message",ExpressionUUID->"9eb37eb6-e260-455f-b273-87e3194b5473"] +Cell[16629519, 308045, 1617, 44, 35, "Input",ExpressionUUID->"b4681ca3-2a7d-4b42-9d70-69d624c400ca"], +Cell[16631139, 308091, 540, 11, 31, "Message",ExpressionUUID->"581ac931-2b24-4532-8c40-3f1b0caad8e3"], +Cell[16631682, 308104, 571, 12, 32, "Message",ExpressionUUID->"1efa63b6-4d90-4787-a332-063b77525647"], +Cell[16632256, 308118, 647, 13, 22, "Message",ExpressionUUID->"1c0031cc-d8e2-4721-b175-0a8e72dd5528"], +Cell[16632906, 308133, 540, 11, 31, "Message",ExpressionUUID->"02060b8b-a6a3-4e0e-b501-e7cd839aefb5"], +Cell[16633449, 308146, 620, 12, 22, "Message",ExpressionUUID->"dc3f13d7-fdd5-4a28-9497-46a43353f265"], +Cell[16634072, 308160, 575, 12, 22, "Message",ExpressionUUID->"5cc7520b-02db-4377-ba0a-c63a1921df4a"], +Cell[16634650, 308174, 645, 13, 22, "Message",ExpressionUUID->"224e5792-e00c-4b6f-b77f-3744ee216ed1"], +Cell[16635298, 308189, 624, 12, 22, "Message",ExpressionUUID->"0c190a1c-4ce0-4614-9d5a-6e077cdfb55c"] }, Open ]], Cell[CellGroupData[{ -Cell[13063896, 245320, 1242, 33, 36, "Input",ExpressionUUID->"309fe796-238c-4120-b5c0-62e4b5c12416"], -Cell[13065141, 245355, 523, 11, 32, "Message",ExpressionUUID->"b6656b83-b41f-40b8-8cc9-cd2ead95828e"], -Cell[13065667, 245368, 554, 12, 33, "Message",ExpressionUUID->"4c562ef3-a1c3-40e3-923c-72b869ca3017"], -Cell[13066224, 245382, 1043, 18, 22, "Message",ExpressionUUID->"4a454972-323f-4cb6-8880-bb82123f618d"], -Cell[13067270, 245402, 554, 12, 33, "Message",ExpressionUUID->"72d1cda1-2d1f-455a-817f-686bd50983d3"], -Cell[13067827, 245416, 603, 12, 22, "Message",ExpressionUUID->"513bacb6-5529-423b-b511-1208ce59c19d"], -Cell[13068433, 245430, 558, 12, 22, "Message",ExpressionUUID->"e66bd4f5-2c94-425a-ad9e-76a0688adbef"], -Cell[13068994, 245444, 592, 12, 22, "Message",ExpressionUUID->"4872f609-0de5-41fe-8ae4-f7eb32b401ae"], -Cell[13069589, 245458, 607, 12, 22, "Message",ExpressionUUID->"bc278159-315c-4ffc-aca6-216c7ba8f4c9"] +Cell[16635959, 308206, 1242, 33, 35, "Input",ExpressionUUID->"309fe796-238c-4120-b5c0-62e4b5c12416"], +Cell[16637204, 308241, 571, 12, 31, "Message",ExpressionUUID->"b174433f-7bc9-47ad-b5f8-f025f0ccfa79"], +Cell[16637778, 308255, 604, 13, 32, "Message",ExpressionUUID->"e3827e25-ab3e-43b4-8be4-31778d4c382c"], +Cell[16638385, 308270, 678, 14, 22, "Message",ExpressionUUID->"8828aaef-8244-4be1-87d6-956a520ccb0c"], +Cell[16639066, 308286, 573, 12, 31, "Message",ExpressionUUID->"10f17a17-36ed-4c26-afe8-90186e95d319"], +Cell[16639642, 308300, 651, 13, 22, "Message",ExpressionUUID->"4a0b217d-22bd-4ba4-9f96-f6121d64dce3"], +Cell[16640296, 308315, 606, 13, 22, "Message",ExpressionUUID->"509ebed7-d0a9-4247-9576-ea9b30415688"], +Cell[16640905, 308330, 678, 14, 22, "Message",ExpressionUUID->"021e7f31-a1bc-4f3b-9a09-d0b6b02d52da"], +Cell[16641586, 308346, 655, 13, 22, "Message",ExpressionUUID->"8ae73e41-3b36-47c0-9fc5-758746c6c31c"] }, Open ]], Cell[CellGroupData[{ -Cell[13070233, 245475, 3575, 94, 114, "Input",ExpressionUUID->"c76cb12e-f63f-44ed-8908-9d4043727adb"], -Cell[13073811, 245571, 47682, 828, 95, "Output",ExpressionUUID->"6638434b-078d-4dfb-90eb-434be61e4366"] +Cell[16642278, 308364, 3571, 93, 110, "Input",ExpressionUUID->"c76cb12e-f63f-44ed-8908-9d4043727adb"], +Cell[16645852, 308459, 317346, 5353, 95, "Output",ExpressionUUID->"99953025-c98f-4700-bf4d-a279916a22ca"] }, Open ]], Cell[CellGroupData[{ -Cell[13121530, 246404, 1654, 49, 25, "Input",ExpressionUUID->"8a69c59b-0607-4c39-9cf6-7f67e654459e"], -Cell[13123187, 246455, 413, 11, 25, "Output",ExpressionUUID->"daed1656-dc7c-492b-a6b4-cfab467d8c9c"] +Cell[16963235, 313817, 1653, 49, 24, "Input",ExpressionUUID->"8a69c59b-0607-4c39-9cf6-7f67e654459e"], +Cell[16964891, 313868, 440, 12, 35, "Output",ExpressionUUID->"72ace3f9-2e59-4010-ae4a-2bab32af81d3"] }, Open ]], Cell[CellGroupData[{ -Cell[13123637, 246471, 839, 23, 24, "Input",ExpressionUUID->"3c95a34a-c958-4662-aa36-0682b9bd9448"], -Cell[13124479, 246496, 262, 7, 25, "Output",ExpressionUUID->"abbabfab-3868-4e22-bbc9-2da221c83319"] +Cell[16965368, 313885, 838, 23, 22, "Input",ExpressionUUID->"3c95a34a-c958-4662-aa36-0682b9bd9448"], +Cell[16966209, 313910, 283, 7, 35, "Output",ExpressionUUID->"d90b1bf9-7bbd-464c-b4ac-27599024b958"] }, Open ]], Cell[CellGroupData[{ -Cell[13124778, 246508, 7060, 183, 182, "Input",ExpressionUUID->"d77f283f-ec11-41a1-8d0d-562d4bc39099"], -Cell[13131841, 246693, 4879, 73, 200, "Message",ExpressionUUID->"5c002725-8083-477c-b2ff-decd4d464d3a"], -Cell[13136723, 246768, 4736, 70, 173, "Message",ExpressionUUID->"106d4bd3-81a4-40ff-8888-d595bac86d13"], -Cell[13141462, 246840, 4721, 71, 96, "Message",ExpressionUUID->"ca09e332-6ca4-44b0-8335-8c4461eee97a"], -Cell[13146186, 246913, 1266, 21, 22, "Message",ExpressionUUID->"7f9b61cf-d4d0-46a8-acec-829850af9187"], -Cell[13147455, 246936, 58316, 1025, 106, "Output",ExpressionUUID->"748b8c87-a57b-4327-9d6e-09c0cc709fab"] +Cell[16966529, 313922, 6646, 180, 182, "Input",ExpressionUUID->"d77f283f-ec11-41a1-8d0d-562d4bc39099"], +Cell[16973178, 314104, 2740, 43, 86, "Message",ExpressionUUID->"268abdf5-7eba-4c3d-860d-33952cdfbe73"], +Cell[16975921, 314149, 2704, 43, 86, "Message",ExpressionUUID->"570b7dd6-098d-4a04-9fed-dd09f743c5f4"], +Cell[16978628, 314194, 2774, 45, 86, "Message",ExpressionUUID->"ab6651ef-89db-4342-9d0e-9768c2cc1e16"], +Cell[16981405, 314241, 500, 11, 22, "Message",ExpressionUUID->"abd8bd9d-9131-4cbe-9cbf-df033a136e16"], +Cell[16981908, 314254, 289644, 4930, 105, "Output",ExpressionUUID->"b877bb9e-0e3a-4d2d-8d2a-3ac1e852688a"] }, Open ]], -Cell[13205786, 247964, 292, 7, 24, "Input",ExpressionUUID->"19108f9b-a286-4a4d-8711-2275dffce260"], -Cell[13206081, 247973, 298, 7, 35, "Input",ExpressionUUID->"f44f0687-525e-4984-a7b8-4110a53275cb"] +Cell[17271567, 319187, 292, 7, 22, "Input",ExpressionUUID->"19108f9b-a286-4a4d-8711-2275dffce260"], +Cell[17271862, 319196, 297, 7, 22, "Input",ExpressionUUID->"f44f0687-525e-4984-a7b8-4110a53275cb"] }, Closed]], Cell[CellGroupData[{ -Cell[13206416, 247985, 169, 3, 30, "Subsection",ExpressionUUID->"bcf55e94-9646-4708-bf9a-6879ed924910"], -Cell[13206588, 247990, 1400, 30, 36, "Input",ExpressionUUID->"4f08c176-9a98-4b00-abc2-1251966dc44a"], -Cell[13207991, 248022, 615, 14, 22, "Input",ExpressionUUID->"7d8f1df2-cc38-480c-8c31-980577e06f80"], -Cell[13208609, 248038, 1557, 36, 24, "Input",ExpressionUUID->"998c3c30-af7c-4339-8441-71708dc25e88"], +Cell[17272196, 319208, 169, 3, 30, "Subsection",ExpressionUUID->"bcf55e94-9646-4708-bf9a-6879ed924910"], +Cell[17272368, 319213, 1400, 30, 35, "Input",ExpressionUUID->"4f08c176-9a98-4b00-abc2-1251966dc44a"], +Cell[17273771, 319245, 615, 14, 22, "Input",ExpressionUUID->"7d8f1df2-cc38-480c-8c31-980577e06f80"], +Cell[17274389, 319261, 1557, 36, 22, "Input",ExpressionUUID->"998c3c30-af7c-4339-8441-71708dc25e88"], Cell[CellGroupData[{ -Cell[13210191, 248078, 1920, 37, 25, "Input",ExpressionUUID->"1143bb1e-5bc3-4093-91c1-1613dd2013c6"], -Cell[13212114, 248117, 1698, 28, 25, "Output",ExpressionUUID->"c6661539-f377-44f0-bdae-94b28c7d9574"] +Cell[17275971, 319301, 1920, 37, 24, "Input",ExpressionUUID->"1143bb1e-5bc3-4093-91c1-1613dd2013c6"], +Cell[17277894, 319340, 1720, 28, 35, "Output",ExpressionUUID->"22c20a9d-5ebc-46f9-81d8-3640371d1b21"] }, Open ]], -Cell[13213827, 248148, 2778, 64, 41, "Input",ExpressionUUID->"b7f21af0-7164-457d-8568-2acc11e6d627"], +Cell[17279629, 319371, 2778, 64, 41, "Input",ExpressionUUID->"b7f21af0-7164-457d-8568-2acc11e6d627"], Cell[CellGroupData[{ -Cell[13216630, 248216, 1396, 32, 24, "Input",ExpressionUUID->"7e93248a-ca98-4de6-9fcc-35cbc3a3a9c6"], -Cell[13218029, 248250, 1397, 28, 25, "Output",ExpressionUUID->"3603b5d3-c2de-4776-9d54-a743ea4d9967"] +Cell[17282432, 319439, 1396, 32, 22, "Input",ExpressionUUID->"7e93248a-ca98-4de6-9fcc-35cbc3a3a9c6"], +Cell[17283831, 319473, 1419, 28, 35, "Output",ExpressionUUID->"fd4ec326-4b2e-4e80-a297-5f2235b2925c"] }, Open ]], -Cell[13219441, 248281, 2149, 57, 42, "Input",ExpressionUUID->"237dcf06-1246-43f7-b10f-f9ef10083d5f"], -Cell[13221593, 248340, 684, 15, 22, "Input",ExpressionUUID->"6935352f-5a80-493b-bb9b-9dac758f5a2f"], +Cell[17285265, 319504, 2149, 57, 41, "Input",ExpressionUUID->"237dcf06-1246-43f7-b10f-f9ef10083d5f"], +Cell[17287417, 319563, 684, 15, 22, "Input",ExpressionUUID->"6935352f-5a80-493b-bb9b-9dac758f5a2f"], Cell[CellGroupData[{ -Cell[13222302, 248359, 1974, 38, 25, "Input",ExpressionUUID->"170d9fee-bf3e-476f-9d5b-9ec2937977d8"], -Cell[13224279, 248399, 1736, 29, 25, "Output",ExpressionUUID->"0f286eac-f364-48ea-9174-c77ce732b84d"] +Cell[17288126, 319582, 1974, 38, 24, "Input",ExpressionUUID->"170d9fee-bf3e-476f-9d5b-9ec2937977d8"], +Cell[17290103, 319622, 1758, 29, 35, "Output",ExpressionUUID->"078e0ccb-ab28-46ba-a39b-08ffd8f46229"] }, Open ]], -Cell[13226030, 248431, 3000, 68, 42, "Input",ExpressionUUID->"d0f6d32b-342d-435c-b9c5-a6284e85784e"], -Cell[13229033, 248501, 2970, 76, 41, "Input",ExpressionUUID->"9b7d3369-626c-42e0-b1e9-21d4c5c8b78b"], +Cell[17291876, 319654, 3000, 68, 41, "Input",ExpressionUUID->"d0f6d32b-342d-435c-b9c5-a6284e85784e"], +Cell[17294879, 319724, 2970, 76, 41, "Input",ExpressionUUID->"9b7d3369-626c-42e0-b1e9-21d4c5c8b78b"], Cell[CellGroupData[{ -Cell[13232028, 248581, 3398, 85, 42, "Input",ExpressionUUID->"3625a4af-0c32-4208-b560-53a8b17d59c7"], -Cell[13235429, 248668, 400, 10, 33, "Message",ExpressionUUID->"957c2001-c8ae-441c-8545-6b962163f120"], -Cell[13235832, 248680, 425, 10, 33, "Message",ExpressionUUID->"0b499d93-c05e-47f0-82fe-16e8c4732970"], -Cell[13236260, 248692, 564, 12, 22, "Message",ExpressionUUID->"16c2596a-5a63-4be7-a2dc-9ca703503de6"], -Cell[13236827, 248706, 561, 12, 22, "Message",ExpressionUUID->"711092a1-834e-4083-8753-6672ad2f2ea7"], -Cell[13237391, 248720, 563, 12, 22, "Message",ExpressionUUID->"487b8dc9-ae81-4815-9a91-85699d8102ce"], -Cell[13237957, 248734, 457, 10, 22, "Message",ExpressionUUID->"fe7c7453-497f-45db-bd5d-33c45f90a7dd"], -Cell[13238417, 248746, 897, 17, 22, "Message",ExpressionUUID->"b07f3702-be52-4702-acdc-5f245f266eaf"], -Cell[13239317, 248765, 397, 10, 33, "Message",ExpressionUUID->"6989c6b2-6860-4f7b-952f-f1a3623c1219"], -Cell[13239717, 248777, 927, 17, 22, "Message",ExpressionUUID->"828288af-1628-43c6-9d03-4d14aa5bf492"] +Cell[17297874, 319804, 3398, 85, 41, "Input",ExpressionUUID->"3625a4af-0c32-4208-b560-53a8b17d59c7"], +Cell[17301275, 319891, 421, 10, 32, "Message",ExpressionUUID->"07943fbb-14ad-41e1-834b-b22cbe7fe8b9"], +Cell[17301699, 319903, 448, 10, 32, "Message",ExpressionUUID->"fcf13648-4733-4402-88ad-012e24d19605"], +Cell[17302150, 319915, 585, 12, 22, "Message",ExpressionUUID->"032d44be-5b0b-4df9-80ef-5abd088d342a"], +Cell[17302738, 319929, 586, 12, 22, "Message",ExpressionUUID->"c81f3b4c-3f06-4d47-ac86-367b2042ec99"], +Cell[17303327, 319943, 584, 12, 22, "Message",ExpressionUUID->"a5661b9d-1039-41a6-93a7-9966c437dd93"], +Cell[17303914, 319957, 480, 10, 22, "Message",ExpressionUUID->"a1a431c4-5227-43ff-b115-36710c7c751d"], +Cell[17304397, 319969, 920, 17, 22, "Message",ExpressionUUID->"8ad20f35-8828-41d2-af3c-edfe6f11d7ee"], +Cell[17305320, 319988, 421, 10, 32, "Message",ExpressionUUID->"4ec204f0-a196-47e2-893f-2cdc8980ac30"], +Cell[17305744, 320000, 950, 17, 22, "Message",ExpressionUUID->"a7010193-3469-4183-b391-c8658bce8c69"] }, Open ]], Cell[CellGroupData[{ -Cell[13240681, 248799, 1644, 41, 25, "Input",ExpressionUUID->"4c7f6e5b-ccd9-42c5-bb26-a214a5ab37ae"], -Cell[13242328, 248842, 983, 24, 25, "Output",ExpressionUUID->"6a571d8d-15e5-4d01-88de-32ef557d90b2"] +Cell[17306731, 320022, 1644, 41, 24, "Input",ExpressionUUID->"4c7f6e5b-ccd9-42c5-bb26-a214a5ab37ae"], +Cell[17308378, 320065, 1009, 25, 35, "Output",ExpressionUUID->"cce76430-d588-4de9-9257-b33d7f592d01"] }, Open ]], -Cell[13243326, 248869, 3737, 95, 92, "Input",ExpressionUUID->"2212a513-9a58-4bb3-afca-d8b21595d786"], +Cell[17309402, 320093, 3736, 95, 87, "Input",ExpressionUUID->"2212a513-9a58-4bb3-afca-d8b21595d786"], Cell[CellGroupData[{ -Cell[13247088, 248968, 268, 5, 22, "Input",ExpressionUUID->"1002a8b7-143a-4253-a17d-6b223c8c32dd"], -Cell[13247359, 248975, 255, 4, 25, "Output",ExpressionUUID->"9a7bbe43-a6f4-41ed-a65b-097d6c157eca"] +Cell[17313163, 320192, 267, 5, 22, "Input",ExpressionUUID->"1002a8b7-143a-4253-a17d-6b223c8c32dd"], +Cell[17313433, 320199, 282, 5, 35, "Output",ExpressionUUID->"59acd86a-c2e6-4317-905b-d87145aed435"] }, Open ]], Cell[CellGroupData[{ -Cell[13247651, 248984, 6979, 181, 161, "Input",ExpressionUUID->"e76828e5-167e-462f-84fd-25cbc4226d0f"], -Cell[13254633, 249167, 39912, 706, 120, "Output",ExpressionUUID->"43eb51cf-cd6c-4a96-a4a6-2b9cac2451d3"] +Cell[17313752, 320209, 8500, 216, 170, "Input",ExpressionUUID->"e76828e5-167e-462f-84fd-25cbc4226d0f"], +Cell[17322255, 320427, 185881, 3275, 132, "Output",ExpressionUUID->"f1536694-dc95-4af9-a605-ec843ce4808b"] }, Open ]], -Cell[13294560, 249876, 1427, 30, 36, "Input",ExpressionUUID->"deceaad2-fba6-43c3-9761-e399f8924868"], -Cell[13295990, 249908, 1583, 36, 24, "Input",ExpressionUUID->"01a5825b-954e-4806-b120-c413b5019170"], -Cell[13297576, 249946, 614, 14, 22, "Input",ExpressionUUID->"18052427-0735-484e-87b9-5806a7040361"], +Cell[17508151, 323705, 1427, 30, 35, "Input",ExpressionUUID->"deceaad2-fba6-43c3-9761-e399f8924868"], +Cell[17509581, 323737, 1583, 36, 22, "Input",ExpressionUUID->"01a5825b-954e-4806-b120-c413b5019170"], +Cell[17511167, 323775, 614, 14, 22, "Input",ExpressionUUID->"18052427-0735-484e-87b9-5806a7040361"], Cell[CellGroupData[{ -Cell[13298215, 249964, 1971, 38, 25, "Input",ExpressionUUID->"126e9590-8eeb-477c-b930-630397b1d2fb"], -Cell[13300189, 250004, 1723, 28, 25, "Output",ExpressionUUID->"44549e14-e0f1-4893-a475-22abf1e0e5d6"] +Cell[17511806, 323793, 1971, 38, 24, "Input",ExpressionUUID->"126e9590-8eeb-477c-b930-630397b1d2fb"], +Cell[17513780, 323833, 1751, 29, 35, "Output",ExpressionUUID->"57467edd-5089-4a32-be2e-05ac9766727a"] }, Open ]], -Cell[13301927, 250035, 2747, 63, 41, "Input",ExpressionUUID->"0bb7b308-49f9-49a0-9356-f3bd8e6c8908"], +Cell[17515546, 323865, 2747, 63, 41, "Input",ExpressionUUID->"0bb7b308-49f9-49a0-9356-f3bd8e6c8908"], Cell[CellGroupData[{ -Cell[13304699, 250102, 1395, 32, 24, "Input",ExpressionUUID->"ec5d199c-c1f2-423d-979c-cfaa783cdda0"], -Cell[13306097, 250136, 1399, 28, 25, "Output",ExpressionUUID->"09bf618a-ff83-448b-bee3-f58e5ef6fbb5"] +Cell[17518318, 323932, 1395, 32, 22, "Input",ExpressionUUID->"ec5d199c-c1f2-423d-979c-cfaa783cdda0"], +Cell[17519716, 323966, 1420, 28, 35, "Output",ExpressionUUID->"9939786c-3435-4805-b6f1-561a811e475d"] }, Open ]], -Cell[13307511, 250167, 2159, 58, 41, "Input",ExpressionUUID->"348d0c81-9fbe-42d1-b188-9d50b8eb69ec"], -Cell[13309673, 250227, 731, 16, 22, "Input",ExpressionUUID->"b990fc5c-b48c-4600-b61c-74531b829c86"], +Cell[17521151, 323997, 2159, 58, 41, "Input",ExpressionUUID->"348d0c81-9fbe-42d1-b188-9d50b8eb69ec"], +Cell[17523313, 324057, 731, 16, 22, "Input",ExpressionUUID->"b990fc5c-b48c-4600-b61c-74531b829c86"], Cell[CellGroupData[{ -Cell[13310429, 250247, 1976, 38, 25, "Input",ExpressionUUID->"5d07154e-483f-439f-8aaf-6aa538505d8f"], -Cell[13312408, 250287, 1736, 29, 25, "Output",ExpressionUUID->"d4c5d1b5-f8bb-46a8-9a3f-ef8d869b4011"] +Cell[17524069, 324077, 1976, 38, 24, "Input",ExpressionUUID->"5d07154e-483f-439f-8aaf-6aa538505d8f"], +Cell[17526048, 324117, 1758, 29, 35, "Output",ExpressionUUID->"1991d085-bbc0-4286-a00a-4ab7bfabb227"] }, Open ]], -Cell[13314159, 250319, 3009, 69, 41, "Input",ExpressionUUID->"e3d82a6c-8ce5-4d3c-8d35-bca0671c233d"], -Cell[13317171, 250390, 2769, 73, 41, "Input",ExpressionUUID->"87d9192b-c5b8-43e2-a323-ceafe2183fd4"], -Cell[13319943, 250465, 3448, 86, 42, "Input",ExpressionUUID->"eb9f8948-71a8-4dd2-a4db-e3e15509a53f"], +Cell[17527821, 324149, 3009, 69, 41, "Input",ExpressionUUID->"e3d82a6c-8ce5-4d3c-8d35-bca0671c233d"], +Cell[17530833, 324220, 2769, 73, 41, "Input",ExpressionUUID->"87d9192b-c5b8-43e2-a323-ceafe2183fd4"], +Cell[17533605, 324295, 3448, 86, 41, "Input",ExpressionUUID->"eb9f8948-71a8-4dd2-a4db-e3e15509a53f"], Cell[CellGroupData[{ -Cell[13323416, 250555, 4451, 119, 111, "Input",ExpressionUUID->"91d0aa32-c67b-4123-8947-29970b03ef3b"], -Cell[13327870, 250676, 63022, 1080, 118, "Output",ExpressionUUID->"d4121fc3-1609-4210-aa9f-4d28c73f18e1"] +Cell[17537078, 324385, 5972, 154, 124, "Input",ExpressionUUID->"91d0aa32-c67b-4123-8947-29970b03ef3b"], +Cell[17543053, 324541, 211090, 3646, 130, "Output",ExpressionUUID->"da4e4bfd-74d6-42b9-859a-4dd0435b2931"] }, Open ]], -Cell[13390907, 251759, 291, 7, 24, "Input",ExpressionUUID->"337cfe81-d01e-4a57-830f-b98c62cf2ce3"], -Cell[13391201, 251768, 291, 7, 24, "Input",ExpressionUUID->"3366828d-9373-49c7-ae95-26e94c4a3432"] +Cell[17754158, 328190, 290, 7, 22, "Input",ExpressionUUID->"337cfe81-d01e-4a57-830f-b98c62cf2ce3"], +Cell[17754451, 328199, 290, 7, 22, "Input",ExpressionUUID->"3366828d-9373-49c7-ae95-26e94c4a3432"] }, Closed]] }, Open ]] } -- cgit v1.2.3-54-g00ecf